From 2926a40715fa59021fb72a76417922ca23a25582 Mon Sep 17 00:00:00 2001 From: Christian Weeks Date: Sun, 8 Apr 2012 01:17:42 -0400 Subject: [PATCH] FML 59: don't send a zero length register packet, add mod channels --- ...-src-1.0.0.58.zip => fml-src-1.0.0.59.zip} | Bin 62398 -> 62418 bytes 1 file changed, 0 insertions(+), 0 deletions(-) rename forge/{fml-src-1.0.0.58.zip => fml-src-1.0.0.59.zip} (74%) diff --git a/forge/fml-src-1.0.0.58.zip b/forge/fml-src-1.0.0.59.zip similarity index 74% rename from forge/fml-src-1.0.0.58.zip rename to forge/fml-src-1.0.0.59.zip index b522cec14d1fc0aa159dd122a676c413119b4582..6c376a94d881d3cdec1809b35ea31b5b53e1aaf7 100644 GIT binary patch delta 12404 zcmY*6+DRYEAX-I)IuvfI?D{hk{0cfPjO5Xos&wLLvvX!Pn!H$3cSH;lbq;l>cV6qzb zv2dcV+#nJP^H-M8iPuhy>H%oA*K|4yRu6w!FjtD`yX&#~DR2>=SP?}@(P6gVar#h~Dqj(D#O@S&(C1|3hw?_$Y(Kh({KtBw$iuw6guZ?Wo!vGyZd~o zb+MOeTkNf%T~4W9?4+W1XFLPUjJ z^kw&b+rI`vFyG7`J`c|)X>VK1UTLlv|p^z>BtZxFnYzHvf%sZt8D zx{{1FiK3W%5AB5rXsg*B+|98Pd&?$BSH?9X#(2|_DgEHg)L6@K{?$3a+r{PWZF}+n z=aQ3TW~LsT7&aOGQ#lVsCZ0cnha7ItQo@#xFPBut0@&nfvVctKO-1amMG>armp_C1 z#3L({uB65Dj>P63T&_5QgwxA3dm@nRA()nL<2@tX?OzpNj-?7$bQ=?C&+FSfd526v z6BcZ92Fe`X?KBunLrKHB)El*mbVN^J(8gRCE=*9@tjjl<&Y5+mRHXsf3xYN{ls=b= zezcY;0~E*cWKHZ4Wh2Sr=-#laRN})7WV2Z*A)BenOzYvX;Bu)dWn_ZCQGcV0R{e~A z?w;#y4*whmU4ZPSY`9{x#NJC0H4qxllH9_zC_T?XMu`2jVD9_pGFrE!E$friwHAN+ zqD~nqLd%5G=(_Bgz?UF8HAwkB!_R#*$9#&Ow0Rv_Pby8KxuR(5+9Xc@^EC1c5_}h-;6=6(mnY$OezlAt zZbVlRw;4G6x)(fgSC3%vW>+%*fShx-YcvTt{+WH)(NA@oJ42>tiIEl)G|IsgQL$`{ zEr3pPvI7kbDtF*9H_6#|)gYDPS^jri3~c`xM`u3}6dkF;}*jS;&6?v|WVxBkQCNgtpXqgSbHlY>UuS1u^IThB zr-FMD2@K9i0xrmv4J#+!G_QGNZ@UZ5H;rlDWOGL}0tQDAJqhkQx`;HIOn(K@@d1vi zf7X?7$Po)>z|y z%f+yLpyRTqK=0*T_Ptu`2f5bSCuAUpSORgGGZCfc)%Ii5&De(XVm0&0Xns9M3*hBd zK{@qi#f8%6Ic@eeE*|e!W+iMy8YHT+c#=OG+MGBn}mXUJn*{Q3nG_(kzEEgD6h85vi zX^%>gw);@7<05F_yT$`uQQLUu^f>~UBW8cEz;94`2R_|zNab{{5f4)Jy-Qn?;Dr&i z4rqs{H^BS;D$Gt1$-IEtWyp4Yu$hY8A<*k{wYt3MUU9rUB();8@SKY6oL|%>T;i>3fVgA*BBgyEA|vr=jwsuJ0yuFhP%f8T#iY{ z-B45D!v%bPPz;kA5Gv%{EK~0>d`+j&faQ@{N=gekqf<;`V;}!KRK?|P& zQL)crDSE?&pBAciZRurGi3_=CvD53 z{FADq5YDljkRc!}+5V<#Mlh!hC6Hwtq-oWrQD$K-a5N^1FI|iAi#K+tE<>^15LH1O z+cr|=PYqM^p9^1R2sKiv8IN=i%e&s>o~S4{mkkIKA-^8tx}7u=U#ExVEV&67-*WM$ zYA;&!^D_Jo7_XzzUCRYFJmIun<$G|M^#UCK%HEJnO8B@8m1GN5P~OZg|a ze%TKgLCbG&7U@*ijFZq{yd9TE87liKaX!NtQR3N1yc~1#v|zGP8?)n-)rO(3LbxP zzs8udjk)#&Mi0qjU)f6?HUn@S-x5(`uQe2Itb0U9e#gaa zbO`WS3c!*qJ!j_UXNK&cuI7weA}9|Ovij}%p~=>S!NJGXQ*HUR`*Qj~J!Pisyk1?w z2DkAD3om6VEd6e$0JZd^c*e#K<%3@{DLlMfzdSnDoz#E(=(#pkx&R<@GhyPTsOrJJ zL6#{%vLY-;8zYo(e!ND%uP>h#I2sdIShw2a!Z>1YAPMsmKln?4_)wjBbDvma0%=H_nV;O>@u6-%cr& zZeU^}oOw`8F0Q;0U9v`oH-gGio@+|KT1j8xb2)i^EWL5NynDFXfFX(+&mPl(hR?Rt zqI`E?W346U#-Is$|6UB*%83#$YYj~QRu^JluuKllSFvxE~%F>jwX4yU?jwF^k&(SceX6*#^C;ZQ`JXlDLM?U!Fe{;qkHapv8#^ z1u^%vf6Ty~lLY~@gr&G_^b6eYhq)-~U-`a^lOJH_edCR@$O-u{15T$9E=T;y#7kjn zZ@;6^rjTp8y}2*B1mnesIZV6s{sv;(5BAU9?w9;1S-r3L8Ykna3VrTFC2vgeq*HNy zZl7K(suftflaOfIkV2m~_G|5qsGFs!%~g5ccQA^4QCEp9aXjKs7{}*3XKrooE<7QB>kv zG+0Vros$$`NiJaViXBg@C`14G1?-AMUMJ8gyKwo%6f2b6!ad*b@)_!D95?k->biWb zae^pHZAjcLkh(;bI5dMah*eV>UE6OL6@yAWBmkRlc#p*F(Rjah((hp>e@@z}Bt^cR zqY(0GjFwgnO_4x#E;>31&pw9FhG-tyW*A?Uwnz|&yTstA8a`RZle%wkA>%oGf01W< zFl5sdQCeIi!s`wcTw-bAtn}qlPcS*~V-7T5+2b%ilr4P6O=iIs+;Zct{;nlPAkm_M z{x-Yc=#JtqG_M2lKiwB8pLIyVyc0bf!wt+8slK4$pNW*rSY|-`=60GV-L-DaR#G(V zs>B9tCLC!%H7|M=5s0{JQ?W>gDhSEn)hdz^woTYqMx|ox!^4F4{WeXLB_1Kb5Y83U z;j=wsk5ExLUvln)ncj5#*xFTjk~6j^$#ZLHB2mf`f_Ts1O3~M!NUvqqR<0ro4VNeu zzhR0wL~0PSSg6jfo6bpA-XWl*gJR-Ou7C;DW=Fg6pscEPVe3*2E*%x7P?3*;Tj2H9 z<38^GYUYanDvg)866BnbSQd1VJ*?Q)Qkh@g!*<7#OB`P zvCYk|r75S-4y(=4;B@%y5RJSGP8}Tv-aZ$buT@vanVuus)PTV|HxCX*XiW}QnjH+d ziDgiWf02SqY|9mg+viWBY$IWn8HG>a7 zq!0BT?eAY^TqpsRp~maeM#|Q{*_D9KV{OY<$iV}nY$0HRds*Awteg92KMSn&h3-!} zoX}*&^OPgZq0?GW_S0#11l5KqDqmVrfFW%-dCJ~S5<04!1x{oWJ+nK1iC#oL>CWi9(|VO63Kt+1y;<}j zYKmhQF*+i`@S2vzF2C(r<_p?R+urOZgRr!|S9p$Gk;2L836;>gk9E=DsCLIObp?%4 zU+ULv?Z)&2{j6UveBJj&DNvQ1^%TAkPZVe2+NK6uZkU%YVZ(#Jk1X^<|EBE+RkXYh zD48EhB3?C`R{G(Elyv7bAbtQ7EN53#qHj|T-Bt)vG;z}-i4Bk2G1h6tB7((tj*fch zUk&FMQ~lD;3rYp!{^(NPn{Z~Otx)4sTDa4stcMh9c{!y`z#BAlHP7A1~ZIl=9#p*BSkZZ*waX*Z&V{c zhrcnv*YB6*2?pExnZ#!5VWjH*up}|%^SU^e9NQ8!Imgoqb2AjlW@9&Y;q3UZ0NLAM zMed0*eXc=Y>PJz5HJ1cbkx%)DT%x6Xks<7lIAyajL}S`HcB+bnk2!pr5?) zF?%Apq*6ZO{^8fLr?+c`%j^7`e?YitouF6quLlKhw@5{X;)R z?FoDHN-Z8;;nl7^GW}8WpZFLd3GjDm)eMWo6j|lNtX@+?|becWcUqdjecV7NtKU=yWH=uXwQ`!+k_O51O zn{6R?Qahl+k9gGtWf1PuImKQ~*wm4ykH9a5b|=&ATwZwH(G$;|sPhCLe0d`IRgu|z z63%*mnDq*v4@=aYKyIDT!)0c9qGx!WC-XL6d@9H*PwhZhqh}uM5dR&Sh&3%-3sq&u zlNnXAwOJ-V<`J2{$E~S^wC7Ov1@~>kMj`6Zb{uP8`6vQr?tt-jfW@ZFiy>c1Gsx|H zu|kL@e70x`QLurAA8OU&7Asb-Mk)`a@lyj&9Y+ax_(Gmqy1k2SXyM(vn;aA)mCAQp zm|^Gk?TpWJ8Q;CqO7Def{d?y$kIsyolm&_A3p%S%$b8@PGh$Jhi%yt>Fdld6a2cxO z7ZZ-$F`WgX73*3*r(U~&klwm3@F({z=Z0q=LB8KY^oS7sJ^QOeapCUyJucW|-B= zrnv<74lS|O?S#*Rkqq*Ff0)8gidP7T5RsxKZBjBdozjUiY^R0~*s2;|{r&I-eT$mw zn!--xn7eXA?3X==;v?O7DMb1by2j^2V#e};XA!>N&rORDvr?2C)eqU9FBVj;579CV zhG9Bg;cBa(`R5+9@!BKiu?F!GuYH$ur8bN&_*U4a4~)X@ggRcUPCVg9LKFz}j_jm_ zEG!)Q3`l~+q9!4zFad0ZPUjp{xfGMZjw;WOY1W8%ii;&OQBSG3dh~S>(JbDQ2iCEG zlOE0Kx{!}^+eXqIg^-ZPQewpRXuC%U|NCg?tNlj9=?JJaJ@& znH!e)Rre;32sagP>7b_#o#*x17pGyM=0kwlR*liwtnov9vXLE|=fjsO&CV$wF(H8! z!D$WEv4&^OXwB(mXHJ6(+ut-Di)Ga6&6RCN9o%*Kixmuzhccz7NdZtQ30#Y*v-0VR zBP-|WwO!TW{TC(yLfyqg<{E1Z(|T2aA7fw9jUEqJj&l)ezfjmzEP65KX(t5q4q4ym zF~#t=##$eqcZi2vOy_ZOi}AM-s|W$X3nrst1v77d0M~Wk0wVTAo_V}3Cq&%~ac4(O z>wK&*vbgG$DWqq#>hBM&4y`MoD-%=XAT7G^@9 zi4`Y^18bj@3@~oPj}2&)k*9cCkfk!>Di^ieOe7y^OlUpkC^*l8YUm`pG#E3dNko2Z z)T!rUM!%AxC)}hOCVSRKFO^11=0Wd7T0BZJ{Y+f1w4P@p4H`Bi5DerGoJ35chEhm;rT^G?@q^%ql0wMe7PIDcO&dV(0m1VrM`CSz-(MXc zN~el=1Fk-}Kr zCMz14fWhD>`Wr`t8f5TQBGY3CM8YR=VF;feQaNP$GK@-KK2=0STT5bAJ~LT8=u zc{3@!kvjQ!NCDYAR+Ei$3fG~YoM+rez_7>F(#2QgH0im8vU`wB-TqdB3H=v%qEN<$ahJezakU~R-l z)5XI$1EvYD1-6-b<%eC~%t;G&8k85fPejj**5mvz^RbID{TE6Qt*KGUf#PUP3(>~c z07!Cbpl6aD@m<@J1Pr>Z@YESwK=CY*&?(R@Ghv06_D1d|GG9>Y4nh?zi1vyeGXbxs zBt6G8_Q#l3^`v|w)D%xRzbT>Z4R8k44C&#Xg0OjDcCC(CabhD}x0Qj)|adv%!@}iDFh5sHdFfIyh zTZmB082~4%*n=8GLpF!zzzqs2g@8`&?^cJ;Dv@!co8vX{CW#oZJgBEk*}J0g(F#!Sy6R|!C66#*$XjU&wP z&Pk^w=IMQ*vBb^(Lqr?T;wQax{*~U1)oMs-cZpDZI8<|3X%Un#TyrGbA@J`erDc>f1a;Y=O7tXN%(LizAH2r;zDZhX#XY+-7reCj^&0x!^1uiQJu(KZWTto4llBt5%)>P=d#1SN4YNs&}62h$Qn^a+H7 z5rhIIcDBa^m8~aCEWcFrK9H*4KJmA{su58-EXf}B!)HD^hC5<0OiRbxI1_e>T}R<8 z3&1CDt{q?~$zCEFs$iIpsb|EgrXv|(-*G1)j*k@@wpr&oeYi(0{ekXbjW234hLw|Q zDLP_(0OXMVs2nB~<(;3HnG-^2(&C^i(j=B`gAc}UHOj^Yq;c=~`J5ww5>p8;7Oh3l zM?_@xdCFL}5UKpfO%q5DHpdgi6R> z-Hw}4^39|vDWX6EJ~Xmel^^z}^vGQdz_Wzu1;2X~QfS-B9gj~ZvKKfQ@2}|%&@3Gk9lFC>i zSZDiu7E|&oJxB{$O@BK9XmCI`OIe6rys0UyS_;uXR~-J*^aCfd7}cj z!O-&XS<;B6al+7QMM+R3?@wx;AxxW2{E$0slk5~lnqYei{W!Gys6iSA- zexn!dtU$dSg-b2Aq>AQ+K(aq5h< zu=Ku38*O|u&)ZtwpB`R^WjFbr-pyFYG{}W`N9b8HMO91VnNN)WgDc6M$CmrnoZeBq-k6hI z(26b7rvo&R20$?H2rHF-ij=oCq}76q(>p-HVL{icS^OIzH|ojva^X*Z|3}yJw&kQe z7bH8eqVgW0Ka{L#|B@;ojw;?f0v)7lzP<@UZn_8WNUpZFXox>+FWT!)&%_X_hSpuJ>=- z3-!{vgtIeJ&`VbmfAzu3!>|}Qs&h%@>q=DPr7p>l74S#*& zt1z#*JN=4idX}E@)OoXLmAnhV@cd_1SqFkcL>0TYdGWfK9PfV7<__AN6Zzs?Z&q81 zX&R2s9!8BWrK*ET{`H@+T-9K^R3P8T-)nlOD*NS<-kI`Z&or@voF#1}0aA%U|l0J)`p z-SR58seiYkD?)fDC#kX~+9!-<`aY%Y>BqOXYC@}4F=uCm^w(8uU6`MFpCHeIRi~1Q!{dhnd`MN)=L04FfIZN zW}9}C>^;xW&9{f267%R zOnS{G{@Log%m=GD`5A~$7x0|J^U}hPs@n2F6rxg9;x|(`yC8=eh2krD1iC&f`~O(p^JeCaQ_kNZ-$vdGloC!ZeB!KHD*a z=D-V<%(3i`{Ms{L7TaHYj)<3jG*)t8dzj2=SFbO9vS0yQ^2-7A2GC^EJhJZ&qV!!x z?aORXOkN!;zT0Fvh;S3;ITCQ5&6{;O6r=_$+%xI#SYC+@wMy1M*sAG^}UwAf92?totivDyx^3cLOI9{uYz zccjMKknGRr50#%XATaw`)=SRAG#`3To86~YQE&HTj;vIT4}Xr?d68xL zNXyMU$BkL&P66{QQSN<*?cs2)J7*R=XIcd3`^OJ`1P95ZUi-)G7U^ov3|GfhExM*% z0!7dM^ZVX3b=7aH7KX14SEiafKenPb+L0PMu11_Cq|z9#1PUo9C?e!vV-@1Q;>xD- zh~wj!tUE2#)KyQWa?J|;a=wr|$)%dSs1?bD_K%WEO9QG=wq~((-C932y;)|3rZ^~Z zf8;%!4qF}D54z1+$Y>?bX~kN)*UvceujzqoSMOVD46eDDf0e5EX}DnhiQ4Yr3UgNmiF&n=TLMncEV2 zU`zUXZ{<2`V>Nz4PL{mZ!>wW}q68I37|A63A(AL7Muv+t2^Dtqz`(1bl$Qw4smmgr zgJ%#H2bjgg5U;&y_N+eQ)=&Q8c&W2UYf|wMX3{tNAcV*tW-rika#w=c1Gj}L@CpV` zN$jLHjXERJ-0w2Tu+FeFN#0NTfLGlqPJxLWT2L)9OM5E&RWg%A=V3&5OpI4T&C>;U zo32~3?5T5`r`yqJpUo&ZlC>HFPzm)?$@}Oj257}OsBq&#KDh8R5aLKc5-sPT=z8v4 zVo54eqp}>mRq1=YFBRykxH>%5TWgPl=A=}d!U@Sfh**>^3d>W!!UV6#kYOV*QVt?( zQ*(eEKF`e+@*-p&*~Gec=Yi%#HiX}<)DYwtR}j%621hBHo!s+Jcq#^kNCurg}*%Xr<1%8|d8* zc&*ss780XC`uY`)NBf({b8=HF&#$N90JhIa{a4Q$(!{y6F!;F{ex+QATosqG83Suh z*;@CB`lSOebl@eu^Y&hyxozuxXDqx{rlWN zG=ZNz48-paP_1m>YC>mq@Pyo$H1Rg&oAPXQ2IP~qR?tFx)JU3bno3uOBUzMG-Y3-y zH0q#g_^uT9mBzc48B6P#AR-$$pumS6m$Oyte6!f|yV9vxslO?z6BLB;r=na=Scly@ zhZNsd`-OR8_&WIjbi_z$mXyeq-=^zDO;kk$Cfd_cZyMx_F8-s-cd>1$Po9l~2KE;4 zRk%NoPAHR3utA>rI^#3espBc=(PYh~*IYd!`R7f)91%jsf$@&{ zK&^S;-aIle>N)}#I3@<&=7GshDgK6o1fKY7a^(Ltnf``^1g1G52KoJCGK1YuSV80e zf%7MFkg;IWQv=A=zb!ks`cwjRQSz6?2Bs|~2CrRy1goFngBr{J9m+Wq0CkuD7k&W0 zm81U4h^qXE^uNI-RQ^i{JQsnq2lt$NfUs-9z2}@@`wMZ9V?CJck{w)9j{!!yKmzkL zVj}+UL@_Ywr5gy;{FlN77HLNR>zf|*yX9YRPHhO_qB8=p(G@<3yY0V2g#S1p{U?+B zpQES$@%Xnd2y*ZE@2>d(roG03{x{`_U1LLbgLSS&K&5lw+Bqz6)ipgxb^)xqKnT9S criYXTlidhHhJuZ51peam8{!W)tN%Ftf9sRjBme*a delta 12404 zcmZ9yWmFwa7d3df1ef40!Civ}cXxMpchAM05V$~acXyZI9^BpC-6v1h_pWbd`bV9; zclW8Ts;*VF`qY^|fb2YgL{yZ4`~(jGzybhZU=1Q7DG&^-!y}D__-nysWaR&uDY9Eg zznU;`yCo;skp~9ne=e|qlfV!`Uh8K=BNzZ+8wX58Aq~=V*l9)eo2bHhEEwr2^ToiX zPVMknxEjFE`vYSO6D8(DY-weB6N=>~HB#bG^U)!qTuY(lT+8sR-nCdb)cf_Tg}G!# z2TyX_!C#zL?Xm1sa+Qu$(e`I%t3pdEL0^QsQvw%*&0KbYV5W?BaoS zZv)JG7Or0Jx2%wZUK}pnm@|;i4>l?-3$>)YBk^y!d^6zWt4#xqOO~`VJve8!7{-Zp zW2ttYIAz?}GB-BH%$dEDwF4nN31km;wq{J3Lk5eEc6v`KgK@X<2~(S!f6f@QMI-3p zy~lurOCqwvjrutxNn(CFgcAjYV_ZX`qO@DSb8qZrX)tsiPQgPG%D)m0UN~M{vFLtGH}+Y7R!iy!A5y>7!FE^7P1RegM`q6D51Nl=5k3h=VdOz+$lvi?b_P@ zWD%T#u50IP-wf-JqQ6#CzB7y{HwwOgI&%rpWsDH!%5hLN?OkfqtE|H1ra_an!9G+i zyx1chcIzwm*HGqHah*xlZON}5V2nvGoo0ZFg3hQkiTL&gCP8}5bb*(F3KS$$wzCHP zkp$xFNU}zI3STuj76j7oDnAF4z%?@{C8ouc2*NU0iAkf$vP;Pt1;Wo*79otA$Sg9E zf3ZvUIi8m94TU4pp{ES?t?8?1;V;dE+p4A}EjY(Dk7U)r{n7YixM8KpcsWY7$0#Xf zg!+XBX^1$P1hblLIf>HOn0s95MOq5|LxJ7naA*~)@Z;pu;SQ;p0Kax>I0E?@ zR*=OxkBYBIu)i$Fr{v3o_;NVdotGtFM}hW$ERz0TK?mJOYA%BiC1iD8wsqN;U#ViU zNk+3sNG*z}UFoo=4`7aoM2K1P^U3VKXWNn^@PDUYkT2H6@{J_tsy)Q%jgK+|SuMFc zUmN-r+uuwv;wHhmeXF>MWig7&p>3uT1qRAiSE#wb6ovIWkf(-*@yf%LHDWU4mzx@6 zG}rTJb*zvtji$j<)n#DE^TycPO;3(E$!e!8-O?89I-j{f$lm3*TxhHyC1L2K(z!Go z2*zNIN@cMYE_!Dj&&Bwe+mgqEJOt~GGrJ)Qfcvu*4=pAF?1j61#;Fgp50Klj@Ea=d zd~LEGr5C#mrZ(v_vnfU+pT_+v>Vitm>G570xMV|Q*ls6F1-SUu7?zouh_z8t-=HQ#&dS_*^Lh)1hL+}_F5@;7CFMmTWQmjj7^xV z8nwZ9^f4EyGEll!%s&e+Ln62yhoDb3*Bi zBD%4NiO*6Xq`=O#u~E7hOFS#ZwG)`Lt81^d+X$Gw{>Xu#^LB{G$8e0}6YA{@`qE zB%wySid`n#%u+L%Jo=azdT)cR*O=&S?tAWZ-MQs z)OH`m*?{it=m?~{kb|ifi9xSFd(LSOwhb)}#(f|6@&>)Ys7Rl>s$aIdx38CLIJb+D z`f+5;M#w0MQncO<&HBz=$JwSgbK+izbk?@z3OOASn!m~49V=5<8syCfe@&eBb!VE% zx8oDY%@~+=9=)LYfUcXOKjZ-?7ovcNEqRbEfZ>A5o;AB>NB{sU7}ZJ%bZ!u!X3?rz zYG%rFH0p#WRzo^qN)f6BuqM=R;M@w$hhdYg!O* zIub6MoHTV%R_-<{Xvf8!dK+f~S(KWTs2Y6B`jdz!Arsc5+j9(y4Q(QLg7KI4Jij1H zb4@?Q)bA`RS-$LS#Y6FGp|&CcK~=lgDTwS@DcXY3 zxC)Zh__&`=m8;pXz-)&sinR}Ubxjw4CKfjzA$|U?ID`aQev1%htSX+gl_6VX2T_87 z45Hzl$@FjsQ|m{U42LjP5@C6DpFg>QT;1C_iR#`wiat61mWM8~$lH3_+Dynu&&q7a zzi6?g?}OxY&Akhh1Ko)An4wq%g8XSs0EoUEI}1SCyWn{BZm=pU8eD_T|m~T>ju3O5heK|qnc0j&?<-38|2TiUPi6SpyB*k z!Dd!$nYq;uVFnSNbpbV2kS~<+H4G&UV^$hCLr{tWVxgVo__&QgRte>f7bZWz$c^Aa zDkutqo(89q@t4u{F>sL?+gk3(wnyg}Z!3;PR3lt!QvXz{{&>SQ9>aVQ4xx(s5-#7L z)=C_A9tc>ylTPXszGczbTiYX#3805G={*tkqG2_(?8(EvZY|REoem3RZbEdbuh8_GEA;Xq$%BqP|obWkzmAj#Ks&Z^Xn2xO2TI8oIdE&@Vo?Q`ddv7<<;! zZ$F>Oqqk^pHVhGeA}UIHd(CE9A00@F)t!$e)<2|~**%{Oo>yA?8Cs6|WZW|Y0I}?V zLfbR-lucu8uxX*+vOvT8ouh@vgICY3B0JbWVP2qq6}mZ?)1ugp8~`OL?f7p(G80~6 zjh?K#$@nd9SgmmDS%PgSwglw#b@nFbRAMM52kqbO6YZ&rilK!yLIH?x+B_UDiq9C0^$Ca(LB7ZZGuNT>X}U@oV1A-L+*1F>6`vvtWtVyhn!+SeJPw5?Nj_0UJNo|n%P^ItHEU(Gk5T-0(_t^= z5Tm>jjf9f(rLGfbP${A{eIiSgXoFVEl2V(Bd`l>k$cfUKIV$G&k6Dvedv@4KiX^RF zoKh?&YfcxUMFUE`G=U%H`|{J1ZHvptpqsV+s)EZhp5+x5yNmP45d26T5RM_2TkGkb zOk;niaavfSlt*lOeQwn7LhuEb`5Kiwogheq;IU-%eH3rAsyWx&Ir6Ta5uDfRvqc^@+b z8tS2D=O>Le8?cBR3R!ars2g~TcmyOPHC*78yjdVOt!gJ8{XScN|(cImXSP+!|=I9(v=L-ep zt4mT_|XjJMbcOtqRTN@d#1Lz0Zy!m zu*5!+UAisI;gE0_De8+iHQwzj2-b2^iz61A<7Xa}kAWMbm#yr3YbV4+IGfDLQ+L(Fw{v&W+HCw>qGq0r#o=e4$BG+$Xc?sO@bg z2J@9IHWY51H{&otV!N`|Pjl>)o%+=kcD|syIlm+!R@VWI(I0f*WpW&gnv?9>nz95o zmfJT4K%)k={Ju9QXHj0i-mYLy+A)PLX~1nZiu2u5VUdiu>Sv9H82zzJ0>56q^CUE^ zYCNyxt5hV$H!R*6d%=jj7mUsF%OuR31m)CYZOg$lLml4UPs!~9Ab#6lD*|> zGVC2dh1M7LO)H=Tej1P0KP@rwC z9WMJ_(PKL{yvrb^g?5I{vsvoC(*kRaT)A9tTZB=+nm;7ev9Rrh2b5%mgvR zqRG(S)n!PFAEvfAfAc*iqWpPN8-@dYIofP3CkE^00W1BP6Nml;#!3|%xyT15?`mn? zN*{m&&9TAnGk+n_wOJ_7CGZ``3H)3^2s%cv@|iJT73gg*KCzB5w+5f4vY)Rn5E>73 zMjFZ*Q3rb8hV+ar)4fRe&@9?EwEQUFVTWJFh(-LGq^Gq#=s=?@Fu$%@EjS^G(X^!X zRM=vu!jAXEJJ8VBHNn`vrq_{}$e^p?e2+kA+S_>IpODdu`-J-A)~u4KT#sn&1N5}? z-jq>^&jd{2%J{hDc?gX!QdoMFW;{$333nr#%nALFT~sT!XI9twDKLV}@O*ig+ZUR;^RdpbGz8L5vDNeIetk#Kkf_Q~ zahtf9_UvHaq;is&spmN4Hwn7OLXca}pWZx}q8B|azUdhgDz^w~`VJcp1>tF?W$yl_ z^T>3c^4D$s5D#|C`cT4^j=34Gkh9`CD_MP95CV;G- zk2gapk*P>u=_4jdZdPrO)gDw~tR^^5aARJU>H$gIP&(WyS5}Fg+i-fAc%;nCtw!sW zx3RBcnu581+heA;-Oy%kdw%l$g9k@%@XXSL*xW<3UVUm_z?`J|GLi!f-~E1F0PBanulMn5 z^q+Y6dCUf___0svGiqlN6vY0h(jcI2C*-l|>^XN*FCJQst)HHC87bYvl6iNi(kFRv zPiP?W|8XWsmbU08o9KtoDF9JKdG1n}IA`F0!>{IK^z9rUS@>8R))&p$e zitY@%87qi{D~b)06{}sa zhscbej;C9i!rY92IeGZ|x0a`??fX!n2T1^MFcbX4kYlLqG>&aKz%m1)fpwc+KzCXU+ zel{7Z48a+i#2to8=D-9&{i>-A{y@xC^*7`-LwNrpm>>7^vpJ}x;j1jnhy2j*2H4ERh6JOyzXg8Guzg;9 zQN?WDw(YrJKfSoORz|-R*_48H6HSW|G^7PU>|b7}22#;Z>%y}m<7CMr zl^5K734Ip;0jtS&YmglkFushfL&%W}v2m0;{&ve12Y3SB9gvequdR79&Ad|g&=v`* z{O!L?qxpE9GO!tVKrCJX-cUYxDE<>C#prgp4!R;N2 zLLqJ|;dXCR!jHe%0GcH!Yk%@4Wf`HG15f!hfG!ZAPxzKh4B#-f@BUEPy)~HFTMZZ} zP3>!64p+-pP)~C;yUtSeoE}w2OKmPzWID>EOabu8CRkCzY=wU9t*y<^k0h>kisY!D zk^{>jYO&%D{O9WHSG>Yh6K@6P`se)q!tY6Le$0k;E`1`l0N~qwL_pt4ds@T zlk|!~GmvAkzrhT3fo#ZkN|Xh~SZfiu0~X(5VDnACg%9iUPfBp?Kxy)Aoim#+EE2tg zqz*4^n2b*i*kMKe#jV)QY1zuwUCVQ=>ifdiDr@jNcPNHvIK9Ybj5I8umd~uT_+DPV zLJJs*d>#_}1pFGUV_|sv(eL7JNu2#_{I@|g$X&eab)%}QbVENz_iPll#?r>o ztO1W4rT6msvQ>-cBJa_U>M*N~_k(9XGM%p^-dkyxD;f3$R^$X6!t59w!w{*(cKHRY zunKLkJGsPivn9Dip+ok6GTDLrHUl4jGGu2%tZN0^X|hf}#YkQ21UtBdTv$0HfDVHx ze2;`q2NEqD*s~z#gJl4JTK&--Jb@&DT$4m`OQ?FSsZtltG1rp=z&a&pk+r>n58WenGr4`jl4VFRGo2?2v4?b2}+{PiJ7`%6UInZ z1>Rp~19V3C03zvU7Az(bt|FNqAetLkmlM{_cf7y*+q-_>kl`i}K5oK3!Wuc&m%O3oA`o9hubGpzP{XCqcG^ z;qQ^v{APKj@fNuXB5_^_iVTj$r|jnTfr(nbyh)*PG{l!VgHwyd746&pwC*3!)_*9W zltS*gn4wFeP=}-lhQ$GTMYDSa6@9!$e-|ai4x%+4fmrtEE5G_YaBo{B4Vkufr>(h>};>#kEz@TSx%(gcIZd@ZAK(&#yr=;VDe2qJ8OF& zy>z^Z&=v&~rb44EIzv$x;wrK(KM_;ITF|k=pvX7WvAM+tR?NvpS|v)v+XrTgS1uIw zZ=i~I$RiVM6PGTctVhi?0Q!V`RoNp<#tf&Z`MEUx^`h||QxzFlK(jn3o%2iD$f`8h z9|poGirO%lDml(DEenugV<(IQl-8ulb`+#Xiol$0tAsxgS5wW;GlQ4eAqna?%k|rZ z9#zodgf?;6#&Jclf}@s$yG{@*PUP^Qfm{SuF{4Y)6ydfD zYWHeWjtsU4NOT1cwRQZYevC!n*g2VtqHd)r>|_YSoY~rE?`(BNi;Ai2$AY{At&sJn za>D#^%GR<%9j!d(QK4m4S+&mE)LZ!1e1%1AuFhg7MQ_$~%qiw8Hale2;s9|AZyCsP zU2OUCcONqAI`eGIfY~HZ5V>7i-(o?-&K<993ElmdL{W6s z3_C)Fc0CfBN*kCVGz_AhybpVK1A5Er7lK*>G5%o=?!`_xOiXG-{#r%SOsj(n0{Z~` z-%7zRsTtK0-)w&D@nt|A7^m>Vy3jIL&s3ILzS53+(!VvPs2O=8VI(h8` zSCj_jfIjE;7a>|gCKX>Jhkof+4m_9&T+I6%kYKgcFhpsF-`t=^LgD^xRu(_ z89-NT1Iu^dAp66PW}C!MNx!V?FbwH>M^cr7Ke(0eC<=OhxKPtn?;$i z(Nj3s1_uj8kGS|to}W^OWS*=u!wEFix@>}jSsY#m#;oB_%WJg)bq-HWE`;8699(F)@mOJSwZ0!wK9RtdG%ypouy)XmLw<;pLF8 zgW(l3?zNcN^-H6%7`$W6fT>;ZicBYv?X1B45-m6~WB}v}_Yv9q&Cx6oDYw|{Pn@u9 z9Z+V0n;xkOVMZIG{~%tfxbUTt;Va*QRf> z(XlGgq35Z`)u8?@fBrg6IlECiYRaqcGl(J9(i^G}o()$1+|XVNRxXoRCCv?My+V;R zY`nL1w=_1cW?Q`T-Ot0oT22hHh1IgxfZLifhe7Ie#sEjYl(t!gK{t~5^1 z-F(vav7)6MW_6A|Xo1IYfGqLW5Rf^mw7}l;UXyplIeJMiWh;*FT}c71hf;y}vJUY8 zX$p2!Z?E5dkw8QC4qUqGw^5wWw*zh=aLfHjUQjf8Zt8Ol69e_#t69RfKNqoR0DVYr zvVGEz5(SGP{;ZM1y&aI08~2Woq52)yM`t^mOto1OX`W&lSpiZF;=81jNFba64sL(D zpd~8MiKf-!qC)dYRVUt^8^MQ0H9+xvN3eQ_7#;=PJI^diRN~EUqgOrB{_gO68Zhm~ z>}$PDV?VTtfm6{oIfx~H7g#`r%)#4xZtn*uS3td`QBG%g%%DCjge6Ql3>9sVH&9)G zku)z;pP5KXzJ1||nhMP%2Fafzgr12Z!QE18RVWuLw4ap~aF66yEZjq0OtbwBcC6q% z8_CqM;Z(@2>GzcrHib8918?YWQhO zX1UHh$-o4E_&jZ8Nff;-c{?o2#Eg(w1wMJIljp3-gDq4xgS26v1$|+em|?{~yL)c^ zPEkSTPuU)|8o8^_epckp(4X4QFGnb;qoZ|Iq+-OGx8#L6n7vd|XAo@)!m-M?q!eg? zD{YzLIZfsrooUmgUkap45=SN6NJS4QcnJ(|(bP8=T+AcBKJK|Hm4$D?aD(r<5outC zyi@Mcd!g`1M^VZ{1WgqE(DBa(YJtvB+Fj_&)-pF5YC=0kxATjH+q~$i)1q^I z;K0|NfTS4;mS;MoPZkn4Z<$T8OJ;#_&#)bHEi@`BA7)!_cHgU>PbulqIG}@|6mMFj zHmG$VRQ3*izO!y*X=P{Me-Kf~LlvBaIG&SVOe|S`ke+wTvlUF=T1rswZs_5&tU3E! zUytT(;bBXL2WlKAzM05!DiV>S5Ss728~@ECrABBpu{P;8YHB#5L4u-ba+-+ESR0S0 z#W}N^1nF=XQ~E=M;#WK&C~BTTbK?M`W%TL3|8PI>-3N%|d>8lm`|O@6F2*@neBJi*0SDY%+!<)sJ z5GXE6$AbOST6XE2NQENLxuuSEl<7GgSPwiH1M@Tbq)R=IOsct*jy@z}`bDPlNLs}? zv5FB#*@N~@4c(~P-YvE&S5f>wQ>|`G z5Y{ef{-6)31GrAamYN{u*Ie%Dhas1C?-`J`#Y!wvSn&$9oX=gd13pb*R)gQ@e6yDC zq!Z)RX-Depyh3rSuM!XbO>HU((ZES!AT(;qSKOv5t8c7Fz6D(d{JnqlzDeCpFPAF2 z_)zn=WOyArf%D~#jsWW^9!DjTb9_!W`Bl;RzM!$r>;rpV)XJ5Dx{CKjLx|ZG-wb!r7L5YaT z`XIl$z#X*}HuR_J&^1R+TfU)R&D(a<1N%OpGi;hGR^=Z7t6omjf*Y zteGv(_9=xJtJ3YQboNQf7e9`^8#H*WN1T>@xNB($*tKiUaanNfJTO8sIQX)zDk@RR zyvox=+`w)tcahEHk%*)1$NU_C(tJo`FF!r`YCi6&@O8Cq+S_vY#^+RlT4=*q$sDv_ zCi3ViHm_S5SJ9P1wPltDM*4*8?Yebe>-B}N_|Ci%#?l_D@lE}p=S`0*Y~@1_{`F5e zZfCDwmf$)h{rpsM>r*80sq4#&-hfNzt;B|v!DK(*jC|AK~pGcf@q04N#G3-Ht=69|X_ z;Y}S04g1qB(3FV}la0N0Q8Hgx**&9bA}z;sG|hg}6T8~VDb!)T-Rsum;_KFjnk-CV z*y41WrH(vOzi1WFx?Yys#a@Me1Q3gv@RIsKq!!;@k2AC~GskMHi@S<0=lH-TSjn`JMltE88+Magcs}Sn8P=xR*6iAD&QE18V z)9T<+xuY&3l&fjbH`BG4UJVrX6yEmymp1#QHxsbE&~U@iM6MnSf+Dt12cdH?&TB$} z{tJD|EjFw*S%@Ze^-mm5-IOud`Vb|RU)$8Kg+<)+Z;|kdAHFY-gEBPKHI_`GU+}2# zMICh9R)eQZ)I3BQClk0#@Im}(BYhpveE=K<~%WqfWSG6lkxyy2##}$WP$E zCE9R1JBq-75^^wFRwhfwGIr93zi6s3!rR)_v=*cVm8sAP*<3u-5rec3DJJmN$Ac7= zEwCsRWlp$4kVAy~8D#$cMvzbano}E%A)-{WVOK7j($6%04mUgc_`0LL6`BonNR7;_ zkLF8}D^4=wOIU$}O!gUSmDc4Iv@pheptP+w8ql!VDXU8NJr^6Sp?6XB4tLfG45<&H zsrIdok2~N0$+Z}_!UM9KDdc`QCN>X-I7lre0-~_GISRGGm{8N!sFjLa`x$EpPNfQj zOT&!ASVqNDo$&M1StPH70mV;IAgerIn~-(~WILUI%gKGCV<7el2R{nPeYJ-^%+rF> z7Gb2cC^Zv)vTqHc$BMuc>ySwP)JgfMKX0`#zayQNBL(B0PXhXRJZw0*tKAZmwJ!Co z2BzRXg0CG3;ES6QI!#a?H`=IozE`WI;X^*e_#{P7P1K=FYwZ)|mbRRfZ11lp#`LTb zp*wg-W3j+ihv!n0NYQMJQd9+2t<>;;{5{^#qz}~o5uBDLpKYG*PM`j3Zx9}SJbtriE%wppDvBU>gI2t``P;7B z!bBT3&ka;v$*elee_7KIt4{wJ*HzyCOzkS$e+L1Ft85Vea;b^eHIe^++0!BG{=h+c zFseEen1BHTEWJSs{4b5`FD=**03NdagM93m;FWbmFvlhl(3JC^)WZ3ngaR(z!~ z{gduC`GKT7|DXpC2H10p78uI+kFM}xfDissNg;4tJ3Cl%n+y0Z^6yT~HY;#j{2%0$ zK>zE52>!Z51ay=6CymIU{%sKoIBy3Jn4`?;&#(yCV_{-5Ju=GAHQ0o8EbYpaI<324! zFL-NT35?thy=4d%Ck z0m~kWLL`FY4o!idqW{k;xq*za{|7>Wqhpc&^%f=*1L6Nxbp-A{lKbz=p&S!H_<$LX zxq$__;Hgq#aM&>|aPvP%_P0xj;O%1~2x2hAi6(^Q-;xm=e!>FL{0|+T$Uu05<4#%s zb`TM~SNQ*~e(U{3|56n2+!-E38Tj(d3Fuex zSL6hzo^t~=tNyvPRN;ZYT+jl6HUFsJg&0t~?jO83f(E}_Z~++_{?Q)|*kHd)THtx( zKdR7#1-|@CN1Ff9t}A%3#uYn|wDtc``cMBIQ-Lq8uz*JGf2YW||AvP6e>+kuBmi*y zcY{Lv-#GoX`2l5M+iQWpLtK6>2*D0MyO#J{vfuDRID(yTc>dzb8zSh9mH%A+AAR)F A(*OVf