From 9c56c3d01b8e4d83bc4abe8d105ecda1d4774066 Mon Sep 17 00:00:00 2001 From: cam900 Date: Sun, 2 Apr 2023 14:32:47 +0900 Subject: [PATCH 001/163] [WIP] K063260 skeleton --- CMakeLists.txt | 1 + .../vgsound_emu/src/k053260/k053260.cpp | 101 ++-- .../vgsound_emu/src/k053260/k053260.hpp | 73 ++- src/engine/dispatchContainer.cpp | 4 + src/engine/instrument.cpp | 4 + src/engine/instrument.h | 1 + src/engine/platform/k053260.cpp | 475 ++++++++++++++++++ src/engine/platform/k053260.h | 96 ++++ src/engine/song.h | 3 +- src/engine/sysDef.cpp | 10 + src/engine/vgmOps.cpp | 42 +- src/gui/dataList.cpp | 4 + src/gui/debug.cpp | 20 + src/gui/doAction.cpp | 3 +- src/gui/gui.h | 1 + src/gui/guiConst.cpp | 4 + src/gui/insEdit.cpp | 17 +- src/gui/presets.cpp | 41 ++ src/gui/settings.cpp | 1 + src/gui/sysConf.cpp | 20 + 20 files changed, 837 insertions(+), 84 deletions(-) create mode 100644 src/engine/platform/k053260.cpp create mode 100644 src/engine/platform/k053260.h diff --git a/CMakeLists.txt b/CMakeLists.txt index 78a68aa8..7105990b 100644 --- a/CMakeLists.txt +++ b/CMakeLists.txt @@ -551,6 +551,7 @@ src/engine/platform/k007232.cpp src/engine/platform/ga20.cpp src/engine/platform/sm8521.cpp src/engine/platform/pv1000.cpp +src/engine/platform/k053260.cpp src/engine/platform/pcmdac.cpp src/engine/platform/dummy.cpp diff --git a/extern/vgsound_emu-modified/vgsound_emu/src/k053260/k053260.cpp b/extern/vgsound_emu-modified/vgsound_emu/src/k053260/k053260.cpp index bf056b81..2ee4efac 100644 --- a/extern/vgsound_emu-modified/vgsound_emu/src/k053260/k053260.cpp +++ b/extern/vgsound_emu-modified/vgsound_emu/src/k053260/k053260.cpp @@ -8,18 +8,19 @@ #include "k053260.hpp" -void k053260_core::tick() +void k053260_core::tick(u32 cycle) { m_out[0] = m_out[1] = 0; if (m_ctrl.sound_en()) { for (int i = 0; i < 4; i++) { - m_voice[i].tick(); + m_voice[i].tick(cycle); m_out[0] += m_voice[i].out(0); m_out[1] += m_voice[i].out(1); } } + /* // dac clock (YM3012 format) u8 dac_clock = m_dac.clock(); if (bitfield(++dac_clock, 0, 4) == 0) @@ -34,62 +35,59 @@ void k053260_core::tick() m_dac.set_state(bitfield(dac_state, 0, 2)); } m_dac.set_clock(bitfield(dac_clock, 0, 4)); + */ } -void k053260_core::voice_t::tick() +void k053260_core::voice_t::tick(u32 cycle) { if (m_enable && m_busy) { bool update = false; // update counter - if (bitfield(++m_counter, 0, 12) == 0) + m_counter += cycle; + if (m_counter >= 0x1000) { if (m_bitpos < 8) { m_bitpos += 8; m_addr = bitfield(m_addr + 1, 0, 21); m_remain--; + if (m_remain < 0) // check end flag + { + if (m_loop) + { + m_addr = m_start; + m_remain = m_length; + m_output = 0; + } + else + { + m_busy = false; + } + } } + m_data = m_host.m_intf.read_sample(bitfield(m_addr, 0, 21)); // fetch ROM if (m_adpcm) { - m_bitpos -= 4; - update = true; + m_bitpos -= 4; + const u8 nibble = bitfield(m_data, m_bitpos & 4, 4); // get nibble from ROM + if (nibble) + { + m_output += m_host.adpcm_lut(nibble); + } } else { m_bitpos -= 8; } - m_counter = bitfield(m_pitch, 0, 12); - } - m_data = m_host.m_intf.read_sample(bitfield(m_addr, 0, 21)); // fetch ROM - if (update) - { - const u8 nibble = bitfield(m_data, m_bitpos & 4, 4); // get nibble from ROM - if (nibble) - { - m_adpcm_buf += bitfield(nibble, 3) ? s8(0x80 >> bitfield(nibble, 0, 3)) - : (1 << bitfield(nibble - 1, 0, 3)); - } + m_counter = 0x1000 - bitfield(m_pitch, 0, 12); } - if (m_remain < 0) // check end flag - { - if (m_loop) - { - m_addr = m_start; - m_remain = m_length; - m_adpcm_buf = 0; - } - else - { - m_busy = false; - } - } // calculate output - s32 output = m_adpcm ? m_adpcm_buf : sign_ext(m_data, 8) * s32(m_volume); + s32 output = (m_adpcm ? m_output : sign_ext(m_data, 8)) * s32(m_volume); // use math for now; actually fomula unknown - m_out[0] = (m_pan >= 0) ? s32(output * cos(f64(m_pan) * PI / 180)) : 0; - m_out[1] = (m_pan >= 0) ? s32(output * sin(f64(m_pan) * PI / 180)) : 0; + m_out[0] = (output * m_host.pan_lut(m_pan, 0)) >> 7; + m_out[1] = (output * m_host.pan_lut(m_pan, 1)) >> 7; } else { @@ -244,7 +242,8 @@ void k053260_core::voice_t::keyon() m_addr = m_start; m_remain = m_length; m_bitpos = 4; - m_adpcm_buf = 0; + m_data = 0; + m_output = 0; std::fill(m_out.begin(), m_out.end(), 0); } @@ -259,12 +258,12 @@ void k053260_core::reset() elem.reset(); } - m_intf.write_int(0); + //m_intf.write_int(0); std::fill(m_host2snd.begin(), m_host2snd.end(), 0); std::fill(m_snd2host.begin(), m_snd2host.end(), 0); m_ctrl.reset(); - m_dac.reset(); + //m_dac.reset(); std::fill(m_reg.begin(), m_reg.end(), 0); std::fill(m_out.begin(), m_out.end(), 0); @@ -273,20 +272,20 @@ void k053260_core::reset() // reset voice void k053260_core::voice_t::reset() { - m_enable = 0; - m_busy = 0; - m_loop = 0; - m_adpcm = 0; - m_pitch = 0; - m_start = 0; - m_length = 0; - m_volume = 0; - m_pan = -1; - m_counter = 0; - m_addr = 0; - m_remain = 0; - m_bitpos = 4; - m_data = 0; - m_adpcm_buf = 0; + m_enable = 0; + m_busy = 0; + m_loop = 0; + m_adpcm = 0; + m_pitch = 0; + m_start = 0; + m_length = 0; + m_volume = 0; + m_pan = 4; + m_counter = 0; + m_addr = 0; + m_remain = 0; + m_bitpos = 4; + m_data = 0; + m_output = 0; m_out[0] = m_out[1] = 0; } diff --git a/extern/vgsound_emu-modified/vgsound_emu/src/k053260/k053260.hpp b/extern/vgsound_emu-modified/vgsound_emu/src/k053260/k053260.hpp index a8668a0d..feaffeac 100644 --- a/extern/vgsound_emu-modified/vgsound_emu/src/k053260/k053260.hpp +++ b/extern/vgsound_emu-modified/vgsound_emu/src/k053260/k053260.hpp @@ -25,7 +25,7 @@ class k053260_intf : public vgsound_emu_core virtual u8 read_sample(u32 address) { return 0; } // sample fetch - virtual void write_int(u8 out) {} // timer interrupt + //virtual void write_int(u8 out) {} // timer interrupt }; class k053260_core : public vgsound_emu_core @@ -33,7 +33,19 @@ class k053260_core : public vgsound_emu_core friend class k053260_intf; // k053260 specific interface private: - const int pan_dir[8] = {-1, 0, 24, 35, 45, 55, 66, 90}; // pan direction + const s32 m_pan_lut[8][2] = { + {0x00, 0x00}, + {0x7f, 0x00}, + {0x74, 0x34}, + {0x68, 0x49}, + {0x5a, 0x5a}, + {0x49, 0x68}, + {0x34, 0x74}, + {0x00, 0x7f} + }; // pan LUT + + const s8 m_adpcm_lut[16] = + {0, 1, 2, 4, 8, 16, 32, 64, -128, -64, -32, -16, -8, -4, -2, -1}; // ADPCM LUT class voice_t : public vgsound_emu_core { @@ -50,20 +62,20 @@ class k053260_core : public vgsound_emu_core , m_start(0) , m_length(0) , m_volume(0) - , m_pan(-1) + , m_pan(4) , m_counter(0) , m_addr(0) , m_remain(0) , m_bitpos(4) , m_data(0) - , m_adpcm_buf(0) + , m_output(0) { m_out.fill(0); } // internal state void reset(); - void tick(); + void tick(u32 cycle); // accessors void write(u8 address, u8 data); @@ -81,7 +93,7 @@ class k053260_core : public vgsound_emu_core inline void length_inc() { m_length = (m_length + 1) & 0xffff; } - inline void set_pan(u8 pan) { m_pan = m_host.pan_dir[pan & 7]; } + inline void set_pan(u8 pan) { m_pan = pan & 7; } // getters inline bool enable() { return m_enable; } @@ -97,21 +109,21 @@ class k053260_core : public vgsound_emu_core private: // registers k053260_core &m_host; - u16 m_enable : 1; // enable flag - u16 m_busy : 1; // busy status - u16 m_loop : 1; // loop flag - u16 m_adpcm : 1; // ADPCM flag - u16 m_pitch : 12; // pitch - u32 m_start = 0; // start position - u16 m_length = 0; // source length - u8 m_volume = 0; // master volume - int m_pan = -1; // master pan - u16 m_counter = 0; // frequency counter - u32 m_addr = 0; // current address - s32 m_remain = 0; // remain for end sample - u8 m_bitpos = 4; // bit position for ADPCM decoding - u8 m_data = 0; // current data - s8 m_adpcm_buf = 0; // ADPCM buffer + u16 m_enable : 1; // enable flag + u16 m_busy : 1; // busy status + u16 m_loop : 1; // loop flag + u16 m_adpcm : 1; // ADPCM flag + u16 m_pitch : 12; // pitch + u32 m_start = 0; // start position + u16 m_length = 0; // source length + u8 m_volume = 0; // master volume + int m_pan = -1; // master pan + u16 m_counter = 0; // frequency counter + u32 m_addr = 0; // current address + s32 m_remain = 0; // remain for end sample + u8 m_bitpos = 4; // bit position for ADPCM decoding + u8 m_data = 0; // current data + s8 m_output = 0; // ADPCM buffer std::array m_out; // current output }; @@ -152,6 +164,7 @@ class k053260_core : public vgsound_emu_core u8 m_input_en : 2; // Input enable }; + /* class ym3012_t { public: @@ -177,7 +190,9 @@ class k053260_core : public vgsound_emu_core std::array m_in; std::array m_out; }; + */ + /* class dac_t { public: @@ -205,6 +220,7 @@ class k053260_core : public vgsound_emu_core u8 m_clock : 4; // DAC clock (16 clock) u8 m_state : 2; // DAC state (4 state - SAM1, SAM2) }; + */ public: // constructor @@ -213,8 +229,8 @@ class k053260_core : public vgsound_emu_core , m_voice{*this, *this, *this, *this} , m_intf(intf) , m_ctrl(ctrl_t()) - , m_ym3012(ym3012_t()) - , m_dac(dac_t()) + //, m_ym3012(ym3012_t()) + //, m_dac(dac_t()) { m_host2snd.fill(0); m_snd2host.fill(0); @@ -233,7 +249,7 @@ class k053260_core : public vgsound_emu_core // internal state void reset(); - void tick(); + void tick(u32 cycle); // getters for debug, trackers, etc inline s32 output(u8 ch) { return m_out[ch & 1]; } // output for each channels @@ -245,6 +261,11 @@ class k053260_core : public vgsound_emu_core return (voice < 4) ? m_voice[voice].out(ch & 1) : 0; } + protected: + inline s32 pan_lut(const u8 pan, const u8 out) { return m_pan_lut[pan][out]; } + + inline s32 adpcm_lut(const u8 nibble) { return m_adpcm_lut[nibble]; } + private: std::array m_voice; k053260_intf &m_intf; // common memory interface @@ -254,8 +275,8 @@ class k053260_core : public vgsound_emu_core ctrl_t m_ctrl; // chip control - ym3012_t m_ym3012; // YM3012 output - dac_t m_dac; // YM3012 interface + //ym3012_t m_ym3012; // YM3012 output + //dac_t m_dac; // YM3012 interface std::array m_reg; // register pool std::array m_out; // stereo output diff --git a/src/engine/dispatchContainer.cpp b/src/engine/dispatchContainer.cpp index c30d7f23..319630d6 100644 --- a/src/engine/dispatchContainer.cpp +++ b/src/engine/dispatchContainer.cpp @@ -78,6 +78,7 @@ #include "platform/ga20.h" #include "platform/sm8521.h" #include "platform/pv1000.h" +#include "platform/k053260.h" #include "platform/pcmdac.h" #include "platform/dummy.h" #include "../ta-log.h" @@ -501,6 +502,9 @@ void DivDispatchContainer::init(DivSystem sys, DivEngine* eng, int chanCount, do case DIV_SYSTEM_PV1000: dispatch=new DivPlatformPV1000; break; + case DIV_SYSTEM_K053260: + dispatch=new DivPlatformK053260; + break; case DIV_SYSTEM_PCM_DAC: dispatch=new DivPlatformPCMDAC; break; diff --git a/src/engine/instrument.cpp b/src/engine/instrument.cpp index faa9f86c..c51805ab 100644 --- a/src/engine/instrument.cpp +++ b/src/engine/instrument.cpp @@ -930,6 +930,10 @@ void DivInstrument::putInsData2(SafeWriter* w, bool fui, const DivSong* song) { break; case DIV_INS_PV1000: break; + case DIV_INS_K053260: + featureSM=true; + featureSL=true; + break; case DIV_INS_MAX: break; diff --git a/src/engine/instrument.h b/src/engine/instrument.h index c53688ec..932d44ff 100644 --- a/src/engine/instrument.h +++ b/src/engine/instrument.h @@ -80,6 +80,7 @@ enum DivInstrumentType: unsigned short { DIV_INS_POKEMINI=47, DIV_INS_SM8521=48, DIV_INS_PV1000=49, + DIV_INS_K053260=50, DIV_INS_MAX, DIV_INS_NULL }; diff --git a/src/engine/platform/k053260.cpp b/src/engine/platform/k053260.cpp new file mode 100644 index 00000000..47e7636d --- /dev/null +++ b/src/engine/platform/k053260.cpp @@ -0,0 +1,475 @@ +/** + * Furnace Tracker - multi-system chiptune tracker + * Copyright (C) 2021-2023 tildearrow and contributors + * + * This program is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 2 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License along + * with this program; if not, write to the Free Software Foundation, Inc., + * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA. + */ + +#include "k053260.h" +#include "../engine.h" +#include "../../ta-log.h" +#include + +#define rWrite(a,v) {if(!skipRegisterWrites) {k053260.write(a,v); regPool[a]=v; if(dumpWrites) addWrite(a,v);}} + +#define CHIP_DIVIDER 64 +#define TICK_DIVIDER 4 + +const char* regCheatSheetK053260[]={ + "FreqL", "0", + "FreqH", "1", + "LengthL", "2", + "LengthH", "3", + "StartL", "4", + "StartM", "5", + "StartH", "6", + "Volume", "7", + NULL +}; + +const char** DivPlatformK053260::getRegisterSheet() { + return regCheatSheetK053260; +} + +inline void DivPlatformK053260::chWrite(unsigned char ch, unsigned int addr, unsigned char val) { + if (!skipRegisterWrites) { + rWrite(8+((ch<<3)|(addr&7)),val); + } +} + +// TODO: this code is weird +// make sure newDispatch didn't break it up +void DivPlatformK053260::acquire(short** buf, size_t len) { + for (int i=0; i32767) lout=32767; + if (lout<-32768) lout=-32768; + if (rout>32767) rout=32767; + if (rout<-32768) rout=-32768; + buf[0][i]=lout; + buf[1][i]=rout; + + for (int i=0; i<4; i++) { + oscBuf[i]->data[oscBuf[i]->needle++]=(k053260.voice_out(i,0)+k053260.voice_out(i,1))>>1; + } + } +} + +void DivPlatformK053260::tick(bool sysTick) { + unsigned char panMask=0; + for (int i=0; i<4; i++) { + chan[i].std.next(); + if (chan[i].std.vol.had) { + chan[i].outVol=((chan[i].vol&0x7f)*MIN(chan[i].macroVolMul,chan[i].std.vol.val))/chan[i].macroVolMul; + chWrite(i,7,chan[i].outVol); + } + if (NEW_ARP_STRAT) { + chan[i].handleArp(); + } else if (chan[i].std.arp.had) { + if (!chan[i].inPorta) { + chan[i].baseFreq=NOTE_PERIODIC(parent->calcArp(chan[i].note,chan[i].std.arp.val)); + } + chan[i].freqChanged=true; + } + if (chan[i].std.pitch.had) { + if (chan[i].std.pitch.mode) { + chan[i].pitch2+=chan[i].std.pitch.val; + CLAMP_VAR(chan[i].pitch2,-32768,32767); + } else { + chan[i].pitch2=chan[i].std.pitch.val; + } + chan[i].freqChanged=true; + } + if (chan[i].std.panL.had) { // panning + chan[i].panning=4+chan[i].std.panL.val; + if (!isMuted[i]) { + panMask|=1<=0 && samplesong.sampleLen) { + DivSample* s=parent->getSample(sample); + if (s->centerRate<1) { + off=1.0; + } else { + off=8363.0/s->centerRate; + } + } + DivSample* s=parent->getSample(chan[i].sample); + chan[i].freq=0x1000-(int)(off*parent->calcFreq(chan[i].baseFreq,chan[i].pitch,chan[i].fixedArp?chan[i].baseNoteOverride:chan[i].arpOff,chan[i].fixedArp,true,0,chan[i].pitch2,chipClock,CHIP_DIVIDER)); + if (chan[i].freq>4095) chan[i].freq=4095; + if (chan[i].freq<0) chan[i].freq=0; + if (chan[i].keyOn) { + unsigned int start=0; + unsigned int length=0; + if (chan[i].sample>=0 && chan[i].samplesong.sampleLen) { + start=sampleOffK053260[chan[i].sample]; + length=start+s->length8; + } + if (chan[i].audPos>0) { + start=start+MIN(chan[i].audPos,s->length8); + } + start=MIN(start,getSampleMemCapacity()-31); + length=MIN(length,getSampleMemCapacity()-31); + rWrite(0x28,keyoff); // force keyoff first + rWrite(0x2a,loopoff); + chWrite(i,2,length&0xff); + chWrite(i,3,length>>8); + chWrite(i,4,start&0xff); + chWrite(i,5,start>>8); + chWrite(i,6,start>>16); + if (!chan[i].std.vol.had) { + chan[i].outVol=chan[i].vol; + chWrite(i,7,chan[i].outVol); + } + rWrite(0x28,keyon); + if (s->isLoopable()) { + rWrite(0x2a,loopon); + } + chan[i].keyOn=false; + } + if (chan[i].keyOff) { + rWrite(0x28,keyoff); + rWrite(0x2a,loopoff); + chan[i].keyOff=false; + } + if (chan[i].freqChanged) { + chWrite(i,0,chan[i].freq&0xff); + chWrite(i,1,chan[i].freq>>8); + chan[i].freqChanged=false; + } + } + } + if (panMask) { + updatePanning(panMask); + } +} + +void DivPlatformK053260::updatePanning(unsigned char mask) { + if (mask&3) { + rWrite(0x2c, + (isMuted[0]?0:chan[0].panning)| + (isMuted[1]?0:chan[1].panning<<3)); + } + if (mask&0xc) { + rWrite(0x2d, + (isMuted[2]?0:chan[2].panning)| + (isMuted[3]?0:chan[3].panning<<3)); + } +} + +int DivPlatformK053260::dispatch(DivCommand c) { + switch (c.cmd) { + case DIV_CMD_NOTE_ON: { + DivInstrument* ins=parent->getIns(chan[c.chan].ins,DIV_INS_AMIGA); + chan[c.chan].macroVolMul=ins->type==DIV_INS_AMIGA?64:127; + if (c.value!=DIV_NOTE_NULL) chan[c.chan].sample=ins->amiga.getSample(c.value); + if (c.value!=DIV_NOTE_NULL) { + chan[c.chan].baseFreq=NOTE_PERIODIC(c.value); + } + if (chan[c.chan].sample<0 || chan[c.chan].sample>=parent->song.sampleLen) { + chan[c.chan].sample=-1; + } + if (c.value!=DIV_NOTE_NULL) { + chan[c.chan].freqChanged=true; + chan[c.chan].note=c.value; + } + chan[c.chan].active=true; + chan[c.chan].keyOn=true; + chan[c.chan].macroInit(ins); + if (!parent->song.brokenOutVol && !chan[c.chan].std.vol.will) { + chan[c.chan].outVol=chan[c.chan].vol; + } + break; + } + case DIV_CMD_NOTE_OFF: + chan[c.chan].sample=-1; + chan[c.chan].active=false; + chan[c.chan].keyOff=true; + chan[c.chan].macroInit(NULL); + break; + case DIV_CMD_NOTE_OFF_ENV: + case DIV_CMD_ENV_RELEASE: + chan[c.chan].std.release(); + break; + case DIV_CMD_INSTRUMENT: + if (chan[c.chan].ins!=c.value || c.value2==1) { + chan[c.chan].ins=c.value; + } + break; + case DIV_CMD_VOLUME: + if (chan[c.chan].vol!=c.value) { + chan[c.chan].vol=c.value; + if (!chan[c.chan].std.vol.has) { + chan[c.chan].outVol=c.value; + chWrite(c.chan,7,chan[c.chan].outVol); + } + } + break; + case DIV_CMD_GET_VOLUME: + if (chan[c.chan].std.vol.has) { + return chan[c.chan].vol; + } + return chan[c.chan].outVol; + break; + case DIV_CMD_PANNING: + chan[c.chan].panning=MIN(parent->convertPanSplitToLinearLR(c.value,c.value2,7)+1,7); + if (!isMuted[c.chan]) { + updatePanning(1<chan[c.chan].baseFreq) { + chan[c.chan].baseFreq+=c.value; + if (chan[c.chan].baseFreq>=destFreq) { + chan[c.chan].baseFreq=destFreq; + return2=true; + } + } else { + chan[c.chan].baseFreq-=c.value; + if (chan[c.chan].baseFreq<=destFreq) { + chan[c.chan].baseFreq=destFreq; + return2=true; + } + } + chan[c.chan].freqChanged=true; + if (return2) { + chan[c.chan].inPorta=false; + return 2; + } + break; + } + case DIV_CMD_LEGATO: { + chan[c.chan].baseFreq=NOTE_PERIODIC(c.value+((HACKY_LEGATO_MESS)?(chan[c.chan].std.arp.val-12):(0))); + chan[c.chan].freqChanged=true; + chan[c.chan].note=c.value; + break; + } + case DIV_CMD_PRE_PORTA: + if (chan[c.chan].active && c.value2) { + if (parent->song.resetMacroOnPorta) chan[c.chan].macroInit(parent->getIns(chan[c.chan].ins,DIV_INS_AMIGA)); + } + if (!chan[c.chan].inPorta && c.value && !parent->song.brokenPortaArp && chan[c.chan].std.arp.will && !NEW_ARP_STRAT) chan[c.chan].baseFreq=NOTE_PERIODIC(chan[c.chan].note); + chan[c.chan].inPorta=c.value; + break; + case DIV_CMD_SAMPLE_POS: + chan[c.chan].audPos=c.value; + chan[c.chan].setPos=true; + break; + case DIV_CMD_GET_VOLMAX: + return 127; + break; + case DIV_CMD_MACRO_OFF: + chan[c.chan].std.mask(c.value,true); + break; + case DIV_CMD_MACRO_ON: + chan[c.chan].std.mask(c.value,false); + break; + case DIV_ALWAYS_SET_VOLUME: + return 1; + break; + default: + break; + } + return 1; +} + +void DivPlatformK053260::muteChannel(int ch, bool mute) { + isMuted[ch]=mute; + updatePanning(1<rate=rate; + } +} + +void DivPlatformK053260::poke(unsigned int addr, unsigned short val) { + rWrite(addr&0x0f,val); +} + +void DivPlatformK053260::poke(std::vector& wlist) { + for (DivRegWrite& i: wlist) rWrite(i.addr&0x0f,i.val); +} + +unsigned char* DivPlatformK053260::getRegisterPool() { + return regPool; +} + +int DivPlatformK053260::getRegisterPoolSize() { + return 48; +} + +const void* DivPlatformK053260::getSampleMem(int index) { + return index == 0 ? sampleMem : NULL; +} + +size_t DivPlatformK053260::getSampleMemCapacity(int index) { + return index == 0 ? 2097152 : 0; +} + +size_t DivPlatformK053260::getSampleMemUsage(int index) { + return index == 0 ? sampleMemLen : 0; +} + +bool DivPlatformK053260::isSampleLoaded(int index, int sample) { + if (index!=0) return false; + if (sample<0 || sample>255) return false; + return sampleLoaded[sample]; +} + +void DivPlatformK053260::renderSamples(int sysID) { + memset(sampleMem,0,getSampleMemCapacity()); + memset(sampleOffK053260,0,256*sizeof(unsigned int)); + memset(sampleLoaded,0,256*sizeof(bool)); + + size_t memPos=1; // for avoid silence + for (int i=0; isong.sampleLen; i++) { + DivSample* s=parent->song.sample[i]; + if (!s->renderOn[0][sysID]) { + sampleOffK053260[i]=0; + continue; + } + + int length=MIN(65535,s->getLoopEndPosition(DIV_SAMPLE_DEPTH_8BIT)); + int actualLength=MIN((int)(getSampleMemCapacity()-memPos),length); + if (actualLength>0) { + sampleOffK053260[i]=memPos-1; + for (int j=0; jdata8[j]; + } + } + if (actualLength +#include "vgsound_emu/src/k053260/k053260.hpp" + +class DivPlatformK053260: public DivDispatch, public k053260_intf { + struct Channel: public SharedChannel { + unsigned int audPos; + int sample, wave; + int panning; + bool setPos; + int macroVolMul; + Channel(): + SharedChannel(127), + audPos(0), + sample(-1), + wave(-1), + panning(4), + setPos(false), + macroVolMul(64) {} + }; + Channel chan[4]; + DivDispatchOscBuffer* oscBuf[4]; + bool isMuted[4]; + int chipType; + unsigned char curChan; + unsigned int sampleOffK053260[256]; + bool sampleLoaded[256]; + + unsigned char* sampleMem; + size_t sampleMemLen; + k053260_core k053260; + unsigned char regPool[48]; + void updatePanning(unsigned char mask); + + friend void putDispatchChip(void*,int); + friend void putDispatchChan(void*,int,int); + + public: + void acquire(short** buf, size_t len); + int dispatch(DivCommand c); + void* getChanState(int chan); + DivMacroInt* getChanMacroInt(int ch); + DivDispatchOscBuffer* getOscBuffer(int chan); + unsigned char* getRegisterPool(); + int getRegisterPoolSize(); + void reset(); + void forceIns(); + void tick(bool sysTick=true); + void muteChannel(int ch, bool mute); + int getOutputCount(); + void setChipModel(int type); + void notifyInsChange(int ins); + void notifyWaveChange(int wave); + void notifyInsDeletion(void* ins); + void setFlags(const DivConfig& flags); + void poke(unsigned int addr, unsigned short val); + void poke(std::vector& wlist); + const char** getRegisterSheet(); + const void* getSampleMem(int index = 0); + size_t getSampleMemCapacity(int index = 0); + size_t getSampleMemUsage(int index = 0); + bool isSampleLoaded(int index, int sample); + void renderSamples(int chipID); + int init(DivEngine* parent, int channels, int sugRate, const DivConfig& flags); + void quit(); + DivPlatformK053260(): + DivDispatch(), + k053260_intf(), + k053260(*this) {} + private: + void chWrite(unsigned char ch, unsigned int addr, unsigned char val); +}; + +#endif diff --git a/src/engine/song.h b/src/engine/song.h index 8b6da13b..bc2dc750 100644 --- a/src/engine/song.h +++ b/src/engine/song.h @@ -127,7 +127,8 @@ enum DivSystem { DIV_SYSTEM_YM2203_CSM, DIV_SYSTEM_YM2608_CSM, DIV_SYSTEM_SM8521, - DIV_SYSTEM_PV1000 + DIV_SYSTEM_PV1000, + DIV_SYSTEM_K053260 }; struct DivGroovePattern { diff --git a/src/engine/sysDef.cpp b/src/engine/sysDef.cpp index fbb718cb..f236fcd2 100644 --- a/src/engine/sysDef.cpp +++ b/src/engine/sysDef.cpp @@ -1855,6 +1855,16 @@ void DivEngine::registerSystems() { } ); + sysDefs[DIV_SYSTEM_K053260]=new DivSysDef( + "Konami K053260", NULL, 0xfe/*placeholder*/, 0, 4, false, true, 0x161, false, 1U<writeC(0xff); break; case DIV_SYSTEM_GA20: - for (int i=0; i<3; i++) { + for (int i=0; i<4; i++) { w->writeC(0xbf); // mute w->writeC((baseAddr2|5)+(i*8)); w->writeC(0); @@ -573,6 +573,16 @@ void DivEngine::performVGMWrite(SafeWriter* w, DivSystem sys, DivRegWrite& write w->writeC(0); } break; + case DIV_SYSTEM_K053260: + for (int i=0; i<4; i++) { + w->writeC(0xba); // mute + w->writeC(baseAddr2|0x2f); + w->writeC(0); + w->writeC(0xba); // keyoff + w->writeC(baseAddr2|0x28); + w->writeC(0); + } + break; default: break; } @@ -928,6 +938,11 @@ void DivEngine::performVGMWrite(SafeWriter* w, DivSystem sys, DivRegWrite& write w->writeC(baseAddr2|(write.addr&0x7f)); w->writeC(write.val); break; + case DIV_SYSTEM_K053260: + w->writeC(0xba); + w->writeC(baseAddr2|(write.addr&0x3f)); + w->writeC(write.val&0xff); + break; default: logW("write not handled!"); break; @@ -1093,6 +1108,7 @@ SafeWriter* DivEngine::saveVGM(bool* sysToExport, bool loop, int version, bool p DivDispatch* writeRF5C68[2]={NULL,NULL}; DivDispatch* writeMSM6295[2]={NULL,NULL}; DivDispatch* writeGA20[2]={NULL,NULL}; + DivDispatch* writeK053260[2]={NULL,NULL}; for (int i=0; ichipClock; + CHIP_VOL(40,0.4); + willExport[i]=true; + writeK053260[0]=disCont[i].dispatch; + } else if (!(hasK053260&0x40000000)) { + isSecond[i]=true; + CHIP_VOL_SECOND(40,0.4); + willExport[i]=true; + writeK053260[1]=disCont[i].dispatch; + hasK053260|=0x40000000; + howManyChips++; + } + break; case DIV_SYSTEM_T6W28: if (!hasSN) { hasSN=0xc0000000|disCont[i].dispatch->chipClock; @@ -1964,6 +1995,15 @@ SafeWriter* DivEngine::saveVGM(bool* sysToExport, bool loop, int version, bool p w->writeI(0); w->write(writeGA20[i]->getSampleMem(),writeGA20[i]->getSampleMemUsage()); } + if (writeK053260[i]!=NULL && writeK053260[i]->getSampleMemUsage()>0) { + w->writeC(0x67); + w->writeC(0x66); + w->writeC(0x8e); + w->writeI((writeK053260[i]->getSampleMemUsage()+8)|(i*0x80000000)); + w->writeI(writeK053260[i]->getSampleMemCapacity()); + w->writeI(0); + w->write(writeK053260[i]->getSampleMem(),writeK053260[i]->getSampleMemUsage()); + } } // TODO diff --git a/src/gui/dataList.cpp b/src/gui/dataList.cpp index 2a37c7e8..859a0d0d 100644 --- a/src/gui/dataList.cpp +++ b/src/gui/dataList.cpp @@ -438,6 +438,10 @@ void FurnaceGUI::drawInsList(bool asChild) { ImGui::PushStyleColor(ImGuiCol_Text,uiColors[GUI_COLOR_INSTR_PV1000]); name=fmt::sprintf(ICON_FA_GAMEPAD "##_INS%d",i); break; + case DIV_INS_K053260: + ImGui::PushStyleColor(ImGuiCol_Text,uiColors[GUI_COLOR_INSTR_K053260]); + name=fmt::sprintf(ICON_FA_BAR_CHART "##_INS%d",i); + break; default: ImGui::PushStyleColor(ImGuiCol_Text,uiColors[GUI_COLOR_INSTR_UNKNOWN]); name=fmt::sprintf(ICON_FA_QUESTION "##_INS%d",i); diff --git a/src/gui/debug.cpp b/src/gui/debug.cpp index 49d568b3..7a01edf8 100644 --- a/src/gui/debug.cpp +++ b/src/gui/debug.cpp @@ -53,6 +53,7 @@ #include "../engine/platform/ga20.h" #include "../engine/platform/sm8521.h" #include "../engine/platform/pv1000.h" +#include "../engine/platform/k053260.h" #include "../engine/platform/dummy.h" #define COMMON_CHIP_DEBUG \ @@ -545,6 +546,13 @@ void putDispatchChip(void* data, int type) { COMMON_CHIP_DEBUG_BOOL; break; } + case DIV_SYSTEM_K053260: { + DivPlatformK053260* ch=(DivPlatformK053260*)data; + ImGui::Text("> K053260"); + COMMON_CHIP_DEBUG; + COMMON_CHIP_DEBUG_BOOL; + break; + } default: ImGui::Text("Unimplemented chip! Help!"); break; @@ -1083,6 +1091,18 @@ void putDispatchChan(void* data, int chanNum, int type) { COMMON_CHAN_DEBUG_BOOL; break; } + case DIV_SYSTEM_K053260: { + DivPlatformK053260::Channel* ch=(DivPlatformK053260::Channel*)data; + ImGui::Text("> K053260"); + COMMON_CHAN_DEBUG; + ImGui::Text("* Sample: %d",ch->sample); + ImGui::Text(" - pos: %d",ch->audPos); + ImGui::Text("- panning: %d",ch->panning); + ImGui::Text("- macroVolMul: %.2x",ch->macroVolMul); + COMMON_CHAN_DEBUG_BOOL; + ImGui::TextColored(ch->setPos?colorOn:colorOff,">> SetPos"); + break; + } default: ImGui::Text("Unimplemented chip! Help!"); break; diff --git a/src/gui/doAction.cpp b/src/gui/doAction.cpp index 4e6cdec5..47579864 100644 --- a/src/gui/doAction.cpp +++ b/src/gui/doAction.cpp @@ -1344,7 +1344,8 @@ void FurnaceGUI::doAction(int what) { i==DIV_INS_SNES || i==DIV_INS_ES5506 || i==DIV_INS_K007232 || - i==DIV_INS_GA20) { + i==DIV_INS_GA20 || + i==DIV_INS_K053260) { makeInsTypeList.push_back(i); } } diff --git a/src/gui/gui.h b/src/gui/gui.h index 7dddb53e..9fcabf6c 100644 --- a/src/gui/gui.h +++ b/src/gui/gui.h @@ -193,6 +193,7 @@ enum FurnaceGUIColors { GUI_COLOR_INSTR_POKEMINI, GUI_COLOR_INSTR_SM8521, GUI_COLOR_INSTR_PV1000, + GUI_COLOR_INSTR_K053260, GUI_COLOR_INSTR_UNKNOWN, GUI_COLOR_CHANNEL_BG, diff --git a/src/gui/guiConst.cpp b/src/gui/guiConst.cpp index 214eb468..15b4e8c7 100644 --- a/src/gui/guiConst.cpp +++ b/src/gui/guiConst.cpp @@ -131,6 +131,7 @@ const char* insTypes[DIV_INS_MAX+1]={ "Pokémon Mini/QuadTone", "SM8521", "PV-1000", + "K053260", NULL }; @@ -822,6 +823,7 @@ const FurnaceGUIColorDef guiColors[GUI_COLOR_MAX]={ D(GUI_COLOR_INSTR_POKEMINI,"",ImVec4(1.0f,1.0f,0.3f,1.0f)), D(GUI_COLOR_INSTR_SM8521,"",ImVec4(0.5f,0.55f,0.6f,1.0f)), D(GUI_COLOR_INSTR_PV1000,"",ImVec4(0.4f,0.6f,0.7f,1.0f)), + D(GUI_COLOR_INSTR_K053260,"",ImVec4(1.0f,0.8f,0.1f,1.0f)), D(GUI_COLOR_INSTR_UNKNOWN,"",ImVec4(0.3f,0.3f,0.3f,1.0f)), D(GUI_COLOR_CHANNEL_BG,"",ImVec4(0.4f,0.6f,0.8f,1.0f)), @@ -1005,6 +1007,7 @@ const int availableSystems[]={ DIV_SYSTEM_GA20, DIV_SYSTEM_SM8521, DIV_SYSTEM_PV1000, + DIV_SYSTEM_K053260, DIV_SYSTEM_PCM_DAC, DIV_SYSTEM_PONG, 0 // don't remove this last one! @@ -1113,6 +1116,7 @@ const int chipsSample[]={ DIV_SYSTEM_GA20, DIV_SYSTEM_PCM_DAC, DIV_SYSTEM_ES5506, + DIV_SYSTEM_K053260, 0 // don't remove this last one! }; diff --git a/src/gui/insEdit.cpp b/src/gui/insEdit.cpp index abac70c1..92692ce5 100644 --- a/src/gui/insEdit.cpp +++ b/src/gui/insEdit.cpp @@ -4338,7 +4338,8 @@ void FurnaceGUI::drawInsEdit() { ins->type==DIV_INS_SNES || ins->type==DIV_INS_ES5506 || ins->type==DIV_INS_K007232 || - ins->type==DIV_INS_GA20) { + ins->type==DIV_INS_GA20 || + ins->type==DIV_INS_K053260) { if (ImGui::BeginTabItem((ins->type==DIV_INS_SU)?"Sound Unit":"Sample")) { String sName; if (ins->amiga.initSample<0 || ins->amiga.initSample>=e->song.sampleLen) { @@ -5078,7 +5079,8 @@ void FurnaceGUI::drawInsEdit() { } if (ins->type==DIV_INS_FM || ins->type==DIV_INS_SEGAPCM || ins->type==DIV_INS_MIKEY || ins->type==DIV_INS_MULTIPCM || ins->type==DIV_INS_SU || ins->type==DIV_INS_OPZ || - ins->type==DIV_INS_OPM || ins->type==DIV_INS_SNES || ins->type==DIV_INS_MSM5232) { + ins->type==DIV_INS_OPM || ins->type==DIV_INS_SNES || ins->type==DIV_INS_MSM5232 || + ins->type==DIV_INS_K053260) { volMax=127; } if (ins->type==DIV_INS_GB) { @@ -5167,7 +5169,7 @@ void FurnaceGUI::drawInsEdit() { if (ins->type==DIV_INS_TIA || ins->type==DIV_INS_AMIGA || ins->type==DIV_INS_SCC || ins->type==DIV_INS_PET || ins->type==DIV_INS_SEGAPCM || ins->type==DIV_INS_FM || ins->type==DIV_INS_K007232 || ins->type==DIV_INS_GA20 || - ins->type==DIV_INS_SM8521 || ins->type==DIV_INS_PV1000) { + ins->type==DIV_INS_SM8521 || ins->type==DIV_INS_PV1000 || ins->type==DIV_INS_K053260) { dutyMax=0; } if (ins->type==DIV_INS_VBOY) { @@ -5267,6 +5269,7 @@ void FurnaceGUI::drawInsEdit() { if (ins->type==DIV_INS_SEGAPCM) waveMax=0; if (ins->type==DIV_INS_K007232) waveMax=0; if (ins->type==DIV_INS_GA20) waveMax=0; + if (ins->type==DIV_INS_K053260) waveMax=0; if (ins->type==DIV_INS_POKEMINI) waveMax=0; if (ins->type==DIV_INS_SU || ins->type==DIV_INS_POKEY) waveMax=7; if (ins->type==DIV_INS_PET) { @@ -5385,6 +5388,11 @@ void FurnaceGUI::drawInsEdit() { panMax=7; panSingleNoBit=true; } + if (ins->type==DIV_INS_K053260) { + panMin=-3; + panMax=3; + panSingleNoBit=true; + } if (ins->type==DIV_INS_SU) { panMin=-127; panMax=127; @@ -5475,7 +5483,8 @@ void FurnaceGUI::drawInsEdit() { ins->type==DIV_INS_VBOY || (ins->type==DIV_INS_X1_010 && ins->amiga.useSample) || ins->type==DIV_INS_K007232 || - ins->type==DIV_INS_GA20) { + ins->type==DIV_INS_GA20 || + ins->type==DIV_INS_K053260) { macroList.push_back(FurnaceGUIMacroDesc("Phase Reset",&ins->std.phaseResetMacro,0,1,32,uiColors[GUI_COLOR_MACRO_OTHER],false,NULL,NULL,true)); } if (ex1Max>0) { diff --git a/src/gui/presets.cpp b/src/gui/presets.cpp index 3354914c..33924b84 100644 --- a/src/gui/presets.cpp +++ b/src/gui/presets.cpp @@ -1245,6 +1245,42 @@ void FurnaceGUI::initSystemPresets() { CH(DIV_SYSTEM_K007232, 1.0f, 0, "") // "" } ); + ENTRY( + "Konami Rollergames", { + CH(DIV_SYSTEM_OPL2, 1.0f, 0, ""), // 3.58MHz + CH(DIV_SYSTEM_K053260, 1.0f, 0, ""), // "" + } + ); + ENTRY( + "Konami Rollergames (drums mode)", { + CH(DIV_SYSTEM_OPL2_DRUMS, 1.0f, 0, ""), // 3.58MHz + CH(DIV_SYSTEM_K053260, 1.0f, 0, ""), // "" + } + ); + ENTRY( + "Konami Golfing Greats", { + CH(DIV_SYSTEM_K053260, 1.0f, 0, ""), // 3.58MHz + } + ); + ENTRY( + "Konami Lightning Fighters", { + CH(DIV_SYSTEM_YM2151, 1.0f, 0, ""), // 3.58MHz + CH(DIV_SYSTEM_K053260, 1.0f, 0, ""), // "" + } + ); + ENTRY( + "Konami Over Drive", { + CH(DIV_SYSTEM_YM2151, 1.0f, 0, ""), // 3.58MHz + CH(DIV_SYSTEM_K053260, 1.0f, 0, ""), // "" + CH(DIV_SYSTEM_K053260, 1.0f, 0, ""), // "" + } + ); + ENTRY( + "Konami Asterix", { + CH(DIV_SYSTEM_YM2151, 1.0f, 0, "clockSel=2"), // 4MHz + CH(DIV_SYSTEM_K053260, 1.0f, 0, "clockSel=1"), // "" + } + ); ENTRY( "Konami Hexion", { CH(DIV_SYSTEM_SCC, 1.0f, 0, "clockSel=2"), // 1.5MHz (3MHz input) @@ -2449,6 +2485,11 @@ void FurnaceGUI::initSystemPresets() { CH(DIV_SYSTEM_ES5506, 1.0f, 0, "channels=31") } ); + ENTRY( + "Konami K053260", { + CH(DIV_SYSTEM_K053260, 1.0f, 0, "") + } + ); CATEGORY_END; CATEGORY_BEGIN("Wavetable","chips which use user-specified waveforms to generate sound."); diff --git a/src/gui/settings.cpp b/src/gui/settings.cpp index 207c8838..7edaeb0f 100644 --- a/src/gui/settings.cpp +++ b/src/gui/settings.cpp @@ -1954,6 +1954,7 @@ void FurnaceGUI::drawSettings() { UI_COLOR_CONFIG(GUI_COLOR_INSTR_POKEMINI,"Pokémon Mini"); UI_COLOR_CONFIG(GUI_COLOR_INSTR_SM8521,"SM8521"); UI_COLOR_CONFIG(GUI_COLOR_INSTR_PV1000,"PV-1000"); + UI_COLOR_CONFIG(GUI_COLOR_INSTR_K053260,"K053260"); UI_COLOR_CONFIG(GUI_COLOR_INSTR_UNKNOWN,"Other/Unknown"); ImGui::TreePop(); } diff --git a/src/gui/sysConf.cpp b/src/gui/sysConf.cpp index 77bc6c72..31f66e0a 100644 --- a/src/gui/sysConf.cpp +++ b/src/gui/sysConf.cpp @@ -1784,6 +1784,26 @@ bool FurnaceGUI::drawSysConf(int chan, DivSystem type, DivConfig& flags, bool mo } break; }*/ + case DIV_SYSTEM_K053260: { + int clockSel=flags.getInt("clockSel",0); + + ImGui::Text("Clock rate:"); + if (ImGui::RadioButton("3.58MHz (NTSC)",clockSel==0)) { + clockSel=0; + altered=true; + } + if (ImGui::RadioButton("4MHz",clockSel==1)) { + clockSel=1; + altered=true; + } + + if (altered) { + e->lockSave([&]() { + flags.set("clockSel",clockSel); + }); + } + break; + } case DIV_SYSTEM_SWAN: case DIV_SYSTEM_BUBSYS_WSG: case DIV_SYSTEM_PET: From 09726e6290a4ccdbd5360dcb80339e6472dd3d00 Mon Sep 17 00:00:00 2001 From: cam900 Date: Sun, 2 Apr 2023 14:34:10 +0900 Subject: [PATCH 002/163] Fix pitch --- extern/vgsound_emu-modified/vgsound_emu/src/k053260/k053260.cpp | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/extern/vgsound_emu-modified/vgsound_emu/src/k053260/k053260.cpp b/extern/vgsound_emu-modified/vgsound_emu/src/k053260/k053260.cpp index 2ee4efac..9c8d9434 100644 --- a/extern/vgsound_emu-modified/vgsound_emu/src/k053260/k053260.cpp +++ b/extern/vgsound_emu-modified/vgsound_emu/src/k053260/k053260.cpp @@ -80,7 +80,7 @@ void k053260_core::voice_t::tick(u32 cycle) { m_bitpos -= 8; } - m_counter = 0x1000 - bitfield(m_pitch, 0, 12); + m_counter = (m_counter - 0x1000) + bitfield(m_pitch, 0, 12); } // calculate output From dee28d218e302fabede1282aefae0634e8612f7e Mon Sep 17 00:00:00 2001 From: cam900 Date: Sun, 2 Apr 2023 14:55:10 +0900 Subject: [PATCH 003/163] Implement sample playback Fix register viewer Minor optimize --- .../vgsound_emu/src/k053260/k053260.cpp | 10 ++-- .../vgsound_emu/src/k053260/k053260.hpp | 22 ++++----- src/engine/platform/k053260.cpp | 49 +++++++++++++------ src/engine/platform/k053260.h | 1 + 4 files changed, 51 insertions(+), 31 deletions(-) diff --git a/extern/vgsound_emu-modified/vgsound_emu/src/k053260/k053260.cpp b/extern/vgsound_emu-modified/vgsound_emu/src/k053260/k053260.cpp index 9c8d9434..990c1fc3 100644 --- a/extern/vgsound_emu-modified/vgsound_emu/src/k053260/k053260.cpp +++ b/extern/vgsound_emu-modified/vgsound_emu/src/k053260/k053260.cpp @@ -244,7 +244,7 @@ void k053260_core::voice_t::keyon() m_bitpos = 4; m_data = 0; m_output = 0; - std::fill(m_out.begin(), m_out.end(), 0); + std::fill_n(m_out, 2, 0); } // key off trigger @@ -260,13 +260,13 @@ void k053260_core::reset() //m_intf.write_int(0); - std::fill(m_host2snd.begin(), m_host2snd.end(), 0); - std::fill(m_snd2host.begin(), m_snd2host.end(), 0); + std::fill_n(m_host2snd, 2, 0); + std::fill_n(m_snd2host, 2, 0); m_ctrl.reset(); //m_dac.reset(); - std::fill(m_reg.begin(), m_reg.end(), 0); - std::fill(m_out.begin(), m_out.end(), 0); + std::fill_n(m_reg, 64, 0); + std::fill_n(m_out, 2, 0); } // reset voice diff --git a/extern/vgsound_emu-modified/vgsound_emu/src/k053260/k053260.hpp b/extern/vgsound_emu-modified/vgsound_emu/src/k053260/k053260.hpp index feaffeac..bfb7ea00 100644 --- a/extern/vgsound_emu-modified/vgsound_emu/src/k053260/k053260.hpp +++ b/extern/vgsound_emu-modified/vgsound_emu/src/k053260/k053260.hpp @@ -70,7 +70,7 @@ class k053260_core : public vgsound_emu_core , m_data(0) , m_output(0) { - m_out.fill(0); + std::fill_n(m_out, 2, 0); } // internal state @@ -124,7 +124,7 @@ class k053260_core : public vgsound_emu_core u8 m_bitpos = 4; // bit position for ADPCM decoding u8 m_data = 0; // current data s8 m_output = 0; // ADPCM buffer - std::array m_out; // current output + s32 m_out[2]; // current output }; class ctrl_t @@ -232,10 +232,10 @@ class k053260_core : public vgsound_emu_core //, m_ym3012(ym3012_t()) //, m_dac(dac_t()) { - m_host2snd.fill(0); - m_snd2host.fill(0); - m_reg.fill(0); - m_out.fill(0); + std::fill_n(m_host2snd, 2, 0); + std::fill_n(m_snd2host, 2, 0); + std::fill_n(m_reg, 64, 0); + std::fill_n(m_out, 2, 0); } // communications @@ -267,19 +267,19 @@ class k053260_core : public vgsound_emu_core inline s32 adpcm_lut(const u8 nibble) { return m_adpcm_lut[nibble]; } private: - std::array m_voice; + voice_t m_voice[4]; k053260_intf &m_intf; // common memory interface - std::array m_host2snd; - std::array m_snd2host; + u8 m_host2snd[2]; + u8 m_snd2host[2]; ctrl_t m_ctrl; // chip control //ym3012_t m_ym3012; // YM3012 output //dac_t m_dac; // YM3012 interface - std::array m_reg; // register pool - std::array m_out; // stereo output + u8 m_reg[64]; // register pool + s32 m_out[2]; // stereo output }; #endif diff --git a/src/engine/platform/k053260.cpp b/src/engine/platform/k053260.cpp index 47e7636d..f42a532d 100644 --- a/src/engine/platform/k053260.cpp +++ b/src/engine/platform/k053260.cpp @@ -22,20 +22,32 @@ #include "../../ta-log.h" #include -#define rWrite(a,v) {if(!skipRegisterWrites) {k053260.write(a,v); regPool[a]=v; if(dumpWrites) addWrite(a,v);}} +#define rWrite(a,v) {if(!skipRegisterWrites && a<0x30) {k053260.write(a,v); regPool[a]=v; if(dumpWrites) addWrite(a,v);}} -#define CHIP_DIVIDER 64 -#define TICK_DIVIDER 4 +#define CHIP_DIVIDER 16 +#define TICK_DIVIDER 64 // for match to YM3012 output rate const char* regCheatSheetK053260[]={ - "FreqL", "0", - "FreqH", "1", - "LengthL", "2", - "LengthH", "3", - "StartL", "4", - "StartM", "5", - "StartH", "6", - "Volume", "7", + "MainToSub0", "00", + "MainToSub1", "01", + "SubToMain0", "02", + "SubToMain1", "03", + "CHx_FreqL", "08+x*8", + "CHx_FreqH", "09+x*8", + "CHx_LengthL", "0A+x*8", + "CHx_LengthH", "0B+x*8", + "CHx_StartL", "0C+x*8", + "CHx_StartM", "0D+x*8", + "CHx_StartH", "0E+x*8", + "CHx_Volume", "0F+x*8", + "KeyOn", "28", + "Status", "29", + "LoopFormat", "2A", + "Test", "2B", + "CH01_Pan", "2C", + "CH23_Pan", "2D", + "ROMReadback", "2E", + "Control", "2F", NULL }; @@ -49,8 +61,14 @@ inline void DivPlatformK053260::chWrite(unsigned char ch, unsigned int addr, uns } } -// TODO: this code is weird -// make sure newDispatch didn't break it up +u8 DivPlatformK053260::read_sample(u32 address) { + if ((sampleMem!=NULL) && (address& wlist) { - for (DivRegWrite& i: wlist) rWrite(i.addr&0x0f,i.val); + for (DivRegWrite& i: wlist) rWrite(i.addr&0x3f,i.val); } unsigned char* DivPlatformK053260::getRegisterPool() { + regPool[0x29]=k053260.read(0x29); // dynamically updated return regPool; } diff --git a/src/engine/platform/k053260.h b/src/engine/platform/k053260.h index c449206f..3b21cb4d 100644 --- a/src/engine/platform/k053260.h +++ b/src/engine/platform/k053260.h @@ -58,6 +58,7 @@ class DivPlatformK053260: public DivDispatch, public k053260_intf { friend void putDispatchChan(void*,int,int); public: + virtual u8 read_sample(u32 address) override; void acquire(short** buf, size_t len); int dispatch(DivCommand c); void* getChanState(int chan); From 8f783e604a4cd2c0bd2ae870b90a9e462a8490a1 Mon Sep 17 00:00:00 2001 From: cam900 Date: Sun, 2 Apr 2023 15:48:30 +0900 Subject: [PATCH 004/163] Minor fix --- src/engine/platform/k053260.cpp | 1 + 1 file changed, 1 insertion(+) diff --git a/src/engine/platform/k053260.cpp b/src/engine/platform/k053260.cpp index f42a532d..801325f8 100644 --- a/src/engine/platform/k053260.cpp +++ b/src/engine/platform/k053260.cpp @@ -159,6 +159,7 @@ void DivPlatformK053260::tick(bool sysTick) { } if (chan[i].audPos>0) { start=start+MIN(chan[i].audPos,s->length8); + length=MAX(1,length-chan[i].audPos); } start=MIN(start,getSampleMemCapacity()-31); length=MIN(length,getSampleMemCapacity()-31); From f56e09606a741ab0408bf892c390c8202f026dc6 Mon Sep 17 00:00:00 2001 From: cam900 Date: Sun, 2 Apr 2023 15:49:12 +0900 Subject: [PATCH 005/163] More fixes --- src/engine/platform/k053260.cpp | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/src/engine/platform/k053260.cpp b/src/engine/platform/k053260.cpp index 801325f8..dd8364fa 100644 --- a/src/engine/platform/k053260.cpp +++ b/src/engine/platform/k053260.cpp @@ -161,8 +161,8 @@ void DivPlatformK053260::tick(bool sysTick) { start=start+MIN(chan[i].audPos,s->length8); length=MAX(1,length-chan[i].audPos); } - start=MIN(start,getSampleMemCapacity()-31); - length=MIN(length,getSampleMemCapacity()-31); + start=MIN(start,getSampleMemCapacity()); + length=MIN(65535,MIN(length,getSampleMemCapacity())); rWrite(0x28,keyoff); // force keyoff first rWrite(0x2a,loopoff); chWrite(i,2,length&0xff); From f7768dafe3937dc0b95829cb2659959502ff0cbb Mon Sep 17 00:00:00 2001 From: cam900 Date: Sun, 2 Apr 2023 23:01:57 +0900 Subject: [PATCH 006/163] Fix K053260 VGM output --- src/engine/vgmOps.cpp | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/src/engine/vgmOps.cpp b/src/engine/vgmOps.cpp index 4445ef93..d68302b5 100644 --- a/src/engine/vgmOps.cpp +++ b/src/engine/vgmOps.cpp @@ -1627,12 +1627,12 @@ SafeWriter* DivEngine::saveVGM(bool* sysToExport, bool loop, int version, bool p case DIV_SYSTEM_K053260: if (!hasK053260) { hasK053260=disCont[i].dispatch->chipClock; - CHIP_VOL(40,0.4); + CHIP_VOL(29,0.4); willExport[i]=true; writeK053260[0]=disCont[i].dispatch; } else if (!(hasK053260&0x40000000)) { isSecond[i]=true; - CHIP_VOL_SECOND(40,0.4); + CHIP_VOL_SECOND(29,0.4); willExport[i]=true; writeK053260[1]=disCont[i].dispatch; hasK053260|=0x40000000; From 9b877764c41db500bb05cf7f0c40a1b80d1a1d8a Mon Sep 17 00:00:00 2001 From: cam900 Date: Sun, 2 Apr 2023 23:33:34 +0900 Subject: [PATCH 007/163] Fix build --- extern/vgsound_emu-modified/vgsound_emu/src/k053260/k053260.cpp | 1 - 1 file changed, 1 deletion(-) diff --git a/extern/vgsound_emu-modified/vgsound_emu/src/k053260/k053260.cpp b/extern/vgsound_emu-modified/vgsound_emu/src/k053260/k053260.cpp index 990c1fc3..b1c56a8d 100644 --- a/extern/vgsound_emu-modified/vgsound_emu/src/k053260/k053260.cpp +++ b/extern/vgsound_emu-modified/vgsound_emu/src/k053260/k053260.cpp @@ -42,7 +42,6 @@ void k053260_core::voice_t::tick(u32 cycle) { if (m_enable && m_busy) { - bool update = false; // update counter m_counter += cycle; if (m_counter >= 0x1000) From 048728b496017cdf344e675e55d6514e549efe00 Mon Sep 17 00:00:00 2001 From: cam900 Date: Mon, 3 Apr 2023 00:34:09 +0900 Subject: [PATCH 008/163] Add reverse playback support --- .../vgsound_emu/src/k053260/k053260.cpp | 6 ++++-- .../vgsound_emu/src/k053260/k053260.hpp | 9 +++++++- src/engine/platform/k053260.cpp | 21 ++++++++++++++++--- src/engine/platform/k053260.h | 3 ++- src/engine/sysDef.cpp | 5 ++++- src/gui/debug.cpp | 1 + 6 files changed, 37 insertions(+), 8 deletions(-) diff --git a/extern/vgsound_emu-modified/vgsound_emu/src/k053260/k053260.cpp b/extern/vgsound_emu-modified/vgsound_emu/src/k053260/k053260.cpp index b1c56a8d..59d8af8f 100644 --- a/extern/vgsound_emu-modified/vgsound_emu/src/k053260/k053260.cpp +++ b/extern/vgsound_emu-modified/vgsound_emu/src/k053260/k053260.cpp @@ -49,7 +49,7 @@ void k053260_core::voice_t::tick(u32 cycle) if (m_bitpos < 8) { m_bitpos += 8; - m_addr = bitfield(m_addr + 1, 0, 21); + m_addr = m_reverse ? bitfield(m_addr - 1, 0, 21) : bitfield(m_addr + 1, 0, 21); m_remain--; if (m_remain < 0) // check end flag { @@ -69,7 +69,7 @@ void k053260_core::voice_t::tick(u32 cycle) if (m_adpcm) { m_bitpos -= 4; - const u8 nibble = bitfield(m_data, m_bitpos & 4, 4); // get nibble from ROM + const u8 nibble = bitfield(m_data, m_reverse ? (~m_bitpos & 4) : (m_bitpos & 4), 4); // get nibble from ROM if (nibble) { m_output += m_host.adpcm_lut(nibble); @@ -169,6 +169,7 @@ void k053260_core::write(u8 address, u8 data) case 0x28: // keyon/off toggle for (int i = 0; i < 4; i++) { + m_voice[i].set_reverse(bitfield(data, 4 + i)); if (bitfield(data, i) && (!m_voice[i].enable())) { // rising edge (keyon) m_voice[i].keyon(); @@ -276,6 +277,7 @@ void k053260_core::voice_t::reset() m_loop = 0; m_adpcm = 0; m_pitch = 0; + m_reverse = 0; m_start = 0; m_length = 0; m_volume = 0; diff --git a/extern/vgsound_emu-modified/vgsound_emu/src/k053260/k053260.hpp b/extern/vgsound_emu-modified/vgsound_emu/src/k053260/k053260.hpp index bfb7ea00..9eb81363 100644 --- a/extern/vgsound_emu-modified/vgsound_emu/src/k053260/k053260.hpp +++ b/extern/vgsound_emu-modified/vgsound_emu/src/k053260/k053260.hpp @@ -59,6 +59,7 @@ class k053260_core : public vgsound_emu_core , m_loop(0) , m_adpcm(0) , m_pitch(0) + , m_reverse(0) , m_start(0) , m_length(0) , m_volume(0) @@ -91,6 +92,11 @@ class k053260_core : public vgsound_emu_core inline void set_adpcm(bool adpcm) { m_adpcm = adpcm ? 1 : 0; } + inline void set_reverse(const bool reverse) + { + m_reverse = reverse ? 1 : 0; + } + inline void length_inc() { m_length = (m_length + 1) & 0xffff; } inline void set_pan(u8 pan) { m_pan = pan & 7; } @@ -114,10 +120,11 @@ class k053260_core : public vgsound_emu_core u16 m_loop : 1; // loop flag u16 m_adpcm : 1; // ADPCM flag u16 m_pitch : 12; // pitch + u8 m_reverse : 1; // reverse playback u32 m_start = 0; // start position u16 m_length = 0; // source length u8 m_volume = 0; // master volume - int m_pan = -1; // master pan + s32 m_pan = 4; // master pan u16 m_counter = 0; // frequency counter u32 m_addr = 0; // current address s32 m_remain = 0; // remain for end sample diff --git a/src/engine/platform/k053260.cpp b/src/engine/platform/k053260.cpp index dd8364fa..b35bc5a2 100644 --- a/src/engine/platform/k053260.cpp +++ b/src/engine/platform/k053260.cpp @@ -133,7 +133,7 @@ void DivPlatformK053260::tick(bool sysTick) { } if (chan[i].freqChanged || chan[i].keyOn || chan[i].keyOff) { unsigned char keyon=regPool[0x28]|(1<=0 && chan[i].samplesong.sampleLen) { start=sampleOffK053260[chan[i].sample]; - length=start+s->length8; + length=s->length8; + if (chan[i].reverse) { + start+=length; + keyon|=(16<0) { - start=start+MIN(chan[i].audPos,s->length8); + if (chan[i].reverse) { + start=start-MIN(chan[i].audPos,s->length8); + } + else { + start=start+MIN(chan[i].audPos,s->length8); + } length=MAX(1,length-chan[i].audPos); } start=MIN(start,getSampleMemCapacity()); @@ -314,6 +323,12 @@ int DivPlatformK053260::dispatch(DivCommand c) { chan[c.chan].audPos=c.value; chan[c.chan].setPos=true; break; + case DIV_CMD_SAMPLE_DIR: { + if (chan[c.chan].reverse!=(bool)(c.value&1)) { + chan[c.chan].reverse=c.value&1; + } + break; + } case DIV_CMD_GET_VOLMAX: return 127; break; diff --git a/src/engine/platform/k053260.h b/src/engine/platform/k053260.h index 3b21cb4d..42ae0565 100644 --- a/src/engine/platform/k053260.h +++ b/src/engine/platform/k053260.h @@ -29,7 +29,7 @@ class DivPlatformK053260: public DivDispatch, public k053260_intf { unsigned int audPos; int sample, wave; int panning; - bool setPos; + bool setPos, reverse; int macroVolMul; Channel(): SharedChannel(127), @@ -38,6 +38,7 @@ class DivPlatformK053260: public DivDispatch, public k053260_intf { wave(-1), panning(4), setPos(false), + reverse(false), macroVolMul(64) {} }; Channel chan[4]; diff --git a/src/engine/sysDef.cpp b/src/engine/sysDef.cpp index f236fcd2..0ce88965 100644 --- a/src/engine/sysDef.cpp +++ b/src/engine/sysDef.cpp @@ -1862,7 +1862,10 @@ void DivEngine::registerSystems() { {"CH1", "CH2", "CH3", "CH4"}, {DIV_CH_PCM, DIV_CH_PCM, DIV_CH_PCM, DIV_CH_PCM}, {DIV_INS_K053260, DIV_INS_K053260, DIV_INS_K053260, DIV_INS_K053260}, - {DIV_INS_AMIGA, DIV_INS_AMIGA, DIV_INS_AMIGA, DIV_INS_AMIGA} + {DIV_INS_AMIGA, DIV_INS_AMIGA, DIV_INS_AMIGA, DIV_INS_AMIGA}, + { + {0xdf, {DIV_CMD_SAMPLE_DIR, "DFxx: Set sample playback direction (0: normal; 1: reverse)"}} + } ); sysDefs[DIV_SYSTEM_DUMMY]=new DivSysDef( diff --git a/src/gui/debug.cpp b/src/gui/debug.cpp index 7a01edf8..b1cdb292 100644 --- a/src/gui/debug.cpp +++ b/src/gui/debug.cpp @@ -1101,6 +1101,7 @@ void putDispatchChan(void* data, int chanNum, int type) { ImGui::Text("- macroVolMul: %.2x",ch->macroVolMul); COMMON_CHAN_DEBUG_BOOL; ImGui::TextColored(ch->setPos?colorOn:colorOff,">> SetPos"); + ImGui::TextColored(ch->reverse?colorOn:colorOff,">> Reverse"); break; } default: From 40f3455357fc9f8c2e4f7fd45a41559752a97e3a Mon Sep 17 00:00:00 2001 From: cam900 Date: Mon, 3 Apr 2023 00:35:02 +0900 Subject: [PATCH 009/163] Fix build --- src/engine/platform/k053260.cpp | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/engine/platform/k053260.cpp b/src/engine/platform/k053260.cpp index b35bc5a2..1705f156 100644 --- a/src/engine/platform/k053260.cpp +++ b/src/engine/platform/k053260.cpp @@ -22,7 +22,7 @@ #include "../../ta-log.h" #include -#define rWrite(a,v) {if(!skipRegisterWrites && a<0x30) {k053260.write(a,v); regPool[a]=v; if(dumpWrites) addWrite(a,v);}} +#define rWrite(a,v) {if((!skipRegisterWrites) && (a<0x30)) {k053260.write(a,v); regPool[a]=v; if(dumpWrites) addWrite(a,v);}} #define CHIP_DIVIDER 16 #define TICK_DIVIDER 64 // for match to YM3012 output rate From 04f208c535677985d203b3301cad9c2a0767b580 Mon Sep 17 00:00:00 2001 From: cam900 Date: Mon, 3 Apr 2023 06:34:05 +0900 Subject: [PATCH 010/163] Fix build again --- src/engine/platform/k053260.cpp | 6 +++--- src/engine/platform/k053260.h | 2 +- 2 files changed, 4 insertions(+), 4 deletions(-) diff --git a/src/engine/platform/k053260.cpp b/src/engine/platform/k053260.cpp index 1705f156..04cec849 100644 --- a/src/engine/platform/k053260.cpp +++ b/src/engine/platform/k053260.cpp @@ -22,7 +22,7 @@ #include "../../ta-log.h" #include -#define rWrite(a,v) {if((!skipRegisterWrites) && (a<0x30)) {k053260.write(a,v); regPool[a]=v; if(dumpWrites) addWrite(a,v);}} +#define rWrite(a,v) {if(!skipRegisterWrites) {k053260.write(a,v); regPool[a]=v; if(dumpWrites) addWrite(a,v);}} #define CHIP_DIVIDER 16 #define TICK_DIVIDER 64 // for match to YM3012 output rate @@ -374,7 +374,7 @@ DivDispatchOscBuffer* DivPlatformK053260::getOscBuffer(int ch) { } void DivPlatformK053260::reset() { - memset(regPool,0,48); + memset(regPool,0,64); k053260.reset(); rWrite(0x28,0); // keyoff all channels for (int i=0; i<4; i++) { @@ -434,7 +434,7 @@ unsigned char* DivPlatformK053260::getRegisterPool() { } int DivPlatformK053260::getRegisterPoolSize() { - return 48; + return 64; } const void* DivPlatformK053260::getSampleMem(int index) { diff --git a/src/engine/platform/k053260.h b/src/engine/platform/k053260.h index 42ae0565..c0c3f5a9 100644 --- a/src/engine/platform/k053260.h +++ b/src/engine/platform/k053260.h @@ -52,7 +52,7 @@ class DivPlatformK053260: public DivDispatch, public k053260_intf { unsigned char* sampleMem; size_t sampleMemLen; k053260_core k053260; - unsigned char regPool[48]; + unsigned char regPool[64]; void updatePanning(unsigned char mask); friend void putDispatchChip(void*,int); From d9c64e7c8c0e9db253f8f6f6b38cd9a357bbfcf1 Mon Sep 17 00:00:00 2001 From: cam900 Date: Mon, 3 Apr 2023 06:42:37 +0900 Subject: [PATCH 011/163] Fix typecasting --- src/engine/platform/k053260.cpp | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/engine/platform/k053260.cpp b/src/engine/platform/k053260.cpp index 04cec849..a082be6d 100644 --- a/src/engine/platform/k053260.cpp +++ b/src/engine/platform/k053260.cpp @@ -70,7 +70,7 @@ u8 DivPlatformK053260::read_sample(u32 address) { } void DivPlatformK053260::acquire(short** buf, size_t len) { - for (int i=0; i Date: Mon, 3 Apr 2023 06:44:00 +0900 Subject: [PATCH 012/163] Minor optimize --- src/engine/platform/k053260.cpp | 3 +-- 1 file changed, 1 insertion(+), 2 deletions(-) diff --git a/src/engine/platform/k053260.cpp b/src/engine/platform/k053260.cpp index a082be6d..7005f18d 100644 --- a/src/engine/platform/k053260.cpp +++ b/src/engine/platform/k053260.cpp @@ -63,8 +63,7 @@ inline void DivPlatformK053260::chWrite(unsigned char ch, unsigned int addr, uns u8 DivPlatformK053260::read_sample(u32 address) { if ((sampleMem!=NULL) && (address Date: Mon, 3 Apr 2023 07:02:56 +0900 Subject: [PATCH 013/163] Fix Mac compile --- src/engine/platform/k053260.h | 53 +++++++++++++++++------------------ 1 file changed, 26 insertions(+), 27 deletions(-) diff --git a/src/engine/platform/k053260.h b/src/engine/platform/k053260.h index c0c3f5a9..ce531f3d 100644 --- a/src/engine/platform/k053260.h +++ b/src/engine/platform/k053260.h @@ -60,33 +60,32 @@ class DivPlatformK053260: public DivDispatch, public k053260_intf { public: virtual u8 read_sample(u32 address) override; - void acquire(short** buf, size_t len); - int dispatch(DivCommand c); - void* getChanState(int chan); - DivMacroInt* getChanMacroInt(int ch); - DivDispatchOscBuffer* getOscBuffer(int chan); - unsigned char* getRegisterPool(); - int getRegisterPoolSize(); - void reset(); - void forceIns(); - void tick(bool sysTick=true); - void muteChannel(int ch, bool mute); - int getOutputCount(); - void setChipModel(int type); - void notifyInsChange(int ins); - void notifyWaveChange(int wave); - void notifyInsDeletion(void* ins); - void setFlags(const DivConfig& flags); - void poke(unsigned int addr, unsigned short val); - void poke(std::vector& wlist); - const char** getRegisterSheet(); - const void* getSampleMem(int index = 0); - size_t getSampleMemCapacity(int index = 0); - size_t getSampleMemUsage(int index = 0); - bool isSampleLoaded(int index, int sample); - void renderSamples(int chipID); - int init(DivEngine* parent, int channels, int sugRate, const DivConfig& flags); - void quit(); + virtual void acquire(short** buf, size_t len) override; + virtual int dispatch(DivCommand c) override; + virtual void* getChanState(int chan) override; + virtual DivMacroInt* getChanMacroInt(int ch) override; + virtual DivDispatchOscBuffer* getOscBuffer(int chan) override; + virtual unsigned char* getRegisterPool() override; + virtual int getRegisterPoolSize() override; + virtual void reset() override; + virtual void forceIns() override; + virtual void tick(bool sysTick=true) override; + virtual void muteChannel(int ch, bool mute) override; + virtual int getOutputCount() override; + virtual void notifyInsChange(int ins) override; + virtual void notifyWaveChange(int wave) override; + virtual void notifyInsDeletion(void* ins) override; + virtual void setFlags(const DivConfig& flags) override; + virtual void poke(unsigned int addr, unsigned short val) override; + virtual void poke(std::vector& wlist) override; + virtual const char** getRegisterSheet() override; + virtual const void* getSampleMem(int index = 0) override; + virtual size_t getSampleMemCapacity(int index = 0) override; + virtual size_t getSampleMemUsage(int index = 0) override; + virtual bool isSampleLoaded(int index, int sample) override; + virtual void renderSamples(int chipID) override; + virtual int init(DivEngine* parent, int channels, int sugRate, const DivConfig& flags) override; + virtual void quit() override; DivPlatformK053260(): DivDispatch(), k053260_intf(), From 74958c59fa2368e2c8f078807745a9a147d1469d Mon Sep 17 00:00:00 2001 From: cam900 Date: Sat, 8 Apr 2023 08:52:16 +0900 Subject: [PATCH 014/163] Sync with master --- src/engine/platform/k053260.cpp | 5 ++++- 1 file changed, 4 insertions(+), 1 deletion(-) diff --git a/src/engine/platform/k053260.cpp b/src/engine/platform/k053260.cpp index 7005f18d..ec5f26cd 100644 --- a/src/engine/platform/k053260.cpp +++ b/src/engine/platform/k053260.cpp @@ -223,7 +223,10 @@ int DivPlatformK053260::dispatch(DivCommand c) { case DIV_CMD_NOTE_ON: { DivInstrument* ins=parent->getIns(chan[c.chan].ins,DIV_INS_AMIGA); chan[c.chan].macroVolMul=ins->type==DIV_INS_AMIGA?64:127; - if (c.value!=DIV_NOTE_NULL) chan[c.chan].sample=ins->amiga.getSample(c.value); + if (c.value!=DIV_NOTE_NULL) { + chan[c.chan].sample=ins->amiga.getSample(c.value); + c.value=ins->amiga.getFreq(c.value); + } if (c.value!=DIV_NOTE_NULL) { chan[c.chan].baseFreq=NOTE_PERIODIC(c.value); } From 0e072b62b3cc883785be966a2ff7e4e0caf7ea79 Mon Sep 17 00:00:00 2001 From: cam900 Date: Tue, 2 May 2023 15:14:46 +0900 Subject: [PATCH 015/163] Fix sample cutoff with looping --- src/engine/platform/k053260.cpp | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/engine/platform/k053260.cpp b/src/engine/platform/k053260.cpp index ec5f26cd..396316d6 100644 --- a/src/engine/platform/k053260.cpp +++ b/src/engine/platform/k053260.cpp @@ -470,7 +470,7 @@ void DivPlatformK053260::renderSamples(int sysID) { continue; } - int length=MIN(65535,s->getLoopEndPosition(DIV_SAMPLE_DEPTH_8BIT)); + int length=MIN(65535,s->getEndPosition(DIV_SAMPLE_DEPTH_8BIT)); int actualLength=MIN((int)(getSampleMemCapacity()-memPos),length); if (actualLength>0) { sampleOffK053260[i]=memPos-1; From 4efd3591d2eb6ee4520fe01a7031ab02deba49a2 Mon Sep 17 00:00:00 2001 From: cam900 Date: Mon, 26 Jun 2023 19:59:57 +0900 Subject: [PATCH 016/163] Add silence to avoid popping noise --- src/engine/platform/k053260.cpp | 1 + 1 file changed, 1 insertion(+) diff --git a/src/engine/platform/k053260.cpp b/src/engine/platform/k053260.cpp index edd9400b..da7b58f2 100644 --- a/src/engine/platform/k053260.cpp +++ b/src/engine/platform/k053260.cpp @@ -477,6 +477,7 @@ void DivPlatformK053260::renderSamples(int sysID) { for (int j=0; jdata8[j]; } + sampleMem[memPos++]=0; // Silence for avoid popping noise } if (actualLength Date: Mon, 26 Jun 2023 20:00:29 +0900 Subject: [PATCH 017/163] Fix offset --- src/engine/platform/k053260.cpp | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/engine/platform/k053260.cpp b/src/engine/platform/k053260.cpp index da7b58f2..7ba1cc2c 100644 --- a/src/engine/platform/k053260.cpp +++ b/src/engine/platform/k053260.cpp @@ -471,7 +471,7 @@ void DivPlatformK053260::renderSamples(int sysID) { } int length=MIN(65535,s->getEndPosition(DIV_SAMPLE_DEPTH_8BIT)); - int actualLength=MIN((int)(getSampleMemCapacity()-memPos),length); + int actualLength=MIN((int)(getSampleMemCapacity()-memPos-1),length); if (actualLength>0) { sampleOffK053260[i]=memPos-1; for (int j=0; j Date: Wed, 28 Jun 2023 20:05:53 +0900 Subject: [PATCH 018/163] Loop point ignored in K053260 --- src/gui/sampleEdit.cpp | 7 +++++++ 1 file changed, 7 insertions(+) diff --git a/src/gui/sampleEdit.cpp b/src/gui/sampleEdit.cpp index f68fd7a8..28ce24d2 100644 --- a/src/gui/sampleEdit.cpp +++ b/src/gui/sampleEdit.cpp @@ -246,6 +246,13 @@ void FurnaceGUI::drawSampleEdit() { } } break; + case DIV_SYSTEM_K053260: + if (sample->loop) { + if (sample->loopStart!=0 || sample->loopEnd!=(int)(sample->samples)) { + SAMPLE_WARN(warnLoopPos,"K053260: loop point ignored (may only loop entire sample)"); + } + } + break; default: break; } From d33d487ab9c90a512dcfd811e6aca2925607cf37 Mon Sep 17 00:00:00 2001 From: cam900 Date: Thu, 29 Jun 2023 21:35:53 +0900 Subject: [PATCH 019/163] Add warning --- src/gui/sampleEdit.cpp | 3 +++ 1 file changed, 3 insertions(+) diff --git a/src/gui/sampleEdit.cpp b/src/gui/sampleEdit.cpp index 16eecfc8..0c470177 100644 --- a/src/gui/sampleEdit.cpp +++ b/src/gui/sampleEdit.cpp @@ -273,6 +273,9 @@ void FurnaceGUI::drawSampleEdit() { SAMPLE_WARN(warnLoopPos,"K053260: loop point ignored (may only loop entire sample)"); } } + if (sample->samples>65535) { + SAMPLE_WARN(warnLength,"K053260: maximum sample length is 65535"); + } break; default: break; From 8d1909a43546393739b5f6a8d8c7b2862550ffb3 Mon Sep 17 00:00:00 2001 From: freq-mod <32672779+freq-mod@users.noreply.github.com> Date: Sun, 9 Jul 2023 13:37:45 +0200 Subject: [PATCH 020/163] Update msm6258.md --- doc/7-systems/msm6258.md | 11 +++++++++++ 1 file changed, 11 insertions(+) diff --git a/doc/7-systems/msm6258.md b/doc/7-systems/msm6258.md index a71aa950..31bfb210 100644 --- a/doc/7-systems/msm6258.md +++ b/doc/7-systems/msm6258.md @@ -1,7 +1,18 @@ # OKI MSM6258 a single-channel ADPCM sound source developed by OKI. it allows max sample rate of 15.6 KHz... with no variable pitch. most prominent use of this chip was Sharp X68000 computer, where it was paired with Yamaha YM2151. +Furnace;s implementation is MSM6258V, a CPU driven variant that is unlimited by amount of sample data, being able to to be fed from the system's RAM. # effects ... +# config flags + +## chip clock rates + +msm6258 is an extremely basic ADPCM sound codec. it has no variable frequency rate, it depends on clock rate of a chip itself. furnace supports following rates: + +- 4 MHz, resulting sample rate is 7812 Hz +- 4.096 MHz, resulting sample rate is 8000 Hz +- 8 MHz, resulting sample rate is 15625 Hz (used in Sharp X68000) +- 8.192 MHz, resulting sample rate is 16000 Hz From 7e983059f135d02ad22474ddd4ea8c2170b35c1d Mon Sep 17 00:00:00 2001 From: freq-mod <32672779+freq-mod@users.noreply.github.com> Date: Sun, 9 Jul 2023 14:01:59 +0200 Subject: [PATCH 021/163] Update msm6295.md --- doc/7-systems/msm6295.md | 23 +++++++++++++++++++++++ 1 file changed, 23 insertions(+) diff --git a/doc/7-systems/msm6295.md b/doc/7-systems/msm6295.md index 3785cc62..12900b08 100644 --- a/doc/7-systems/msm6295.md +++ b/doc/7-systems/msm6295.md @@ -5,3 +5,26 @@ an upgrade from 6258 - it provides 4 ADPCM channels, at max 32 KHz (still no var # effects - `20xx`: **set chip output rate.** +# config flags +## chip clock rates +like msm6258, msm6295 is an extremely basic ADPCM sound codec. it has no variable frequency rate, it depends on clock rate of a chip itself. furnace supports following rates: + +- 1 MHz, resulting sample rate is 7576 Hz +- 1.056 MHz, resulting sample rate is 8000 Hz +- 1.02 MHz, resulting sample rate is 7727 Hz +- 1.193 MHz, resulting sample rate is 9038 Hz +- 0.89 MHz, resulting sample rate is 6742 Hz +- 0.875 MHz, resulting sample rate is 6629 Hz +- 0.9375 MHz resulting sample rate is 7102 Hz +- 1.5 MHz, resulting sample rate is 11364 Hz +- 1.79 MHz, resulting sample rate is 13561 Hz +- 2 MHz, resulting sample rate is 15152 Hz +- 2.112 MHz, resulting sample rate is 16000 Hz +- 3 MHz, resulting sample rate is 22728 Hz +- 3.58 MHz, resulting sample rate is 27122 Hz +- 4 MHz, resulting sample rate is 30304 Hz +- 4.224 MHz resulting sample rate is 32000 Hz + + ## chip clock divisor + +MSM6295 clock rate could be divided by 132 (resulting sample rates above), or by 165. To get a clock rte using divisor of 165, formula is clock rate (in Hz) / 165. Example: 1 MHz MSM6295 in 165 divisor mode results in output rate of 6060 Hz. From e399626e4e3fb2a39f7d14eb80bafec98014564c Mon Sep 17 00:00:00 2001 From: freq-mod <32672779+freq-mod@users.noreply.github.com> Date: Sun, 9 Jul 2023 14:03:27 +0200 Subject: [PATCH 022/163] Update msm6295.md --- doc/7-systems/msm6295.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/doc/7-systems/msm6295.md b/doc/7-systems/msm6295.md index 12900b08..cf7e4131 100644 --- a/doc/7-systems/msm6295.md +++ b/doc/7-systems/msm6295.md @@ -1,6 +1,6 @@ # OKI MSM6295 -an upgrade from 6258 - it provides 4 ADPCM channels, at max 32 KHz (still no variable pitch though). between late '80s and late '90s, it was one of the most common, if not _the_ most common soundchip used in arcade machines (Capcom, Toaplan, Kaneko, Atari, Tecmo, the list can go on and on...) +an upgrade from 6258 - it provides 4 ADPCM channels, at max 32 KHz (still no variable pitch though). between late '80s and late '90s, it was one of the most common, if not _the_ most common soundchip used in arcade machines (Capcom, Toaplan, Kaneko, Atari, Tecmo, the list can go on and on...). Without bankswitching, chip supports 256kB of sample RAM and can hold up to 127 samples at once. # effects From f1bdde2193e92185be3de7c962f3bb7fa1fa2b66 Mon Sep 17 00:00:00 2001 From: freq-mod <32672779+freq-mod@users.noreply.github.com> Date: Sun, 9 Jul 2023 14:07:19 +0200 Subject: [PATCH 023/163] Update ay8910.md --- doc/7-systems/ay8910.md | 2 ++ 1 file changed, 2 insertions(+) diff --git a/doc/7-systems/ay8910.md b/doc/7-systems/ay8910.md index 11fa31b3..64e81482 100644 --- a/doc/7-systems/ay8910.md +++ b/doc/7-systems/ay8910.md @@ -6,6 +6,8 @@ it is a 3-channel square/noise/envelope sound generator. the chip's powerful sou the AY-3-8914 variant was used in Intellivision, which is pretty much an AY with 4 level envelope volume per channel and different register format. +as of Furnace 0.6pre7, AY-3-8910 supports software PCM, where all 3 channels can play 4-bit PCM samples (at the cost of a very high CPU usage) + # effects - `20xx`: **set channel mode.** From fb0cdc1255454d168cae323b800968425d4fe819 Mon Sep 17 00:00:00 2001 From: freq-mod <32672779+freq-mod@users.noreply.github.com> Date: Sun, 9 Jul 2023 14:07:57 +0200 Subject: [PATCH 024/163] Update ay8930.md --- doc/7-systems/ay8930.md | 2 ++ 1 file changed, 2 insertions(+) diff --git a/doc/7-systems/ay8930.md b/doc/7-systems/ay8930.md index 6bbe0685..36a7c4b2 100644 --- a/doc/7-systems/ay8930.md +++ b/doc/7-systems/ay8930.md @@ -7,6 +7,8 @@ it is best known for being used in the Covox Sound Master, which didn't sell wel emulation of this chip in Furnace is now complete thanks to community efforts and hardware testing, which an MSX board called Darky has permitted. +as of Furnace 0.6pre7, AY-8930 supports software PCM, where all 3 channels can play 5-bit PCM samples (at the cost of a very high CPU usage) + # effects - `12xx`: **set channel duty cycle.** From 6f6007e1be615dff146097624832788f7b988107 Mon Sep 17 00:00:00 2001 From: freq-mod <32672779+freq-mod@users.noreply.github.com> Date: Sun, 9 Jul 2023 14:12:12 +0200 Subject: [PATCH 025/163] Update ym2612.md decreasing redundancy --- doc/7-systems/ym2612.md | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) diff --git a/doc/7-systems/ym2612.md b/doc/7-systems/ym2612.md index 61df5579..5e2a8076 100644 --- a/doc/7-systems/ym2612.md +++ b/doc/7-systems/ym2612.md @@ -1,6 +1,7 @@ # Yamaha YM2612 -one of two chips that powered the Sega Genesis. it is a six-channel, four-operator FM synthesizer. channel #6 can be turned into 8-bit PCM player, that via software mixing, thanks to Z80 sound CPU, can play more than one channel of straight-shot samples at once. as of Furnace 0.6pre5, Furnace offers DualPCM, which allows 2 channels of software-mixed 8-bit PCM samples at 13750 Hz. +one of two chips that powered the Sega Genesis. it is a six-channel, four-operator FM synthesizer. channel #6 can be turned into 8-bit PCM player, that via software mixing, thanks to Z80 sound CPU, can play more than one channel of straight-shot samples at once. +as of Furnace 0.6pre5, Furnace offers DualPCM, Z80 driver that splits channel 6 into two individual PCM channels with variable pitch. using the console's Z80 processor, these are mixed together in software and streamed to channel 6 in PCM mode with a mix rate of 13750 Hz. because this generates a stream of data, exported VGM files will be very large. # effects From 659ca97876e19b054c86bdea0e53cc08e16fa217 Mon Sep 17 00:00:00 2001 From: freq-mod <32672779+freq-mod@users.noreply.github.com> Date: Sun, 9 Jul 2023 14:13:37 +0200 Subject: [PATCH 026/163] Update genesis.md --- doc/7-systems/genesis.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/doc/7-systems/genesis.md b/doc/7-systems/genesis.md index 862c1c24..5215fb10 100644 --- a/doc/7-systems/genesis.md +++ b/doc/7-systems/genesis.md @@ -54,7 +54,7 @@ CSM is beyond the scope of this documentation. for more information, see this [b ## DualPCM -DualPCM splits channel 6 into two individual PCM channels. using the console's Z80 processor, these are mixed together in software and streamed to channel 6 in PCM mode. because this generates a stream of data, exported VGM files will be very large. +[info here.](ym2612.md) ## Sega CD From 1313f098c69af66a07ad24520439b008f48cb0ac Mon Sep 17 00:00:00 2001 From: freq-mod <32672779+freq-mod@users.noreply.github.com> Date: Sun, 9 Jul 2023 14:14:54 +0200 Subject: [PATCH 027/163] Update ym2203.md --- doc/7-systems/ym2203.md | 6 ++++++ 1 file changed, 6 insertions(+) diff --git a/doc/7-systems/ym2203.md b/doc/7-systems/ym2203.md index 03950444..f79f95d2 100644 --- a/doc/7-systems/ym2203.md +++ b/doc/7-systems/ym2203.md @@ -99,3 +99,9 @@ several variants of this chip were released as well, with more features. - `5Dxx`: **set D2R/SR of operator 2.** - `5Exx`: **set D2R/SR of operator 3.** - `5Fxx`: **set D2R/SR of operator 4.** + +# system modes +## extended channel 3 +in ExtCh mode, channel 3 is split into one column for each of its four operators and feedback are shared. the frequency of each operator may be controlled independently with notes and effects. this can be used for more polyphony or more complex sounds. + +all four operators are still combined according to the algorithm in use. for example, algorithm 7 acts as four independent sine waves. algorithm 4 acts as two independent 2op sounds. even with algorithm 0, placing a note in any operator triggers that operator alone. From 208528720bc907bee69f129a7b15f243fed9c8f3 Mon Sep 17 00:00:00 2001 From: freq-mod <32672779+freq-mod@users.noreply.github.com> Date: Sun, 9 Jul 2023 14:15:54 +0200 Subject: [PATCH 028/163] Update ym2608.md --- doc/7-systems/ym2608.md | 8 +++++++- 1 file changed, 7 insertions(+), 1 deletion(-) diff --git a/doc/7-systems/ym2608.md b/doc/7-systems/ym2608.md index 700ec9d5..0284d918 100644 --- a/doc/7-systems/ym2608.md +++ b/doc/7-systems/ym2608.md @@ -2,7 +2,7 @@ like YM2203, but with twice the FM channels, stereo, an ADPCM channel and built-in drums ("rhythm")! -it was one of the available sound chips for the NEC PC-88VA and PC-98 series of computers. +it was one of the available sound chips for the NEC PC-88VA and later models of PC-98 series of computers. the YM2610 (OPNB) and YM2610B chips are very similar to this one, but the built-in drums have been replaced with 6 sample channels. @@ -95,6 +95,12 @@ the YM2610 (OPNB) and YM2610B chips are very similar to this one, but the built- - `59xx`: **set DR of operator 3.** - `5Axx`: **set DR of operator 4.** - `5Bxx`: **set D2R/SR of all operators.** + +# system modes +## extended channel 3 +in ExtCh mode, channel 3 is split into one column for each of its four operators. feedback and LFO levels are shared. the frequency of each operator may be controlled independently with notes and effects. this can be used for more polyphony or more complex sounds. + +all four operators are still combined according to the algorithm in use. for example, algorithm 7 acts as four independent sine waves. algorithm 4 acts as two independent 2op sounds. even with algorithm 0, placing a note in any operator triggers that operator alone. - `5Cxx`: **set D2R/SR of operator 1.** - `5Dxx`: **set D2R/SR of operator 2.** - `5Exx`: **set D2R/SR of operator 3.** From 07a7abed30564041391be28de20c604c9dda4a24 Mon Sep 17 00:00:00 2001 From: freq-mod <32672779+freq-mod@users.noreply.github.com> Date: Sun, 9 Jul 2023 14:16:19 +0200 Subject: [PATCH 029/163] Update ym2610b.md --- doc/7-systems/ym2610b.md | 6 ++++++ 1 file changed, 6 insertions(+) diff --git a/doc/7-systems/ym2610b.md b/doc/7-systems/ym2610b.md index 7428f6fb..2911c267 100644 --- a/doc/7-systems/ym2610b.md +++ b/doc/7-systems/ym2610b.md @@ -96,3 +96,9 @@ it is backward compatible with the original chip. - `5Dxx`: **set D2R/SR of operator 2.** - `5Exx`: **set D2R/SR of operator 3.** - `5Fxx`: **set D2R/SR of operator 4.** + +# system modes +## extended channel 3 +in ExtCh mode, channel 3 is split into one column for each of its four operators. feedback and LFO levels are shared. the frequency of each operator may be controlled independently with notes and effects. this can be used for more polyphony or more complex sounds. + +all four operators are still combined according to the algorithm in use. for example, algorithm 7 acts as four independent sine waves. algorithm 4 acts as two independent 2op sounds. even with algorithm 0, placing a note in any operator triggers that operator alone. From 74e441c7c537ec5d3bf2b021ff8a2d5a8fb5e076 Mon Sep 17 00:00:00 2001 From: freq-mod <32672779+freq-mod@users.noreply.github.com> Date: Sun, 9 Jul 2023 14:18:31 +0200 Subject: [PATCH 030/163] Update ym2610.md --- doc/7-systems/ym2610.md | 8 +++++++- 1 file changed, 7 insertions(+), 1 deletion(-) diff --git a/doc/7-systems/ym2610.md b/doc/7-systems/ym2610.md index 9d714acc..02a630b0 100644 --- a/doc/7-systems/ym2610.md +++ b/doc/7-systems/ym2610.md @@ -2,7 +2,7 @@ originally an arcade board, but SNK shortly adapted it to a rather expensive video game console with the world's biggest cartridges because some people liked the system so much they wanted a home version of it. -its soundchip is a 4-in-1: 4ch 4-op FM, YM2149 (AY-3-8910 clone) and 2 different format ADPCM in a single package! +its soundchip is a 4-in-1: 4ch 4-op FM, YM2149 (AY-3-8910 clone) and [2 different format ADPCM](https://wiki.neogeodev.org/index.php?title=ADPCM) in a single package! # effects @@ -97,3 +97,9 @@ its soundchip is a 4-in-1: 4ch 4-op FM, YM2149 (AY-3-8910 clone) and 2 different - `5Dxx`: **set D2R/SR of operator 2.** - `5Exx`: **set D2R/SR of operator 3.** - `5Fxx`: **set D2R/SR of operator 4.** + +# system modes +## extended channel 2 +in ExtCh mode, channel 2 is split into one column for each of its four operators. feedback and LFO levels are shared. the frequency of each operator may be controlled independently with notes and effects. this can be used for more polyphony or more complex sounds. + +all four operators are still combined according to the algorithm in use. for example, algorithm 7 acts as four independent sine waves. algorithm 4 acts as two independent 2op sounds. even with algorithm 0, placing a note in any operator triggers that operator alone. From 251cf9f5ff262b4df9c2fac3d2c2fdcfda8f637e Mon Sep 17 00:00:00 2001 From: freq-mod <32672779+freq-mod@users.noreply.github.com> Date: Sun, 9 Jul 2023 14:21:12 +0200 Subject: [PATCH 031/163] Update zxbeep.md --- doc/7-systems/zxbeep.md | 5 ++++- 1 file changed, 4 insertions(+), 1 deletion(-) diff --git a/doc/7-systems/zxbeep.md b/doc/7-systems/zxbeep.md index 6381814a..e724e094 100644 --- a/doc/7-systems/zxbeep.md +++ b/doc/7-systems/zxbeep.md @@ -2,7 +2,10 @@ rather than having a dedicated sound synthesizer, early ZX Spectrum models had one piezo beeper, controlled by Z80 CPU and ULA chip. its capabilities should be on par with an IBM PC speaker... right? -not really - very soon talented programmers found out ways to output much more than one square wave channel. a lot of ZX beeper routines do exist, but as of 0.6 Furnace supports only a Follin/SFX-like engine with 6 channels of narrow pulse wave and click drums. +not really - very soon talented programmers found out ways to output much more than one square wave channel. a lot of ZX beeper routines do exist, but as of 0.6 Furnace supports two engines: + +- a Follin/SFX-like engine with 6 channels of narrow pulse wave and click drums. +- QuadTone: PWM-driven engine with 4ch of pulse wave with freely variable duty cycles and 1-bit PCM drums. # effects From 952578f15abab3da6d68664d2ad41fdff5a2c313 Mon Sep 17 00:00:00 2001 From: freq-mod <32672779+freq-mod@users.noreply.github.com> Date: Sun, 9 Jul 2023 14:42:48 +0200 Subject: [PATCH 032/163] Update ay8910.md --- doc/7-systems/ay8910.md | 9 +++++++++ 1 file changed, 9 insertions(+) diff --git a/doc/7-systems/ay8910.md b/doc/7-systems/ay8910.md index 64e81482..c3f5e5dd 100644 --- a/doc/7-systems/ay8910.md +++ b/doc/7-systems/ay8910.md @@ -46,3 +46,12 @@ as of Furnace 0.6pre7, AY-3-8910 supports software PCM, where all 3 channels can - this changes the port's mode to "write". make sure you have connected something to it. - `2Fxx`: **write to I/O port B.** - this changes the port's mode to "write". make sure you have connected something to it. + +# chip config +## AY derivative modes + +ay-3-810 was an absurdly popular chip that was blessed with many third-party clones, lincenced or not. + +- the AY-3-8914 variant was used in Intellivision, which is pretty much an 8910 with 4 level envelope volume per channel and different register format. +- Yamaha YM2149 was an AY-3-8910 clone released in 1983. it's almsot identical to AY with minor differences being: higher hardware envelope step resolution (16 vs 32), half-clock mode when voltage level is low, much stronger DC offset, cleaner but softer output. +- Sunsoft 5B is YM2149 clone with half-clock mode forced on. From 26946508e52fb93d6f980a9e22cdc28faebd83b6 Mon Sep 17 00:00:00 2001 From: freq-mod <32672779+freq-mod@users.noreply.github.com> Date: Mon, 10 Jul 2023 08:20:26 +0200 Subject: [PATCH 033/163] Update ay8910.md typo fix --- doc/7-systems/ay8910.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/doc/7-systems/ay8910.md b/doc/7-systems/ay8910.md index c3f5e5dd..961c8143 100644 --- a/doc/7-systems/ay8910.md +++ b/doc/7-systems/ay8910.md @@ -50,7 +50,7 @@ as of Furnace 0.6pre7, AY-3-8910 supports software PCM, where all 3 channels can # chip config ## AY derivative modes -ay-3-810 was an absurdly popular chip that was blessed with many third-party clones, lincenced or not. +AY-3-810 was an absurdly popular chip that was blessed with many third-party clones, licenced or not. - the AY-3-8914 variant was used in Intellivision, which is pretty much an 8910 with 4 level envelope volume per channel and different register format. - Yamaha YM2149 was an AY-3-8910 clone released in 1983. it's almsot identical to AY with minor differences being: higher hardware envelope step resolution (16 vs 32), half-clock mode when voltage level is low, much stronger DC offset, cleaner but softer output. From 86b82b21a8132990c3849cdc33423224b36e58f1 Mon Sep 17 00:00:00 2001 From: freq-mod <32672779+freq-mod@users.noreply.github.com> Date: Mon, 10 Jul 2023 08:21:17 +0200 Subject: [PATCH 034/163] Update ym2608.md copy/paste fail fix --- doc/7-systems/ym2608.md | 10 +++++----- 1 file changed, 5 insertions(+), 5 deletions(-) diff --git a/doc/7-systems/ym2608.md b/doc/7-systems/ym2608.md index 0284d918..16f3cc7e 100644 --- a/doc/7-systems/ym2608.md +++ b/doc/7-systems/ym2608.md @@ -95,13 +95,13 @@ the YM2610 (OPNB) and YM2610B chips are very similar to this one, but the built- - `59xx`: **set DR of operator 3.** - `5Axx`: **set DR of operator 4.** - `5Bxx`: **set D2R/SR of all operators.** - +- `5Cxx`: **set D2R/SR of operator 1.** +- `5Dxx`: **set D2R/SR of operator 2.** +- `5Exx`: **set D2R/SR of operator 3.** +- `5Fxx`: **set D2R/SR of operator 4.** + # system modes ## extended channel 3 in ExtCh mode, channel 3 is split into one column for each of its four operators. feedback and LFO levels are shared. the frequency of each operator may be controlled independently with notes and effects. this can be used for more polyphony or more complex sounds. all four operators are still combined according to the algorithm in use. for example, algorithm 7 acts as four independent sine waves. algorithm 4 acts as two independent 2op sounds. even with algorithm 0, placing a note in any operator triggers that operator alone. -- `5Cxx`: **set D2R/SR of operator 1.** -- `5Dxx`: **set D2R/SR of operator 2.** -- `5Exx`: **set D2R/SR of operator 3.** -- `5Fxx`: **set D2R/SR of operator 4.** From 78af8fb92e8e9e8a26ca6f1b78b524edc92856df Mon Sep 17 00:00:00 2001 From: freq-mod <32672779+freq-mod@users.noreply.github.com> Date: Mon, 10 Jul 2023 08:22:07 +0200 Subject: [PATCH 035/163] Update msm6258.md capitalization --- doc/7-systems/msm6258.md | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/doc/7-systems/msm6258.md b/doc/7-systems/msm6258.md index 31bfb210..bca26249 100644 --- a/doc/7-systems/msm6258.md +++ b/doc/7-systems/msm6258.md @@ -1,7 +1,7 @@ # OKI MSM6258 a single-channel ADPCM sound source developed by OKI. it allows max sample rate of 15.6 KHz... with no variable pitch. most prominent use of this chip was Sharp X68000 computer, where it was paired with Yamaha YM2151. -Furnace;s implementation is MSM6258V, a CPU driven variant that is unlimited by amount of sample data, being able to to be fed from the system's RAM. +Furnace's implementation is MSM6258V, a CPU driven variant that is unlimited by amount of sample data, being able to to be fed from the system's RAM. # effects @@ -10,7 +10,7 @@ Furnace;s implementation is MSM6258V, a CPU driven variant that is unlimited by ## chip clock rates -msm6258 is an extremely basic ADPCM sound codec. it has no variable frequency rate, it depends on clock rate of a chip itself. furnace supports following rates: +MSM258 is an extremely basic ADPCM sound codec. it has no variable frequency rate, it depends on clock rate of a chip itself. Furnace supports following rates: - 4 MHz, resulting sample rate is 7812 Hz - 4.096 MHz, resulting sample rate is 8000 Hz From 6e7c84cd490a33e2bf3501ad1832306691d8ceb2 Mon Sep 17 00:00:00 2001 From: freq-mod <32672779+freq-mod@users.noreply.github.com> Date: Mon, 10 Jul 2023 08:23:02 +0200 Subject: [PATCH 036/163] Update ym2612.md i hate english --- doc/7-systems/ym2612.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/doc/7-systems/ym2612.md b/doc/7-systems/ym2612.md index 5e2a8076..f7d2ea9f 100644 --- a/doc/7-systems/ym2612.md +++ b/doc/7-systems/ym2612.md @@ -1,7 +1,7 @@ # Yamaha YM2612 one of two chips that powered the Sega Genesis. it is a six-channel, four-operator FM synthesizer. channel #6 can be turned into 8-bit PCM player, that via software mixing, thanks to Z80 sound CPU, can play more than one channel of straight-shot samples at once. -as of Furnace 0.6pre5, Furnace offers DualPCM, Z80 driver that splits channel 6 into two individual PCM channels with variable pitch. using the console's Z80 processor, these are mixed together in software and streamed to channel 6 in PCM mode with a mix rate of 13750 Hz. because this generates a stream of data, exported VGM files will be very large. +as of Furnace 0.6pre5, Furnace offers DualPCM, a Z80 driver that splits channel 6 into two individual PCM channels with variable pitch. using the console's Z80 processor, these are mixed together in software and streamed to channel 6 in PCM mode with a mix rate of 13750 Hz. because this generates a stream of data, exported VGM files will be very large. # effects From 9410d2ea41c2a4aea6d1750221d47238b928750a Mon Sep 17 00:00:00 2001 From: freq-mod <32672779+freq-mod@users.noreply.github.com> Date: Mon, 10 Jul 2023 08:41:33 +0200 Subject: [PATCH 037/163] Update sms.md --- doc/7-systems/sms.md | 16 ++++++++++++++++ 1 file changed, 16 insertions(+) diff --git a/doc/7-systems/sms.md b/doc/7-systems/sms.md index a560ce06..7991186a 100644 --- a/doc/7-systems/sms.md +++ b/doc/7-systems/sms.md @@ -13,3 +13,19 @@ the original iteration of the SN76489 used in the TI-99/4A computer, the SN94624 - `y` controls whether to select noise or thin pulse. - `0`: thin pulse. - `1`: noise. + +# chip flags +## SN7 versions +SN7 was extremely popular due to low-cost. Therefore, it was cloned and copied to no end, often with minor differences between each other. Furnace supports several of these: +- SN94624, can only produce tones as low as 100Hz, and is clocked at 447 KHz. +- SN76494, which can play notes as low as 13.670 Hz (A -1). It has a different noise feedback and invert masks. +- SN76489, identical to SN94624, just without a clock divider +- SN76489A, identical to 76494, just with a /8 clock divider +- SN76496, literally identical to former. Why is it even here? +- SN76496 with a Atari-like short noise. The chip of many legend and rumours, might be a result of inaccurate emulation. +- Sega Master System VDP version has a different, characteristic noise LFSR. +- Game Gear SN7, identical to the above, but with stereo +- NCR8496, different noise invert masks +- PSSJ3, literally identical to the former, it justs swaps "high" and "low" signals in the output, which results in no audible difference + +TODO: all these checkboxes From 5e0fb78a90eae211cceffb8bdbc4d300d10dfa87 Mon Sep 17 00:00:00 2001 From: cam900 Date: Mon, 10 Jul 2023 19:57:45 +0900 Subject: [PATCH 038/163] Use allocated ID --- src/engine/sysDef.cpp | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/engine/sysDef.cpp b/src/engine/sysDef.cpp index 2cc3ccf1..6d8a308e 100644 --- a/src/engine/sysDef.cpp +++ b/src/engine/sysDef.cpp @@ -1865,7 +1865,7 @@ void DivEngine::registerSystems() { ); sysDefs[DIV_SYSTEM_K053260]=new DivSysDef( - "Konami K053260", NULL, 0xfe/*placeholder*/, 0, 4, false, true, 0x161, false, 1U< Date: Tue, 11 Jul 2023 20:26:43 +0200 Subject: [PATCH 039/163] Update ay8910.md --- doc/7-systems/ay8910.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/doc/7-systems/ay8910.md b/doc/7-systems/ay8910.md index 961c8143..008248d4 100644 --- a/doc/7-systems/ay8910.md +++ b/doc/7-systems/ay8910.md @@ -6,7 +6,7 @@ it is a 3-channel square/noise/envelope sound generator. the chip's powerful sou the AY-3-8914 variant was used in Intellivision, which is pretty much an AY with 4 level envelope volume per channel and different register format. -as of Furnace 0.6pre7, AY-3-8910 supports software PCM, where all 3 channels can play 4-bit PCM samples (at the cost of a very high CPU usage) +as of Furnace 0.6pre7, AY-3-8910 supports software sample playback, where all 3 channels can play 4-bit PCM samples (at the cost of a very high CPU usage) # effects From 66a801eb8facb10cded35cece387c3f8989aaa5b Mon Sep 17 00:00:00 2001 From: freq-mod <32672779+freq-mod@users.noreply.github.com> Date: Tue, 11 Jul 2023 20:27:21 +0200 Subject: [PATCH 040/163] Update ay8910.md --- doc/7-systems/ay8910.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/doc/7-systems/ay8910.md b/doc/7-systems/ay8910.md index 008248d4..107eda56 100644 --- a/doc/7-systems/ay8910.md +++ b/doc/7-systems/ay8910.md @@ -50,7 +50,7 @@ as of Furnace 0.6pre7, AY-3-8910 supports software sample playback, where all 3 # chip config ## AY derivative modes -AY-3-810 was an absurdly popular chip that was blessed with many third-party clones, licenced or not. +AY-3-810 was an absurdly popular chip that was blessed with many third-party clones, licensed or not. - the AY-3-8914 variant was used in Intellivision, which is pretty much an 8910 with 4 level envelope volume per channel and different register format. - Yamaha YM2149 was an AY-3-8910 clone released in 1983. it's almsot identical to AY with minor differences being: higher hardware envelope step resolution (16 vs 32), half-clock mode when voltage level is low, much stronger DC offset, cleaner but softer output. From 0b0e2ac7c6bbab1034147b202642531643a1bd05 Mon Sep 17 00:00:00 2001 From: freq-mod <32672779+freq-mod@users.noreply.github.com> Date: Tue, 11 Jul 2023 20:28:08 +0200 Subject: [PATCH 041/163] Update msm6295.md --- doc/7-systems/msm6295.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/doc/7-systems/msm6295.md b/doc/7-systems/msm6295.md index cf7e4131..0f9ca406 100644 --- a/doc/7-systems/msm6295.md +++ b/doc/7-systems/msm6295.md @@ -7,7 +7,7 @@ an upgrade from 6258 - it provides 4 ADPCM channels, at max 32 KHz (still no var - `20xx`: **set chip output rate.** # config flags ## chip clock rates -like msm6258, msm6295 is an extremely basic ADPCM sound codec. it has no variable frequency rate, it depends on clock rate of a chip itself. furnace supports following rates: +like MSM6258, MSM295 is an extremely basic ADPCM sound codec. it has no variable frequency rate, it depends on clock rate of a chip itself. Furnace supports following rates: - 1 MHz, resulting sample rate is 7576 Hz - 1.056 MHz, resulting sample rate is 8000 Hz From 39963bb607c2ce4d3533f932c9fe7f597cecaa3f Mon Sep 17 00:00:00 2001 From: freq-mod <32672779+freq-mod@users.noreply.github.com> Date: Tue, 11 Jul 2023 20:29:11 +0200 Subject: [PATCH 042/163] Update msm6295.md --- doc/7-systems/msm6295.md | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/doc/7-systems/msm6295.md b/doc/7-systems/msm6295.md index 0f9ca406..8b0d4eca 100644 --- a/doc/7-systems/msm6295.md +++ b/doc/7-systems/msm6295.md @@ -25,6 +25,6 @@ like MSM6258, MSM295 is an extremely basic ADPCM sound codec. it has no variable - 4 MHz, resulting sample rate is 30304 Hz - 4.224 MHz resulting sample rate is 32000 Hz - ## chip clock divisor +## chip clock divisor -MSM6295 clock rate could be divided by 132 (resulting sample rates above), or by 165. To get a clock rte using divisor of 165, formula is clock rate (in Hz) / 165. Example: 1 MHz MSM6295 in 165 divisor mode results in output rate of 6060 Hz. +MSM6295 clock rate could be divided by 132 (resulting sample rates above), or by 165. To get a clock rate using divisor of 165, formula is clock rate (in Hz) / 165. Example: 1 MHz MSM6295 in 165 divisor mode results in output rate of 6060 Hz. From d213514bf2fffb028bffe3151bab6c92fce413fc Mon Sep 17 00:00:00 2001 From: freq-mod <32672779+freq-mod@users.noreply.github.com> Date: Tue, 11 Jul 2023 20:30:17 +0200 Subject: [PATCH 043/163] Update sms.md --- doc/7-systems/sms.md | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/doc/7-systems/sms.md b/doc/7-systems/sms.md index 7991186a..e16481da 100644 --- a/doc/7-systems/sms.md +++ b/doc/7-systems/sms.md @@ -16,7 +16,7 @@ the original iteration of the SN76489 used in the TI-99/4A computer, the SN94624 # chip flags ## SN7 versions -SN7 was extremely popular due to low-cost. Therefore, it was cloned and copied to no end, often with minor differences between each other. Furnace supports several of these: +SN7 was extremely popular due to low cost. Therefore, it was cloned and copied to no end, often with minor differences between each other. Furnace supports several of these: - SN94624, can only produce tones as low as 100Hz, and is clocked at 447 KHz. - SN76494, which can play notes as low as 13.670 Hz (A -1). It has a different noise feedback and invert masks. - SN76489, identical to SN94624, just without a clock divider @@ -26,6 +26,6 @@ SN7 was extremely popular due to low-cost. Therefore, it was cloned and copied t - Sega Master System VDP version has a different, characteristic noise LFSR. - Game Gear SN7, identical to the above, but with stereo - NCR8496, different noise invert masks -- PSSJ3, literally identical to the former, it justs swaps "high" and "low" signals in the output, which results in no audible difference +- PSSJ3, literally identical to the former, it just swaps "high" and "low" signals in the output, which results in no audible difference TODO: all these checkboxes From dcb2460064918e405d26d62cd401f5a7107e18fa Mon Sep 17 00:00:00 2001 From: Electric Keet Date: Tue, 11 Jul 2023 16:47:11 -0700 Subject: [PATCH 044/163] Amiga info. Adding useful Amiga info to docs and chip selector. --- doc/6-sample/README.md | 2 +- doc/7-systems/amiga.md | 6 ++++++ src/engine/sysDef.cpp | 2 +- 3 files changed, 8 insertions(+), 2 deletions(-) diff --git a/doc/6-sample/README.md b/doc/6-sample/README.md index 52e510f4..63788437 100644 --- a/doc/6-sample/README.md +++ b/doc/6-sample/README.md @@ -47,7 +47,7 @@ use of this mode is discouraged in favor of Sample type instruments. due to limitations in some of those sound chips, some restrictions exist: -- Amiga: sample lengths and loop will be set to an even number, and your sample can't be longer than 131070. +- Amiga: maximum frequency is 31,469Hz, but anything over 28,867 will sound glitchy on hardware. sample lengths and loop will be set to an even number, and your sample can't be longer than 131070. - NES: if on DPCM mode, only a limited selection of frequencies is available, and loop position isn't supported (only entire sample). - SegaPCM: your sample can't be longer than 65535, and the maximum frequency is 31.25KHz. - QSound: your sample can't be longer than 65535, and the loop length shall not be greater than 32767. diff --git a/doc/7-systems/amiga.md b/doc/7-systems/amiga.md index 8f49bd12..c27ac40c 100644 --- a/doc/7-systems/amiga.md +++ b/doc/7-systems/amiga.md @@ -15,3 +15,9 @@ imported MOD files use this chip, and will set A-4 tuning to 436. - does not work on the last channel. - `13xx`: **change wave.** - only works when "Mode" is set to "Wavetable" in the instrument. + +# info + +- the maximum rate for sample playback is technically 31,469Hz but anything higher than 28,867Hz will sound glitchy on hardware. +- sample lengths and loop will be set to an even number. +- samples can't be longer than 131070. \ No newline at end of file diff --git a/src/engine/sysDef.cpp b/src/engine/sysDef.cpp index f4d76ceb..6e3c82e1 100644 --- a/src/engine/sysDef.cpp +++ b/src/engine/sysDef.cpp @@ -798,7 +798,7 @@ void DivEngine::registerSystems() { sysDefs[DIV_SYSTEM_AMIGA]=new DivSysDef( "Amiga", NULL, 0x81, 0, 4, false, true, 0, false, 1U< Date: Tue, 11 Jul 2023 17:27:05 -0700 Subject: [PATCH 045/163] Losing the thousands separators. --- doc/7-systems/amiga.md | 2 +- src/engine/sysDef.cpp | 2 +- 2 files changed, 2 insertions(+), 2 deletions(-) diff --git a/doc/7-systems/amiga.md b/doc/7-systems/amiga.md index c27ac40c..ee197a90 100644 --- a/doc/7-systems/amiga.md +++ b/doc/7-systems/amiga.md @@ -18,6 +18,6 @@ imported MOD files use this chip, and will set A-4 tuning to 436. # info -- the maximum rate for sample playback is technically 31,469Hz but anything higher than 28,867Hz will sound glitchy on hardware. +- the maximum rate for sample playback is technically 31469Hz but anything higher than 28867Hz will sound glitchy on hardware. - sample lengths and loop will be set to an even number. - samples can't be longer than 131070. \ No newline at end of file diff --git a/src/engine/sysDef.cpp b/src/engine/sysDef.cpp index 6e3c82e1..a758bea8 100644 --- a/src/engine/sysDef.cpp +++ b/src/engine/sysDef.cpp @@ -798,7 +798,7 @@ void DivEngine::registerSystems() { sysDefs[DIV_SYSTEM_AMIGA]=new DivSysDef( "Amiga", NULL, 0x81, 0, 4, false, true, 0, false, 1U< Date: Wed, 12 Jul 2023 14:17:08 +0400 Subject: [PATCH 046/163] chip short name for per-chan scope --- src/gui/chanOsc.cpp | 211 ++++++++++++++++++++++++++++++++++++++++++++ 1 file changed, 211 insertions(+) diff --git a/src/gui/chanOsc.cpp b/src/gui/chanOsc.cpp index 809f214b..00fedefd 100644 --- a/src/gui/chanOsc.cpp +++ b/src/gui/chanOsc.cpp @@ -41,6 +41,212 @@ const char* chanOscRefs[]={ "Note Trigger" }; +const char* getSystemShortName(DivSystem sys){ + switch (sys) { + case DIV_SYSTEM_YMU759: + return "YMU759"; + break; + case DIV_SYSTEM_SMS: + return "SN76489"; + break; + case DIV_SYSTEM_GB: + return "Game Boy"; + break; + case DIV_SYSTEM_PCE: + return "PC Engine"; + break; + case DIV_SYSTEM_NES: + return "2A03"; + break; + case DIV_SYSTEM_C64_6581: + return "MOS 6581"; + break; + case DIV_SYSTEM_C64_8580: + return "MOS 8580"; + break; + case DIV_SYSTEM_Y8950: case DIV_SYSTEM_Y8950_DRUMS: + return "Y8950"; + break; + case DIV_SYSTEM_AY8910: + return "AY8910"; + break; + case DIV_SYSTEM_AMIGA: + return "Amiga"; + break; + case DIV_SYSTEM_YM2151: + return "YM2151"; + break; + case DIV_SYSTEM_YM2612: case DIV_SYSTEM_YM2612_CSM: case DIV_SYSTEM_YM2612_DUALPCM: case DIV_SYSTEM_YM2612_DUALPCM_EXT: case DIV_SYSTEM_YM2612_EXT: + return "YM2612"; + break; + case DIV_SYSTEM_TIA: + return "TIA"; + break; + case DIV_SYSTEM_SAA1099: + return "SAA1099"; + break; + case DIV_SYSTEM_AY8930: + return "AY8930"; + break; + case DIV_SYSTEM_VIC20: + return "VIC-20"; + break; + case DIV_SYSTEM_PET: + return "PET"; + break; + case DIV_SYSTEM_SNES: + return "SNES"; + break; + case DIV_SYSTEM_VRC6: + return "VRC6"; + break; + case DIV_SYSTEM_FDS: + return "FDS"; + break; + case DIV_SYSTEM_MMC5: + return "MMC5"; + break; + case DIV_SYSTEM_N163: + return "N163"; + break; + case DIV_SYSTEM_YM2203: case DIV_SYSTEM_YM2203_EXT: case DIV_SYSTEM_YM2203_CSM: + return "YM2203"; + break; + case DIV_SYSTEM_YM2608: case DIV_SYSTEM_YM2608_CSM: case DIV_SYSTEM_YM2608_EXT: + return "YM2608"; + break; + case DIV_SYSTEM_OPL: case DIV_SYSTEM_OPL_DRUMS: + return "YM3526"; + break; + case DIV_SYSTEM_OPL2: case DIV_SYSTEM_OPL2_DRUMS: + return "YM3812"; + break; + case DIV_SYSTEM_OPL3: case DIV_SYSTEM_OPL3_DRUMS: + return "YMF262"; + break; + case DIV_SYSTEM_OPL4: case DIV_SYSTEM_OPL4_DRUMS: + return "OPL4"; + break; + case DIV_SYSTEM_MULTIPCM: + return "MultiPCM"; + break; + case DIV_SYSTEM_PCSPKR: + return "PC Speaker"; + break; + case DIV_SYSTEM_POKEY: + return "POKEY"; + break; + case DIV_SYSTEM_RF5C68: + return "RF5C68"; + break; + case DIV_SYSTEM_SWAN: + return "WonderSwan"; + break; + case DIV_SYSTEM_OPZ: + return "YM2414"; + break; + case DIV_SYSTEM_POKEMINI: + return "Pokémon Mini"; + break; + case DIV_SYSTEM_SEGAPCM: case DIV_SYSTEM_SEGAPCM_COMPAT: + return "SegaPCM"; + break; + case DIV_SYSTEM_VBOY: + return "Virtual Boy"; + break; + case DIV_SYSTEM_VRC7: + return "VRC7"; + break; + case DIV_SYSTEM_YM2610B: case DIV_SYSTEM_YM2610B_CSM: case DIV_SYSTEM_YM2610B_EXT: + return "YM2610B"; + break; + case DIV_SYSTEM_SFX_BEEPER: case DIV_SYSTEM_SFX_BEEPER_QUADTONE: + return "ZXS Beeper"; + break; + case DIV_SYSTEM_SCC: + return "SCC"; + break; + case DIV_SYSTEM_YM2610: case DIV_SYSTEM_YM2610_CSM: case DIV_SYSTEM_YM2610_EXT: case DIV_SYSTEM_YM2610_FULL: case DIV_SYSTEM_YM2610_FULL_EXT: + return "YM2610"; + break; + case DIV_SYSTEM_OPLL: case DIV_SYSTEM_OPLL_DRUMS: + return "YM2413"; + break; + case DIV_SYSTEM_LYNX: + return "Lynx"; + break; + case DIV_SYSTEM_QSOUND: + return "QSound"; + break; + case DIV_SYSTEM_VERA: + return "VERA"; + break; + case DIV_SYSTEM_X1_010: + return "X1-010"; + break; + case DIV_SYSTEM_BUBSYS_WSG: + return "Konami WSG"; + break; + case DIV_SYSTEM_ES5506: + return "ES5506"; + break; + case DIV_SYSTEM_SCC_PLUS: + return "SCC+"; + break; + case DIV_SYSTEM_SOUND_UNIT: + return "TSU"; + break; + case DIV_SYSTEM_MSM6295: + return "MSM6295"; + break; + case DIV_SYSTEM_MSM6258: + return "MSM6258"; + break; + case DIV_SYSTEM_YMZ280B: + return "YMZ280B"; + break; + case DIV_SYSTEM_NAMCO: + return "Namco WSG"; + break; + case DIV_SYSTEM_NAMCO_15XX: + return "C15"; + break; + case DIV_SYSTEM_NAMCO_CUS30: + return "C30"; + break; + case DIV_SYSTEM_MSM5232: + return "MSM5232"; + break; + case DIV_SYSTEM_T6W28: + return "T6W28"; + break; + case DIV_SYSTEM_K007232: + return "K007232"; + break; + case DIV_SYSTEM_GA20: + return "GA20"; + break; + case DIV_SYSTEM_PCM_DAC: + return "DAC"; + break; + case DIV_SYSTEM_PONG: + return "Pong"; + break; + case DIV_SYSTEM_DUMMY: + return "Dummy"; + break; + case DIV_SYSTEM_SM8521: + return "SM8521"; + break; + case DIV_SYSTEM_PV1000: + return "PV-1000"; + break; + default: + return ""; + break; + } +} + float FurnaceGUI::computeGradPos(int type, int chan) { switch (type) { case GUI_OSCREF_NONE: @@ -308,6 +514,7 @@ void FurnaceGUI::drawChanOsc() { "- %I: instrument number (decimal)\n" "- %x: instrument number (hex)\n" "- %s: chip name\n" + "- %p: chip short name\n" "- %S: chip ID\n" "- %v: volume (decimal)\n" "- %V: volume (percentage)\n" @@ -510,6 +717,10 @@ void FurnaceGUI::drawChanOsc() { text+=e->getSystemName(e->sysOfChan[ch]); break; } + case 'p': { + text+=getSystemShortName(e->sysOfChan[ch]); + break; + } case 'S': { text+=fmt::sprintf("%d",e->dispatchOfChan[ch]); break; From 90b76d20e3396823572646b18fa25a7a142e4f20 Mon Sep 17 00:00:00 2001 From: tildearrow Date: Wed, 12 Jul 2023 06:04:37 -0500 Subject: [PATCH 047/163] C64: fix reSIDfp muting --- src/engine/platform/sound/c64_fp/SID.cpp | 6 +++--- src/engine/platform/sound/c64_fp/SID.h | 8 ++++---- 2 files changed, 7 insertions(+), 7 deletions(-) diff --git a/src/engine/platform/sound/c64_fp/SID.cpp b/src/engine/platform/sound/c64_fp/SID.cpp index a996d223..03c778c9 100644 --- a/src/engine/platform/sound/c64_fp/SID.cpp +++ b/src/engine/platform/sound/c64_fp/SID.cpp @@ -351,7 +351,7 @@ void SID::write(int offset, unsigned char value) break; case 0x04: // Voice #1 control register - voice[0]->writeCONTROL_REG(muted[0] ? 0 : value); + voice[0]->writeCONTROL_REG(value); break; case 0x05: // Voice #1 Attack and Decay length @@ -379,7 +379,7 @@ void SID::write(int offset, unsigned char value) break; case 0x0b: // Voice #2 control register - voice[1]->writeCONTROL_REG(muted[1] ? 0 : value); + voice[1]->writeCONTROL_REG(value); break; case 0x0c: // Voice #2 Attack and Decay length @@ -407,7 +407,7 @@ void SID::write(int offset, unsigned char value) break; case 0x12: // Voice #3 control register - voice[2]->writeCONTROL_REG(muted[2] ? 0 : value); + voice[2]->writeCONTROL_REG(value); break; case 0x13: // Voice #3 Attack and Decay length diff --git a/src/engine/platform/sound/c64_fp/SID.h b/src/engine/platform/sound/c64_fp/SID.h index 85b6a4e4..77d7706d 100644 --- a/src/engine/platform/sound/c64_fp/SID.h +++ b/src/engine/platform/sound/c64_fp/SID.h @@ -320,11 +320,11 @@ int SID::output() const int v2 = voice[1]->output(voice[0]->wave()); const int v3 = voice[2]->output(voice[1]->wave()); - lastChanOut[0]=v1; - lastChanOut[1]=v2; - lastChanOut[2]=v3; + lastChanOut[0]=muted[0]?0:v1; + lastChanOut[1]=muted[1]?0:v2; + lastChanOut[2]=muted[2]?0:v3; - return externalFilter->clock(filter->clock(v1, v2, v3)); + return externalFilter->clock(filter->clock(muted[0]?0:v1, muted[1]?0:v2, muted[2]?0:v3)); } From dbd200c2795a94121106891dafc0b68a1d1deb36 Mon Sep 17 00:00:00 2001 From: tildearrow Date: Wed, 12 Jul 2023 19:43:15 -0500 Subject: [PATCH 048/163] prepare to use FixedQueue avoiding allocations in real-time code --- src/engine/fixedQueue.h | 81 +++++++++++++++++++++++++++++++++++++ src/engine/platform/pce.cpp | 2 +- src/engine/platform/pce.h | 5 ++- 3 files changed, 85 insertions(+), 3 deletions(-) create mode 100644 src/engine/fixedQueue.h diff --git a/src/engine/fixedQueue.h b/src/engine/fixedQueue.h new file mode 100644 index 00000000..4b0d8129 --- /dev/null +++ b/src/engine/fixedQueue.h @@ -0,0 +1,81 @@ +/** + * Furnace Tracker - multi-system chiptune tracker + * Copyright (C) 2021-2023 tildearrow and contributors + * + * This program is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 2 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License along + * with this program; if not, write to the Free Software Foundation, Inc., + * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA. + */ + +#ifndef _FIXED_QUEUE_H +#define _FIXED_QUEUE_H + +#include +#include "../ta-log.h" + +template struct FixedQueue { + size_t readPos, writePos; + T data[items]; + + T& front(); + bool pop(); + bool push(const T& item); + void clear(); + bool empty(); + size_t size(); + FixedQueue(): + readPos(0), + writePos(0) {} +}; + +template T& FixedQueue::front() { + return data[readPos]; +} + +template bool FixedQueue::pop() { + if (readPos==writePos) return false; + if (++readPos>=items) readPos=0; + return true; +} + +template bool FixedQueue::push(const T& item) { + if (writePos==(readPos-1)) { + logW("queue overflow!"); + return false; + } + if (writePos==0 && readPos==items-1) { + logW("queue overflow!"); + return false; + } + data[writePos]=item; + if (++writePos>=items) writePos=0; + return true; +} + +template void FixedQueue::clear() { + readPos=0; + writePos=0; +} + +template bool FixedQueue::empty() { + return (readPos==writePos); +} + +template size_t FixedQueue::size() { + if (readPos>writePos) { + return items+writePos-readPos; + } + return writePos-readPos; +} + +#endif diff --git a/src/engine/platform/pce.cpp b/src/engine/platform/pce.cpp index 47e5bbcd..8066bff2 100644 --- a/src/engine/platform/pce.cpp +++ b/src/engine/platform/pce.cpp @@ -22,7 +22,7 @@ #include //#define rWrite(a,v) pendingWrites[a]=v; -#define rWrite(a,v) if (!skipRegisterWrites) {writes.emplace(a,v); if (dumpWrites) {addWrite(a,v);} } +#define rWrite(a,v) if (!skipRegisterWrites) {writes.push(QueuedWrite(a,v)); if (dumpWrites) {addWrite(a,v);} } #define chWrite(c,a,v) \ if (!skipRegisterWrites) { \ if (curChan!=c) { \ diff --git a/src/engine/platform/pce.h b/src/engine/platform/pce.h index 9b8c610c..45279179 100644 --- a/src/engine/platform/pce.h +++ b/src/engine/platform/pce.h @@ -21,7 +21,7 @@ #define _PCE_H #include "../dispatch.h" -#include +#include "../fixedQueue.h" #include "../waveSynth.h" #include "sound/pce_psg.h" @@ -62,9 +62,10 @@ class DivPlatformPCE: public DivDispatch { struct QueuedWrite { unsigned char addr; unsigned char val; + QueuedWrite(): addr(0), val(9) {} QueuedWrite(unsigned char a, unsigned char v): addr(a), val(v) {} }; - std::queue writes; + FixedQueue writes; unsigned char lastPan; int cycles, curChan, delay; From 99be9cb337ebca478f8383813320537f743c2edd Mon Sep 17 00:00:00 2001 From: tildearrow Date: Wed, 12 Jul 2023 19:54:37 -0500 Subject: [PATCH 049/163] FixedQueue, part 1 --- src/engine/platform/fmsharedbase.h | 1 + 1 file changed, 1 insertion(+) diff --git a/src/engine/platform/fmsharedbase.h b/src/engine/platform/fmsharedbase.h index b59b419d..b08bda9f 100644 --- a/src/engine/platform/fmsharedbase.h +++ b/src/engine/platform/fmsharedbase.h @@ -79,6 +79,7 @@ class DivPlatformFMBase: public DivDispatch { unsigned short addr; unsigned char val; bool addrOrVal; + QueuedWrite(): addr(0), val(0), addrOrVal(false) {} QueuedWrite(unsigned short a, unsigned char v): addr(a), val(v), addrOrVal(false) {} }; std::deque writes; From 01ba81cbccc6c3158370c28e9b157982017af222 Mon Sep 17 00:00:00 2001 From: MooingLemur Date: Wed, 12 Jul 2023 21:34:59 -0700 Subject: [PATCH 050/163] Add cover song to demos/x16 --- demos/x16/Shades of Blue.fur | Bin 0 -> 4651 bytes 1 file changed, 0 insertions(+), 0 deletions(-) create mode 100644 demos/x16/Shades of Blue.fur diff --git a/demos/x16/Shades of Blue.fur b/demos/x16/Shades of Blue.fur new file mode 100644 index 0000000000000000000000000000000000000000..6d6e5a510de096706b932a2fc897fdf93757b52f GIT binary patch literal 4651 zcmV+`64dQ@ob6j{Y+T26K6mfkyALk8OYUm55-IJKL|IfU*_0Sblt_807bV+zRivd# zHQ-&7lu3EXU6T~OqLlE`iX1zzi~w<6Csk4BVIx3;B58@{M}Z%mC^xJ9X3orU`>fb7YQ^$i=(UNVm5asxxp9qk*u zI1KTBJU||gA`~f#rBYF*Fd!p9bOHENAQ~ik4)_7^OW=_zqDkPd0V6~-4EzXqq?%|9 zC<13|h`s^Tgo!o)Ex=R21z;R_3-~VZ7r>baQ33GR5mj0v#~I=5piz_k|G32fZ~oxqlz7!UB}R-%o2FqSr=>|W>szVjH- z$rRDw0uvoXoA(h7>?iu#0iu692p=3G8to+d7vRGq@FDOAPY@kHO7y`oqIZsC=7{Md zrxVi(mDA2Ycb$ut3OuSxq-jK6uco=_2c=!4R-Kz(%0IH;Q3?D=feQL&+L!T1f$f@C z_xb&SpzaeWr_szG%_~w}ucTSrtLx+wso$^b<+P(;q|jMaPIJ2*7sygMt?&m6BB!eA z@yw-#3Z$CoNY%<|p+$^A^NQ56-=T#j)+03uNicX6RjK&U90HSrU2|LhH&dlB@RU&zzgVr5AXv4AP7_eA)p$l0m1;$G~}ltKMnb5$WKFl8uHVSpN9N2vK z<+&9IR)wl-!jalK!J(D(yXnV|cWv)Q{!L2I@qIzspBWi3dWUm)I=1g9p}R=2NGcSk zisWJU%a5v{9^Uh4g5fI2U*KIT8Z3s2coq*G7k%yLzSf~HFC82n$W!OBM*0}-cwyD63HZm=cO7TKD8%;k|OuhZnf_r1wXP)bzNmDMY6Rdi#t6mj<8B zWTir|ZtsQyyjypz<(zhgWI3fLLmmG)kHV8KH=OJ`Y#bQQjP%0|trc!i-J>kILDfRV zqOy;aqH8}^8uC%w&@dgy=0^H@jUxkFk7Py-Y3pe+QWOt&;DKz#R^U`p%v0oeN$#e^ z3X#vT)$PV72YQ~Dn!3n{P?An#n_^TYgC~%uMgB-BPtK!hpRGxw)475jkJEdk+Z4p5 z3&g%1vb>Mt)KN2!qAj-jH0sK{2*<4!j?<-sHaxmxcYDfmmr`=glXmAdbgFM8H(MRLWZIL|i5@+xlFBhrh8g?AOL)f7)J;ZhELn5>9MNw?lF1HiBDU46 zpQm2qv@EfDMC*TLDj`U>_%PYf!$cmvzFF1BWHfJthG(FmIqB`hdbK^4@OEGdG>7Ww*n9)-v^55(YbZ0^ zH-K5o+76SkgOZ6Surl<7k_q9)XMM@qj-PWL@A~#U+Roci49T_N;?OOx2GseFrtcjJox3{Ec()PhB%p;s0aCjKX z4UF^|O6v85H?Z@hpC|YKko+p4{NEJWjz{OvCxretp?|wK zZ;2qiL`?WfM6o!>eW&aJRny5#Z|^1J&Rrn9FWQdQaN^0D=Zta?e`c?5* zZP@DHa@B5EV7iC<26Ne*As@4(P+X;z9<#lz+dj8(^P{`=Rz7Q4*F3?4Zk)-yn7Qev z{Y1-p^rEk-E5?K*R=+#M(Pbsw)Ty=2LEj#Af^ zsiLT~PoSmpYUu)^KNMWoeCMiDrZQE^inbc@`uDx9ma9=5HF4I0id1;S7nv!TG^r)N zuD;-NxILBJo=Wa9$^9y~V$vqFk!BMzrkaf?Q%-UZ%WTjpHb`T0YYk!U?U~b}l zVun|k!3!4^dxrb#Z8X8CA zvMU-B<J_s%XQlF1M8oT;bd*!sETCsBK%j`YovsX?lPVSY{R}od8r;>ZZ6;+?La*C*qz&RuACY$1Q?ZIhq zqUy6(U@NK>E3j|*ybt*|7eAt!O#33H$wBIKh1BnaRI+-?SaUun+I~$m)f%SBW6qV2 z*}r_=I(*g$h%sZAJ@eJFZFImHZv&UbZd~%sw;yMjpd&NDWvKp^?~R&9^^F?&MWM+q zxW8y<2DSm)ffnHNzz$$1unTAfb_08WHlQ8Y3p~ahKEfTs$d^!sBg2vjOYxmxP@P}u zE!aiUJdv@$LoA@laiy-ITEHylw7L0OwhC~3QpG-b8$NlvMt)IfvJ37n8k&J^z;>Vo z_&l%!*a_?cT7lib9-s|q2lfIkpH#6=-WFq8GI4xTrOq$)7VIKvp2!@ZRB3Wt@X6aX z0%kd<&CNauSw7)Xby=yVtQ0OQMXZvTj#Mia;navyh_8#+n$#8^QR3_4b)0XCujKrp zc%1VM@n~6lV?0*YZq*y{1m{ihBnf7 zp4-tb>?n=j>i-_v!4u+-#q_B4hy@5!LLWA1#*B8rCu6!Ah&B?OrMt_@)T9gvBi8`i(N2ZlpZdWUt{zq)OIQ!m3%U@pF^hsN-TW|rzZ26zC<=S0#I^wLG zH?4Om+c{zDzs=Trmx1-Kr@}csZx*@s1zoL8f(r6BsHU@-^<9a`0(iJ4r)GlaQEw2r~S&s-PZzY1tRb|Q&;d}C0gl^NXAR+{2Y=P_Q zc-_mZ3aav&TF_sWa;;$zXck>7MC-&==@rk!*VJzBB=9P5y+kwDTg-*^6BWwTOPrdV zy2!cJzHVZD9LeX8W*63N{$22}AEk&ej}aYc%%OvBZZ_gC#g9Kxuef!%*A|G<7iE7}WPjtbzk=*kiT7V}{!8Bvd8(>Oo%LdpLYht>QX@lto zrV~uZn2smXEzj%=5dzXdzzA?R^<&lnR`AwmmUYPGZZ=c(p%ZM1>$}fpN z3b%L%QMl805QX{gAj)m#%ZQk7Nt^HNWyI%r!R0sb3wj>A6p9+!sI(vu^dKNF2=k@Q zT+u$l<5@#V2&kITjNztQM)XnD7hTO4Ri9Z!?6KeHI0dA~3i8pA&c=K-5&efuW$N0e zxrT$jJED%wJjrHac<V%^x_ABqD{dizwjWcFq)}v*T0EWTQ$#Hbl#iR2;4eO3Zr(TRA+2bEJ*(*7zE+?39X6^x#RM*4m2BAJt zBI3-M5l%>`8NV{s79JFZh;w1Ig8!S!z4nj1=V+F<9$VxcNRzw~X_WUPhP*9lkas5u zd6N>8_bL&2%My}zE(v)9qsjXikG!4H6kg~QZ`O0ldp0_FWcqz(VN*i`5uHDXOOAY(!JM1@c(U$5CjMqg>nzfcbdHpI~)5gHdee@!XmUmv$$LX+bSN`mEymn-O%!8QN5uhvs%G)iu2aY ztz2Acapomtm#bmyzxC`t&x7Vaq&=qTyP@^^4utxE^T2aJKQI7X0GC;*p$ao{p=1^5Dc>NtB!UBFYx10kH~>ThUs23t0w#kdwwx46F+ z(D)$O==}V-QhPwWdIYpd>S;}U+e3mP^@w)sHO_DNE5&d4?Ov)M(u8VI>X3G?b2jHW zHb=h)=5Tl7@3bhPyMJji@6#_m_v`naxxN3tv%=YdC!8JlJ7TF#@Lx-${RN!Yno7sO zMn3Hs&S|gFx_odQ1DVq(H8hl;YEeih(R!5f0JsaEN$2f7U-L74(%9^jQk} z{N)X4tD(C>bJ~iX)zDqpkgf=7)(Yx^4c(*GV*OUMpDs!Cmn3=? znmFYRhQ%PShHj#v(PH3+2B)FPV&Dd2F$oUO_j&o# hZm&4fF3`iLy|sH?c;d<(RaxKTzk~OH{|B2jbPJ0aH+KL4 literal 0 HcmV?d00001 From 708c363635895e2f085b044188ae143c79ca5467 Mon Sep 17 00:00:00 2001 From: tildearrow Date: Thu, 13 Jul 2023 04:09:20 -0500 Subject: [PATCH 051/163] FixedQueue, part 2 --- src/engine/dispatch.h | 2 + src/engine/fixedQueue.h | 61 +++++++++++++++++++++++++++++- src/engine/platform/amiga.h | 1 - src/engine/platform/arcade.cpp | 2 +- src/engine/platform/arcade.h | 1 - src/engine/platform/ay.cpp | 2 +- src/engine/platform/ay.h | 5 ++- src/engine/platform/ay8930.cpp | 2 +- src/engine/platform/ay8930.h | 5 ++- src/engine/platform/bubsyswsg.h | 1 - src/engine/platform/c64.cpp | 2 +- src/engine/platform/c64.h | 5 ++- src/engine/platform/es5506.cpp | 23 +++++------ src/engine/platform/es5506.h | 24 ++++++------ src/engine/platform/fmsharedbase.h | 4 +- src/engine/platform/ga20.cpp | 8 ++-- src/engine/platform/ga20.h | 13 +++---- src/engine/platform/gb.cpp | 4 +- src/engine/platform/gb.h | 11 +++--- src/engine/platform/genesis.cpp | 4 +- src/engine/platform/k007232.cpp | 2 +- src/engine/platform/k007232.h | 5 ++- src/engine/platform/msm5232.cpp | 2 +- src/engine/platform/msm5232.h | 11 +++--- src/engine/platform/msm6258.cpp | 2 +- src/engine/platform/msm6258.h | 5 ++- src/engine/platform/msm6295.cpp | 4 +- src/engine/platform/msm6295.h | 5 ++- src/engine/platform/n163.cpp | 4 +- src/engine/platform/n163.h | 13 ++++--- src/engine/platform/namcowsg.cpp | 2 +- src/engine/platform/namcowsg.h | 11 +++--- src/engine/platform/opl.cpp | 2 +- src/engine/platform/opl.h | 5 ++- src/engine/platform/opll.cpp | 2 +- src/engine/platform/opll.h | 5 ++- src/engine/platform/pce.cpp | 2 +- src/engine/platform/pce.h | 8 ++-- src/engine/platform/pcmdac.h | 1 - src/engine/platform/pcspkr.h | 8 +++- src/engine/platform/pokey.cpp | 2 +- src/engine/platform/pokey.h | 11 +++--- src/engine/platform/pv1000.h | 1 - src/engine/platform/qsound.h | 1 - src/engine/platform/rf5c68.h | 1 - src/engine/platform/saa.cpp | 2 +- src/engine/platform/saa.h | 5 ++- src/engine/platform/scc.h | 1 - src/engine/platform/segapcm.cpp | 2 +- src/engine/platform/segapcm.h | 5 ++- src/engine/platform/sm8521.cpp | 2 +- src/engine/platform/sm8521.h | 11 +++--- src/engine/platform/sms.cpp | 2 +- src/engine/platform/sms.h | 5 ++- src/engine/platform/snes.h | 5 ++- src/engine/platform/su.cpp | 2 +- src/engine/platform/su.h | 11 +++--- src/engine/platform/swan.cpp | 4 +- src/engine/platform/swan.h | 13 ++++--- src/engine/platform/t6w28.cpp | 2 +- src/engine/platform/t6w28.h | 11 +++--- src/engine/platform/tia.h | 1 - src/engine/platform/tx81z.cpp | 2 +- src/engine/platform/tx81z.h | 2 +- src/engine/platform/vb.cpp | 2 +- src/engine/platform/vb.h | 11 +++--- src/engine/platform/vic20.h | 1 - src/engine/platform/vrc6.cpp | 2 +- src/engine/platform/vrc6.h | 11 +++--- src/engine/platform/ym2203.cpp | 2 +- src/engine/platform/ym2608.cpp | 2 +- src/engine/platform/ym2610.cpp | 2 +- src/engine/platform/ym2610b.cpp | 2 +- src/engine/platform/ymz280b.h | 1 - src/engine/platform/zxbeeper.cpp | 1 - src/engine/platform/zxbeeper.h | 7 ---- 76 files changed, 245 insertions(+), 182 deletions(-) diff --git a/src/engine/dispatch.h b/src/engine/dispatch.h index acb7af66..767db912 100644 --- a/src/engine/dispatch.h +++ b/src/engine/dispatch.h @@ -295,6 +295,8 @@ struct DivRegWrite { */ unsigned int addr; unsigned int val; + DivRegWrite(): + addr(0), val(0) {} DivRegWrite(unsigned int a, unsigned int v): addr(a), val(v) {} }; diff --git a/src/engine/fixedQueue.h b/src/engine/fixedQueue.h index 4b0d8129..afcf8afc 100644 --- a/src/engine/fixedQueue.h +++ b/src/engine/fixedQueue.h @@ -28,8 +28,14 @@ template struct FixedQueue { T data[items]; T& front(); + T& back(); bool pop(); bool push(const T& item); + + bool pop_front(); + bool pop_back(); + bool push_front(const T& item); + bool push_back(const T& item); void clear(); bool empty(); size_t size(); @@ -42,6 +48,11 @@ template T& FixedQueue::front() { return data[readPos]; } +template T& FixedQueue::back() { + if (writePos==0) return data[items-1]; + return data[writePos-1]; +} + template bool FixedQueue::pop() { if (readPos==writePos) return false; if (++readPos>=items) readPos=0; @@ -53,7 +64,7 @@ template bool FixedQueue::push(const T& item logW("queue overflow!"); return false; } - if (writePos==0 && readPos==items-1) { + if (writePos==items-1 && readPos==0) { logW("queue overflow!"); return false; } @@ -62,6 +73,54 @@ template bool FixedQueue::push(const T& item return true; } +template bool FixedQueue::pop_front() { + if (readPos==writePos) return false; + if (++readPos>=items) readPos=0; + return true; +} + +template bool FixedQueue::push_back(const T& item) { + if (writePos==(readPos-1)) { + logW("queue overflow!"); + return false; + } + if (writePos==items-1 && readPos==0) { + logW("queue overflow!"); + return false; + } + data[writePos]=item; + if (++writePos>=items) writePos=0; + return true; +} + +template bool FixedQueue::pop_back() { + if (readPos==writePos) return false; + if (writePos>0) { + writePos--; + } else { + writePos=items-1; + } + return true; +} + +template bool FixedQueue::push_front(const T& item) { + if (readPos==(writePos+1)) { + logW("stack overflow!"); + return false; + } + if (readPos==0 && writePos==items-1) { + logW("stack overflow!"); + return false; + } + data[readPos]=item; + if (readPos>0) { + readPos--; + } else { + readPos=items-1; + } + return true; +} + template void FixedQueue::clear() { readPos=0; writePos=0; diff --git a/src/engine/platform/amiga.h b/src/engine/platform/amiga.h index 1c793296..a05a5994 100644 --- a/src/engine/platform/amiga.h +++ b/src/engine/platform/amiga.h @@ -21,7 +21,6 @@ #define _AMIGA_H #include "../dispatch.h" -#include #include "../waveSynth.h" class DivPlatformAmiga: public DivDispatch { diff --git a/src/engine/platform/arcade.cpp b/src/engine/platform/arcade.cpp index 0e38bf96..20110b9d 100644 --- a/src/engine/platform/arcade.cpp +++ b/src/engine/platform/arcade.cpp @@ -878,7 +878,7 @@ void DivPlatformArcade::poke(std::vector& wlist) { } void DivPlatformArcade::reset() { - while (!writes.empty()) writes.pop_front(); + writes.clear(); memset(regPool,0,256); if (useYMFM) { fm_ymfm->reset(); diff --git a/src/engine/platform/arcade.h b/src/engine/platform/arcade.h index edcdd8d1..b5720f19 100644 --- a/src/engine/platform/arcade.h +++ b/src/engine/platform/arcade.h @@ -20,7 +20,6 @@ #ifndef _ARCADE_H #define _ARCADE_H #include "fmshared_OPM.h" -#include #include "../../../extern/opm/opm.h" #include "sound/ymfm/ymfm_opm.h" diff --git a/src/engine/platform/ay.cpp b/src/engine/platform/ay.cpp index c32a4ee2..5d9a5593 100644 --- a/src/engine/platform/ay.cpp +++ b/src/engine/platform/ay.cpp @@ -25,7 +25,7 @@ #include #define rWrite(a,v) if (!skipRegisterWrites) {pendingWrites[a]=v;} -#define immWrite(a,v) if (!skipRegisterWrites) {writes.emplace(regRemap(a),v); if (dumpWrites) {addWrite(regRemap(a),v);} } +#define immWrite(a,v) if (!skipRegisterWrites) {writes.push(QueuedWrite(regRemap(a),v)); if (dumpWrites) {addWrite(regRemap(a),v);} } #define CHIP_DIVIDER (extMode?extDiv:((sunsoft||clockSel)?16:8)) diff --git a/src/engine/platform/ay.h b/src/engine/platform/ay.h index 04e3aed1..999db1e5 100644 --- a/src/engine/platform/ay.h +++ b/src/engine/platform/ay.h @@ -20,7 +20,7 @@ #ifndef _AY_H #define _AY_H #include "../dispatch.h" -#include +#include "../fixedQueue.h" #include "sound/ay8910.h" class DivPlatformAY8910: public DivDispatch { @@ -89,9 +89,10 @@ class DivPlatformAY8910: public DivDispatch { unsigned short addr; unsigned char val; bool addrOrVal; + QueuedWrite(): addr(0), val(0), addrOrVal(false) {} QueuedWrite(unsigned short a, unsigned char v): addr(a), val(v), addrOrVal(false) {} }; - std::queue writes; + FixedQueue writes; ay8910_device* ay; DivDispatchOscBuffer* oscBuf[3]; unsigned char regPool[16]; diff --git a/src/engine/platform/ay8930.cpp b/src/engine/platform/ay8930.cpp index 8561548d..10421942 100644 --- a/src/engine/platform/ay8930.cpp +++ b/src/engine/platform/ay8930.cpp @@ -25,7 +25,7 @@ #include #define rWrite(a,v) if (!skipRegisterWrites) {pendingWrites[a]=v;} -#define immWrite2(a,v) if (!skipRegisterWrites) {writes.emplace(a,v); if (dumpWrites) {addWrite(a,v);} } +#define immWrite2(a,v) if (!skipRegisterWrites) {writes.push(QueuedWrite(a,v)); if (dumpWrites) {addWrite(a,v);} } #define CHIP_DIVIDER (clockSel?8:4) diff --git a/src/engine/platform/ay8930.h b/src/engine/platform/ay8930.h index 3b47cf0d..113aed91 100644 --- a/src/engine/platform/ay8930.h +++ b/src/engine/platform/ay8930.h @@ -20,7 +20,7 @@ #ifndef _AY8930_H #define _AY8930_H #include "../dispatch.h" -#include +#include "../fixedQueue.h" #include "sound/ay8910.h" class DivPlatformAY8930: public DivDispatch { @@ -99,9 +99,10 @@ class DivPlatformAY8930: public DivDispatch { unsigned short addr; unsigned char val; bool addrOrVal; + QueuedWrite(): addr(0), val(0), addrOrVal(false) {} QueuedWrite(unsigned short a, unsigned char v): addr(a), val(v), addrOrVal(false) {} }; - std::queue writes; + FixedQueue writes; ay8930_device* ay; DivDispatchOscBuffer* oscBuf[3]; unsigned char regPool[32]; diff --git a/src/engine/platform/bubsyswsg.h b/src/engine/platform/bubsyswsg.h index 784396bf..c3891bf6 100644 --- a/src/engine/platform/bubsyswsg.h +++ b/src/engine/platform/bubsyswsg.h @@ -21,7 +21,6 @@ #define _K005289_H #include "../dispatch.h" -#include #include "../waveSynth.h" #include "vgsound_emu/src/k005289/k005289.hpp" diff --git a/src/engine/platform/c64.cpp b/src/engine/platform/c64.cpp index 5c1521ab..6ca85ffe 100644 --- a/src/engine/platform/c64.cpp +++ b/src/engine/platform/c64.cpp @@ -23,7 +23,7 @@ #include #include "../../ta-log.h" -#define rWrite(a,v) if (!skipRegisterWrites) {writes.emplace(a,v); if (dumpWrites) {addWrite(a,v);} } +#define rWrite(a,v) if (!skipRegisterWrites) {writes.push(QueuedWrite(a,v)); if (dumpWrites) {addWrite(a,v);} } #define CHIP_FREQBASE 524288 diff --git a/src/engine/platform/c64.h b/src/engine/platform/c64.h index 5f67b956..0da59fc8 100644 --- a/src/engine/platform/c64.h +++ b/src/engine/platform/c64.h @@ -21,7 +21,7 @@ #define _C64_H #include "../dispatch.h" -#include +#include "../fixedQueue.h" #include "sound/c64/sid.h" #include "sound/c64_fp/SID.h" #include "sound/c64_d/dsid.h" @@ -62,9 +62,10 @@ class DivPlatformC64: public DivDispatch { struct QueuedWrite { unsigned char addr; unsigned char val; + QueuedWrite(): addr(0), val(0) {} QueuedWrite(unsigned char a, unsigned char v): addr(a), val(v) {} }; - std::queue writes; + FixedQueue writes; unsigned char filtControl, filtRes, vol; unsigned char writeOscBuf; diff --git a/src/engine/platform/es5506.cpp b/src/engine/platform/es5506.cpp index f388e860..adb342f3 100644 --- a/src/engine/platform/es5506.cpp +++ b/src/engine/platform/es5506.cpp @@ -26,9 +26,8 @@ #define PITCH_OFFSET ((double)(16*2048*(chanMax+1))) #define NOTE_ES5506(c,note) (parent->calcBaseFreq(chipClock,chan[c].pcm.freqOffs,note,false)) -#define rWrite(a,...) {if(!skipRegisterWrites) {hostIntf32.emplace(4,(a),__VA_ARGS__); }} -//#define rRead(a,st,...) {hostIntf32.emplace(st,4,(a),__VA_ARGS__);} -#define immWrite(a,...) {hostIntf32.emplace(4,(a),__VA_ARGS__);} +#define rWrite(a,...) {if(!skipRegisterWrites) {hostIntf32.push_back(QueuedHostIntf(4,(a),__VA_ARGS__)); }} +#define immWrite(a,...) {hostIntf32.push_back(QueuedHostIntf(4,(a),__VA_ARGS__));} #define pageWrite(p,a,...) \ if (!skipRegisterWrites) { \ if (curPage!=(p)) { \ @@ -118,15 +117,15 @@ void DivPlatformES5506::acquire(short** buf, size_t len) { while (!hostIntf32.empty()) { QueuedHostIntf w=hostIntf32.front(); if (w.isRead && (w.read!=NULL)) { - hostIntf8.emplace(w.state,0,w.addr,w.read,w.mask); - hostIntf8.emplace(w.state,1,w.addr,w.read,w.mask); - hostIntf8.emplace(w.state,2,w.addr,w.read,w.mask); - hostIntf8.emplace(w.state,3,w.addr,w.read,w.mask,w.delay); + hostIntf8.push(QueuedHostIntf(w.state,0,w.addr,w.read,w.mask)); + hostIntf8.push(QueuedHostIntf(w.state,1,w.addr,w.read,w.mask)); + hostIntf8.push(QueuedHostIntf(w.state,2,w.addr,w.read,w.mask)); + hostIntf8.push(QueuedHostIntf(w.state,3,w.addr,w.read,w.mask,w.delay)); } else { - hostIntf8.emplace(0,w.addr,w.val,w.mask); - hostIntf8.emplace(1,w.addr,w.val,w.mask); - hostIntf8.emplace(2,w.addr,w.val,w.mask); - hostIntf8.emplace(3,w.addr,w.val,w.mask,w.delay); + hostIntf8.push(QueuedHostIntf(0,w.addr,w.val,w.mask)); + hostIntf8.push(QueuedHostIntf(1,w.addr,w.val,w.mask)); + hostIntf8.push(QueuedHostIntf(2,w.addr,w.val,w.mask)); + hostIntf8.push(QueuedHostIntf(3,w.addr,w.val,w.mask,w.delay)); } hostIntf32.pop(); } @@ -1095,8 +1094,6 @@ DivMacroInt* DivPlatformES5506::getChanMacroInt(int ch) { void DivPlatformES5506::reset() { while (!hostIntf32.empty()) hostIntf32.pop(); while (!hostIntf8.empty()) hostIntf8.pop(); - while (!queuedRead.empty()) queuedRead.pop(); - while (!queuedReadState.empty()) queuedReadState.pop(); for (int i=0; i<32; i++) { chan[i]=DivPlatformES5506::Channel(); chan[i].std.setEngine(parent); diff --git a/src/engine/platform/es5506.h b/src/engine/platform/es5506.h index 1502d03e..b7658c52 100644 --- a/src/engine/platform/es5506.h +++ b/src/engine/platform/es5506.h @@ -22,7 +22,7 @@ #include "../dispatch.h" #include "../engine.h" -#include +#include "../fixedQueue.h" #include "../macroInt.h" #include "../sample.h" #include "vgsound_emu/src/es550x/es5506.hpp" @@ -238,6 +238,15 @@ class DivPlatformES5506: public DivDispatch, public es550x_intf { unsigned int* read; unsigned short delay; bool isRead; + QueuedHostIntf(): + state(0), + step(0), + addr(0), + val(0), + mask(0), + read(NULL), + delay(0), + isRead(false) {} QueuedHostIntf(unsigned char s, unsigned char a, unsigned int v, unsigned int m=(unsigned int)(~0), unsigned short d=0): state(0), step(s), @@ -257,17 +266,8 @@ class DivPlatformES5506: public DivDispatch, public es550x_intf { delay(d), isRead(true) {} }; - struct QueuedReadState { - unsigned int* read; - unsigned char state; - QueuedReadState(unsigned int* r, unsigned char s): - read(r), - state(s) {} - }; - std::queue hostIntf32; - std::queue hostIntf8; - std::queue queuedRead; - std::queue queuedReadState; + FixedQueue hostIntf32; + FixedQueue hostIntf8; int cycle, curPage, volScale; unsigned char maskedVal; unsigned int irqv; diff --git a/src/engine/platform/fmsharedbase.h b/src/engine/platform/fmsharedbase.h index b08bda9f..c0fb7dd2 100644 --- a/src/engine/platform/fmsharedbase.h +++ b/src/engine/platform/fmsharedbase.h @@ -22,7 +22,7 @@ #include "../dispatch.h" #include "../instrument.h" -#include +#include "../fixedQueue.h" #define KVS(x,y) ((chan[x].state.op[y].kvs==2 && isOutput[chan[x].state.alg][y]) || chan[x].state.op[y].kvs==1) @@ -82,7 +82,7 @@ class DivPlatformFMBase: public DivDispatch { QueuedWrite(): addr(0), val(0), addrOrVal(false) {} QueuedWrite(unsigned short a, unsigned char v): addr(a), val(v), addrOrVal(false) {} }; - std::deque writes; + FixedQueue writes; unsigned char lastBusy; int delay; diff --git a/src/engine/platform/ga20.cpp b/src/engine/platform/ga20.cpp index 7794d61a..901927dd 100644 --- a/src/engine/platform/ga20.cpp +++ b/src/engine/platform/ga20.cpp @@ -22,7 +22,7 @@ #include "../../ta-log.h" #include -#define rWrite(a,v) {if(!skipRegisterWrites) {writes.emplace(a,v); if(dumpWrites) addWrite(a,v);}} +#define rWrite(a,v) {if(!skipRegisterWrites) {writes.push(QueuedWrite(a,v)); if(dumpWrites) addWrite(a,v);}} #define CHIP_DIVIDER 64 @@ -68,7 +68,7 @@ void DivPlatformGA20::acquire(short** buf, size_t len) { ga20.write(w.addr,w.val); regPool[w.addr]=w.val; writes.pop(); - delay=w.delay; + delay=1; } } short *buffer[4]={ @@ -361,9 +361,7 @@ DivDispatchOscBuffer* DivPlatformGA20::getOscBuffer(int ch) { } void DivPlatformGA20::reset() { - while (!writes.empty()) { - writes.pop(); - } + writes.clear(); memset(regPool,0,32); ga20.device_reset(); delay=0; diff --git a/src/engine/platform/ga20.h b/src/engine/platform/ga20.h index 1e06378f..691b68f0 100644 --- a/src/engine/platform/ga20.h +++ b/src/engine/platform/ga20.h @@ -21,7 +21,7 @@ #define _GA20_H #include "../dispatch.h" -#include +#include "../fixedQueue.h" #include "../macroInt.h" #include "sound/ga20/iremga20.h" @@ -47,15 +47,14 @@ class DivPlatformGA20: public DivDispatch, public iremga20_intf { DivDispatchOscBuffer* oscBuf[4]; bool isMuted[4]; struct QueuedWrite { - unsigned short addr; + unsigned char addr; unsigned char val; - unsigned short delay; - QueuedWrite(unsigned short a, unsigned char v, unsigned short d=1): + QueuedWrite(): addr(0), val(0) {} + QueuedWrite(unsigned char a, unsigned char v): addr(a), - val(v), - delay(d) {} + val(v) {} }; - std::queue writes; + FixedQueue writes; unsigned int sampleOffGA20[256]; bool sampleLoaded[256]; diff --git a/src/engine/platform/gb.cpp b/src/engine/platform/gb.cpp index a475b7da..8d61b334 100644 --- a/src/engine/platform/gb.cpp +++ b/src/engine/platform/gb.cpp @@ -22,8 +22,8 @@ #include "../../ta-log.h" #include -#define rWrite(a,v) if (!skipRegisterWrites) {writes.emplace(a,v); regPool[(a)&0x7f]=v; if (dumpWrites) {addWrite(a,v);} } -#define immWrite(a,v) {writes.emplace(a,v); regPool[(a)&0x7f]=v; if (dumpWrites) {addWrite(a,v);} } +#define rWrite(a,v) if (!skipRegisterWrites) {writes.push(QueuedWrite(a,v)); regPool[(a)&0x7f]=v; if (dumpWrites) {addWrite(a,v);} } +#define immWrite(a,v) {writes.push(QueuedWrite(a,v)); regPool[(a)&0x7f]=v; if (dumpWrites) {addWrite(a,v);} } #define CHIP_DIVIDER 16 diff --git a/src/engine/platform/gb.h b/src/engine/platform/gb.h index 8ba70a91..e68a94f8 100644 --- a/src/engine/platform/gb.h +++ b/src/engine/platform/gb.h @@ -23,7 +23,7 @@ #include "../dispatch.h" #include "../waveSynth.h" #include "sound/gb/gb.h" -#include +#include "../fixedQueue.h" class DivPlatformGB: public DivDispatch { struct Channel: public SharedChannel { @@ -62,11 +62,12 @@ class DivPlatformGB: public DivDispatch { unsigned char lastPan; DivWaveSynth ws; struct QueuedWrite { - unsigned char addr; - unsigned char val; - QueuedWrite(unsigned char a, unsigned char v): addr(a), val(v) {} + unsigned char addr; + unsigned char val; + QueuedWrite(): addr(0), val(0) {} + QueuedWrite(unsigned char a, unsigned char v): addr(a), val(v) {} }; - std::queue writes; + FixedQueue writes; int antiClickPeriodCount, antiClickWavePos; diff --git a/src/engine/platform/genesis.cpp b/src/engine/platform/genesis.cpp index fcd96972..20e87d61 100644 --- a/src/engine/platform/genesis.cpp +++ b/src/engine/platform/genesis.cpp @@ -284,7 +284,7 @@ void DivPlatformGenesis::acquire(short** buf, size_t len) { } void DivPlatformGenesis::fillStream(std::vector& stream, int sRate, size_t len) { - while (!writes.empty()) writes.pop_front(); + writes.clear(); for (size_t i=0; ireset(); diff --git a/src/engine/platform/k007232.cpp b/src/engine/platform/k007232.cpp index bb0bf7a9..d1037988 100644 --- a/src/engine/platform/k007232.cpp +++ b/src/engine/platform/k007232.cpp @@ -22,7 +22,7 @@ #include "../../ta-log.h" #include -#define rWrite(a,v) {if(!skipRegisterWrites) {writes.emplace(a,v); if(dumpWrites) addWrite(a,v);}} +#define rWrite(a,v) {if(!skipRegisterWrites) {writes.push(QueuedWrite(a,v)); if(dumpWrites) addWrite(a,v);}} #define CHIP_DIVIDER 64 diff --git a/src/engine/platform/k007232.h b/src/engine/platform/k007232.h index 842310da..b1025f57 100644 --- a/src/engine/platform/k007232.h +++ b/src/engine/platform/k007232.h @@ -21,7 +21,7 @@ #define _K007232_H #include "../dispatch.h" -#include +#include "../fixedQueue.h" #include "../macroInt.h" #include "vgsound_emu/src/k007232/k007232.hpp" @@ -57,12 +57,13 @@ class DivPlatformK007232: public DivDispatch, public k007232_intf { unsigned short addr; unsigned char val; unsigned short delay; + QueuedWrite(): addr(0), val(0), delay(1) {} QueuedWrite(unsigned short a, unsigned char v, unsigned short d=1): addr(a), val(v), delay(d) {} }; - std::queue writes; + FixedQueue writes; unsigned int sampleOffK007232[256]; bool sampleLoaded[256]; diff --git a/src/engine/platform/msm5232.cpp b/src/engine/platform/msm5232.cpp index 55df6128..4a810728 100644 --- a/src/engine/platform/msm5232.cpp +++ b/src/engine/platform/msm5232.cpp @@ -23,7 +23,7 @@ #include //#define rWrite(a,v) pendingWrites[a]=v; -#define rWrite(a,v) if (!skipRegisterWrites) {writes.emplace(a,v); if (dumpWrites) {addWrite(a,v);} } +#define rWrite(a,v) if (!skipRegisterWrites) {writes.push(QueuedWrite(a,v)); if (dumpWrites) {addWrite(a,v);} } #define NOTE_LINEAR(x) ((x)<<7) diff --git a/src/engine/platform/msm5232.h b/src/engine/platform/msm5232.h index b1d83cf0..abdb72f0 100644 --- a/src/engine/platform/msm5232.h +++ b/src/engine/platform/msm5232.h @@ -21,7 +21,7 @@ #define _MSM5232_H #include "../dispatch.h" -#include +#include "../fixedQueue.h" #include "sound/oki/msm5232.h" class DivPlatformMSM5232: public DivDispatch { @@ -46,11 +46,12 @@ class DivPlatformMSM5232: public DivDispatch { unsigned char groupAR[2]; unsigned char groupDR[2]; struct QueuedWrite { - unsigned char addr; - unsigned char val; - QueuedWrite(unsigned char a, unsigned char v): addr(a), val(v) {} + unsigned char addr; + unsigned char val; + QueuedWrite(): addr(0), val(0) {} + QueuedWrite(unsigned char a, unsigned char v): addr(a), val(v) {} }; - std::queue writes; + FixedQueue writes; int cycles, curChan, delay, detune, clockDriftAccum; unsigned int clockDriftLFOPos, clockDriftLFOSpeed; diff --git a/src/engine/platform/msm6258.cpp b/src/engine/platform/msm6258.cpp index 31002a9c..7f4d2e63 100644 --- a/src/engine/platform/msm6258.cpp +++ b/src/engine/platform/msm6258.cpp @@ -24,7 +24,7 @@ #include #include -#define rWrite(a,v) if (!skipRegisterWrites) {writes.emplace(a,v); if (dumpWrites) {addWrite(a,v);} } +#define rWrite(a,v) if (!skipRegisterWrites) {writes.push(QueuedWrite(a,v)); if (dumpWrites) {addWrite(a,v);} } const char** DivPlatformMSM6258::getRegisterSheet() { return NULL; diff --git a/src/engine/platform/msm6258.h b/src/engine/platform/msm6258.h index 0c19d976..2c18d90c 100644 --- a/src/engine/platform/msm6258.h +++ b/src/engine/platform/msm6258.h @@ -21,7 +21,7 @@ #define _MSM6258_H #include "../dispatch.h" -#include +#include "../fixedQueue.h" #include "sound/oki/okim6258.h" class DivPlatformMSM6258: public DivDispatch { @@ -42,9 +42,10 @@ class DivPlatformMSM6258: public DivDispatch { struct QueuedWrite { unsigned short addr; unsigned char val; + QueuedWrite(): addr(0), val(0) {} QueuedWrite(unsigned short a, unsigned char v): addr(a), val(v) {} }; - std::queue writes; + FixedQueue writes; okim6258_device* msm; unsigned char lastBusy; diff --git a/src/engine/platform/msm6295.cpp b/src/engine/platform/msm6295.cpp index 2aff0006..3d823d16 100644 --- a/src/engine/platform/msm6295.cpp +++ b/src/engine/platform/msm6295.cpp @@ -23,8 +23,8 @@ #include #include -#define rWrite(a,v) if (!skipRegisterWrites) {writes.emplace(a,v); if (dumpWrites) {addWrite(a,v);} } -#define rWriteDelay(a,v,d) if (!skipRegisterWrites) {writes.emplace(a,v,d); if (dumpWrites) {addWrite(a,v);} } +#define rWrite(a,v) if (!skipRegisterWrites) {writes.push(QueuedWrite(a,v)); if (dumpWrites) {addWrite(a,v);} } +#define rWriteDelay(a,v,d) if (!skipRegisterWrites) {writes.push(QueuedWrite(a,v,d)); if (dumpWrites) {addWrite(a,v);} } const char** DivPlatformMSM6295::getRegisterSheet() { return NULL; diff --git a/src/engine/platform/msm6295.h b/src/engine/platform/msm6295.h index df140693..dfa59a0c 100644 --- a/src/engine/platform/msm6295.h +++ b/src/engine/platform/msm6295.h @@ -21,7 +21,7 @@ #define _MSM6295_H #include "../dispatch.h" -#include +#include "../fixedQueue.h" #include "vgsound_emu/src/msm6295/msm6295.hpp" class DivPlatformMSM6295: public DivDispatch, public vgsound_emu_mem_intf { @@ -41,12 +41,13 @@ class DivPlatformMSM6295: public DivDispatch, public vgsound_emu_mem_intf { unsigned short addr; unsigned char val; unsigned short delay; + QueuedWrite(): addr(0), val(0), delay(96) {} QueuedWrite(unsigned short a, unsigned char v, unsigned short d=96): addr(a), val(v), delay(d) {} }; - std::queue writes; + FixedQueue writes; msm6295_core msm; unsigned char lastBusy; diff --git a/src/engine/platform/n163.cpp b/src/engine/platform/n163.cpp index 1063349d..7e10a01e 100644 --- a/src/engine/platform/n163.cpp +++ b/src/engine/platform/n163.cpp @@ -23,8 +23,8 @@ #include #define rRead(a,v) n163.addr_w(a); n163.data_r(v); -#define rWrite(a,v) if (!skipRegisterWrites) {writes.emplace(a,v); if (dumpWrites) {addWrite(a,v);} } -#define rWriteMask(a,v,m) if (!skipRegisterWrites) {writes.emplace(a,v,m); if (dumpWrites) {addWrite(a,v);} } +#define rWrite(a,v) if (!skipRegisterWrites) {writes.push(QueuedWrite(a,v)); if (dumpWrites) {addWrite(a,v);} } +#define rWriteMask(a,v,m) if (!skipRegisterWrites) {writes.push(QueuedWrite(a,v,m)); if (dumpWrites) {addWrite(a,v);} } #define chWrite(c,a,v) \ if (c<=chanMax) { \ rWrite(0x78-(c<<3)+(a&7),v) \ diff --git a/src/engine/platform/n163.h b/src/engine/platform/n163.h index 49c0ff05..0c5e5913 100644 --- a/src/engine/platform/n163.h +++ b/src/engine/platform/n163.h @@ -21,7 +21,7 @@ #define _N163_H #include "../dispatch.h" -#include +#include "../fixedQueue.h" #include "../waveSynth.h" #include "vgsound_emu/src/n163/n163.hpp" @@ -54,12 +54,13 @@ class DivPlatformN163: public DivDispatch { DivDispatchOscBuffer* oscBuf[8]; bool isMuted[8]; struct QueuedWrite { - unsigned char addr; - unsigned char val; - unsigned char mask; - QueuedWrite(unsigned char a, unsigned char v, unsigned char m=~0): addr(a), val(v), mask(m) {} + unsigned char addr; + unsigned char val; + unsigned char mask; + QueuedWrite(): addr(0), val(0), mask(~0) {} + QueuedWrite(unsigned char a, unsigned char v, unsigned char m=~0): addr(a), val(v), mask(m) {} }; - std::queue writes; + FixedQueue writes; unsigned char initChanMax; unsigned char chanMax; short loadWave, loadPos, loadLen; diff --git a/src/engine/platform/namcowsg.cpp b/src/engine/platform/namcowsg.cpp index 088f1e63..5b804b23 100644 --- a/src/engine/platform/namcowsg.cpp +++ b/src/engine/platform/namcowsg.cpp @@ -22,7 +22,7 @@ #include //#define rWrite(a,v) pendingWrites[a]=v; -#define rWrite(a,v) if (!skipRegisterWrites) {writes.emplace(a,v); if (dumpWrites) {addWrite(a,v);} } +#define rWrite(a,v) if (!skipRegisterWrites) {writes.push(QueuedWrite(a,v)); if (dumpWrites) {addWrite(a,v);} } #define CHIP_FREQBASE 4194304 diff --git a/src/engine/platform/namcowsg.h b/src/engine/platform/namcowsg.h index 9d418a9d..6aaef095 100644 --- a/src/engine/platform/namcowsg.h +++ b/src/engine/platform/namcowsg.h @@ -21,7 +21,7 @@ #define _NAMCOWSG_H #include "../dispatch.h" -#include +#include "../fixedQueue.h" #include "../waveSynth.h" #include "sound/namco.h" @@ -41,11 +41,12 @@ class DivPlatformNamcoWSG: public DivDispatch { DivDispatchOscBuffer* oscBuf[8]; bool isMuted[8]; struct QueuedWrite { - unsigned short addr; - unsigned char val; - QueuedWrite(unsigned short a, unsigned char v): addr(a), val(v) {} + unsigned short addr; + unsigned char val; + QueuedWrite(): addr(0), val(0) {} + QueuedWrite(unsigned short a, unsigned char v): addr(a), val(v) {} }; - std::queue writes; + FixedQueue writes; namco_audio_device* namco; int devType, chans; diff --git a/src/engine/platform/opl.cpp b/src/engine/platform/opl.cpp index d340aa31..3578dbd9 100644 --- a/src/engine/platform/opl.cpp +++ b/src/engine/platform/opl.cpp @@ -24,7 +24,7 @@ #include #define rWrite(a,v) if (!skipRegisterWrites) {pendingWrites[a]=v;} -#define immWrite(a,v) if (!skipRegisterWrites) {writes.emplace(a,v); if (dumpWrites) {addWrite(a,v);} } +#define immWrite(a,v) if (!skipRegisterWrites) {writes.push(QueuedWrite(a,v)); if (dumpWrites) {addWrite(a,v);} } #define KVSL(x,y) ((chan[x].state.op[orderedOpsL1[ops==4][y]].kvs==2 && isOutputL[ops==4][chan[x].state.alg][y]) || chan[x].state.op[orderedOpsL1[ops==4][y]].kvs==1) diff --git a/src/engine/platform/opl.h b/src/engine/platform/opl.h index aae0e800..f4881c29 100644 --- a/src/engine/platform/opl.h +++ b/src/engine/platform/opl.h @@ -21,7 +21,7 @@ #define _OPL_H #include "../dispatch.h" -#include +#include "../fixedQueue.h" #include "../../../extern/opl/opl3.h" #include "sound/ymfm/ymfm_adpcm.h" @@ -64,9 +64,10 @@ class DivPlatformOPL: public DivDispatch { unsigned short addr; unsigned char val; bool addrOrVal; + QueuedWrite(): addr(0), val(0), addrOrVal(false) {} QueuedWrite(unsigned short a, unsigned char v): addr(a), val(v), addrOrVal(false) {} }; - std::queue writes; + FixedQueue writes; opl3_chip fm; unsigned char* adpcmBMem; size_t adpcmBMemLen; diff --git a/src/engine/platform/opll.cpp b/src/engine/platform/opll.cpp index 38b892e8..d081fabc 100644 --- a/src/engine/platform/opll.cpp +++ b/src/engine/platform/opll.cpp @@ -24,7 +24,7 @@ #include #define rWrite(a,v) if (!skipRegisterWrites) {pendingWrites[a]=v;} -#define immWrite(a,v) if (!skipRegisterWrites) {writes.emplace(a,v); if (dumpWrites) {addWrite(a,v);} } +#define immWrite(a,v) if (!skipRegisterWrites) {writes.push(QueuedWrite(a,v)); if (dumpWrites) {addWrite(a,v);} } #define CHIP_FREQBASE 1180068 diff --git a/src/engine/platform/opll.h b/src/engine/platform/opll.h index 4e72936d..7333f729 100644 --- a/src/engine/platform/opll.h +++ b/src/engine/platform/opll.h @@ -21,7 +21,7 @@ #define _OPLL_H #include "../dispatch.h" -#include +#include "../fixedQueue.h" extern "C" { #include "../../../extern/Nuked-OPLL/opll.h" @@ -50,9 +50,10 @@ class DivPlatformOPLL: public DivDispatch { unsigned short addr; unsigned char val; bool addrOrVal; + QueuedWrite(): addr(0), val(0), addrOrVal(false) {} QueuedWrite(unsigned short a, unsigned char v): addr(a), val(v), addrOrVal(false) {} }; - std::queue writes; + FixedQueue writes; opll_t fm; int delay, lastCustomMemory; unsigned char lastBusy; diff --git a/src/engine/platform/pce.cpp b/src/engine/platform/pce.cpp index 8066bff2..b6f43e2d 100644 --- a/src/engine/platform/pce.cpp +++ b/src/engine/platform/pce.cpp @@ -531,7 +531,7 @@ int DivPlatformPCE::getRegisterPoolSize() { } void DivPlatformPCE::reset() { - while (!writes.empty()) writes.pop(); + writes.clear(); memset(regPool,0,128); for (int i=0; i<6; i++) { chan[i]=DivPlatformPCE::Channel(); diff --git a/src/engine/platform/pce.h b/src/engine/platform/pce.h index 45279179..baca7770 100644 --- a/src/engine/platform/pce.h +++ b/src/engine/platform/pce.h @@ -60,10 +60,10 @@ class DivPlatformPCE: public DivDispatch { bool antiClickEnabled; bool updateLFO; struct QueuedWrite { - unsigned char addr; - unsigned char val; - QueuedWrite(): addr(0), val(9) {} - QueuedWrite(unsigned char a, unsigned char v): addr(a), val(v) {} + unsigned char addr; + unsigned char val; + QueuedWrite(): addr(0), val(9) {} + QueuedWrite(unsigned char a, unsigned char v): addr(a), val(v) {} }; FixedQueue writes; unsigned char lastPan; diff --git a/src/engine/platform/pcmdac.h b/src/engine/platform/pcmdac.h index f9435e3e..8ef10149 100644 --- a/src/engine/platform/pcmdac.h +++ b/src/engine/platform/pcmdac.h @@ -21,7 +21,6 @@ #define _PCM_DAC_H #include "../dispatch.h" -#include #include "../waveSynth.h" class DivPlatformPCMDAC: public DivDispatch { diff --git a/src/engine/platform/pcspkr.h b/src/engine/platform/pcspkr.h index 23b3c0b4..0437a90c 100644 --- a/src/engine/platform/pcspkr.h +++ b/src/engine/platform/pcspkr.h @@ -21,7 +21,7 @@ #define _PCSPKR_H #include "../dispatch.h" -#include +#include "../fixedQueue.h" #include #include #include @@ -40,12 +40,16 @@ class DivPlatformPCSpeaker: public DivDispatch { struct RealQueueVal { int tv_sec, tv_nsec; unsigned short val; + RealQueueVal(): + tv_sec(0), + tv_nsec(0), + val(0) {} RealQueueVal(int sec, int nsec, unsigned short v): tv_sec(sec), tv_nsec(nsec), val(v) {} }; - std::queue realQueue; + FixedQueue realQueue; std::mutex realQueueLock; bool isMuted[1]; bool on, flip, lastOn, realOutEnabled; diff --git a/src/engine/platform/pokey.cpp b/src/engine/platform/pokey.cpp index 790346e1..08b6da9f 100644 --- a/src/engine/platform/pokey.cpp +++ b/src/engine/platform/pokey.cpp @@ -21,7 +21,7 @@ #include "../engine.h" #include "../../ta-log.h" -#define rWrite(a,v) if (!skipRegisterWrites) {writes.emplace(a,v); if (dumpWrites) {addWrite(a,v);} } +#define rWrite(a,v) if (!skipRegisterWrites) {writes.push(QueuedWrite(a,v)); if (dumpWrites) {addWrite(a,v);} } #define CHIP_DIVIDER 1 diff --git a/src/engine/platform/pokey.h b/src/engine/platform/pokey.h index b5087517..979f6075 100644 --- a/src/engine/platform/pokey.h +++ b/src/engine/platform/pokey.h @@ -21,7 +21,7 @@ #define _POKEY_H #include "../dispatch.h" -#include +#include "../fixedQueue.h" extern "C" { #include "sound/pokey/mzpokeysnd.h" @@ -43,11 +43,12 @@ class DivPlatformPOKEY: public DivDispatch { DivDispatchOscBuffer* oscBuf[4]; bool isMuted[4]; struct QueuedWrite { - unsigned char addr; - unsigned char val; - QueuedWrite(unsigned char a, unsigned char v): addr(a), val(v) {} + unsigned char addr; + unsigned char val; + QueuedWrite(): addr(0), val(0) {} + QueuedWrite(unsigned char a, unsigned char v): addr(a), val(v) {} }; - std::queue writes; + FixedQueue writes; unsigned char audctl, skctl; bool audctlChanged, skctlChanged; unsigned char oscBufDelay; diff --git a/src/engine/platform/pv1000.h b/src/engine/platform/pv1000.h index 852bf120..740f16c4 100644 --- a/src/engine/platform/pv1000.h +++ b/src/engine/platform/pv1000.h @@ -22,7 +22,6 @@ #include "../dispatch.h" #include "sound/d65modified.h" -#include class DivPlatformPV1000: public DivDispatch { struct Channel: public SharedChannel { diff --git a/src/engine/platform/qsound.h b/src/engine/platform/qsound.h index 9c090f19..aff53f67 100644 --- a/src/engine/platform/qsound.h +++ b/src/engine/platform/qsound.h @@ -21,7 +21,6 @@ #define _QSOUND_H #include "../dispatch.h" -#include #include "sound/qsound.h" class DivPlatformQSound: public DivDispatch { diff --git a/src/engine/platform/rf5c68.h b/src/engine/platform/rf5c68.h index 94ced515..4ba40318 100644 --- a/src/engine/platform/rf5c68.h +++ b/src/engine/platform/rf5c68.h @@ -21,7 +21,6 @@ #define _RF5C68_H #include "../dispatch.h" -#include #include "sound/rf5c68.h" class DivPlatformRF5C68: public DivDispatch { diff --git a/src/engine/platform/saa.cpp b/src/engine/platform/saa.cpp index 7682d318..803a6cad 100644 --- a/src/engine/platform/saa.cpp +++ b/src/engine/platform/saa.cpp @@ -23,7 +23,7 @@ #include #include -#define rWrite(a,v) if (!skipRegisterWrites) {writes.emplace(a,v); if (dumpWrites) {addWrite(a,v);} } +#define rWrite(a,v) if (!skipRegisterWrites) {writes.push(QueuedWrite(a,v)); if (dumpWrites) {addWrite(a,v);} } #define CHIP_DIVIDER 2 diff --git a/src/engine/platform/saa.h b/src/engine/platform/saa.h index 43e3cc87..ffd79db7 100644 --- a/src/engine/platform/saa.h +++ b/src/engine/platform/saa.h @@ -21,7 +21,7 @@ #define _SAA_H #include "../dispatch.h" -#include +#include "../fixedQueue.h" #include "../../../extern/SAASound/src/SAASound.h" class DivPlatformSAA1099: public DivDispatch { @@ -44,9 +44,10 @@ class DivPlatformSAA1099: public DivDispatch { unsigned short addr; unsigned char val; bool addrOrVal; + QueuedWrite(): addr(0), val(0), addrOrVal(false) {} QueuedWrite(unsigned short a, unsigned char v): addr(a), val(v), addrOrVal(false) {} }; - std::queue writes; + FixedQueue writes; CSAASound* saa_saaSound; unsigned char regPool[32]; unsigned char lastBusy; diff --git a/src/engine/platform/scc.h b/src/engine/platform/scc.h index b8b892af..f075753a 100644 --- a/src/engine/platform/scc.h +++ b/src/engine/platform/scc.h @@ -21,7 +21,6 @@ #define _SCC_H #include "../dispatch.h" -#include #include "../waveSynth.h" #include "vgsound_emu/src/scc/scc.hpp" diff --git a/src/engine/platform/segapcm.cpp b/src/engine/platform/segapcm.cpp index 20032a1b..b9af8a91 100644 --- a/src/engine/platform/segapcm.cpp +++ b/src/engine/platform/segapcm.cpp @@ -23,7 +23,7 @@ #include #include -#define rWrite(a,v) if (!skipRegisterWrites) {writes.emplace(a,v); if (dumpWrites) {addWrite(a,v);} } +#define rWrite(a,v) if (!skipRegisterWrites) {writes.push(QueuedWrite(a,v)); if (dumpWrites) {addWrite(a,v);} } #define chWrite(c,a,v) rWrite(((c)<<3)+(a),v) void DivPlatformSegaPCM::acquire(short** buf, size_t len) { diff --git a/src/engine/platform/segapcm.h b/src/engine/platform/segapcm.h index b818306b..067054fe 100644 --- a/src/engine/platform/segapcm.h +++ b/src/engine/platform/segapcm.h @@ -23,7 +23,7 @@ #include "../dispatch.h" #include "../instrument.h" #include "sound/segapcm.h" -#include +#include "../fixedQueue.h" class DivPlatformSegaPCM: public DivDispatch { protected: @@ -59,9 +59,10 @@ class DivPlatformSegaPCM: public DivDispatch { unsigned short addr; unsigned char val; bool addrOrVal; + QueuedWrite(): addr(0), val(0), addrOrVal(false) {} QueuedWrite(unsigned short a, unsigned char v): addr(a), val(v), addrOrVal(false) {} }; - std::queue writes; + FixedQueue writes; segapcm_device pcm; int delay; int pcmL, pcmR, pcmCycles; diff --git a/src/engine/platform/sm8521.cpp b/src/engine/platform/sm8521.cpp index e72616bd..52c3442c 100644 --- a/src/engine/platform/sm8521.cpp +++ b/src/engine/platform/sm8521.cpp @@ -22,7 +22,7 @@ #include //#define rWrite(a,v) pendingWrites[a]=v; -#define rWrite(a,v) if (!skipRegisterWrites) {writes.emplace(a,v); if (dumpWrites) {addWrite(a,v);} } +#define rWrite(a,v) if (!skipRegisterWrites) {writes.push(QueuedWrite(a,v)); if (dumpWrites) {addWrite(a,v);} } #define CHIP_DIVIDER 64 diff --git a/src/engine/platform/sm8521.h b/src/engine/platform/sm8521.h index b0a119fb..02e2f458 100644 --- a/src/engine/platform/sm8521.h +++ b/src/engine/platform/sm8521.h @@ -21,7 +21,7 @@ #define _SM8521_H #include "../dispatch.h" -#include +#include "../fixedQueue.h" #include "../waveSynth.h" #include "sound/sm8521.h" @@ -46,11 +46,12 @@ class DivPlatformSM8521: public DivDispatch { DivDispatchOscBuffer* oscBuf[3]; bool isMuted[3]; struct QueuedWrite { - unsigned short addr; - unsigned char val; - QueuedWrite(unsigned short a, unsigned char v): addr(a), val(v) {} + unsigned short addr; + unsigned char val; + QueuedWrite(): addr(0), val(0) {} + QueuedWrite(unsigned short a, unsigned char v): addr(a), val(v) {} }; - std::queue writes; + FixedQueue writes; bool antiClickEnabled; struct sm8521_t sm8521; diff --git a/src/engine/platform/sms.cpp b/src/engine/platform/sms.cpp index f8858aab..640f364e 100644 --- a/src/engine/platform/sms.cpp +++ b/src/engine/platform/sms.cpp @@ -22,7 +22,7 @@ #include "../../ta-log.h" #include -#define rWrite(a,v) {if (!skipRegisterWrites) {writes.emplace(a,v); if (dumpWrites) {addWrite(a,v);}}} +#define rWrite(a,v) {if (!skipRegisterWrites) {writes.push(QueuedWrite(a,v)); if (dumpWrites) {addWrite(a,v);}}} const char* regCheatSheetSN[]={ "DATA", "0", diff --git a/src/engine/platform/sms.h b/src/engine/platform/sms.h index efdfff44..692c0a42 100644 --- a/src/engine/platform/sms.h +++ b/src/engine/platform/sms.h @@ -25,7 +25,7 @@ extern "C" { #include "../../../extern/Nuked-PSG/ympsg.h" } -#include +#include "../fixedQueue.h" class DivPlatformSMS: public DivDispatch { struct Channel: public SharedChannel { @@ -59,9 +59,10 @@ class DivPlatformSMS: public DivDispatch { unsigned short addr; unsigned char val; bool addrOrVal; + QueuedWrite(): addr(0), val(0), addrOrVal(false) {} QueuedWrite(unsigned short a, unsigned char v): addr(a), val(v), addrOrVal(false) {} }; - std::queue writes; + FixedQueue writes; friend void putDispatchChip(void*,int); friend void putDispatchChan(void*,int,int); diff --git a/src/engine/platform/snes.h b/src/engine/platform/snes.h index 68637be8..cec51c0c 100644 --- a/src/engine/platform/snes.h +++ b/src/engine/platform/snes.h @@ -22,7 +22,7 @@ #include "../dispatch.h" #include "../waveSynth.h" -#include +#include "../fixedQueue.h" #include "sound/snes/SPC_DSP.h" class DivPlatformSNES: public DivDispatch { @@ -81,9 +81,10 @@ class DivPlatformSNES: public DivDispatch { struct QueuedWrite { unsigned char addr; unsigned char val; + QueuedWrite(): addr(0), val(0) {} QueuedWrite(unsigned char a, unsigned char v): addr(a), val(v) {} }; - std::queue writes; + FixedQueue writes; signed char sampleMem[65536]; signed char copyOfSampleMem[65536]; diff --git a/src/engine/platform/su.cpp b/src/engine/platform/su.cpp index 728d7a91..b38b9f86 100644 --- a/src/engine/platform/su.cpp +++ b/src/engine/platform/su.cpp @@ -23,7 +23,7 @@ #include //#define rWrite(a,v) pendingWrites[a]=v; -#define rWrite(a,v) if (!skipRegisterWrites) {writes.emplace(a,v); if (dumpWrites) {addWrite(a,v);} } +#define rWrite(a,v) if (!skipRegisterWrites) {writes.push(QueuedWrite(a,v)); if (dumpWrites) {addWrite(a,v);} } #define chWrite(c,a,v) rWrite(((c)<<5)|(a),v); #define CHIP_DIVIDER 2 diff --git a/src/engine/platform/su.h b/src/engine/platform/su.h index de67c2fa..d83ae477 100644 --- a/src/engine/platform/su.h +++ b/src/engine/platform/su.h @@ -21,7 +21,7 @@ #define _SU_H #include "../dispatch.h" -#include +#include "../fixedQueue.h" #include "sound/su.h" class DivPlatformSoundUnit: public DivDispatch { @@ -72,11 +72,12 @@ class DivPlatformSoundUnit: public DivDispatch { DivDispatchOscBuffer* oscBuf[8]; bool isMuted[8]; struct QueuedWrite { - unsigned char addr; - unsigned char val; - QueuedWrite(unsigned char a, unsigned char v): addr(a), val(v) {} + unsigned char addr; + unsigned char val; + QueuedWrite(): addr(0), val(0) {} + QueuedWrite(unsigned char a, unsigned char v): addr(a), val(v) {} }; - std::queue writes; + FixedQueue writes; unsigned char lastPan; bool sampleMemSize; unsigned char ilCtrl, ilSize, fil1; diff --git a/src/engine/platform/swan.cpp b/src/engine/platform/swan.cpp index f2fc6d43..04039e6e 100644 --- a/src/engine/platform/swan.cpp +++ b/src/engine/platform/swan.cpp @@ -21,8 +21,8 @@ #include "../engine.h" #include -#define rWrite(a,v) if (!skipRegisterWrites) {writes.emplace(a,v); if (dumpWrites) {addWrite(a,v);}} -#define postWrite(a,v) postDACWrites.emplace(a,v); +#define rWrite(a,v) if (!skipRegisterWrites) {writes.push(QueuedWrite(a,v)); if (dumpWrites) {addWrite(a,v);}} +#define postWrite(a,v) postDACWrites.push(DivRegWrite(a,v)); #define CHIP_DIVIDER 32 diff --git a/src/engine/platform/swan.h b/src/engine/platform/swan.h index cff6cc62..72ddae39 100644 --- a/src/engine/platform/swan.h +++ b/src/engine/platform/swan.h @@ -23,7 +23,7 @@ #include "../dispatch.h" #include "../waveSynth.h" #include "sound/swan.h" -#include +#include "../fixedQueue.h" class DivPlatformSwan: public DivDispatch { struct Channel: public SharedChannel { @@ -46,12 +46,13 @@ class DivPlatformSwan: public DivDispatch { unsigned char regPool[0x80]; struct QueuedWrite { - unsigned char addr; - unsigned char val; - QueuedWrite(unsigned char a, unsigned char v): addr(a), val(v) {} + unsigned char addr; + unsigned char val; + QueuedWrite(): addr(0), val(0) {} + QueuedWrite(unsigned char a, unsigned char v): addr(a), val(v) {} }; - std::queue writes; - std::queue postDACWrites; + FixedQueue writes; + FixedQueue postDACWrites; WSwan* ws; void updateWave(int ch); friend void putDispatchChip(void*,int); diff --git a/src/engine/platform/t6w28.cpp b/src/engine/platform/t6w28.cpp index 5d21e1ad..90140da9 100644 --- a/src/engine/platform/t6w28.cpp +++ b/src/engine/platform/t6w28.cpp @@ -23,7 +23,7 @@ #include //#define rWrite(a,v) pendingWrites[a]=v; -#define rWrite(a,v) if (!skipRegisterWrites) {writes.emplace(a,v); if (dumpWrites) {addWrite(a,v);} } +#define rWrite(a,v) if (!skipRegisterWrites) {writes.push(QueuedWrite(a,v)); if (dumpWrites) {addWrite(a,v);} } const char* regCheatSheetT6W28[]={ "Data0", "0", diff --git a/src/engine/platform/t6w28.h b/src/engine/platform/t6w28.h index d324a09c..33c03a88 100644 --- a/src/engine/platform/t6w28.h +++ b/src/engine/platform/t6w28.h @@ -21,7 +21,7 @@ #define _T6W28_H #include "../dispatch.h" -#include +#include "../fixedQueue.h" #include "sound/t6w28/T6W28_Apu.h" class DivPlatformT6W28: public DivDispatch { @@ -38,11 +38,12 @@ class DivPlatformT6W28: public DivDispatch { bool isMuted[4]; bool easyNoise; struct QueuedWrite { - unsigned char addr; - unsigned char val; - QueuedWrite(unsigned char a, unsigned char v): addr(a), val(v) {} + unsigned char addr; + unsigned char val; + QueuedWrite(): addr(0), val(0) {} + QueuedWrite(unsigned char a, unsigned char v): addr(a), val(v) {} }; - std::queue writes; + FixedQueue writes; unsigned char lastPan; int cycles, curChan, delay; diff --git a/src/engine/platform/tia.h b/src/engine/platform/tia.h index 95ae9917..4e7420b7 100644 --- a/src/engine/platform/tia.h +++ b/src/engine/platform/tia.h @@ -21,7 +21,6 @@ #define _TIA_H #include "../dispatch.h" -#include #include "sound/tia/Audio.h" class DivPlatformTIA: public DivDispatch { diff --git a/src/engine/platform/tx81z.cpp b/src/engine/platform/tx81z.cpp index 86128340..6e70895b 100644 --- a/src/engine/platform/tx81z.cpp +++ b/src/engine/platform/tx81z.cpp @@ -986,7 +986,7 @@ void DivPlatformTX81Z::poke(std::vector& wlist) { } void DivPlatformTX81Z::reset() { - while (!writes.empty()) writes.pop_front(); + writes.clear(); memset(regPool,0,330); fm_ymfm->reset(); if (dumpWrites) { diff --git a/src/engine/platform/tx81z.h b/src/engine/platform/tx81z.h index d1ebd543..d0bc759c 100644 --- a/src/engine/platform/tx81z.h +++ b/src/engine/platform/tx81z.h @@ -21,7 +21,7 @@ #define _TX81Z_H #include "fmshared_OPM.h" -#include +#include "../fixedQueue.h" #include "sound/ymfm/ymfm_opz.h" class DivTXInterface: public ymfm::ymfm_interface { diff --git a/src/engine/platform/vb.cpp b/src/engine/platform/vb.cpp index 3701b5aa..9edaf2db 100644 --- a/src/engine/platform/vb.cpp +++ b/src/engine/platform/vb.cpp @@ -22,7 +22,7 @@ #include //#define rWrite(a,v) pendingWrites[a]=v; -#define rWrite(a,v) if (!skipRegisterWrites) {writes.emplace(a,v); if (dumpWrites) {addWrite(a,v);} } +#define rWrite(a,v) if (!skipRegisterWrites) {writes.push(QueuedWrite(a,v)); if (dumpWrites) {addWrite(a,v);} } #define chWrite(c,a,v) rWrite(0x400+((c)<<6)+((a)<<2),v); #define CHIP_DIVIDER 16 diff --git a/src/engine/platform/vb.h b/src/engine/platform/vb.h index 09193f25..2efcdd1b 100644 --- a/src/engine/platform/vb.h +++ b/src/engine/platform/vb.h @@ -21,7 +21,7 @@ #define _PLATFORM_VB_H #include "../dispatch.h" -#include +#include "../fixedQueue.h" #include "../waveSynth.h" #include "sound/vsu.h" @@ -44,11 +44,12 @@ class DivPlatformVB: public DivDispatch { DivDispatchOscBuffer* oscBuf[6]; bool isMuted[6]; struct QueuedWrite { - unsigned short addr; - unsigned char val; - QueuedWrite(unsigned short a, unsigned char v): addr(a), val(v) {} + unsigned short addr; + unsigned char val; + QueuedWrite(): addr(0), val(0) {} + QueuedWrite(unsigned short a, unsigned char v): addr(a), val(v) {} }; - std::queue writes; + FixedQueue writes; unsigned char lastPan; int cycles, curChan, delay; diff --git a/src/engine/platform/vic20.h b/src/engine/platform/vic20.h index 5125bd96..e233d984 100644 --- a/src/engine/platform/vic20.h +++ b/src/engine/platform/vic20.h @@ -22,7 +22,6 @@ #include "../dispatch.h" #include "sound/vic20sound.h" -#include class DivPlatformVIC20: public DivDispatch { struct Channel: public SharedChannel { diff --git a/src/engine/platform/vrc6.cpp b/src/engine/platform/vrc6.cpp index b52bc106..96088d93 100644 --- a/src/engine/platform/vrc6.cpp +++ b/src/engine/platform/vrc6.cpp @@ -22,7 +22,7 @@ #include #include -#define rWrite(a,v) if (!skipRegisterWrites) {writes.emplace(a,v); if (dumpWrites) {addWrite(a,v);} } +#define rWrite(a,v) if (!skipRegisterWrites) {writes.push(QueuedWrite(a,v)); if (dumpWrites) {addWrite(a,v);} } #define chWrite(c,a,v) rWrite(0x9000+(c<<12)+(a&3),v) const char* regCheatSheetVRC6[]={ diff --git a/src/engine/platform/vrc6.h b/src/engine/platform/vrc6.h index 5a241610..df0aa92e 100644 --- a/src/engine/platform/vrc6.h +++ b/src/engine/platform/vrc6.h @@ -20,7 +20,7 @@ #ifndef _VRC6_H #define _VRC6_H -#include +#include "../fixedQueue.h" #include "../dispatch.h" #include "vgsound_emu/src/vrcvi/vrcvi.hpp" @@ -47,11 +47,12 @@ class DivPlatformVRC6: public DivDispatch, public vrcvi_intf { DivDispatchOscBuffer* oscBuf[3]; bool isMuted[3]; struct QueuedWrite { - unsigned short addr; - unsigned char val; - QueuedWrite(unsigned short a, unsigned char v): addr(a), val(v) {} + unsigned short addr; + unsigned char val; + QueuedWrite(): addr(0), val(0) {} + QueuedWrite(unsigned short a, unsigned char v): addr(a), val(v) {} }; - std::queue writes; + FixedQueue writes; unsigned char sampleBank; unsigned char writeOscBuf; vrcvi_core vrc6; diff --git a/src/engine/platform/ym2203.cpp b/src/engine/platform/ym2203.cpp index 135ad140..68cccca4 100644 --- a/src/engine/platform/ym2203.cpp +++ b/src/engine/platform/ym2203.cpp @@ -974,7 +974,7 @@ void DivPlatformYM2203::poke(std::vector& wlist) { } void DivPlatformYM2203::reset() { - while (!writes.empty()) writes.pop_front(); + writes.clear(); memset(regPool,0,256); if (dumpWrites) { addWrite(0xffffffff,0); diff --git a/src/engine/platform/ym2608.cpp b/src/engine/platform/ym2608.cpp index 589d90d3..02a5ffa0 100644 --- a/src/engine/platform/ym2608.cpp +++ b/src/engine/platform/ym2608.cpp @@ -1481,7 +1481,7 @@ void DivPlatformYM2608::poke(std::vector& wlist) { } void DivPlatformYM2608::reset() { - while (!writes.empty()) writes.pop_front(); + writes.clear(); memset(regPool,0,512); if (dumpWrites) { addWrite(0xffffffff,0); diff --git a/src/engine/platform/ym2610.cpp b/src/engine/platform/ym2610.cpp index 66c2f411..4fbf7859 100644 --- a/src/engine/platform/ym2610.cpp +++ b/src/engine/platform/ym2610.cpp @@ -1441,7 +1441,7 @@ void DivPlatformYM2610::poke(std::vector& wlist) { } void DivPlatformYM2610::reset() { - while (!writes.empty()) writes.pop_front(); + writes.clear(); memset(regPool,0,512); if (dumpWrites) { addWrite(0xffffffff,0); diff --git a/src/engine/platform/ym2610b.cpp b/src/engine/platform/ym2610b.cpp index d38ad525..4fff4727 100644 --- a/src/engine/platform/ym2610b.cpp +++ b/src/engine/platform/ym2610b.cpp @@ -1508,7 +1508,7 @@ void DivPlatformYM2610B::poke(std::vector& wlist) { } void DivPlatformYM2610B::reset() { - while (!writes.empty()) writes.pop_front(); + writes.clear(); memset(regPool,0,512); if (dumpWrites) { addWrite(0xffffffff,0); diff --git a/src/engine/platform/ymz280b.h b/src/engine/platform/ymz280b.h index 3fb4a7dc..14a67dc9 100644 --- a/src/engine/platform/ymz280b.h +++ b/src/engine/platform/ymz280b.h @@ -21,7 +21,6 @@ #define _YMZ280B_H #include "../dispatch.h" -#include #include "sound/ymz280b.h" class DivPlatformYMZ280B: public DivDispatch { diff --git a/src/engine/platform/zxbeeper.cpp b/src/engine/platform/zxbeeper.cpp index 047dc2cb..3592c049 100644 --- a/src/engine/platform/zxbeeper.cpp +++ b/src/engine/platform/zxbeeper.cpp @@ -260,7 +260,6 @@ int DivPlatformZXBeeper::getRegisterPoolSize() { } void DivPlatformZXBeeper::reset() { - while (!writes.empty()) writes.pop(); memset(regPool,0,128); for (int i=0; i<6; i++) { chan[i]=DivPlatformZXBeeper::Channel(); diff --git a/src/engine/platform/zxbeeper.h b/src/engine/platform/zxbeeper.h index 3e120354..9bd3678a 100644 --- a/src/engine/platform/zxbeeper.h +++ b/src/engine/platform/zxbeeper.h @@ -21,7 +21,6 @@ #define _ZXBEEPER_H #include "../dispatch.h" -#include class DivPlatformZXBeeper: public DivDispatch { struct Channel: public SharedChannel { @@ -35,12 +34,6 @@ class DivPlatformZXBeeper: public DivDispatch { Channel chan[6]; DivDispatchOscBuffer* oscBuf[6]; bool isMuted[6]; - struct QueuedWrite { - unsigned char addr; - unsigned char val; - QueuedWrite(unsigned char a, unsigned char v): addr(a), val(v) {} - }; - std::queue writes; unsigned char lastPan, ulaOut; int cycles, curChan, sOffTimer, delay, curSample, curSamplePeriod; From e93f649bfb0110fb29d7cc399133389ec782ba2d Mon Sep 17 00:00:00 2001 From: tildearrow Date: Thu, 13 Jul 2023 04:23:01 -0500 Subject: [PATCH 052/163] FixedQueue, part 3 --- src/engine/fixedQueue.h | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/engine/fixedQueue.h b/src/engine/fixedQueue.h index afcf8afc..ad43f160 100644 --- a/src/engine/fixedQueue.h +++ b/src/engine/fixedQueue.h @@ -112,12 +112,12 @@ template bool FixedQueue::push_front(const T logW("stack overflow!"); return false; } - data[readPos]=item; if (readPos>0) { readPos--; } else { readPos=items-1; } + data[readPos]=item; return true; } From 9c97291e9c0e9bc9cfb98e839668bd7f913fb4c5 Mon Sep 17 00:00:00 2001 From: tildearrow Date: Thu, 13 Jul 2023 16:03:47 -0500 Subject: [PATCH 053/163] MSM6258: don't use auto --- src/engine/platform/sound/oki/okim6258.cpp | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/engine/platform/sound/oki/okim6258.cpp b/src/engine/platform/sound/oki/okim6258.cpp index 728507df..5bf6714d 100644 --- a/src/engine/platform/sound/oki/okim6258.cpp +++ b/src/engine/platform/sound/oki/okim6258.cpp @@ -137,7 +137,7 @@ void okim6258_device::device_reset() void okim6258_device::sound_stream_update(short** outputs, int len) { - auto &buffer = outputs[0]; + short* buffer = outputs[0]; if (m_status & STATUS_PLAYING) { From ed7265645d457bba9b213d327061e238b7f9c1ba Mon Sep 17 00:00:00 2001 From: Electric Keet Date: Thu, 13 Jul 2023 16:35:55 -0700 Subject: [PATCH 054/163] Document standard instr. noise modes. --- doc/4-instrument/standard.md | 20 ++++++++++++++------ 1 file changed, 14 insertions(+), 6 deletions(-) diff --git a/doc/4-instrument/standard.md b/doc/4-instrument/standard.md index 2da6e160..11272356 100644 --- a/doc/4-instrument/standard.md +++ b/doc/4-instrument/standard.md @@ -2,9 +2,17 @@ The instrument editor for NES and PSG (SMS, MSX, and such) consists of these macros: -- **Volume**: volume -- **Arpeggio**: pitch in half-steps -- **Duty**: duty cycle and noise mode for NES channels. _Note:_ This has no effect on Sega Master System. -- **Panning**: output for left and right channels -- **Pitch**: fine pitch -- **Phase Reset**: trigger restart of waveform \ No newline at end of file +- **Volume**: volume. +- **Arpeggio**: pitch in half-steps. +- **Duty**: duty cycle and noise mode. + - NES noise modes: + - `0`: long noise. + - `1`: short noise. + - PSG noise modes: + - `0`: short noise, preset frequencies. + - `1`: long noise, preset frequencies. + - `2`: short noise, use channel 3 for frequency. + - `3`: long noise, use channel 3 for frequency. +- **Panning**: output for left and right channels. +- **Pitch**: fine pitch. +- **Phase Reset**: trigger restart of waveform. \ No newline at end of file From 7cfdad6367bdc8b4ebc7ff198e0fbd20d0d71281 Mon Sep 17 00:00:00 2001 From: Electric Keet Date: Thu, 13 Jul 2023 17:19:00 -0700 Subject: [PATCH 055/163] Split NES and PSG instrument docs. --- doc/4-instrument/README.md | 227 +++++++++++++++++++------------------ doc/4-instrument/nes.md | 18 +++ doc/4-instrument/psg.md | 14 +++ 3 files changed, 146 insertions(+), 113 deletions(-) create mode 100644 doc/4-instrument/nes.md create mode 100644 doc/4-instrument/psg.md diff --git a/doc/4-instrument/README.md b/doc/4-instrument/README.md index f33126dd..bd00b6b8 100644 --- a/doc/4-instrument/README.md +++ b/doc/4-instrument/README.md @@ -1,113 +1,114 @@ -# instrument list - -![instrument list](list.png) - -click on an instrument to select it. - -double-click to open the instrument editor. - -# instrument editor - -every instrument can be renamed and have its type changed. - -depending on the instrument type, there are many different types of instrument editor: - -- [FM synthesis](fm.md) - for use with YM2612, YM2151 and FM block portion of YM2610. -- [Standard](standard.md) - for use with NES and Sega Master System's PSG sound source and its derivatives. -- [Game Boy](game-boy.md) - for use with Game Boy APU. -- [PC Engine / TurboGrafx-16](pce.md) - for use with PC Engine's wavetable synthesizer. -- [WonderSwan](wonderswan.md) - for use with WonderSwan's wavetable synthesizer. -- [AY8930](8930.md) - for use with Microchip AY8930 E-PSG sound source. -- [Commodore 64](c64.md) - for use with Commodore 64 SID. -- [SAA1099](saa.md) - for use with Philips SAA1099 PSG sound source. -- [TIA](tia.md) - for use with Atari 2600 chip. -- [AY-3-8910](ay8910.md) - for use with AY-3-8910 PSG sound source and SSG portion in YM2610. -- [Amiga / sample](amiga.md) for controlling Amiga and other sample based synthsizers like YM2612's Channel 6 PCM mode, NES channel 5, Sega PCM, X1-010 and PC Engine's sample playback mode. -- [Atari Lynx](lynx.md) - for use with Atari Lynx handheld console. -- [VERA](vera.md) - for use with Commander X16 VERA. -- [Seta/Allumer X1-010](x1_010.md) - for use with Wavetable portion in Seta/Allumer X1-010. -- [Konami SCC / Bubble System WSG](scc.md) - for use with Konami SCC and Wavetable portion in Bubble System's sound hardware. -- [Namco 163](n163.md) - for use with Namco 163. -- [Konami VRC6](vrc6.md) - for use with VRC6's PSG sound source. -- [SNES](snes.md) - for use with SNES S-APU. -- [Casio PV-1000](pv1000.md) - for use with Casio PV-1000. - - -# macros - -Macros are incredibly versatile tools for automating instrument parameters. - -After creating an instrument, open the Instrument Editor and select the "Macros" tab. There may be multiple macro tabs to control individual FM operators and such. - -![macro view](macroview.png) - -The very first numeric entry sets the visible width of the bars in sequence-type macros. The scrollbar affects the view of all macros at once. There's a matching scrollbar at the bottom underneath all the macros. - -Each macro has two buttons on the left. -- Macro type (explained below). -- Timing editor, which pops up a small dialog: - - Step Length (ticks): Determines how many ticks pass before each change of value. - - Delay: Delays the start of the macro until this many ticks have passed. - -## macro types - -Every macro can be defined though one of three methods, selectable with the leftmost button under the macro type label: - -- ![](macro-button-seq.png) **Sequence:** displayed as a bar graph, this is a sequence of numeric values. -- ![](macro-button-ADSR.png) **ADSR:** this is a traditional ADSR envelope, defined by the rate of increase and decrease of value over time. -- ![](macro-button-LFO.png) **LFO:** the Low Frequency Oscillator generates a repeating wave of values. - -Some macros are "bitmap" style. They represent a number of "bits" that can be toggled individually, and the values listed represent the sum of which bits are turned on. - -### sequence - -![sequence macro editor](macro-seq.png) - -The number between the macro type label and the macro type button is the macro length in steps. The `-` and `+` buttons change the length of the macro. Start out by adding at least a few steps. - -The values of the macro can be drawn in the "bar graph box". Just beneath the box is shorter bar graph. -- Click to set the start point of a loop; the end point is the last value or release point. Right-click to remove the loop. -- Shift-click to set the release point. When played, the macro will hold here until the note is released. Right-click to remove the release point. - -Finally, the sequence of values can be directly edited in the text box at the bottom. -- The loop start is entered as a `|`. -- The release point is entered as a `/`. -- In arpeggio macros, a value starting with a `@` is an absolute note (instead of a relative shift). No matter the note played, `@` values will be played at that exact note. This is especially useful for noise instruments with preset periods. - -### ADSR - -![ADSR macro editor](macro-ADSR.png) - -- **Bottom** and **Top** determine the range of outputs generated by the macro. (Bottom can be larger than Top to invert the envelope!) All outputs will be between these two values. -- Attack, Decay, Sustain, SusDecay, and Release accept inputs between 0 to 255. These are scaled to the distance between Bottom and Top. -- **Attack** is how much the value moves toward Top with each tick. -- **Hold** sets how many ticks to stay at Top before Decay. -- **Decay** is how much the value moves to the Sustain level. -- **Sustain** is how far from Bottom the value stays while the note is held. -- **SusTime** is how many ticks to stay at Sustain until SusDecay. -- **SusDecay** is how much the value moves toward Bottom with each tick while the note is held. -- **Release** is how much the value moves toward Bottom with each tick after the note is released. - -![macro ADSR chart](macro-ADSRchart.png) - -### LFO - -![LFO macro editor](macro-LFO.png) - -- **Bottom** and **Top** determine the range of values generated by the macro. (Bottom can be larger than Top to invert the waveform!) -- **Speed** is how quickly the values change - the frequency of the oscillator. -- **Phase** is which part of the waveform the macro will start at, measured in 1/1024 increments. -- **Shape** is the waveform used. Triangle is the default, and Saw and Square are exactly as they say. - -# samples - -This tab appears for Generic PCM, SNES, Amiga, and other sample-based instruments. - -![](sample-map.png) - -- **Initial Sample**: the sample that the instrument will use. -- **Use wavetable**: instead of samples, use wavetables. this causes the [Wavetables](../5-wave/README.md) tab to appear next to Sample. - - depending on the system and use of the wavetable synthesizer, this may or may not be reproducible on hardware. -- **Use sample map**: assigns a sample to each note. - - samples will be played at their default pitch. - - to set a note's sample, click the list entry in the `#` column then type the number of the sample. +# instrument list + +![instrument list](list.png) + +click on an instrument to select it. + +double-click to open the instrument editor. + +# instrument editor + +every instrument can be renamed and have its type changed. + +depending on the instrument type, there are many different types of instrument editor: + +- [FM synthesis](fm.md) - for use with YM2612, YM2151 and FM block portion of YM2610. +- [PSG](psg.md) - for use with TI SN76489 and derivatives like Sega Master System's PSG. +- [NES](nes.md) - for use with NES. +- [Game Boy](game-boy.md) - for use with Game Boy APU. +- [PC Engine / TurboGrafx-16](pce.md) - for use with PC Engine's wavetable synthesizer. +- [WonderSwan](wonderswan.md) - for use with WonderSwan's wavetable synthesizer. +- [AY8930](8930.md) - for use with Microchip AY8930 E-PSG sound source. +- [Commodore 64](c64.md) - for use with Commodore 64 SID. +- [SAA1099](saa.md) - for use with Philips SAA1099 PSG sound source. +- [TIA](tia.md) - for use with Atari 2600 chip. +- [AY-3-8910](ay8910.md) - for use with AY-3-8910 PSG sound source and SSG portion in YM2610. +- [Amiga / sample](amiga.md) for controlling Amiga and other sample based synthsizers like YM2612's Channel 6 PCM mode, NES channel 5, Sega PCM, X1-010 and PC Engine's sample playback mode. +- [Atari Lynx](lynx.md) - for use with Atari Lynx handheld console. +- [VERA](vera.md) - for use with Commander X16 VERA. +- [Seta/Allumer X1-010](x1_010.md) - for use with Wavetable portion in Seta/Allumer X1-010. +- [Konami SCC / Bubble System WSG](scc.md) - for use with Konami SCC and Wavetable portion in Bubble System's sound hardware. +- [Namco 163](n163.md) - for use with Namco 163. +- [Konami VRC6](vrc6.md) - for use with VRC6's PSG sound source. +- [SNES](snes.md) - for use with SNES S-APU. +- [Casio PV-1000](pv1000.md) - for use with Casio PV-1000. + + +# macros + +Macros are incredibly versatile tools for automating instrument parameters. + +After creating an instrument, open the Instrument Editor and select the "Macros" tab. There may be multiple macro tabs to control individual FM operators and such. + +![macro view](macroview.png) + +The very first numeric entry sets the visible width of the bars in sequence-type macros. The scrollbar affects the view of all macros at once. There's a matching scrollbar at the bottom underneath all the macros. + +Each macro has two buttons on the left. +- Macro type (explained below). +- Timing editor, which pops up a small dialog: + - Step Length (ticks): Determines how many ticks pass before each change of value. + - Delay: Delays the start of the macro until this many ticks have passed. + +## macro types + +Every macro can be defined though one of three methods, selectable with the leftmost button under the macro type label: + +- ![](macro-button-seq.png) **Sequence:** displayed as a bar graph, this is a sequence of numeric values. +- ![](macro-button-ADSR.png) **ADSR:** this is a traditional ADSR envelope, defined by the rate of increase and decrease of value over time. +- ![](macro-button-LFO.png) **LFO:** the Low Frequency Oscillator generates a repeating wave of values. + +Some macros are "bitmap" style. They represent a number of "bits" that can be toggled individually, and the values listed represent the sum of which bits are turned on. + +### sequence + +![sequence macro editor](macro-seq.png) + +The number between the macro type label and the macro type button is the macro length in steps. The `-` and `+` buttons change the length of the macro. Start out by adding at least a few steps. + +The values of the macro can be drawn in the "bar graph box". Just beneath the box is shorter bar graph. +- Click to set the start point of a loop; the end point is the last value or release point. Right-click to remove the loop. +- Shift-click to set the release point. When played, the macro will hold here until the note is released. Right-click to remove the release point. + +Finally, the sequence of values can be directly edited in the text box at the bottom. +- The loop start is entered as a `|`. +- The release point is entered as a `/`. +- In arpeggio macros, a value starting with a `@` is an absolute note (instead of a relative shift). No matter the note played, `@` values will be played at that exact note. This is especially useful for noise instruments with preset periods. + +### ADSR + +![ADSR macro editor](macro-ADSR.png) + +- **Bottom** and **Top** determine the range of outputs generated by the macro. (Bottom can be larger than Top to invert the envelope!) All outputs will be between these two values. +- Attack, Decay, Sustain, SusDecay, and Release accept inputs between 0 to 255. These are scaled to the distance between Bottom and Top. +- **Attack** is how much the value moves toward Top with each tick. +- **Hold** sets how many ticks to stay at Top before Decay. +- **Decay** is how much the value moves to the Sustain level. +- **Sustain** is how far from Bottom the value stays while the note is held. +- **SusTime** is how many ticks to stay at Sustain until SusDecay. +- **SusDecay** is how much the value moves toward Bottom with each tick while the note is held. +- **Release** is how much the value moves toward Bottom with each tick after the note is released. + +![macro ADSR chart](macro-ADSRchart.png) + +### LFO + +![LFO macro editor](macro-LFO.png) + +- **Bottom** and **Top** determine the range of values generated by the macro. (Bottom can be larger than Top to invert the waveform!) +- **Speed** is how quickly the values change - the frequency of the oscillator. +- **Phase** is which part of the waveform the macro will start at, measured in 1/1024 increments. +- **Shape** is the waveform used. Triangle is the default, and Saw and Square are exactly as they say. + +# samples + +This tab appears for Generic PCM, SNES, Amiga, and other sample-based instruments. + +![](sample-map.png) + +- **Initial Sample**: the sample that the instrument will use. +- **Use wavetable**: instead of samples, use wavetables. this causes the [Wavetables](../5-wave/README.md) tab to appear next to Sample. + - depending on the system and use of the wavetable synthesizer, this may or may not be reproducible on hardware. +- **Use sample map**: assigns a sample to each note. + - samples will be played at their default pitch. + - to set a note's sample, click the list entry in the `#` column then type the number of the sample. diff --git a/doc/4-instrument/nes.md b/doc/4-instrument/nes.md new file mode 100644 index 00000000..d8df859a --- /dev/null +++ b/doc/4-instrument/nes.md @@ -0,0 +1,18 @@ +# Standard instrument editor + +The instrument editor for NES consists of these macros: + +- **Volume**: volume. +- **Arpeggio**: pitch in half-steps. +- **Duty**: duty cycle and noise mode. + - pulse duty cycles: + - `0`: 12.5% + - `1`: 25% + - `2`: 50% + - `3`: 75% + - noise modes: + - `0`: long noise. + - `1`: short noise. +- **Panning**: output for left and right channels. +- **Pitch**: fine pitch. +- **Phase Reset**: trigger restart of waveform. \ No newline at end of file diff --git a/doc/4-instrument/psg.md b/doc/4-instrument/psg.md new file mode 100644 index 00000000..2f692af1 --- /dev/null +++ b/doc/4-instrument/psg.md @@ -0,0 +1,14 @@ +# PSG instrument editor + +The instrument editor for PSG (SMS, MSX, and other TI SN76489 derivatives) consists of these macros: + +- **Volume**: volume. +- **Arpeggio**: pitch in half-steps. +- **Duty**: noise mode. + - `0`: short noise, preset frequencies. + - `1`: long noise, preset frequencies. + - `2`: short noise, use channel 3 for frequency. + - `3`: long noise, use channel 3 for frequency. +- **Panning**: output for left and right channels. +- **Pitch**: fine pitch. +- **Phase Reset**: trigger restart of waveform. \ No newline at end of file From 573f8d5b3bef4f2e63e4c7683b845dc1f1dda637 Mon Sep 17 00:00:00 2001 From: Electric Keet Date: Thu, 13 Jul 2023 17:19:56 -0700 Subject: [PATCH 056/163] Deleting old NES.PSG instrument doc. --- doc/4-instrument/standard.md | 18 ------------------ 1 file changed, 18 deletions(-) delete mode 100644 doc/4-instrument/standard.md diff --git a/doc/4-instrument/standard.md b/doc/4-instrument/standard.md deleted file mode 100644 index 11272356..00000000 --- a/doc/4-instrument/standard.md +++ /dev/null @@ -1,18 +0,0 @@ -# Standard instrument editor - -The instrument editor for NES and PSG (SMS, MSX, and such) consists of these macros: - -- **Volume**: volume. -- **Arpeggio**: pitch in half-steps. -- **Duty**: duty cycle and noise mode. - - NES noise modes: - - `0`: long noise. - - `1`: short noise. - - PSG noise modes: - - `0`: short noise, preset frequencies. - - `1`: long noise, preset frequencies. - - `2`: short noise, use channel 3 for frequency. - - `3`: long noise, use channel 3 for frequency. -- **Panning**: output for left and right channels. -- **Pitch**: fine pitch. -- **Phase Reset**: trigger restart of waveform. \ No newline at end of file From ce92fde2bfc0e23c461bbe992c7cb255efdc3a37 Mon Sep 17 00:00:00 2001 From: freq-mod <32672779+freq-mod@users.noreply.github.com> Date: Fri, 14 Jul 2023 09:06:32 +0200 Subject: [PATCH 057/163] Update msm6295.md table --- doc/7-systems/msm6295.md | 32 +++++++++++++++++--------------- 1 file changed, 17 insertions(+), 15 deletions(-) diff --git a/doc/7-systems/msm6295.md b/doc/7-systems/msm6295.md index 8b0d4eca..89cdd732 100644 --- a/doc/7-systems/msm6295.md +++ b/doc/7-systems/msm6295.md @@ -9,21 +9,23 @@ an upgrade from 6258 - it provides 4 ADPCM channels, at max 32 KHz (still no var ## chip clock rates like MSM6258, MSM295 is an extremely basic ADPCM sound codec. it has no variable frequency rate, it depends on clock rate of a chip itself. Furnace supports following rates: -- 1 MHz, resulting sample rate is 7576 Hz -- 1.056 MHz, resulting sample rate is 8000 Hz -- 1.02 MHz, resulting sample rate is 7727 Hz -- 1.193 MHz, resulting sample rate is 9038 Hz -- 0.89 MHz, resulting sample rate is 6742 Hz -- 0.875 MHz, resulting sample rate is 6629 Hz -- 0.9375 MHz resulting sample rate is 7102 Hz -- 1.5 MHz, resulting sample rate is 11364 Hz -- 1.79 MHz, resulting sample rate is 13561 Hz -- 2 MHz, resulting sample rate is 15152 Hz -- 2.112 MHz, resulting sample rate is 16000 Hz -- 3 MHz, resulting sample rate is 22728 Hz -- 3.58 MHz, resulting sample rate is 27122 Hz -- 4 MHz, resulting sample rate is 30304 Hz -- 4.224 MHz resulting sample rate is 32000 Hz +| Clock rate | Sampling rate | +|--------------------|---------------| +| 1 MHz | 7576 Hz | +| 1.02 MHz | 7727 Hz | +| 1.056 MHz | 8000 Hz | +| 1.193 MHz | 9038 Hz | +| 0.89 MHz | 6742 Hz | +| 0.875 MHz | 6629 Hz | +| 0.9375 MHz | 7102 Hz | +| 1.5 MHz | 11364 Hz | +| 1.79 MHz | 13561 Hz | +| 2 MHz | 15152Hz | +| 2.112 MHz | 16000 Hz | +| 3 MHz | 22728 Hz | +| 3.58 MHz | 27122 Hz | +| 4 MHz | 30304 Hz | +| 4.224 MHz | 32000 Hz | ## chip clock divisor From cc8b91e1bb8118ad56d7060d3a6cb916404df46d Mon Sep 17 00:00:00 2001 From: freq-mod <32672779+freq-mod@users.noreply.github.com> Date: Fri, 14 Jul 2023 09:27:57 +0200 Subject: [PATCH 058/163] Update msm6258.md --- doc/7-systems/msm6258.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/doc/7-systems/msm6258.md b/doc/7-systems/msm6258.md index bca26249..42ded4f3 100644 --- a/doc/7-systems/msm6258.md +++ b/doc/7-systems/msm6258.md @@ -6,7 +6,7 @@ Furnace's implementation is MSM6258V, a CPU driven variant that is unlimited by # effects ... -# config flags +# chip config ## chip clock rates From 163d607cf3c84b258583108199838dd4f39e2020 Mon Sep 17 00:00:00 2001 From: freq-mod <32672779+freq-mod@users.noreply.github.com> Date: Fri, 14 Jul 2023 09:28:46 +0200 Subject: [PATCH 059/163] Update msm6295.md --- doc/7-systems/msm6295.md | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) diff --git a/doc/7-systems/msm6295.md b/doc/7-systems/msm6295.md index 89cdd732..176d859a 100644 --- a/doc/7-systems/msm6295.md +++ b/doc/7-systems/msm6295.md @@ -5,7 +5,8 @@ an upgrade from 6258 - it provides 4 ADPCM channels, at max 32 KHz (still no var # effects - `20xx`: **set chip output rate.** -# config flags + +# chip config ## chip clock rates like MSM6258, MSM295 is an extremely basic ADPCM sound codec. it has no variable frequency rate, it depends on clock rate of a chip itself. Furnace supports following rates: From 2d6ef5394cd35786c872fb3da269cd6f3b3f55ec Mon Sep 17 00:00:00 2001 From: freq-mod <32672779+freq-mod@users.noreply.github.com> Date: Fri, 14 Jul 2023 09:30:46 +0200 Subject: [PATCH 060/163] Update msm6258.md table --- doc/7-systems/msm6258.md | 12 +++++++----- 1 file changed, 7 insertions(+), 5 deletions(-) diff --git a/doc/7-systems/msm6258.md b/doc/7-systems/msm6258.md index 42ded4f3..b5a33f89 100644 --- a/doc/7-systems/msm6258.md +++ b/doc/7-systems/msm6258.md @@ -10,9 +10,11 @@ Furnace's implementation is MSM6258V, a CPU driven variant that is unlimited by ## chip clock rates -MSM258 is an extremely basic ADPCM sound codec. it has no variable frequency rate, it depends on clock rate of a chip itself. Furnace supports following rates: +MSM6258 is an extremely basic ADPCM sound codec. it has no variable frequency rate, it depends on clock rate of a chip itself. Furnace supports following rates: -- 4 MHz, resulting sample rate is 7812 Hz -- 4.096 MHz, resulting sample rate is 8000 Hz -- 8 MHz, resulting sample rate is 15625 Hz (used in Sharp X68000) -- 8.192 MHz, resulting sample rate is 16000 Hz +| Clock rate | Sampling rate | +|--------------------|---------------| +| 4 MHz | 7812 Hz | +| 4.096 MHz | 8000 Hz | +| 8 MHz | 15625 Hz | +| 8.192 MHz | 16000 Hz | From 7b2ae23a00f4031baf2b14d47546aadbc8b8ba85 Mon Sep 17 00:00:00 2001 From: freq-mod <32672779+freq-mod@users.noreply.github.com> Date: Fri, 14 Jul 2023 09:31:13 +0200 Subject: [PATCH 061/163] Update sms.md --- doc/7-systems/sms.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/doc/7-systems/sms.md b/doc/7-systems/sms.md index e16481da..528de420 100644 --- a/doc/7-systems/sms.md +++ b/doc/7-systems/sms.md @@ -14,7 +14,7 @@ the original iteration of the SN76489 used in the TI-99/4A computer, the SN94624 - `0`: thin pulse. - `1`: noise. -# chip flags +# chip config ## SN7 versions SN7 was extremely popular due to low cost. Therefore, it was cloned and copied to no end, often with minor differences between each other. Furnace supports several of these: - SN94624, can only produce tones as low as 100Hz, and is clocked at 447 KHz. From 0d36abf3fc4e755ed6e64c45be6586c4bfa502c4 Mon Sep 17 00:00:00 2001 From: freq-mod <32672779+freq-mod@users.noreply.github.com> Date: Fri, 14 Jul 2023 11:11:56 +0200 Subject: [PATCH 062/163] Update msm6258.md --- doc/7-systems/msm6258.md | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/doc/7-systems/msm6258.md b/doc/7-systems/msm6258.md index b5a33f89..b70f7239 100644 --- a/doc/7-systems/msm6258.md +++ b/doc/7-systems/msm6258.md @@ -1,7 +1,7 @@ # OKI MSM6258 a single-channel ADPCM sound source developed by OKI. it allows max sample rate of 15.6 KHz... with no variable pitch. most prominent use of this chip was Sharp X68000 computer, where it was paired with Yamaha YM2151. -Furnace's implementation is MSM6258V, a CPU driven variant that is unlimited by amount of sample data, being able to to be fed from the system's RAM. +Furnace's implementation is MSM6258V, a CPU driven variant that is unlimited by amount of sample data, being able to be fed from the system's RAM. # effects @@ -10,7 +10,7 @@ Furnace's implementation is MSM6258V, a CPU driven variant that is unlimited by ## chip clock rates -MSM6258 is an extremely basic ADPCM sound codec. it has no variable frequency rate, it depends on clock rate of a chip itself. Furnace supports following rates: +MSM6258 is an extremely basic ADPCM sound codec. it has no variable frequency rate; it depends on clock rate of a chip itself. Furnace supports following rates: | Clock rate | Sampling rate | |--------------------|---------------| From fd9691e5dac88dabddaee795955fd763cb061e8d Mon Sep 17 00:00:00 2001 From: freq-mod <32672779+freq-mod@users.noreply.github.com> Date: Fri, 14 Jul 2023 11:12:51 +0200 Subject: [PATCH 063/163] Update msm6295.md --- doc/7-systems/msm6295.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/doc/7-systems/msm6295.md b/doc/7-systems/msm6295.md index 176d859a..a3787d14 100644 --- a/doc/7-systems/msm6295.md +++ b/doc/7-systems/msm6295.md @@ -1,6 +1,6 @@ # OKI MSM6295 -an upgrade from 6258 - it provides 4 ADPCM channels, at max 32 KHz (still no variable pitch though). between late '80s and late '90s, it was one of the most common, if not _the_ most common soundchip used in arcade machines (Capcom, Toaplan, Kaneko, Atari, Tecmo, the list can go on and on...). Without bankswitching, chip supports 256kB of sample RAM and can hold up to 127 samples at once. +an upgrade from 6258 - it provides 4 ADPCM channels, at max 32 KHz (still no variable pitch though). between late '80s and late '90s, it was one of the most common, if not _the_ most common soundchip used in arcade machines (Capcom, Toaplan, Kaneko, Atari, Tecmo, the list can go on and on...). Without bankswitching, the chip supports 256kB of sample RAM and can hold up to 127 samples at once. # effects From f7b456e67ca4a9376323facb44797f3766eaf3f4 Mon Sep 17 00:00:00 2001 From: freq-mod <32672779+freq-mod@users.noreply.github.com> Date: Fri, 14 Jul 2023 11:13:21 +0200 Subject: [PATCH 064/163] Update ay8930.md --- doc/7-systems/ay8930.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/doc/7-systems/ay8930.md b/doc/7-systems/ay8930.md index 36a7c4b2..1a926ebc 100644 --- a/doc/7-systems/ay8930.md +++ b/doc/7-systems/ay8930.md @@ -7,7 +7,7 @@ it is best known for being used in the Covox Sound Master, which didn't sell wel emulation of this chip in Furnace is now complete thanks to community efforts and hardware testing, which an MSX board called Darky has permitted. -as of Furnace 0.6pre7, AY-8930 supports software PCM, where all 3 channels can play 5-bit PCM samples (at the cost of a very high CPU usage) +as of Furnace 0.6pre7, AY8930 supports software PCM, where all 3 channels can play 5-bit PCM samples (at the cost of a very high CPU usage) # effects From 0093e5b9bc0f37daf371f1b8620694574a07acba Mon Sep 17 00:00:00 2001 From: freq-mod <32672779+freq-mod@users.noreply.github.com> Date: Fri, 14 Jul 2023 11:14:39 +0200 Subject: [PATCH 065/163] Update ym2612.md --- doc/7-systems/ym2612.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/doc/7-systems/ym2612.md b/doc/7-systems/ym2612.md index f7d2ea9f..ddb91b78 100644 --- a/doc/7-systems/ym2612.md +++ b/doc/7-systems/ym2612.md @@ -1,7 +1,7 @@ # Yamaha YM2612 one of two chips that powered the Sega Genesis. it is a six-channel, four-operator FM synthesizer. channel #6 can be turned into 8-bit PCM player, that via software mixing, thanks to Z80 sound CPU, can play more than one channel of straight-shot samples at once. -as of Furnace 0.6pre5, Furnace offers DualPCM, a Z80 driver that splits channel 6 into two individual PCM channels with variable pitch. using the console's Z80 processor, these are mixed together in software and streamed to channel 6 in PCM mode with a mix rate of 13750 Hz. because this generates a stream of data, exported VGM files will be very large. +as of Furnace 0.6pre5, Furnace offers DualPCM, a Z80 driver that splits channel 6 into two individual PCM channels with variable pitch. using the console's Z80 processor, these are mixed together in software and streamed to channel 6 in PCM mode with a mix rate of 13750 Hz. VGM export requires the "direct stream mode" option to be enabled, and resulting files will be very large. # effects From 007b99ac9753e4a63f76f40097e96e6584cf8bee Mon Sep 17 00:00:00 2001 From: freq-mod <32672779+freq-mod@users.noreply.github.com> Date: Fri, 14 Jul 2023 11:16:36 +0200 Subject: [PATCH 066/163] Update ay8910.md --- doc/7-systems/ay8910.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/doc/7-systems/ay8910.md b/doc/7-systems/ay8910.md index 107eda56..0b9d759a 100644 --- a/doc/7-systems/ay8910.md +++ b/doc/7-systems/ay8910.md @@ -53,5 +53,5 @@ as of Furnace 0.6pre7, AY-3-8910 supports software sample playback, where all 3 AY-3-810 was an absurdly popular chip that was blessed with many third-party clones, licensed or not. - the AY-3-8914 variant was used in Intellivision, which is pretty much an 8910 with 4 level envelope volume per channel and different register format. -- Yamaha YM2149 was an AY-3-8910 clone released in 1983. it's almsot identical to AY with minor differences being: higher hardware envelope step resolution (16 vs 32), half-clock mode when voltage level is low, much stronger DC offset, cleaner but softer output. +- Yamaha YM2149 was an AY-3-8910 clone released in 1983. it's almost identical to AY with minor differences being: higher hardware envelope step resolution (16 vs 32), half-clock mode when voltage level is low, much stronger DC offset and cleaner, but softer output. - Sunsoft 5B is YM2149 clone with half-clock mode forced on. From 77019ae9b50b2f1e87c66f01330ffb190c7a0335 Mon Sep 17 00:00:00 2001 From: Electric Keet Date: Fri, 14 Jul 2023 07:52:54 -0700 Subject: [PATCH 067/163] Removing some detail. Nixing this addition for now; we'll come back to the idea later. --- src/engine/sysDef.cpp | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/engine/sysDef.cpp b/src/engine/sysDef.cpp index a758bea8..f4d76ceb 100644 --- a/src/engine/sysDef.cpp +++ b/src/engine/sysDef.cpp @@ -798,7 +798,7 @@ void DivEngine::registerSystems() { sysDefs[DIV_SYSTEM_AMIGA]=new DivSysDef( "Amiga", NULL, 0x81, 0, 4, false, true, 0, false, 1U< Date: Fri, 14 Jul 2023 08:47:07 -0700 Subject: [PATCH 068/163] Doc update for per-chan oscs. When did that amplitude slider arrive? (Also, minor text edits for consistency.) --- doc/8-advanced/chanosc-gradient.png | Bin 159397 -> 165108 bytes doc/8-advanced/chanosc.md | 7 ++++--- doc/8-advanced/chanosc.png | Bin 115993 -> 121264 bytes 3 files changed, 4 insertions(+), 3 deletions(-) diff --git a/doc/8-advanced/chanosc-gradient.png b/doc/8-advanced/chanosc-gradient.png index e8e7e73a7d439b91f974ee68ff951a86466c3c65..26bdb2581e90401ef70b0d8e337f21ea0a2ca3d8 100644 GIT binary patch literal 165108 zcmaI7W0Wq-vNqbbXV126+qS!B+qUi5wr$(CZQHiZ+iS1yJ7?b?_r7DiRbymURAxjZ zo+lzJR8B?|777Cj00011Tuev-004C0=g$TK^iu-&F4ztL6wod%#INM4eX-#tw_t?U zbL*1Ky&{Z!10XbF#;x#66p5L+5qZr1j%vO`g8G`tN)o$z^v;hQyBdpJa=zBlz5#2z z91Fe=nBh0Lu%hA&QiuVnv)ailxjtH>5vYk~cQ$XzEA0IR%*9uK^061Qz~-OI8IeYR zLMdY(9Yt83_*GpxG8@33?f?Mn*s?)gHVJriZ6>+wuN8JPOqI6Jm2%FUN#iFegi{uRj%`;Wx2E5SWdqU!iGd`Lc>aNmu@7S zwW~IBad`f1fLS`IhVQ#v#aoiMt9;>5>Cp5K`;dBXtd=%h**e~*`;?%@k8S_?5k-jM z#EKD=3i*v*vML&q3U_IhF&ZBrj}ZP_F97_(G$>5!{U-6GNMT(xa*C5*t)nLXuK|1u zkRVCoq{qM-j990(I!K90brOO9mHVfhDC+}NvB5q5N0kk>b28^r`+w{3dWGsj2Y!i@ zeGeocNKzJ;AIPvy{o`Q(09)M3|5OYm+>oq-2A;wFABkHbq%ba1pflDeOH2n6Ldi|^ ze=BgHVL4(OBsDnM2-cMgaG3rrO$}xpaI~zv`WvAmxlyt~2q`QOHf8wa(&ln)IbFOi zgSDjbC$KQv=F?dx&K@{t`4#QK{WXCjL*@^hE9F0`>5eQ6p6^%s7gMb{(XH0U)zMrX zwb(}!Aw!a zu~`g;XL-1AL>_VqL0yWWy%-{1F}X_T77U!4#ADockm-xu8XZSj+5@ID&DPfFYsKpX z=OL&0;3Hj9LZ9wuJnB3i8+$II-b|mcks^twnCr6~Wj@Cz!;}HOrG)RD%pESU_0?ru z3v}s7N3u!o%(tJ3TS^K--^U!I;6;K;4u^|vO%~+5#?@D{Wg0XlAM_g-)$^~O=r*vW zze@h?<%mpJMUrRj3WZ%k9AuF_v9OpxY)l>_8cful=3!R687l8;%l_owX-e7AmX46S zh49U_;ZD}Fr0&)}-wXeU)JCfNY0E#KVOUWbI+ri; zB;-!4&_F`_ua;kk2|7QR!@boQp@GgNdxuTL1N5qu*|yJI3A$ub#U_Wz>6`cd@n9Fr z{=#awJ^yGi^i~(V3Ap_o)Dv-5%(j0S%gwBLWxn>@xucy03+f7VBI@7r0>iDa$U8c# z2LQM5KaKx1-t(ih?6Z=O6e6UKPqyb-Mp?nZ{3$~T7t+o(tMLr<9i7i&k(%VvA2xjl zl27|+L`I{)-UEvahP-U|o88%vnU&(aDV?d6E>>|jY%ESU>+e{dbk?vFtWAMG*#9)} zlHPeAT}JNpe#3}{0O0pC8qr|reD$PYe%1lJk^*@caitg}NL>J8FmVVVFd&dnGEjtH z{WBiY9;+7JCd-Jw&)b(AD(k1#nvOH>KUTh4czK?^%3paxNJh!YA!x$l?t}-CZQma( zv6GE729FuaY=*g7rK~q2Z`W!hi9qkh7Tn5jD<3XaIvU*c`~lge>*TNJon4#Jle~OB zYsFiUgc%KANLo3te~+iQT+cYZweSG9H_#XL$w{`MKIVR^}7aL%4hNVEa@U( zUj~xx%fq_QH#1s~VcK$a7PF*r)oL&g3bl9hML!G1xA#+%cxPGuyQ5+A>L^si)A!*S$i6%90BRqmkY_*$g!?~Pvf?gvZgwQ#i}EZcSh-Fn>K zmU8t3iMhgI;$mVs-SG1k>jhO}Hbh9FLIkm5KevhJ*=2EY?CjIpV)`1N8Tvf;SO&C? zZ`YC+O^!y(o_F={&jxgqQQMt?*PD@(I^r;A%T-?(yhk2-qF&Ff4fX)AFBQ*#&f$F% zJFwnwtaW@8>a$<_rTE*KC*W|%g8=lSeBl^Ba}&zqcWrqI|Hnw47}ugz|_>HJ#jFxRu%+*CvD z)mv9h8`02!7&D<<#gP=|X*!+vUtWDjzWvTs~3FaGyJD9kN1`{K?|W)kZcTtPKCV#GcL;Q zs*5G666JvMTqE9JXPLdlFjE$l<&WVnD$?$%>>BWAGaXqRJWsRrko8FzACe8GivY1v z#nu-vv_7=p7%UbagsdX6_YomsixwQv#Ze19#(ZU)5a94_c;N|%wkHpk<$#r#%Tp(9 z3U1zWDF4^(LIjV<1-~>YG|RJ)AEQf&1SvSM-n}Ink$G=hbwlL(bO{vn63!84!!-C| zS!;i{tiVlW&L7N_hCe|sMWh{tLfF$DA+p5qb`~Fx=TlJm)%YY&!ziVj*!fi7L8o!K z4DRtd2W_^g`XB|d`#n~Sk6S)rzX$5N!brZmCH`qc&f#v3E&9^Cv zK8~fmuII$mH_=d1nb4?xAM@w!MNywIs+QO6_^xyhr6MGEYc;vS&$dq|@m+Ae=yaH< z-8HZI`b>wVl93O*7U)dgs79|no;~t1;XggsHS@JeKrv++KbGH42E5&?SaW*3l87>T zY1*tKZo-J@2dc80=yz?fH(&G8Z`qmY8|+)4cfAI^pKnyu8^4AG0_GMg7tUb~1lFt* zps#dRU8YrRHBC=hC6-6iDj*_F4Dff$6|U$KX%|dF7bBA=*w~D$iq|Jc+NuWF`V#cA zzEC%@j%Ep;=&B@7VGZ*fjKyB6ZH%jYcOa7YUvv|uM8*jikY6Gu&>9`>Gj*BfdPdO4 zh-q2{=LFr@R;{!p+jF(3$CTIHqrJqj(9~3_l|CF|#$H)8<-1#Md8LHj28R3KDs~Et z9*n^xQpy`{LGd!|&)CJ7A@Fk*N6XBS$Ftuz(=-VZT=VXj8S>hYLXkO@B{|e%j*&yd zauETJW4lerg}B3Mv||+&uFRBDuNt1&kh05eTi+&BW!(+r0N3r(ts)Xty5fje^vTrWF`;Q_VpjLWe2QPo9$%Au!X=GQV{TBgiF}+i-KXk z8nIuorSM)3K!K+vudr5Yg_*8+#6N`vtkZL~+yHDtDjsJy; zKFr)G#dc2kvQ2p#%pVy>!wKE^y9VydH)dh)S*m<<%BPDseySo z-v~RgPJ36s45PEQ1wV$^pO+BFUm>|TZmwXGfQnh-?}8x#t&1dq`x0&q4HFuKGlCQV z)^m0lUnP>TtsGiEfdHvxaqyH=Xprnd6UZAwXa^m`W+FT*80dZ*9$Ez_7H+357}eeF z)&3hOq;eo2<)Bl%XgyMNyM^q_3zR=OV|}^sZt(sU5#Q&teS7&H1r1xm)k`|{D>C}j zL{IKQ@OpU%BwrsFv$Tc(p~`A@vhP;(rbfQi0HiUDm#)Htli=H*ly*mtengxfPM2YY zRW9}czOry%Va>)TE>6G+DS@_W(6|cTVSZ|9Zq{OHFS5V5tv*s6C^`dHSo{@WZ6JwT z6wRNxsG$gC;xwF<1I8|eZd^lN0a?t=Aik9k4T)omln76sLLyAyWKrX|dTTGwT|p*> zQ@$2Ld+k%m%Jc1mSVO5(-|W#lQlgOl!ZsU8ctW{z8asonqJe)>!u)MolwWFhGhzQr z;U8e|ulGimQ5DHjciZ}8(FToLY5RpJMv^&`Uyv8>$b_=w>7!duHi{7Y!o+auTx00u z$ZjA`H27)N+xK_lj|n16bn^Ygn=IvPqiHnTGY#<}^-qts{}6`!0SSMH&$hjlTo>sh zTYx3T-hb*`snG}`!2{Bg@Gg;wzqU{juxqg+O-t?-^M=cfa@E3xS7ansrk60~Yh+JQ z-7VC9yfa)SJCoh#NNnXR!)stc>!vAO0nt1=FtqY^;hS2?G zuB7{l=weWH8UNJesEhok(YDvqvdfzlhdX?J z`L_gC_Q27$&&@y&_yD8Ntvd+nS}IeS7P{y}>NqR;G6A39$)YV3Ozm-sw9r_OccQm` z*#FLyl2z`Gg)bf*#z|45&nR6O#L-4P{MZ0M0;i2|x0IBIdufSbi@uA@UQ&8!1T9=m zR+r(wzeJ}sTiqGs#*jJg!^y8hKvjW-I24OqQWO%QL?=eC}Ra%T@ZX6?BEKx8?mDcxWONnq1}aQ}`lg@;77=PM`;l$;Fpe zoOTYTsWFhpo^|x$>hri@n~;-|NKN1vgd7iT7&;?-A}{?GsFf+=@KxY`0bWd#_SwO| z6gpjDkwBQ60xCICtCR7@k7iMqdo!%o8G2(pyL@r6OQ!uEYQ$&i$PJ8vgJJ9BWDz~0 zGbId^3*-*A7(2h28f9?0ZLJAjP#w)?O%`XU%$#A6x<95L&H9swpAySKxgVo8#JNtO z0LE+qlO{!8StpnF@DBOou-m}ILCHr*+l^J>w0}|Ve+>M##jQyOYD%7dmpF*rczoM5 z_(VXishGTz-<0wyN66BA+Yk=)YL6*Yzkrq5Ws2>@S0b;k)mHw9@b}fPE*bNSOUw=8 z50#wy$6R3YLC6EDA{3=Kw?MEvZnXGlULf-E$WUf*49~+VJnZM|XHIk@K;G}|{Itkot$3mk0t?!Pi!&zaJ zpr`y$QOi>9;C-Yv1ruTxj>V@iJ}flK;1nSPe}_&3X^|%~%C`wAwxy_0JpW?wjORDg zC!T}*Uradrd^P+0{Q9O3Rmf4wJ9l4v1oqtOb}{ig)?6Q#x1Y|h)whZ%p$%bFu}esP zYm^z!SSepr!bSnCQSknn%vZfOg1rnXkT|X|qnL%#!^o1cNk04GoGXDE(Czk)# zPP;7?j^{FMNe?n{EM?zn*AMkjDI>`eNkFYnxTSVf?~$x=4z7@1kUI@MRq6xo%{Rc{^~QB0DWPDWLdMT{X(#XRB|eYLKZ8Y@VU>x<&a~WS2990Y5(k2SmGJtPY@Tn)rryI$ zW3hso1C8|=2l%c@E-MsmmAEeYT<7Lam6a(q*SH1Y-|W0@GAG&5Kk`(Vr^AyYCjkRM zSY&YS#e82}FK`SyvhpCHzugaAZD4i=ncc@mw0WH@whJWNhZY?0dt|T>#y!Lt6?v?= z=1I92)Jp;M2R84yuC7U^bqYpI4YWZ3!7#-<^WFse#^+m-U-+M}xjlHO_UaEAqc&ll z$4J|~!|=f6(+w-VG7V0AKOg5Cg#8j<))t zV??^GBsxLl!^LBwy;Nl^9l}=d=X-~63$Y(Y%hO6tdhCuc0*N~xR9J^kLCrm)rL@L8+d4@po6092dp)qp5~3PS$SgYqbFwm^ zOW`1tsF7C0+`@m5a23i!o9%Mr_V0CkUG4NdYN+i@tjjDtK3S!dr-v_{dy~ZM2jhkS z`H6wx;}nWlmKn{cf-gsRUBbyA=r2#CGLpN%WwrT+;He7KyT2Jv1hckCVLgQB1!1vy zXNj=8s;aYASmNRb4T18S-N_+n1p^&7*a zNu>a>cX--hVpq=FQdxz!IYzo_AKY;XN`ah~O5hc(3*|Vu3p(+o4kRdpxWB~tkRkG1 zd6pMH9YtoBUeSqWe}}6~smN9Jg}XrNzpb6RX|Q3Rcl4f9d=pzuRpfhauQMsEE@0k& zQJ5YY6Z-A1%swkF1iNA?#aWd-D096XdT5(jakojzttM_*JiO#+ZSs zVl6_!n%x~jdKes@yXm8JNx^r!mN@lGo;yY$!96d<#dKbh7*S;%f1#0hO2vIZPT6=d zL)>z0b)2>NlDo*p--@>gHBepY8IT7531#p=dm>-D9lo4!`3)CuJ`7vqfDXwe)INT5 zzh2TLs{ehy#`!Rs#Q74IyDQyh zpfgjRiAPi@^y4a@yP{z}Ef@|{wzNk|(E9@7MK=q#Ro5onRfC24GLeSzq&RW9ok$k8 zXvKoIxXS+k%+QG|`PpUJ-t2!iQ{fJ~C|5$ral z^cA;P6Y6F$wTw67;qp$Gk|7s+qPj|94k9I0Y&-2cH@x)RQU7(M6~J1pJ7Fb>X0X>k z|CnFjI9H|=ZH=6r=U(hdm*HD~&dd>kiArZ&N4agx<>XRfljSqkpSL`E%TYdAhmi+& z=?7ZxKX+TRe5$hN=yKD(e_Ol-l-8(8Vjx~S>SA$FnaK+@eGRwE* zbRaYs_loR(LF*-*z7PM2dl4*(p5&Ot{zS-#8HaE-4~Duf)plLSZ1BgR1%5v_QfIs3 zkhE9uUG@I8w~Sx6&PE?MR?B+S2_OwLp6mK6YT{mjYBpRq>4Ctus;VRF@d&}DpXQ** zZ+sCkc+I2rzO!&dwHZMcJQ-H(^%KhCYS*(oQjXrH!tNydmI9hhk}i2T2(rQTzXaGNw#F5T4iJ#HFk0aL}!>K|0-etd{<;&L$IutdYv9B zsB)nEjQ&_ML%r#$?*}$Be#ivil^Hj@@TG1BZv{MuCVOY_-+3E36_=Yy;Rlj{=rrxD@xKI9=+Xy z?Q~KtnPuT(nodth7wKpV&+Y~31CFitqAm=witah4*NY)VLqIO0)xxr62k9^*BYGoH{&v3ixbq8@Yw5~0+%m!0 zHpHt^vnB?w+$?%$r!*YL!kNr{!=%RR8?sGpHS$7cNI89|@prDR-@Zbc=e=*_xy@{o zI5zVqb>}WSI@@YwzFs8L%HANxXq>?@>US+8#Z%}E-*s+adGim{S*WlDjK4U85e~-f z>TeHt3Z5XH1N>k2dOR1bWZ$uW#&w&`8ch^>L=Svp6gVl-Xzaa)C;w0va%<#$1ezSG9Uu(SzP|JUT@(l1Z>;Bm#LNEJq!PwAf(_FzlcZidwgap*Ub7Cq-o!WAS6t};C4?%}c~@438qX!kX`b(CNL0Qhhez}l15^A6}kyV9+gvS*G;K1N5eF~hX-C(&iW=M zKlg{OykAKX-r-@_(-S{~Si}P5DP2ujrqG|0@3ft^8N!|3mV>i)TV8_MT zQBxBLSh+MO6H~7YDd*Q-W8f7^w6}-F({k|wMW7x7YHJ5g)0qdErMS6AQNGSP-p>O0 z4jjH7>i7`x-rjHjy1n-R>)5wFQ|>K~uJ5UVVivvXchvqskN$Lbds;WTSUf)4lggE; z=>$w_qR3>afPOy$1c>=O7(JHF_RiPm$PhkEdhcfn1=OdNoyz0wbn<=d9ac8$H*n@Q%`RM zk6VAB%xO6NZX(YAG->YmucX^Qk~b=oOg=x22^q=h+)oENb6-G}H_X;R{XThMIxGEG zy|c_`9n`03U;sWvO4O>ou?i_bFy`ux)gu{5xm-qcfF{+YoY>>0Wv3y0N>D`peNohd84~R z-==t&Q2CNymNveHl@i5y=LF2~6#u-|lShXPxvZo_46KLlzK#JDO?{I>EL-Nko&`GZ z8$$be>ENZai=!h5&|fj4x#eXQ1%*32BkyirEI5@ve~5u|j$0Bgzy!w~*n`RcX=HM) z$wQ0F5(a>;Th_P8jFFLXetzCQ76uI)yR)l{hmGy!Y-kg3#}11tnREWcO+_F13}hWs z*Nk#wR1XT^W-{U94sBy|v$L(u+}vDUN9WnS(*?|T*p9A2 zgyXYX=YRTZOBzfL(8H>Tf{NPS*0y*1^77(Jgd`3&F+Gj?`}gbTS7>PHu}h6n50{)_0ZJN!2yu6rfIrU+R7j= z&oNEr=jT^eR&qK!63lLI<3fONaB|v!CnP4ib#4U)2HLXyDETQuTk-Qu;`Zvsh9_rf zSC_W+^32T5bX-yridji%Y3%vfm_+t;b6eZm`ucly`j4*gaBwuVwD7R7qwKSnzGr9V ztu_`G<(iyWQBO}#t*x!AtE*XAIIp);N?OZ>!`IK%m6ef^k&TUwsYO8G9{@YIu0g@U zMkZ_Nxn^r)V`HbMW^`zt2fl4>ZSnE({r&xScXt&Lz`pUw>=j8C?6R$`Vt`Wf5AL10 za%2b)2S-Odo&Y_1W7`*-@C%6!ORP7zB7O>`L_?A=bQ8K#y+RN~LV`eli@2cRC0ucV zeFMaU{>n$lp#hl1-~mh^_n`5Y>idSc@t%KH9;+{NEVs%QTR)#xd2c&a*H?KTb1Zk) zuX$u&e_eILv+V#>zZFbma^X$Yy!=f}*bM()zrP2jhCXe%ua= z8V@kP95*g&TO6L1S1)~o4l3H)Du!W!zZ2GYe?BfZ1|e=(biFTke@kn9hu1d$LGWRW8;S86mj{Z)qe z_!e?C>JH{SgcP>&-gXODs&YHG3Y?n4Y% zv#9QTYFf9pu$F(m+H8ytZ4d3RX+1;{*YX_Ga@jmg5}dG zHfVGjaWBK&G!5u+h}!-A70ei{{W^SZ1AFfeDEh>6*g`0YHN42W;rs@wGC}=(puuG0 z-2eMHiSF_H>tnnhEQ`f%F8olfqp}Ij?RAy1s>ajXZtlJsYsF^dSjQ9HJUAd=pddqD zvsl)Qq0YifDPUmDG+~HtLvcybRz@8{?<=OKo{BKI_HK-llK%$QzDvjRR`|s(HYO&4 z{LE2*>MYY`3-XWuhC#O1Q?J@iB_gb4RfPf7>+41R^xM;A`y|VHK+s=BLQW>P){DCF zj1a%iA9oarqca*$OmSX!>;_@RwTUfWwd_Y7A$Wbg9#5t*=PN*h?nqm=osukHvqGvx zuHk~jJXUG7JD<)GXTLl7&lk&z=7bDp4&FAenNx1M{SoHApJ0UT3!|=|XiUzslbg1d zr0qn0I_TT=!Eqbc%J!N93d(HWHh)+|Wl~cJ|Ne!9q}u9n)e0W(S0f@jbAq^p56$!W zp!h(KDa?mzFZVRUB68)C3-B5x9(vXnIC6^j_WkwT?Vy0o=?v2d1Uh_5`Ut(tYt#Ae zojH9>%G>&I!Jm>~)BS#x3mHGv_9FYku|9uIcMN>JQ$314-eva!!}C7A5Vktvy2R86 zf0}(5#CN50CfD5h%}2ZB#YH!07apdZ(+m|%d|hleZ!&%@I0Wmk+fSU^eEv%J`SIuF zO}b7nkn^TqI%YK+YCOYXqzeLfamGD3?m-rZRqbhtMR1d5t6K>%5|VI7*sr$XOn2K2!I- z{rGz6tnq!eZqt3fX>(&B+lhcG%Xxj*55Mvr(JNZrJ*6&++x1W^Uyn_xG+dTACk+XFzdLvASvjjKps|2}LQzSWrmp~IAmh*R9uWaRGAsIxpDjp|m^T;j=4suA z)7x9PRoXJ)XED7#TE0ZtCP0J%?fCwB?-2GDuK(RAz{25p5<`uIBH|U+UZs{kLHe{~92^B#r+Fw+neT}r$8ykEj-@>8Y=handXr^oUhthT zkD{KushDnH+cQp118GpVTZwD;aqh6?$rGxRqlcg>%k8ELWQDHqCio^`K zRcz80aSx21?Rt~xIGkE3>+i2mJNksJpvFLsoie5LvRmZad5R>)cT+!`+0U`4Hm7qG z44mUkXDVa8laSbXo>aBtd$q<$6e7#_l=h+DUcHwmi3skF>#=A=N&!i=9v2^&m`Zem zK}J#j?|817om%UbwdYTn%4Ul|j11larz-c0%i9~A-ueeRN|;9HU+B?6w&brPpYXi7 z^AhVzmChF%^gmNKUu*p=>y44T$5k2Us&rRLtDjr#?(=#3yW@U}@OH}}n%mI;29!+b zQLW91LxR7eE4YBh{BrS*?w!~Sc(HWJ;O|-6dDXc;U$mN|tY=ac&Bm`i@AJxL8qVbT z);nAmXPIHsskV)zOzbi=GfuzeF#p|))2@y}e1p+QQ%=6Md^}X)LvW>0@K)pOy;VVW zSE{W|>-I~DC2no)2$8LM#)STU+9crjoFb6ey5-$jUMH5FF9`|Q7RjpkoIm}{iJC@< zROWD=8J4{5YIcFg@uxZ+l;>DJw(L>)kiWU^KY$+$FNz#e=LhKw==lXG?q_*e-m^== zB}#yPdZ|rymda=%Lxxv1-b9Z+&HL?H0-5sC_7Y3STjO*4YHHv;$-JC$=kV|y?Ddc= zuTcQ)yH+v-@UpXiDp-xCmi3XwLiis{F;dZxGf=TD2m0XoyT-hL`XG$5=-ZIq2r6RVbyU1EMNo9udr8g24hwe8#rd4tr}R67 zB1Mjs!>ZLR-Y9({h50>k)FudsgzFV6+CO*2_0uWK$Iag8cf=+HTDIrYA%k1ssLjOJ z!?yFw;}LJR`*lN0i`DQXSFQMT=bck4#an2+_Q||Ob!F2>V6|+a>_yx;Aq$R2U4%*V zG$UkZE z9>Ic#dBT;8(1p5bZH3ivI%VgyLi(OIvQ{priL3QAJMPJaTWkCNx9LgyPuj_V0 z?k2Fke;8|JXa<0z#t7&l{}9I$6+(Y2xQ^kH=_M`U&P+VQiW?&;-w?4%jz3|_^Rt<+RZjvF9`4?g{I5HI`GKU{!ln3@3&*`4hgwL{2xQR6@C z=l?4y0Y-Q+wU-Owc(G%S4PVEUq@d7-pr8>E#fgZ>C@cWKJYlg<378><0(zleAFv3p zbIcEc+q`e@sj*-YJ>9JTG-yr#m`1~6ahy(lkr)aR60!_&-;%+OBEPdI-%11(fs#p* zqtJ-=-_EOr5Bh`Q zmr0Zz$&^uE2s8)MqVN!qIgTB&Tv2v&GFH039%<_merSbk-PGy9FpU2|F*3C9Z<{ce zK|kgb&T?LhF03L5kYKF(w6wbE<8a#Uuf6f=8njA{Mu^0mL`&#s0YX)JcQW4?kW_s_ zUTe3-r6ypU;)~Ma>6AcA_10Ah>2KD_cKdtdwbGT>_|*C_htGKv=!2;(GxMJgWf7lV#1dHmi=8_}>XO{4UB>|NL%EfA+5XDRdn*=^u z2K{EjV3`3YeiUWG6Z`cX*JypvJ%j!gYPu6@OTfXt$6Uj1&Gnw+d`HtyrXWi5WF39! zZKtrRb^b9ZZ*L11?j1+PZ!Y&HhUChlCgV4=#hYj(eAI{7F-~d>*SAm5Ib4wA&1L?T zDeHo=FTtIx;;3;0s=?w|mG;b$<|u(51=A zNjODG1tumoh73ZXI2g7IP2ix0f+jb}$-=-nZeDUoF2Pulj}x2Ek)lKhTID`~ZHuPLUJ*G`F86t-$N#$QGQwq7=yp zSo4%2m5ExIC*TTtpWovMhtVF zVV}5sJ2)B!SliGZ@>Rz-;#-s)qLq3xL2+s+x-z@xcmMO7VXpC7lQlX8J_Dg7dBf{d z``retz2OgC?%On^v|3*gDZ05ZqH|a(-u}MvXDDQ;)bM`0o+iA$@3u+oUOUa67;`QM zf`kkeM?ZcHer%sMq9*;!eM(DsgMVJo$w2LqOMi+{Q>uV!)7gYI`MdDskW=6&OrkIe z!7bcynF65=#a1&NMVe(5BrzWM^Yph{>}P}gaF|+6 z)*k@8H#Zt2oI|BDEi#?FFYNGLzu!#4yeWYFe$OrlsPToYGa@uS2FI}VSr49M%a*ao z2~Wd$!$3z#6g+!=izaSsZhI3h1y@6lDR+;5gU}Qtpo_Z0a{?_->LcOuSUJQZc{&b;j3?T;+T2UIExrm&0^E4Lac4>CB$Fm1iqnPL4c z$9Q7s0-fRRCiG8s-g|B$<<3i#_@2bSZ9n70p|Ye`hJr$#3OF+RSTLfsPS3-Vh61|#_+?v*$$ zzn!lC^Qgl-gku0FV&Mhhvpm(ru)nUtT~lTo(HwX#hb^}l)~N1)kf8zrlf%Mx%%#A* zgWjN7fhp*H*6&f}B^S)UCg2bJJR`@ptEyMiP3p5;_4!i^UZt30svZN87>gqXhB-^M z=}f>hBpMN^>V^{Hj0~>;TakhZRHKIEbBhePukvM8zkV~@?Fl7^Ro}*%D=^&AkKj3q zbma{j^fIwy)AR6Zc)HI~P(;M=2#X5pIvl1ZRLXr^c0HNGtEr`p9H;fSC z$C8_hsYx%;M%7$QxG8Y!|GM-e@FE)?(azC2qzLzi1cO0CXf*_MDG4!k3tW3ihju^c?4D#8DPGgN5Kkqb2 z{?I{&u^JOlCGhjIXSaK%wp8`Ic~oxhA|la2OcW z+gc1qbkcG#hFRpzG}*_6IqY8fY|RikuHuzZc=Sy8*s?H)Flq`fDe^9=r@(;xlJNFJ z6^vU*zl80eSa{@dmVke^UcI@ymPNYA#>3rFuYm1{qi zjL%5kh@+e^_pBizD@gfE#=vjK3Lbj~_xrB{BCpV*to-l2JMEv?i#$kUcp%d9MWr0L zC!%$00fm|rQm3akcln2t=u1LP2_b&stB^Jy5Q33z3Q!qRI2Q3LvI~O;LlS|?E1#vc zA%SpJiK!h+C8|Z?*X3cdjz|cF-7>Qrt4&a19p%9eVJ^TLyT{x+zMar$EfUhL`1o-| zE+T+l!2{%SzF=aIERJMRmtFBKiuruI5N&@iFkG|UVo5FQrn8SKRZL8cX1o#nMVFWS z7PIGq7GtBU>Wb;S`JtX*6ovNIUk~ToWNNO*y@XrYF4cD$kv;~i-GY=G2$mJHGA%O> z`OHf>Y(u!mA|uzuiy5(G#|4M$5ez*GGGAm(HZe5)?6!#B#7M;b;#(v_F5<4*Db3)-B5<0`WK+_CC~Q?P&Su3$LvOUTq(X5+FtsAB?h|2)E0k zhoZ6l5d&jfvqk+acz*1e53RCKR12bf>`I+{=C$OExn+@krZvQEn}zz8lIPK~= zIT4?atnEoy&b!MwAYZTL-w3<IF4~>IP=Qf^j2wuyht8zpCx|{Dt5GaN5F z5L-9(btTxw9GH(NRfM?{C?u!IE79gxAPSe2z;B)xI2!HgHu_MQ_Vt0)i@jaxqcMBd zQ#$TnK)ZwDUsjcF3iR*$dO5ehO#GTG?zk%A{5t+X)cYYnKxvQfCOTgAsuZlj)7Bs< zkE^JtS`HfCg(}Tc7ELxD(}dGfKO}v1Zz1{*6^#_5a5bR$;BVgg49gblRpq{pp1xlO z&>^X&$ZqsiR*`d{YJ5Q#tyzT<@bI@m^%C3V*wiv;*KF0f!ca@H;1xmC)H&OFWj#C0{MeWgN-8P^*eXzj~2Zm(Hu&WeRXM1^xF<`k#3}2fVYkLM&`} z3{DdD=miA&inD!IJFib8pYOgt2M3q8l5|#$?_mcIpJiR0FMy+iMZiYM&IAU)dvjK* zFc^e$+xu)fSm5$Xa3jQ7&N`s1n&gpw3lPZk{t-_Ioyu=&#m93IP0vru)JB@0lpEi{ zPdor<`R#&gKLX{MH+M5UC_emPw%pX%+vDSLaViH(0Ey(M#+8djM|kw&+Q*jchHXMJ zz0vV1A)Tb9+@2)ot4!i1KtyPYg=_KW1FCYrCxU1^^|DIgZ=f)DY%p*(yX_jOa2v+y8pL=z#;?fk6E8MycmL8SBIb+aT3C$bz3=k%E z2sDHI)*DNTun{YLilg*)XaxD*f{RId#$bBwBZg2y{13Zz_7YNi?C*v#GE~Ykm?gW$ zFkr)kL~{8E3C8z|r_R>{p^LD=S<$Y1Dk675VYU##);=gFzQEXu9{?&<3J2CfX+6-P z&y^vcIn3!B0<5E-A zL9qqI;SMVweW$oDa4r^%K(Icbo?%zw6Xg8pv1aj4Y~hCOGN21M`eM=%setST^7jw6 zj{ze!=mE8@#c5|a0aO#f*ZoOml^~8cH44bOcHWJF7TCRl>NNn!-U*%4*zS!FAG~ zwrLka=YP~`nlVI2xeDgam z>z#?tcUE<&YrG|Yf5=G`SG2yFXDv3IGS)5X428=L2kg?^!3plU%DXM_SaO*jyF; zX4Fu0dP&5ea6IrDs6UxvF!Eg!g_?L1Sb1Na zt^&%6-?^C(5Ml31ij&TYizQRNDlkbP&HuyLTZPrpMBAbeLV~-yyF+kyC%6T72=4Cg z?(Xic!GpWI2KV4_oBVs<@7||#`TA-WggOj1c2Nr2q zRZ?_v3`TTaP6mVYLfKrPCyx{04Y`j1%k-tSYESvwhHy=IPPE<0ijNQ@Qe4Iq>p5nmU)QxyX1hHmDBrlmX(JXluQIp3v~-ZImb=`HB8lbXzc@>h+Ir%q_{P=pIv?I1Z??;lU> zucqQu`u5GEcR755v>w8^OX^^b%pP}`3peIACx6At?=jaA#db7a07Wp}{g^K#FD{+8&21CPD79-y>7?n7k!R1Iuw$X%3om-*!# zPt~Ar)HY!8V>3q@}lb$c1T&%Fs-5ml{mz1cqZq3d`#-uokS zXY{#WtQ#)B^udg$SGH_ww=ZFMKEINheYr;Penf2;UTVjyn+4@@(gffR!mojR!ROt@ zlF#mgGFysucj(%w*MqC?1tC{e&=jfX9RQ}5;c$z4f4I2I=X|r>L~5#AIt4Hd?>%G`Z7{GP=aBii zF!+}8(o``qe+KZ@6+L^#TM=cyi$rTqB%_$Qp3if>o8n{siIZ@;YIL!5JQBT=m}(L& zMiL51K=}u!dT3Rm-Axz1`I*H$O2%)xT+J_zyrr7pldtzDrnbhivAy4cR!R&j z)A6|5EWkC5Q_+e=va9;5;dh+;5)*>MNKz$>xLY*1$Gtvr=DGZHx}06vJn{Z`b6Kxq zIZH)H_3}Xm!c_%9P%6$mp9V4ByYQSlfBnnjqO+T^`!va>=6tJ+&SKeygE?wp-Gyyu zvtKRiip<6Qw@nWq$_eS7bl-WOVY$ni&*Youh~H$z#XTg)QxkS<iz_r}xFilfBy_+CvSCE1-b*O^ zCD%lpvs}t2xN!F!h~v#ykYGQ?U&SRY0#1AF85C{XUqLI8i!Tisl%mY`6Q=JUmt7CC zcPIrpO1|{yAlStz$Ms?CC}uPnmk;b zNN|M2(fY+6CbLN}4ulZVRjqw;xKEiX9v-=on{%6H6%s~4;q-Ts-~ zZwKk`SRUt_I@GY^)vu$t<;@o5Q5=s;#0aDo4LXjI^D2@3Q;0)ZvX=_I-M4S+ zzYVHzCEJ*`Cr*IvsZ4N!&d&~Br_J`hHLbTSeE*9UBrD1M9uc z(Xvqvfgz?5jll4&p)kwp6)~49&#bh3>s&(B&QE%Kect7Ix;@&h&H0&;oYZfNVX~=_ zh-d%TUdig{E_n-O~C0}{?6y+5sv~+(qB+!gHM7L za)`8vhsq?8gkj`~!aR?A@lrvzQdRnyZ@0yKxmtq6pX5VH4%z&_;B{R+;d(vZ+*RiA zX+J%m6lXDa4Eu1uzLdLPEDQ`PPImq9uz1gxf+%NF~+*r)t}yQx>646SyF|lJaRPu2`1pczu^STOUlQnTgoD-zUKX#TS zYg;Cku&x0XuJ1E#ZR$!uKq05o+S^evK5Qf&q$R5^C^|sjd0cBe?!-3Xx(5yh9La5V zi0hc#A*e$g*buc{A?~yO06_`ff&evoKX5K#coNNxLHzOshk!}YU*NG>+oylVE@6PJc9xZL^elxe6(mOys#zmApGJ8B*B? zO~H3E7~lJP!d^OH0!Y?L|slT$Bs*%q>0qg6%j|&WwVLl=G@g{?%r( zf)L^@5Knk>LG7y&c8y3{NUPFk0Rw7TLfP0ef?yJPN(!0dlh?b={a1Mgtcn0|@UP?b z?GvX*w6)^m`~@^jhLXKhCr%nqPRBmB`vs|ZIzX9}y2EtN4 zA{7CT6*yW4OGtZNIW$UAWEE z1J79|kO0cUIdW4TuavM)GOHDvay-OgN6nn!^i5&X($f7Cu`O$QS4$lonVgPPva6jo z+k&Th6DDr{xt69wEo#fI4WlHK18G_yRyP7EenpnF+~OYv^Z^CXB}NcSab`};x;tI3 zH*=toZ;8e+ad0gEWR)+6l^`dpUHxT}fzwYl%z?%Ryr>{)Dk((LjGg~ekTJRsLtw>n$>c-< zFX(g?z_DYVkwf22@=Ed$jD+YvmB>n4Gc-KcO`H#jyuE&h0qI3SJFsFy?-J*M&y&yB z%8rLyYoE`^mMN!#3Sol!PF}=@vBINea=%OT?nQwFq6Y=f_fCQej^+6F_p6z*2&kvh zze_|)nBQ&nv_T;hO?k4aC%w|#FoeTJ^ShP^3GPpv`F?crEa8iSdVW7#H*wSldQHoR zvmFEd@lNOp1VT|QrTs?t;B{k-F)DIrI%7KTC~*G$>gqN9;-G_+OZ$H7p#9Dr|K2O} zYR1J8DrH006g_7GXHbOh6{M$UX{qbz=w1OokF&$m^v0spWb3lm>TSU754JT-=aVsS z@Tb8?V(=2=S!|fIPjkz!EyLaTARz>W2~VlWu!p>ltKGcqjhB^Aw$CDZBLA^1tqowZ8pPRS0?q-p> z|Fz$Y7AVc<>2$1j?QAX(LO~MvPls1ua&u=R3y{aHj@G(ylYM&SX7xaB!}U76`|a@N zX0)=|dvoJkYUE2xy{#tIXApv)c7)mc_1Li_1Sb|>$S}LkEasqX$2r4XKdtYyWSpFaY!p%UdwLJ@R>5z#e#sg z-uLC@mD1`a_wT%Qp$Ul3Lf2-a^9xmH&G3)3;I{X&me}I zc#}=h6_fVS_TIDDp+OwXbu7-(er#WO85MNiES6FL8L%2^ z4bh5T;D>zV)e^n z_f7rJ(I_Pd5Pq6eRdQ)eZ0zTwyx7>-Id|C%cH4uoRR6E=MWlZ(HocE#3P+RaFE%>4 z_Roi7iRBf9sa$b+G^OM~K~Bta_z!XYMX$7EMK0KT4c)Pn+*WwGLpsP-ek4@1k~Dv*Wv+DLPt5^Ppf>dX`ST}{T6H3uPlqZoY!MTrXXkDZtdvWg&6xxYq&Fd+TyC(h z)&9`f*x0;LV~?^F-%#D@_2~|q&B}o@Q^}$5TK5x(_D)fpqflm~CNjwP5K{XMJ;_1^ z4zCv%ZfO}AtqvDPJTfve1-^2X@^E(rC@7E;xZ>p8n?jjV1Q4H&z69@j=Xd#Uo8CA* zI?Yzf3b$P5;-v_Lh+{n=r_i7JzJnOE#-jN@_F}C1^uVm3V=~SmNFe4A#eNn@^h#E8 z?4GpeJ9MAyHiHtP+PUKBYwIb>vH zg<|*nbI<3$jdgXsm7(WjDgup>@M3ck>%y((b4c>o`62S&FM_T zp0gOkAcAr5;|E!x_ZLSBL3OA!qn(`{BxL0DZx%rsiGV~mZWtJD%b!0lsq~@yNd-Y6 zdc${i^o6O4<+7U^8((iG`C`>6g1R@_9HFfd@$uy((de}!hK;v-1I428B11yH!~K|Q z^*`iux%Am6(C%~#hQ}YgT}GqTC@3mILfpabXCw(OH~>tNy`ZpAj|k?sC!m!!7>Zg4 zgjNZZisH)r{2)n~gxJ^j_vEBNeKy*i=?`jRa25#p+-}9M==1@5c{m0bDt^iHwN`)n z?UhE0TWtoQRb%4OD|7KJi^)qEQaf3{>3EY!jeb)Z-8MP$C8+U21xdF*hsr83mQ+9^8n+R(pBNs?Dtxxc5oxX`E`UL=!MV4~gSb)zAupeN5b zFa6PV!IUK_Jz+Zi6vNQsa08Sj-90F%A}K^cVel27wcDL6B_$;%vv{tEv+CXNt%PB^ zU#_7=Q*-nS8yXz;hGR=W%~l$YfH}|UcuWG*q8tQ;9>6|5J#8|XC4%D*VGO8+mwNrF zTXbTJP0!~_73H$HYnz*glvYkpPXSd6H2&G+&EdPD!EGoqdCZ-mk&)g!VjP(vX-Oa? z9Gus~W$uc{*Y1wY%=J$9`=+1Ee$Tcyz~q!Kvp-+spr@~9HktVT?ZX0=$RkO9`43KaDG}dGB=1t-5hVQzD4XD9K?M_t61VM zJI2C3+i0oK!bVq!)A`O~HP}%Cy;$-^A1IeyT7b+CFKKB>?PXU7u_#BkKq{H;?qpGn zLEv~xdCr2{^9jX7m?{$MTUco5uUB-sAN-6E2a8F`$;gOh+(EF|O%{uEYZ2js+W9}n zQW#r6fv_%WzPAShH8l(+AcFgiyLQo10f$Q4vuF z`_UuZxFlR(#P13ns1?y-soYb@Ei8zS=G8{~RP0P!=G?7aWg*S<2LmR-x~@fPX^(-F zusUI#X;X~`t5Ihft?XPlu-a_qlKi&=Ck4V~m0GJcXp)|`ofQ=s!U_ubu~hvm-Crwh zEYDNolkpK9ugqU6qkmSkJK8zhnKoGTF=bbG^@)_3eN4=(RKHZR5Rd?QO2555LzWc+ zG5#@uYTqC6$SHjkqIlf@ZuuDF z+#*kZ4a7>_=yc!pX(Aw$koTyvTx|l@%UC52E-nLENqPC{+oRb)5|NnX%PjlMg8=sL)Y$ zQIZoINGM;0$K{jf+hFicDMI6y9Owe2yBI?ijX@#$xKL3I4(Wq3gefxM2e9}U<{l@r z1n0ru4$K8GfY<(t^DXKF|gk?uS2$}FsE&#cSZ z(WI970D7E-BzED!AM(#^sSV^u>?~HM&*ny9aJ?up)QX(V){&Ua=yXHf6qJOqCD5uv za2K1u)|FLNn+x_x=K-D3$Kt%iMSOa1NpRm*`5WVis(|nUUnAg;fA3Rnw=G{B7giFEdrPCR)%BkG0d>9X53LLvencorM%JoG zAt$S>s;UW=?Co{V_Ga_*gm_gS(vekv_$+ShXUW*`!oX5)>EEP|Stf@nN-BBQ!@X-H zY~4QQpFT#!q$uZDIOT8HQJI(s;Lf=nPT=an&?;j)AjLuqhUJ6EjQ*v8mVrCBf zUANP`Fg;DE43Ed%lN*Q=I#;GFWtjrF5Wu9YPb)+ISW9VOCBCZ=5<5!nFUqH0i*6%M z5A#Mu!id2h6&DwmuZ=RBJgEhX!rFADKgA@>ePbnxBhXu}Awu9vTy1m`C{vgm=@3i) z+s;P!Y+IXMb*#fmGcIH6q9ym;OuZGMOHDmRUZw}Aw{41_IkdC0GftVQ_%A6zP^r5@ z5P+-^o(^`=tpAQ`_jqmOwix3KF{_4yi>o6c+D|wPXrQJO{V_XVpYO?)Q;MlQd`F`2 z`J!ryTyGA5I2X)P!Di+rVFPOxI3=Esfl$#@y{-bX+EKjxIQ@q6QWO;$4WnLf__qc$ z3tM$Uirqb@Q!_k8YB7}JF-tPVF9|1ZDn!5&p(P?^?_%$Yo~yYltFI`76I)6+zoV1) z{#;5D1{PKv3}Z|18|X(*>4nw&#c;O=Kyz{7+S#xBgnwF&)>@gB;VYWdTkQ$~$3Cyx z<>ldOV`GE8TD|^Dcv52VOxz#?PqOyzTC2SaP1tudx*vZI4n*zL`a0b1%JXB1T+MF|#z$PC&ih{hZ(E4M-*N80*8c5K z6U7>y*=m}ptD~$>4#4B{zeb4X93=kgUa7_EY}1{kcD~zf8W~>i&o0e@?CJXJ`fjrt z>PcUN;8Q|}pP+P7Czdxf--dgPsBnF8q{vp@+;F@Y7Q&McFZYL`^`YE85J;Ei(STd6 zwfF`O&95B!Pvz?M1r5{4X7l3W;YBrJ!I%q757inBIh`&ENXlk%1{!|N6_u5p05HpP zx+EYV^Vg+@s;X)Mb_*W~iLmPh(*{Z~uzS*ObAa5{B_blageG9_4KqbjZ*w>THXk&! zwC@kQ@MAUtU`h@SEKkvwOH)(dk(O)pz&0jEMxd(o(E*bC8E~wbnV1le^t$VklU3c^ zIFI%`pXfZkAZCyR%>)6P~>zi^4ZUNWu?>*^Rj~Tq|AARz5 zO26o5z43U!3UV2e75^-hq=@lY3gKK(ho(yr;Jo)D0{aRfAt9oX$;qMHg`S?Cx;iEW zyR9D3mz5C57>gXz;If2_3~uWUZXF#R59xk7aT9R~z=h63=l~xq+Dc7{$45hBwOV6G ziH;ct0<78{PyWuz@ez8}#gC4U1CC;IcX#*7vy`Od6vj8#YeVi$dD)bLa8$mM#$Uc= zi2qq>#NL?2^!m?6WOLMJGtM^N0|lHniYi^Nk$`D`+lO!LN;j=F-fyTJW)aQ6I#i#( z9$C=-4y~BAt81l^Jon4dl9u)}BS-7wZPmx_Lwcsmb8%^j`PLhJR|Fv^XVG{%Po$Sb zBZ5FcHZ~=N;&i;!O5898IT!Tn?{G5Aug00WFqKMFBDqjZ1hC0+25`_>(IeDvE?*_dqz5b5LPzmCo98LHjBm8F9SppwoU7qQ>8E>tkbd0Lh)g7I5TQW)=p7%5GcPai504-|!ivX+IUKY;iW|1v{vVzX zk9aA!VC2fO;R~nK-}M4jwZ$YLYRiT_MM=UtJm0g=nk+pm^*E$pPUw7F$;tS+7hf>J?~s|R_1 z{iw6ksRiYi86VztTYmlP2eY6ZPUG1PiPq?@+}gh9T|9-Iwf-vm=LHJY$JZ^}GTx6T zXE5c*PlMBAMa&%}Tv{mHsxy5`LXH>qd99OlI1To9x6ZhaWxdVg`W{-Z-QPb+m2tbP zt8pMJa`Y5yi2(HR@1_Mc6&0isa_TvLbKk6&X7^_)?}C*T5|f2%2YC zO#!{wYyPBlLNQy%>8l0cY^{Jdof+(x#WEYYS*d5Flp{Qf(?Qg^jEXxlB$`A6H zz~fEHpJ_!2@|+T{S>6jZ7inIW^2Y}gZ<0Psdp|s+`gUpOM;{ZT3F!N|CB^iSi_bUl ze6_5gEq;RO!%1q@c_dqtY^t<2(wqzn*+IT|@M`3b{pVBy%UeKR(69CEsGHwckAMwIYkT%VF?9fJUa8Y306i!(UR%GSDh2XqN}io0n00w zWdtnyK{5N?r|YgimG-fQu8K{HP<-GjmOm`tF3WW%%0zyZbV3_IzY}1 zgrYgfk5f4fQ@>vq%*nPF6M^y}6wMhE5>Y;1fC9N2_G#uVH5xpyH=La+n>2v$@TNj4 zewPOXs?B|$01#Ag8?XC1p@igg^FZ@1Z61JE`SC}e49TQ9SWylS6HxIRfSPRmd>s4z zNH$WIqyb=}f0)(rN1bp2C@I#`aXWxlY`pC&dY0=nhj6qnl6rB@EH@4w12=k*CX?1H zk`<8O_`3z<3E9$ox7zXZrY3zn(W%Nhd!OWiY}^+%1S$`2=t+iLBu>@BlD3PmQ)8@ps~UUc|kAFgaRpITLb*MjgnNApJB`Tm@i z6qmb*Pi2OA<>eGL*lS&_gTAXM#X!8GJ&j0tnLAgJX`Hny(<2CJa)O5Vr4ng7mk=Z&jc7{3LjGcy(lqSu; z|A4T|FBY&!^DOEMOTF{W7yoy%H$l`S!$dp$6|j?Rev0MlKKjDt^{;!ANgK@+bVhA{v^K1xKIDDm;S@ufjlqLp%(ux z@BwXjBe@YC;6NXmH2(4L6iCznF)1a_=sRO2%Wn67_vcSR5{SDF0iQeP-Up)Qvzd%W zQWy<@6dsq`N5$E^KbLCoc-;OQMWARJiBxk?LRU$@c{N~*j1&e0@4gl$Yo`H-WB?>G zUHd6hcq!n5$pO65T8k}^%H!b@Q0BS=$Q?SZ#$1c7K{)fjn)Ki&f1})%WKNoMug_kV z4_^S%BRm6H7g#MQj!7D0g!m$BZZ~@4O(a_Pc))9wR13;!3893!w|4Omh z;gdi-yjMPWprN9AO5ycAm0aI)EHxZS4oMV&#ZQwx`pE>!JGE zM^1+7mYpyFl?Jk0q^nwW-Yw8~J?I0Vn$v&%1k`SwXImod{pM?B-dY}$+pVeNEe?;H z(mGEYqznb%F|#x=^SNQ7f8PSh(8wqlez@A5Uyskse4SI2 zTdw`N+GIJEBY>68IMqxCw+-e$M{WB@_$?MD)3B2cn+? zo$dAd#1UnneEH~suI;i7MCWrD48l~Vq@|fFn@;BiCRLfUTb(RaTDNQmk!QIG9?1bg zqttS~h&*%H4Vq_S=}JeDO~u;ej#n&ZGyQ@Yb|aK4PNJfNcZ)jK#sFMDJ2TU2Z^DK4 zuhLu9mFqlJghD}ofT-AN^RjZ~?Qox(U{xK@#APPd*)~j<>k0#h$HtWC>OTPIzznAfFt@cH!L`S_FxI(ggG7q;sc7YIvxQRVj^yCk zi{+{p+rjuM#R`9>`MiPg17P7z?{`n==|+o1aA-8sW+<_P@$_P)0TSKAiA;@q;5H3D z^%I;@eB!dQO+LAxZ2&U<_j0>${J+`rJvA@hd4wKMD>E%2AU>ghgp90BZ>UHnokpX1 z-6?&9U#T=#kNWxkqS@#6_7*^mfL-4*fKkp%42CfSTd9%`(aBBs3my@2y-Lxi)VKs- z%LLE&^1ZwJFw31603zq>Oi^Q2}7$fuPqg2ykzHK-FZ_+LZTL=^9%@#oK1N9$?1%q+7L>0%SxO?H72w4!}gFo)GV=W51hBeOvsI zUsOO{-Jzfg{rw{DDJaY9$t;j2%naCcAugQe>nB0ewdCaG^^V;#!!9dV$BIWUUEkf=c z<;G&cXDQ|iC}2IAuZXD$UIa3Iux)x65CU*`-ZrrljTIH5s2GqpQmj)XjB3r6+$77j zDE+7ebI23Pe0MMs%@VSjc3ihDn+F9!ni((hK|{4__92(a!Z$Tc7^_DeF2mkdz2H_)I8-9 zwv_zx0l8EWz|C7wF$Fl|ADTD4psB?}GbZ>JmQb=Mi-l}}^@vM`PkuQhRm<)-sj8M^ zVxUd-VvHZgz0&Rwp8Hr?4iVPf9gtM(;~cjeDT^8nxUnSt9IY*(7`3u|EWH2(z`UWS}e`NJ8`b_ixT)7a+T`9-BoGcGC0fQ7?NS*?8fe$%@;UBLf~@;Ui2FtY>tbR5Q} z%!)IMl!i$HlHB)V#SSL3FSP#Wbz*v9Y_4JV5@98FhCBVCZRQET0S3#lQm4C1!UylT zMsFYY`R`eMNU5Xv02!32Xfz&fge*!03^uDYvm#YAQ!1}#8|xH6jFl=De^ISgt=<4Q z5C@EU5q|nqCZn(_=dYiIFrDG!$z*15))p!?HDUYf-2caR5_GeWR!IgLZMJ&>)Z=h} zpVCUL!^KuW;FlcVYlHbbRiwqdPCBcl8<1Ius<&9gBwEeC*Q4~*pshfF={I4OuaLCrb;9*ZC|%N7+{;Ay zBPhyK6m{~}v2PcC;Lx{7M*NdJ_ZfBoDHGIkM=!70=1^)p!hP8T_6%m#^=KUa`QKo` zAO}58%Xm1EWb!mKCcfaUNBjW-dr?C0T zF}c2EoV4QGAwlUtnSj9J^L7BKm15y% zl~d~U2cTqpr?-X2)7jXV!P&yX5>EeU+<27$lM5D)o1K(&fHtGn;6Jwv^61Eui8)!b zUt#sW>6m@SHs?AaKDl7e@@=LpUnCq203z2qTIT z6m)HS*QL4tTRH&Q>Y@e;bmQE|#9%xieLb9e%%`fOepokh}z$r+O6VR;gV@s?TTppIbt} z(-|%DpL^>=Fu(|G?LLmFgI%8g{`#LL0&1cE|L6ZyEB~ur`9J^ev7W!ZPdIS^_j#{% zc51gol}Fhq=NzoXH3%jl<););ZnX$-iQ^X2rl^iJ`OSm0Mj*`JP{1dF%MpQ+OWRhf zYCL%GVB%RcatwuM48Wqg-L+2}J@BqTv3Bl~4*-pE{Lw zawf+V2dWASnf$kTAvQ0`E|}grr^w)4xREgqqa0#`ooW8+8Z-M@PxZd-$u`g8vU0WG zXN$=!s$?CVji246KTqx*m~*z8349JEKxCB<-gp@G9>HH>YVGW5)46p+HMu5}7Eq{G7+qrn$W(HRa z-c1$ur}|oI=f$nIfz92Ki;2hWK{G!2h4yL~aX{1~P#}U}h_MN~*HdRY#EEnn(R>_L z90Za8QNj@ey9?{v)Bg7OR(o@F_XESR9M%$&uX-AhOhOS?2VO+CTPm7vc?1HJB2Wed zp|JP+(MCN!=WDDbRB4iue3{fog%BLJ{GZ+Bv|l(lpQijE#NwyJRrB9W%Fd z!XQH=>1{gNVw-R(9-lTetc+tFC@?A*SRz9Fcb%@MLare&TNHXU3bd)=&=}al4uS}) zAqeObqbHB_nTM+EYPQI}ay#h;WsD8au$bs|ys%nl-0i}oiRYEg5F9j67^dOs%bFj~!!m~Ve&u{hwA)$z&|ajDC@drYYHm38=ac)Nag6w@ji0-; z!(Iai2XW>dZzqdKd!qmP&N0Mz!qalD8Y+NdCiH6;du zWA{Spi5HnlnzVZEX)l$pKD81IJDNX;r{M?1co3H2=!XPg<}ZTn#MM+mysWC1#rrMo z`FbNXX9QGT+A_5nA4cp(_7_|(*VCuVI-6ogtB$k-O^*RuUc+Yg6I^^$JiIpG-xzke6QkaXEmQ)?M86w%Bk=ch&Z4LU`PIYOb zUIq2m4*iQC@_TR~YiXyT9}RpU7qO^V(AXYiw5v#s%2E>Tt#+w!3KupA3XOF7db=2eoj(W$2T(=UAMIQ9!~oX29X^8?;(Mr%$c#XVxcDhY^{AyO#? zAq2dHlFpzAb0U@2*tW4Xuj!>jQ*ZiNV)7)bZ3!QJS0cGNG?Z5v z#P5NQKoiPT>}xbHKiX7D8=4(U?M2d6oq6I++1~NV=r@~`D{WFbFPRj4CrKm23-)0N z{FqnFA`pa7lf4Z5H_cgLhNxfXd z^yI6Bg4)4at@ld3rs2IY{M#%Pdz$ht^LfB!p*Az>x`3I@&cnmQ&d$!q*WJ=m<4qhD z9W5s-3*@kzoR}~y`W}Gbx5@D-0eA3C!1uWWZ#*dq{5{jK0L!k_P|h5Cmg1BWnh@ad5Bh1_D(Gro_%~^_7f%hDKczA<=^jV-OF4j ze<-T%-%V@*juC>+7hWMp&4$vdkU!>&l%J+Q+h>YjVM6{WroIXdw6ZqT8(TRvX9?_l8BmCtrp<&GkrMPet!`NHXp>*;A6TZ*{e zWc;=}tndc#Lx@D`rpnnSv|BpZ_Lkc3FSOjAC6LI=v|E1wu#0K%y1UE6L-)c^v20?V zg8DrX__g8hjP35xMY+sQmxun;X6rsJt6d=D|9Coe`W+)aug6;B`*3)2H4W@=3a{nk z%z)`y>+{xdC^MsLH~7tdnOf85?u`IQq#qp~k$h{v@{3``t_@0*8}2#Y%C=yR$0{ARo7bl*gZ!rAf?;$AvSqiJw=C^5`4w+;{1fKSVFgC0!*$|IABY--k$)+wETc73wUXN^N=fQvm3nsm$kG4!xs8e1qpKBG(1^ zp6-oi8gc^0=08_mbuX99*e=%QBZ8a8(m54gOHcYtZs$nLSyS$Nc0av((nNY+pSFs< zM#1CpIz1ER1Hw5Vkzx&ru;<gVq~>I0dw^*pZL&&jJ^K@Mg~W4FN&YaO2Vzw*hs zzn<(qJ6SL{=&+emBKdp&#f9T=D{B)|kG>`iM;mFUWG@k_CUh5!YH!u}0nzF9wu1`x zTOz0dbu__;noyRpqed^&e0JzD_e34zWwqpY{VzI36_;;(HY_#%o&0jL8N8DVX>;#X zM%RWqs?`b1?gtv z{YBe#%I{TYe<*vC1*C#&Yi}o)i2K-*0h-jm*VhW{MWcZ*kcx&MR-198OP-0CZsZYE z+V2L12vMW8riWD7@7j)hr}DR2@>GaMyJo8>s#;R1RlOS|{BNyaDNbofg|pUl4{oH! zus}A-uIwGgtVl0FG?*%P=LZg3}?owj1u$)oqn9R?QHDZTJF(?nJ>3Cki_)uo{k&m6O6hRW@`?=b? zI+}g6Jh!`%8rBC(UGKcFadg(%KI!C;RQ|D0yE@xyM+i1J8%Ug$@bTKzkvj; z#~Yo`aimgkIP6Uad$(;=G z8KMYDBbD7God}OWJ277|luL%SrtD9Tr~~JhVH`SD!#Zs_jZ8_kmLWqI$>clYrj+@6 zE*li@dG82v#AXp5bBxWE4ahTdh_1mXf=#^&9r|dybJglit4#|Ek^v}-^Mx*TU}0Uf zTC0jf`P5XWcQV0@E@gdj5!#Z^I}7IoO(w8F7f}13fZ+LEW5q!B zJzM!^=RrW@6I$K%G(x)Yqt5U*wQAMrX1DidmuJJep?C_>N6&qtAYixP>kfHU3tQj{X;Ac`C6AnBc*S~|64CAGT^xAk_u5iZ% zYPExYJy>LxD|6hK4%BWi=nwwzZCSt@3!+j`Q2Ne-L3y$)pQPW+rf24{zZI)J;;%YW zrxC-72B+C7#ip{)NoCoEdJ=-QD{`Bf?M-HH4p&m@&6gSG?Gk%SNQAD|>glpv8v zO4MqJ?%axGGgqp#xMo6r>U_aUeTt1`wbZC&`<}`KAO~5jmTA@1^!>#$>8vI4ZxJwi ztYwbWi*?+I0>oOBnoSjNbi+1e(kVSrSgb!&2!+19N+OX;1_T5zo0`g!%A_fApweq| zH!?dO&p9lVDTm9RYZi#d0@%t;3&17_uM}k3VlD#$X8?O2X33sJWCB(d zy*e;Xk8MRnxH*is3TzZPi{`w35~nIu8hTC@qtj0YFd+?fD2;m3nhE)sel^0%(#O+C z$e~n5VOsN1fntZSrr@ui4pF!yAD0pzkke;9fQl`(kFv4n(m-i@zudZRyS#yUZ_IoP zDkeaz`ZIdMOg2?+KbpJi<>$Y|goi4cB#mi$y5c?ez0Ypf31f(xqrSCOmPV^}yIPB| z;>Y`q>1qX@gWehbs;%sNMq!+MVp!oXn|w0xR$``4*+WbwaoR+ z4VavZo15`y(iwoF`=n5S?F>cpu`m(v9xL->{;hMl(zlm|1zm2jCD!lx(Q22w#@HAo<@{OaSwI%l3ZLgZTuthX-I%1EXk3pw#kT z`@RF{YZ{d@l`!2$3c3B!7Xf?}Wynz86xY5W*ccSL)9HLM7nc@E z`-6$hRR9GG83dw2fbJYi0f;jYNj0#geIUH$X?cqhm(wBV>h?%cEtD!%FK93ldzMUu zf}I`1E1(zrYz%-3!%(TeFx%O45`3$OSs4TfK>rAW89j@&@L(rEr)V!2fXwg-&8f|5 zy)9oXx^7l7kqSWX;IT;cBqJaJFG*Yo?GTpthc}hLQz!=7AE_7N_b-{(ezqIYDj~tr zy~?413~ts)24#8JRqqxUo7(W-Z*^d!7b+B_|N5d1MJNRnpEBj3woiujI#H{~I#;yl zA*jwEIqC>XwPwCuB-Ot7P&UGSX@rQrt5}^WU9JQN`>B& za40{47X!jGp-K9@vv?2GDju@z=1RGI5fK*daCyX9+>our23?;il5{1!UFq^40z0H} zhccWFgxN!dV4HH764eBqW|%zp1z&4-jy_EUlFw3Qwv>N3o4_-&WnMv&gM~$DT$o!_?J1W+b@7p z9`9qu{t%{twGsD6crHd7x8_d2ESl~XV1%iwT#8J|Vt3GS{{juG$i5URFa1Ra5|&~b z6y*j~gEH(b!EFVUGE9qPK-33Gc?adITIeo`2#&sOn6dQfC7O(Kg$?hYo^5241sbTF zG{`O_ke;d;M0kMw==tux^ z1;fwRwZr4s-;z}P0`F~>GihP_lmXuTQbau-pRxN4e?j>yb9Hflv7ApS)->jUP%oWc zpJ6APy&~5SUKAh(WVO{ce7U@kfb#E>kHb{!E0$kB2E2O}gt25CfHiP?ye=Hv35Y;V zz$$1X_`?v4YbpmMJx(Q+LJLeO0d?T75@gKW83->gHlHg2eQBLhGPo&@fWJJ!s{i(#UYv%tCi>JzKbXXFrZ7P@5!^%}}$4gtl03y90Fh zV_bTi#9~oO4XnVXQS})ys6wL=DoThI*$yer%7MYo@YTC9;qg*np_g8{-!5xUw~CHa(Z?JEM=x z>%uGA*9_D-|8-B?`~w|^bLnNZ9z^JV97?SnYt26v_4hb#7#NX!{4 zXQZI;FHTK<$f`FA_Li#SE|T*_se^?4^<&}Ff{br->bh;pMTU4C*I?7cim=$N6BXy( zC7gbA+6faqy^xFe6ooNPB)gXAzx`NkSMKjU`O_wQx(j8~GajL|PZ$1%kH|_PnI?;Qii9hwKKitm6ctgj zMB<3s%aixWg!XB*VF%~@4(PATiE{5GMZmzq_W0aR&K#swFNpcPrw3LxHzMt4hN|2FR@DOLO|BeA_NRlq%4&MB_M zx4mxOOF&!s9^4|~*8LipSSkNjvT?VO3EO$1Qb|SC3QGd7Yw%8X6T=hpfP_DP*1{+c zTY6reTP#$4UX#v^tO%av2zP&6I*c`(M*5KB=&9MPamX>$qTmQxITv$_3e#ar*j zw3y6!qOqW&qGM!VR{8nMWtemrX!_(4Rk0Yv} z>?(B@+ACu9X>pxVJf;`MDe@u`;SKrg~!<$8J`+bQ5G78_<=X)|TGRv6^w`dT(I`hv+ zopR&P|2`;Gz+{H^5b`oL_40jv@ncaL_X=LKP2cj}?E8x8SbAsqJui2}xfsOLL_Zx< z*lAb(EhA^ncXG3FeBQLcMuf8~Z_S+HN@4RMoKNW`ukURHlGPY2d1cf;zi$jx=l+Xh zG}Qh(VbZYL1wN%6!xd)>cks^#L=HU{vRR^E)6uB5BXYEOoH#x0fqHH72HRVEUlzrY zb*FRqXmsOQ2!E6!cG(ynhc51WYsw~lt>3Hjy9jG@qF(Kdh2T#bZDenU;SL&$bUFOy z7x60mYEmq)Fo4l5bT0aFu#|VHWL+0{We1pd+ooTCf2WhrVNl$^;db2U3HbeBH~nsN z5LCr3XBc&>^pwIG9kLdX2?QgXbHfJu;&KQq`QUz0NFGp-m@Qck2`soCjAsdGBUKqz z7C20YpN4BXJlcrv!nUYXVuh8i6aFli*!v^Q$!YUVX<`c~tJJE(A>U6bfo3LVjam&H zGA{ERlqPoyGW3w{)d#g=F^+n3ajsxjDK2Xu<&o1T2(&@MqnX?d#O?jjpOB8k#~m8P zA}xPJd1G^Exff|@%4Tc1nuEkaA`U;C0{6exE8_c4u z19KM&NUOaq?Xq7)S=uD~oUk^WWU!17x+vWI zDvL~tcEyp`G8C=n+lpK}=?#H%dO3JwByOEd_3lS?CKrSz%0^px-1T11-!{?LJF>S? zoV<=E8W+xJB>WSyr|L?+b}UFQMhzzJv!>Li2Hb%pj;pQ5>(3E?AVDy-Tgal)S5MAaEkC$WQE*1lY>660<+Iqw>ghF2RjbvtGZ1xihIw)2} zf;bUtztR3z6r%1_;)q-lPP^7ezigo^SBsR?#%E&pg@X;?f)S4%cU$67qp;T^T0| zCn~EW+a6qMNoBRN;Q-qWn9J?wZu`^U6HQy38db{;+C!XY(ik-`DOlT+>Xp@kt&Nc^ z{_mP5Fo=^M+yqZVMj6mq#bCm!w|a=Uc=^mt?|au)uAqd>%@cau3~4$-ooRX|NHmv zc8)}$71A<<4)+jyq;!MC6{b$R!hi*d&E@3C8=YG{%ux^p@@XIw((CuUz(S&x&y<^f zD@saXIOJC+o-`WIlrSH_gVz5SqwC^uXg>TX! z8d@QtqR$C^tTeO^Sz6)Gsryk=$A||TNivHepQHVKk5U;c;!A9MS=JO~I$Za(0^XI1 z8(EGcm}9(0LEih)j}xC-GvF~iLnggJNJ4_5pyrI|EmMNIrTK+7^>n%E0GRPBs|_pE zD5GCC*Cz>>P=BdtAWY&8D9GVMnf?9_6~4)+6zLuPL-HLJ?1FZku@M)6dTgU##10T@ zvV?QWfV6fd--;Hij1S{qG^|F0)Fd$ zRvfzcb@cYVt%~|oi$l}jNkJ}Jm8nNrloO8O$6xp7>yNQn3TCD^r^zJ+v;D4VZD%ID z_6CuPk<7BatIJh)iL*to*x4#j{?8z1~~u3;2Am z%jP$>+QO3zhWi%+bTze8cjk>KVMI${1y0W&jYIa;K+n1nMDR_YB#lWM-m zB%Ue5`=396B**qQGl}}H-n+t~0qinkPE-YPuC#CsbxZw09I(;HBctE5tE(w3(v&x1 z3h=RNVKVa=S~DqLXEz~YV$XhL7u%RAznhsC`1T$#&a5haVC~OufoaI-Q`cl$jWPr& zlHg)fXCpv=3zwmARIyPEToe{+Jtx6dI7ac4pll~Xy3 z*9n|duF}C<=jV07-GCwC3KPGnUi2+659&Em1o{$uH6=$g1#GGl$+Qc<#5FMT5??|V ztJA_jH3RiKj^pXxUYL7KhjBOz$q_1~xSo92cmbE^*(xX(*8AKi&s)0-%(>S&fmvC)Z^X&Ew+5;Un<(p%(D1_#Qye#h zqRfezV<}~bA5)b^(WCs*Hf$eO356$dQcSVR6C^q>`6<52`X!`=ZxRRwL)E^1Q7dzw z6M*g(xH(@Y+fXyc;VM)8!Y4=}gvjqY>FYaT9+qm()>Mwt_8SK@a9@5-ZnK61|uLzSW4RnNk<}-?+@tx&FKk z|C(2$9)DuXiSHr#gfLrY&k-WU<-LEm<3zcvCQAgue?x!8dXM{sv_Aj~%uQpbn45}bSxdZU{B*m$b9(>O0dzT(Z^N2purw0MA&-h}{ z+mvI`WX@@!jQPCa1g88-nQgwQHGGgixjS|3Svq$1q@_@&UKGcXGRr%k^p;19LW1BL z-@RhLw{su~I+i0^e1QyAEK0{JI4)a%E^3N$=bvh@lmk1u?(lNn@|%!>gMlp zVbdAh4ZJCJsFYFUWe?>JazS6D`q?7lb>166Y}HV-fD)A9Z;oes{g}T`5zbwzi(xr( zQqw)*xZX6sB%Azn6!1K3bjoL2VE)XS$Xl-eA*V=J6lSXBM$a-aPqH)*D7e36a14=* z1QQVPyoced00PHPmYYvVc;ZTsVX=A1NkOLwQ&3T300N>G zhA=|SPLv4$un&eU20Ajh0Ws=F;1Ya@t$)kIL2uA%kFZSfhM=q9TnyhL2K^G?Akazp z*g@^qd?Xsu2PX+(;q#~4BlokMx3IAWT-TuSgfW=7Jlq3J55qt83bW@1{3a`FD(BAynhULveLiES zbbSA^GBbmHn;Ji58&L_Z3>Qyt-8M&qYR3`1?`q+vpv9;O(jE0uCCn|{xr0EuZI1M% z=m&xiP?`5fw+4R_zlqKMTy$D5Qr&W2ajv)N5^-!`X6Q}n>n^ugyjqzWW5t8jicC1& zsf17-T$BQKSqu2uL9DQqi4<$L$xK$aRu;m_;MhQ9 zYs)<>jU0W?ds@**@YI`XpHBBl580%qVo^TT6;-3d`Y{ws_E_2?Ou-@*@COY& z5fqGCSf@^BLPq$S(9?w4aKyLsAe7xzyX?MJp}kV8jFPqJC?#E@h~co3ibRX>HjzR; zB|#iRsdw)aM9?rr5DXLzEdr$zLbF=(C=siDnlw*?_n}M`UG`{(w&!9`=Vj+FdSgB} zwg7AE@B9Fo*Yo)5^6o&$WrF&Q~!tSl9X-u&z(r|eRlQ44>r(ZX;9V9JmGgiWy0zJFq&y3W{ zNlSyuq1|x2tmlKmVpFz#Md;6J4BaqFe`Xa%|9;p~Y!VcP&O!?P&|WejFz)h#qsZznct0BUjfP?;QT;^f zQHG>eh{v!XbaIMX6DCevoMr;zH9RiJ0$j+Y#h|9 zrQLXzWespXSnO08X$Fz`UWhwH7T0(HSQMTcPZM$39}Ee(=jf>Z$l9Z*sq`)^lm7T; zcu5Vhrg}yZo+3oF6NyxHlD{#MlbFwDf|jyn2`#_I1fk7-SPF}3+?rX=ejeLKVb`QFQvr$50u(J%i!C#Wfl3%V zXBfudL+X>j<_~R;;i)GrO5Go;wJ?o#?8Re5V)GTaQWrJ3)>im}Z34;qgd?GFRL=Gp zQQBrW4REyPvMUGV4^&r1PHl+~;{1ih!Ywz%wE8bTI@fGqx6_r`k`zibM3@e(#e~ImD>4vj zaXp#I!j84RRjGL=cC7!1!T1L}c6S8$P4NwmZ zT2uK7AwIE2UyUDr*;AkE{JnU{dj)n(H%vQxc?RdYh+P(hFx-bOjA-!F$&xzAMnS@i zO-Hr$Kce*;a;l5B;`J=1DMW^rw`5_mPM1XME64P1p}8zZOHgA6GCVC6mI~c`Lntq} zw)!4EpnUEXw9`j>5-^5(6ZAQdJkqfDVcODQ?-xI8jKW51!V$*}ds!aFAUC-L0%m6w z^#n2c!8wwRf-_V!=1V7|-n;}%xju0PO?zEI!&?F7vOq6g`Dp?t9f{yb*prD5Gr=4! zU+OVO5odSZQgYmne`d;HjNdRK)hMvLob?&SIPtPZ_|DEATl^S3;f=k4Bn z!wfd%D8^ugUpHZAhl)cZ2nM15K0gedQcSO#uUI0CG`zZIj8Z3BV@i-V6vBGQ!zHGY zBvZc|(5h9t0%`(2#2VB$H7y;~w-(7oD^P6{Osz7QD&=$U>W&1PU|O4+Zi4xIyb~S3 zofQz!$B4w(>n-EGJB5yozt8$^eSLY3vjc_5yx<7pfB%>dy6&5V^zQ+}&HXF{pYx3! zZfI@QVwo6y0--c&5zMmCDMJ(O7c@*$Lzu;8Hq*7CG<}t$OIx4WwZd^zxp0CyentxZ ztU|@Af%F^hMX+Vgn5FhNlRhda4?QjqwMre;Mq&K?6?poRsEjt!60 ziOfQ0gi9h&YXZ@rTy9mVR56Ls0CC1Kq1<*5AJT-v=_uAR?uUYWnT$PSZ?KY@cjM|hs^hwM@q1sXpp)?Z7<7UW zQ?&{bCCGz4N9XHZd$SRir<2~{s>Xv~YvYR{U2(m5DT9;c=1JcCk!#ZlR(xRL!1 z?%$5Sz{-+dp$Iic0=wr9)W9H=>g_04q_ILvBbS`9WUnm*Lw89{V2}uM(rjnbOqsBB zaz>Od;gIF7<=2TiUcA{ZVe)Ae(`{oXHa80p8^BHfPuK^DfA5$20$%?aON3bdlYIX7 zNV^+o@2)(NJv7}R_c7Unw7|c~%Zu#&T5+k=P1IeW$YvOD}fKo+M1JUI|GBJJQRg{7#HF-xit=;e>&qIM8uBroI91 z`x16K7J(&0wt3^trF=o2rMdgXyU*9 z?mPtlo{D`M#3hj>=@KxZu{lOt-W*3TC=WkrHI{~o7^s5}`8`mIVy5JFAO_&7%KH&# zas5eu?kUe<@`ugtu^M$^D0vWLa|j3!CD0z8pXX;~`2c^}j<)*8Kq*}9k5|B=^KlDE zDsXa&(riEZ_z>xFjfmjE{gdu9lz~T`U<)Hi5;6)4!@ry!ddg~77u$!RMqu-x{kQ~~ z1Y;N!9=H}nlLcMK%|kDi31@|a!!ZnjIGGN^2F=F&Yh_jdwFDli0G>Q)`hU~Bf%j(>Qg z|2tJMFjE*7;gSSAbVf1t#5BdzXlz4Gv2B|zRFMz)G0kldv{*rWCY)@>X{&ID;H=e# zsae#B{~487(je52&bB!x7?{6Eg?+d=E0SG7P7geQT#Z6t+A^!VuOYpt0@5lyU)9_JNic!lOE>rGbi$O$63W(=ZCP5jQ!INiL z@rLmc+MZF@i|}EbSN`}}fD2uMqKJr2W9PQl*&=y%dTP|>xCXe7B=2-;^lyQ;jR;;< z=yDj0#m2VdAj&C9Aj;ts5+dO@0X^1s!RV( zcM_ioTlA&&S?w(=a$&~5tx|vy_&@`#7mdi%QnyAGNxF>?x8yulcM@6W8}*DfqbcM` zYi36Kwl%V8yBek>&YqEf`7it)JY0gUVH8}3l)GvwFg}iY&2FZGvFE-%KKf&wYM;NP zfLLRA$XKV&*uk1GH^%W;zk6yIobk=m9UT@bPGiCARc71As&wJ64%XSMOX zqQu6hH2uLyyUies8JN# zA=1+wY;?uhG9mTw_(mWx+JP-UbagMPt=FEhpV1V+U+Xko&bs?Xrb!Zt@ngNm%WUJk z)90Lrm*jtUFI5!Ms)7c3g;f8N$m5V|eQ=cY48gpnAw6Qc<;eRt?K69bI@5;2NlHEn z=4P}~ReMrdRCJ{uE>FweQG~KfSy?@z;^zO~S+ezmKC(c>oD~ZCk?0180q+Cpr_`h> zX6|rlybUJk(?plnc3325o5h`)(F27F8l+wYqqnp|VvQ`{<58s#4-cc@t8Bw?YQ%}( zfdkp9dz0I!R%N@8p)<^poA@Rb6fCl>gE1ub*IHMaY5sTizl8#23emd+Qh0@;NCVY@ znp`mY1DyZ~53oP}h#2+san~UJZ*O{~As{ld6eyVD z6PuXFZ?Ee2ixO<*IAb~Sx!GIA`2@LcDh{@$kWzqa|_-bkZ$_yo23ue ze>A;_^x!lG(zW6Q5uyZP0Pf}Le|Tu`jYc6#DMEJV5AA6dDebscdIr}H2yauBaKTp& zBMm7Vtg5Ex@|*OhU=_}i$I@ckQh{ohel==IN?=~_X2YzcZ#tOtc;5)fc-hH4+xB-_ z6uvN5NGgDl`!GMB8V}A`Br0$!gIVFaOt>vMq5&@rV`OuXoSd8*q^SzDHG-c2OUeih z_FeRU511-opsV!1CZ2&OP#tktNe&P(=og4{ z{_l*3D+z3dbYY0}0w2}*m*Td#H5}_8gzf8m3Y?J*Rs>|lLqrJ$V8w=JKpsQPUzH#u>LutbHqLkPH}K(0ggUp?7#4t zirtwC5>79QPLSR@x2@Ypovz%sRba-Obh{K`T%gdy6BFgf(Tgj$!G0O)Zy)|>3#nF z%N}`zvZmz!LWgY)m|!B9_)=>Xs1BM5;};y)G|7+Ny@zjFxZ`C#hs;g@5& zi@slc*>>|y1Yr(PGhw;(zTM{<1R~w-1w;^{f9r!Sy-xl?EZSVCHl*g|V-8xmJz9tlZps|Lp7= z!BD`cg}J$`_4z;R zkH=A9WJ*wAAi(UvOJ#_BDAP&I3UQ7%*Sn5ZYNC_=n|8BavO&bLL(R$wTT6EjRZ0@w zo^Iih+Jyqkm1>U-nqqk|9At0bzWw&?+rjsIeB^D3H>QGU>NOavL|rgS#6vJ!H(|`V zk8!Xe6sIl~_HEV!D5Dux+QoDwlWC0FD=SwoL&NmnlSAJ`WMa$mX;l^|9*l_Awj7U; zqkbKq8l*BP93S59LxQ4w`5BUyeUE;;pu&!`6fX%wotTye%Q^D(D>D}t_T}ATtk(8mW0he78_>^|lym%AfzELwLaH?Gn#A23T zpz}iUkJgCkCcnuK@~B#3pjM=8|M_LMB_!Ka!OQ&|qr`VqtUZPff;t+{H^Wkj0xbI{YJ-fZ{joFFbnDmkW#}J6}4ULQ< z07)5i$#{a~%T;nIDu;AC(HBkWDgdH@&l^0m+x`?}=JxqH`ejTKRTkLfh_6r>k^g&q zwOD5rv*ea`bNx!xGUWWCPNUBKYsMc3$<384Hwh44CoYrXFlRP^^A>*!>fe8vc#PPv-X3>Wp)Mn?aA_Ro70S>BCx) zh7N808Si`j`6Ru}eM-MBm3zXx?^7rtD#`+AUXN_b<7*Tc5^d6-TJ5xcH53_lZP(I= zIws)tVgMcT3cwDaqbCFgLbMkFz)SQksQI%RcV}?m;o*6J+f3ZX^kaoup#;EZZf$Le zh=|_Y6Nn*>>+a4BC(2h9Kt!M!D5LE<`2^*nYp>b?W&)da2;+_ zkoS0{51JiQa!!Iy%LONFKbP>r1#{LPP=xWEnzqAZEPI(6g7K~%)=4C zt1=8HVngGh(8`>R%0#*>#bcYvN`aW?& zy-DTM(Wc4#QYkh4cW+fhB^B>d{Tyx|(&38=Z@uPxW}phGNjqt$rJP8z!)G zfnt2Ve-n%%R6aF-TxcMlmi_ib>8l>d5kxyVnZ`We)9ke24?IGw`pv&L`b3E^Qqc3H zX9xinH5?6Agk@U`4K5PHF7_QIJ88Y3dT9o;Rz*Fi3r?K}^76YMr4|GiN=E$iZFIOQ zl=aG|(D4Ba*C!7TkE-Iz`VLsnVy22=p;hz4zni<`c!JBPOnDv$MC5vy_JL@%C6-A*RKWqIcVwW);T>j8*7VYwBc~K?{0}4Nk zDiVq_g{{+j*r(ZnjIb9%Nx{cww6>y{%ijo12JSY#Ci^TwPp47Jr2&dUB@zDl90PRYIBk$a{ZwT4}L#O*NGoWJf#CdbD^^>)ODT_fMY%oTW3ADGz0 zhLFGsV8YNNBk_+-n)u4xoNvXKgLe0)HgRm3hpCt6eixdTW~W&KPP_$c#TaulN`F%g zw&4-g{HA6L^7G|^FE8Ex$f&(L;X$eD4x`8kD~%{hlbJEPbbnZh*nR%K3~`W)`UMN@ zZ?>jwD!?FKpdZFS`=2dz#7Q?4)opCU24E;5L-Eg^u{SPz1*TyfqSq6or>BD!fN87) zklTa1ELbE^GPr6~)uEDMHtJw~l7X zt1_{NbCSe!Gf3xCWmv^!9YHWa0*q zx+ozx$+L)6qrKq#q0sxvm$=(^XwvqasMJ7dyOl_Sp<)J zkmHW@LB}<6e~c-Bl9MQlBMzR;zBRa4%I)E!W=59INSJzZABmuekv6fob=3Fv z^BMV!`C60hgnbw4?y`qBI@n0!3U1GJq3S761ARil_G7~o7-vFqhB&<+lmgHl=~rg# zi|nL+izk{H)*5yI5>OhO5s{?8McIPlMvxXoBI8mpA&?R(C?F|KKi(ck_~rwHN>v`* zPm7bK27+ra_2c6DdZ`w9o?dT}`fk;W`B=cR!8g}0dpSWz_wZ9LYHbK1ivxW%~|GhO! zWK1S7DM_?g2dzP2IzW^pj|r)|>Tciti4xsvQZtP0)jaG`I z1Z6?s{=&z{=LJF?Z?bZ!D6IOAz`Gu&KZ)MVzNvY(-oyOVCT58gBu0UOLq{QbV{awU zS>ZhBsrAY03OlW+%sMU$=S-aw1!99Ntj>9sel@~wOU)}u4Cz~wvmRPl$$KQ1XvRa_ zyB18gP+q4wYuFl?0FL1=%-nRGSGRPiN2V^nA2JijMdh1<9M^l{Q*ai2cwk63k2H|C zQvYnO+v29B2(Zc;We{dys#S${K)KO6tn>|oH zg-~ELiufbxccnUa=|R@Yaw3(3l3)rLoRSb#&N*aT|k3uK@1aC zk}@{NfbxTxeL;oIsE9hhDDmSFSv>XO0V%D~RT|yX1u1Mq9mk2$p$X2e;5$crUQC2v z{-R!IKk_%q~S`U+*zHI7E%CMI@A>s_Cr;q(1Psmsb}+pNKpz4$=-UbXX7d z1)MOii1=KQ2&bJm&*P7lC*(J}lVJqazDF%Jr*nA-35x|DFR06a<{ zzA>HGs5ccy&<1ac^*4lb8E__!;h|u(yrSS>3WaizuR^F`zc~C~Chl7cbA&ZYkl=tR zC~X})H&AGlv7#~IY5K0U zE@~e&N+G|v5?hvt!ANZmM1)00I*K+g=X^HJQMBa%2A!3}XGTFTX5>8TE11 zW~PAzEt=!YiPff7;@kzgBTDoF=tRST@6&>g#?^6oGf zISivU)r=BN?_WxW6%Fhp$J38FjaUP<$qq!e{@^==S9M z{o$c0MwJ!|LTUKusd<6Z;IphDoYak8rS|lT&LH&CG*SnF^V>r%^Q)gc;^Q@EkcS4}wh=7hRYX#T@pytuk(jx81(XG;MXEMHl z*`vtb1kz(dyCFct+uh#}r?Ftn-2VML5+@J9+h)iMq}h$_tgZxwRv!ex^u!hMYsRsHz$!C+ptUOZVXBm%pRL)eol`) z1;zV8r&4>n4=iV^pF)G#ERpc)sb}RhVn$XWzQ?mlLeWP!Ew8mp2DGtB9<`oW>9(ys z9EzK2loWowE_X{`#_o`RzE`|lfYnDL9SSl=pM(cbn>lSP>-wYv8U~8f zZ~rw{08zhb=W-4|n2`g3axpLn+Qh5k!8py*Qg0xSg*1ki4uT(-Osqty90!i=J3FXx zq8t#pT5vGQAx<5FDlM5vywIEc$^LArQ~ij?c=f#cTsOs zb|96(r1uGkL+dku>lwHmQ{CG;9XEPOV*&#M0f-r)F>o5m0wtavh{qR}oNs=sx0m9u z>f>-nG$LgG0tLtUTBAoW-$Tv{E`$pVTz;tOM}bvg2xi&jL0&Hbp6&PU!w>^v_#04z zeP}RL|FW(>-zL>5k?gWB1#rS@k)TI+l-p0>e!|h5U+^;F`zB~xL&y&=Iz}ec-HFg% zVGIApB8->sD}I0?iC-V%o=4=$>Y_}Rk9hE# zXj(-aa{hv`!DVdB<_51@I-Uuc^zHI|mFbJTw0A+UJ< zbq<{)@H#eNQU0pij$vHvM{8h3`o?1oE5P3FS2t#3N|+%W6eYvQh{?fbv0&9gi7=q% z#U&u9_{nZPMWEM-$EX40gH5>7;B~p3Q8!=?z>6zV!=@TQ#z?3Cg~6?zLK^Z@aHxgS zo-e2c;>IF~7g5pC#sbJ=`o=; zW~sP!Z}?CV0qezk9>S}=i2d^O=6FT1`A|2lnKsk%&pOTL`#8!rY_k5+Qr9rohd`ge z{PE3v>;7DtF=g+%*R)Mr6AsfOTRWVaWkubCuxeqyt>_gu^Yxqx}Bc=t5 z!8o@IDq8JorTng`)>viKvB?O}>R#_hGD9tlztC+&qk1PD?H~afnJ6%Xg)+0bsdRK8 zjzJzsy|}sxRz@ViNF<`lEsP!>mNGHfNKC|dC=~ltG%JV)u-KJpY1*!?oE50zf*6@7 zbg(K7a^hfsS1XuJ_oGx%9sarSrhOz=j4s5MjG%H8NWDhC1-cE0B@zh1ti!ONSb&em z8l$hIygX(|WKb9WzdatrfF}|$c$!Kw(Sw)Iv=yW2<C>_JtZD#c^pa@)N$KN!cyVqnlLSP3+S z=AKNkkw;E<3S|AF)z#+i$^DUp)PNV4K4j`@U;S<1y9*LNvKJOLw|*g5a(0{ot$sQd z;~tLN1!BtbAb!%mO>MIn>n)w8CR_!b#ZF7a3RlD9g{5X!M^H-uC4*vrn*CK!!f;q4 z>QnNo5rTxmWB$4gi@ZBFui+jsxdx~DaFIzF{HWArEc&v|HPvLnJbwxK393b9YWb^I z3PB*2D-n!@iWTLMHVq$N21l#e5S)V$0yiV*%7zsqjmH2wE*0Oq6=;KZET-hysi`!! z@FZqHsROq%vbN?2d=OwxT6<7rWaP}uOrLCDx!DwalmxItoG#Yo+eR{vfUw`i;_~t` zu`N)0pw9dm7`-*ilm@htF5CnE3+*W)F-J$;7#gMGBtFov&E4DwK*RZ>m0&6i4s-M@!O$I>rvmmTfI$x1q%e zeDysR=6616?!a~Us-M;zSik)z2FGFg0m-B0!PXuC#E}ef1Z*x>IN$2DS}ANXxG0Q6 z+>r81_d*Iu%STwR5MgL(O-?A+@L8Q~g6eU6u7Il697EE^YIm7t8h@{a7zFC|6-;LE zyYdSRQ6*Nt4ZHrGa`~!hopijK?2f;xx8b4iu4JX&LD!E|E!P>3`5+5mq)n)y^X7n^@nWt1wjBpDz>Gir7BO_z{cLbx}&4xJ?%Ev z{K{tB_A8-tL)ayf#lLg{55Hg z?jE=~S|yLq>q(MGELI*adQ9@r<(l|yMDhu6VXF*L<^BvPSLzT>^GfmHLnFl!z zuX+3@j$PlLjlW;79^7`lbl-rA`pS5+OmL zEdZ@c2TbLtKIb)+QYS;kCJ5DJLK60yOFtW4`y68BqR`A%nF#9VF6A{dcJra)-m6x z^lePG^{Qvxrsxxxh+chExiKYTQS4E1C~5wY5Z@$0*H~vvjy<4kHxBqodNG>QLy80v zMh$Nf!SL>_;!M%tm%PIKRAb|K^GV#_-<63^w6!NK4Na&ZF@wt3f3Ky@^9H}tIQpbX zQI)^4&E}~0<#5g?T8ZPck?+8=O`b;k!RllGx>Am6-I_t??UW|Iq0iQ0jH}S&WN^ki z%wDaB62trAuhCDOPTd`UGF#r@JxfFyh5QP}zyi3=!8Q4SJW1K!O5ck*&$9qX4(ip) z;eNDgdF6geF5p!EsV3p4`HdSVpl`39@dV+oy09?A*}{dEj?D4^a(oC`vf0{~n@{u% zoLHJtQ$?*2G`J7? zY;h()=6bD`q-eEFyV3a?Z&DNB>dw*e@SO0db7$07bYZsq06z5qo8_OUPVkgc2wiaC zokjsP<^)4Cwu=DQ2F{&ZwGK4=_GfKeH6g#Z&zX;$eZ z`UtSomoDn{^oVf;Ws(q^%nl#FXIQU|jv^pj!ED5A+i=@Jw8?o5$zN4Npj8!q95l#o zeL0A0BlEjjmJs{%vLGtzc&Y33+n_`Bn4E}+?L9v}tdC=-)q)9`kMG)7;#PaVt_mfs z=9o~%0~4=5QHp&!I1)$_|Nd1(+b|3!M*FDFx0cFEJ4n0P+x=DcC`48>%%>i}#~+%?_0> zrfa4ZLU(LGQQpO(pxUp@aD6bYi0r><2ouqGf(h>uy*;@eQMHZ4FgM*1^+ZPxN0T1^ z2hd#os>+%T#!*0Ljl*;Ex@^?FHS3gz|m+i*MCe}$&d7J zb+#;OI@@{IQimW03gqS9Go)YXag1sEhTLG0Gzvh9d<#PqO7P}hkZWtN00UWuS;toX1a`F6bOw?uD&o<&qu20yEHp&&r^?v;mnQ-`li$F%hNATZ z#Zzr;Fwd{onvs+e{5lg*#byQ9zdT>}oH2*J{%<2|F;@H)#+8qys1$6F2sa`vXu51! z;~LhJNb%BKa!o#*BuX~40w!|yz{6ePt_ya+gpP$ne(}O^`M(n@U4VsTU}R7c{H`C4 zN6Hi#$=om<5w>`?o5p^$?&l#3QyyA%VBQc07XpdN0361&XbvA8%uH#gF~)V|%zVy(>z(^sY9jOK>_!-~$XA&$i&za8%P)ti7)+2u-> zc~gp(jg3|ZI;d#9WA`WZy6@co^9g1yfnlSM8TT^vIg zDqd5htixVu=2)cF8LkI4vrMivdn9HeL)2;S)b%^FhzmCv1^C^;Av+dq(AmB zyCMWlFsN8`((_l(BvA=oV{FQ$`p^Juw~GEjoPthK2+C+&@*i>8bErD2$o~HFiuQ?C zs>2qhDgJBee^}$cp;|($*?GE7Bpk(&&Q2@l&-(!^FCK=LqMy-PMwO`<$*MX99ZiC z=FZUA9E}I?H`lb|)#No@Jj+BNx#mY5W9?{R`5HKkq%K{QOITG-TxPQhCJ z{`5RpBuKqv%d^`l}7((2j|{CLH==B54Ejh8Cq54-_deE!L3T1 z3E2{OPN8fNSjH^!z?E?C_r*maB0fMML9vieCRUp;r;lS3oMWf+39mD~21WIw_OH`9 zRR3LY*Z?M3*ofDz*L3vLPN_GHX|E{+3yd0SVqX_alo#B*^4z1HOPY@^)-JxBFI#XW z^jT`vRH5+5WAjv5YAN2wK!a;_BFblm#!8rn0l0E5uY8xAhak92 z2b=@S4ICK_2`)qKNGfv|T!>|?>kmH%NG|{zZ$Z_P)r3yolZJqCs#A za8S?k#rmuQX=!{Kd_6S8pa9p+0W~P!*wjL@KNGc}=w4eGIMFK9%<${Pz+U&n_{dZI zm`m09kAYtYqT*b7&ai)7&V)qOjLsu}c|Q4~bKU0+01*YH{ZA9WDxID3a;QuG%jY{^ z_`$5&j}Bw%+@;V%dNDZ$?Jm9R@MTq7aZ{PZ_Kwj)$3B7qf4C!Yy9*B z_v78bzjS@KEygh7G~N36M*O9)t`WvnCd+T6b6O$SR~c6r*y?VhPUMu)8vD_4V&uP~ z=SsU5&YwC(t_+0A5cLgC>MQ9-NX$e!JQrx zac6x*NC5~u-{c@-Vnr9CC2F7PqdA)WWxMc(U%1wv9UWaFE+~WnYWYtgTddydkY8(* z9lq}y)cueW!W^$~+q+0l2s0`hMC*zq!qSBU==BA!T1o%ie~Hu;tQ;SF_{Il)WI8B9 z2(;jcKLvgvcxyMaeV)0H55`hhDYN>PHF6;St>X_C=8T~slTvi#DG)sd6`n;P zerNvObg>9r|Mnw~tHNdlrOMa_M%+z`Fy&4qn!~SH|LVigBgkjxWOgEaNCJ;&mrY1k z3V1QX5TX$p60zJ3s$FMM{eAsv(sI3@x7s0w+A{mZj$>UL?^ISa(yd?P;=cRt;Ni;+ zDqckr;xmR;kha(l>5zq8%?6myEzF?(@8pALs@8@Ez%407tHFM-yoVP$hRDH;+Jn17 zybpBJAhYjvWyb6X2n|N*gXh(8&Nr(bgpHI+i2f}7V?288W`u64 zGILd`Z7hzd>3!o&1rXA;rpZMAJ%$w;KgLS^D2@dxjE~y53>NNB^wCZXoTG^u_OeNO zC#NZk1lzY6`;OL_ambI{j81f1gc&^Q2Zw#|HXNYbp=&DXnjq8_-Iqy%t=@_ln!xOQ z-)8Pcwtpqw-{1`ERf{*iXLbl@mS$>I2VK{;!*Q){fv4M^$=@*JNq5N@ih!ZPmV?{9 zXgFuczCuC})sL03R23o~2NTIIy|;Q`H8&o|SNt>4O7pI~@|tJa|H1rQT)eu*g9X1X z@c*M~%JazoSNra8nxfS)p?rpREIQ(HhG zN5k09%;MB-V9S#J5PAk7^`bU6ei`z8^r~7Ju2-HnLHktTSGjm{Vo7!|Yn0Vz>KA3m zTAF%eaXi~cD5}31@f)Vhzrv``hkMsw6AOt7Ri(fX0Bs%~cn}0o)BVO(#0TG}e3a-& zXUMX*m;SnH>y3;21uE_vC#e#=t^Q4KgkPzcu^BMcUD!~nyE@@JG!=Nw0vaeO=E#FH z*euilHU^hc8s;e;Rr4nphz!4aV{K{8p$)!R4TtK8nbswuibi3?q|xt+*LMy1Q7!Kx zXxFW3~kPGNw34U1)9kEs2 z7{#S0l8I~G_#tt)3q`o;sW)C(6%Y^O0eEtN5s!u+KZIe!0Z|Nz z%{p)=xh(VZfksf&4u{f_f=7BVR&f|&BxWj2tN?f=hCQ-)$+|b%mBc~iqxbp!yOXzl zB2JXJ@z^Z2Ft08GvUuZZL*xW5N$t-b5w3}4~>7yw5TD zzkbP*DNZkdo=YcrZhrEaj!7T+|L)lCd{{s7@bCz~6NPng*mfYxu?zT=<5xBb0Kolz z`6*I?MkcR2T!q4q+Cc~Pf=f{54UiARAU8l}ah z@8`aKnt|0vM-*eziGAF1@1@|F0rC7-vRQ0x9lxKj2B&Qhln`yYJNNfZr{aVSgn(fw zK4`K5H|DOV@$GkzxiSNDY?y#1fU^L&TI89#8iNzR17E>SrvN2G;xSgAt9^~%4FKMe z9l<9yHuik0tHb&nkkM9`{^#*D_;Qv3x(k@CLA#oVE6_}c+Q(KuvLvE{2^--UOLYxV zBjK7-_KM2(4>&Ms(h7Y}2$||L=levW65cS8hbpb{>XtBu9Y3CG-76E+vJY%i3&T`9 zzrgJcwr6lx>HR3Q&TYEcPb~c-3&KZvxrp}iL=1};fkk_jYj)g5vsGc}Z2oWJ(Y+a4o%iW|rHT4ZHPr9+C%!j# z6i-G!Ou@34Z?Zr|sTS2$v6$Y#=^3FPwC@5Lx)F<2CQCH*pe6 zOaD9Y8S-TQn~}$&#<3V%;M>bz@i=QtIl01bVXnDeTRxValbp-;KxYW(w*lI5aH~LT z=nYW)b5ubQ`ggf9?sI=4Bp*}W@m4Xu+N7z@xoRQPxI z6ajob6taQ9)eijQ?3zXgZtzU>#2Muva1qopWe2!5x<(BR4LLnVGbyy}S|nHu2{Co5 z4=N){;+0_%;4(pEF{q?zji_Yka`*TCQ%|ln9lzUt^V0mfnVJY#GO)V1{^j*fXi#Z) zpfmm4iAK;uq{wgOzBsFPM8W0YM~CwOs4H4cW)Jd`@Vc4<Hd=&1gmKyUwt4Qb_^XI)Oi2e`1HqdORy4zlVO$3 z?a1P;yls;*92*d59LY5)pGIfX^Ez88nSjIJs#Q+uxZd`OUO~g1-U;vbR>`J3b2H5E ze%!OQ?-%>uNuJ^eE{nVf{EQ#L$5Ary12*uO$I&2JH`NFDJWw&ofF6R&)NmZZH|_Le zQ2-pbxv_yvB9!~(3$i%2g#V{c;~C6OX@|gkR4Y$O0f2MP43QsapBDHk(eCv-KB}Xm zL&Fl_IVBAfWmE-_S3m+YX)TE*rV3!;rt51$%`J8>Ijo%s4;2%}?pqD~6SV!e&{ z_`_*kmR+AEXPKVeto@HTG*M%Pp*Z|{o7D}BQ5B@KMKSO%A^#-2VhA8Ph> zCJh9h9`P5=w4mM_11{~~T}Y6KSnN($s<*=>Ycq}Zcu`n&#KcU8yUo5|LO0sTY_+|w zsCD)LG~GK{A-#6BUC>78RIqa3^_YkSOaRa8Oq)g_G86~=kpL$rRw{fW9l!wDJSGuo zmdJBF+h9FQPX7dvCJLys^{4~P7Vi&xC{$6JLQ(Fg3!`ief$wj4cz6It`|a%wfG`*U zv`(WbH23GLYF)k_6LSCa0$0!mY(`(3ET0q<#nZX6u`jmk@Jhg(9K`$t^4Iv%O0xlT zseCGU4VlMi7F0ZvIg^YfEm((dHe4amIMkh53sEM>;p>R5288g;s?6r>Gw&8R^sLm4 z1RnDhvLXC#Ccky;?;wDV|PjIX+ujBPlV8j~qk&{`j zjL%OuKHF1p1_38y%rX&o=8iqv)e6|zoE1MDUQKH?6%)SYy}B;--482lHu4f@IBl2W z?cUlh*LhThg}E2Sxr)?-Nk~i6P*JfCi4^>d9syXhfrO!>tjuE42l@J}UZs7xsAV)* zv9h9Ff`H;V6oHzcZP8Z-<;d{U1yKD&{5X&tFDk17@GAFwqqUF6{&@r*0i~M;^nP}d zdB8gnDY>?`wm={dF>!KNnt2T1gJIRkl(}ww>}q*5YXi#WaMc=UN`)YlqWkZkfoOF?S5?qOUAurXJ9R@S~=n%K7!uz;ITqy#cdrEr97B&kWxo( zB!-gO7V|=-Pff25E!Go$BhTPTSyBG9WwDjMX8RpWVFF52RNg4a=l+UO-iA7WtFv7? zjc62x@80Lf@5Jf8^y>GYZtv-vFpMz|HTGF^MuC^IS#u?XE*k0|I31P0sr@dTKMWw~ zrfz?S+3UC^P*HaN5s;T1&AJ2Eq2`>GSdt`aLJy#TcS%}dUo@8E9eQ%Kf3bMHNYj$) zcDcy^_7XCvx1C?g^AmbBm0Hi(UUmDUeIVzUBJ_^Rt9c|RBa_>4GaiEV-IpyX!d!a8 z;yk_(PeBr-V-=r3p(Mu|ODS!hFH z45n2%)yAF9J@y-LPVFG9(i#)WNbft*l!E90NoXX zgp6R~cV|F)!aSBHbYFDRv=8(9Y~ghTO!U)Z$s+VGV+|E*ueS0ML#Ln~aXV;hT|};x z6WMFlm|IR}w%i0u&c~f^J67qEM2glD=jn0SSYKPi!L3sBvY)lT<{H&IDPJT`?g5&> zfZoM`F(Bdz(7i=)QL?kEk@35OFY*EMx2CZmP9Zr|5AHv;P-kFYB!QX-m{&DuvR$mJ zV_}HYfVV~)*op8v7{huV4(9G4C;144!=nG38Yp%i!;j!9@QC>$H?GKZGP~pbfErJXGAcSO+EJkEp&_H z(N?$HvMb$@=daQHX%pr723-@>5(U&R=Zd_Z^heE$haD)yZ2^1Ov1Yn!?-{v{gbv@Zq&^54iWI3mY37x=pqwK|O#X<<-^I4Rft#b#_7mO1d^WAqt65Y)lN@ zd4_!xWCQJ88$icimX1at6*O56Ow`E8i~s{hBYRASol!Ilx(g2f?|zcik>|>=Q~qMv z?v{?lzkDFYB5}tte@gr1rcf!?x`PqUs?*oY!88$@?iod*v5!uScus4PIqM)f7R?2_ zy8-k)j7pHW*HtrfywXcVnFD=ma}oKnB*OQk2jGZe=0B?`pFqS#ixre9CUtlWU?{*p zV2}N(phTc^ZU*hzp#k?5uLdS*B}Jt+p!>tIV@-DWywNC+SinHZ*vU4tS( zSdpP;@orBLa6G|02M0A<;alX^A7e!~xCZh?Hg&&v_el;O!hkq=u;^23!-j_9!|6WG zu2$!>LZ9g)ZV{}|SX$IQh(lpmS}2jg!95EmM1x=;s6p^aVC-6mv6)P5wB%?HeZPFs zfmy0Dcm|#N{T!!m8@CHUJ)*#{S2ykd21#odvE;9gy8#)Gt=q{kG zj(NyDXu<3$TPg!w9%Lbj>?H!6-F6%BQvUU^rPS3MNRw3M@TG_uQbe7s*B=*c5kA!_ z_d2X& z`1SfFfPIJoFf(jTkW>*Zr9qRLIi5vQYXyoI_>T@06)4&d6i)oJ_r1WkvnNNFa_Q2 z5l*axo~)3Rn3(v}Tn5fL83DBy(Syelvao<;qEjK_vs7I~ytI(wF-rw@^bHkSZ`kGn z8gn))e3$+wwyv&VYo=J2UA8_bh39Zw$CQZ+y-Md0HfNCr;$6MZrK*K6HyP*+@fNDq zP)HZeXN^1_hn=b?*H?RT`C7!w4+Ru#_))qRbk%_s>YfcY;L2^LPy!}G$$s1k#aw?F z!iA0B!dsnrF{T^vGI}ztUuQ~2-l);h>lN8x)y7Bqr4vHPi}GI95=%sKlhf0efCCl+ zpRt1B1*VEbG~$z;77_4rVNI!M09#;ACBaKBebAVtJnclw|JL@m`MdSVeGF3V3~ zsNfi~xV5C9Az==*N;{L_189d?Ps9RBacmM4@?yy#bl@kqNY`2%wI)NW%zNom%;pgNYKr?+LV8_uroft( znp&#-=KH=zp@t~4`NK$2nv$pI9RMNP`M&$>SGV~n`rJJv&Bsg@(b%K?{hvCjiwCm* ztL;N-<_ibGIWi+0`yMtkMOEN>?QmDe{#4^0^z?bFFfe^!e@||_7*T7(!pQ`wwd|f& zM>Vv5scsdtNy|3oq^PN1i^*m)+7mytgF-?c(7KLA#8XjmFNEoWSZ1e&o{DXpKbkh` zRIN9U?qMEg9crff)!{Twd?073`H+2#PNgqyrHKD%7|5Y6Ri|E_{OaFP3!4W`XTH3( z@KF4UZQwz?D!^po1^M0uKjy62v?I_Z-%R4bgQZbN=rEe)qTtUWY* z%n9^A0f>ZaGx##-bV4?yf$Ty{E=R;8h#9m0aJdICk$^|@y)Q^qK?44PbnEEi2Z`B9HGPEX}$C7JtgNVkQ=yaV$$#vZ+igr16BPX$Od-zIXKpPcwylQIxg;3#y#!qLlH1-$DP}Bu z#wL`b)ZkMRzVINur^YxQDE*56sI&@BE*?Gn(t7>pl0*H*U&z(j_JxmQ{?X=jw@Xix zm@nP)RWp9C+hwW<3kLZeV=iaXPCTXC2wUjAa=-OJ8iULI?EH3G%}V;^wzAU?Y9EMD z=(Fp0)Py|oB+wrNG#bUFa)?Wy>WO9veTf0=8+JEcc$!deZ?AF&At156z5T=4#Mig| z3Lh62w)gXrqmGWwU#cfVL*flpQpr_-NJ2sal28v&rwf|8JkGAFWB-2vn-8;N>5FMC zc4_Z8O9y83h$0K8sJ47(3?V8-GkL5G#}64NHG=P`(yz~159CEr5i?g&mAE@`;(T@4 z+!Mx!3ZPbR(^(!&6-)AlnO9_DHb;{6r06PVNDeVfPc z6NkUxQ~gaTw0*i?gWJ?$!Y}_}b8K|+M_O2zeM)Tp^)`ka#<8OE2nGRx9SxGCh+GNE z2xjUZL}CG4!v^PEC<0T9!7g9`A#+x&1w3HjW78DCTCq~C$F1FHjN#<;8yLLr-@F5W zaPy^#1YGvo-vixbGEW>C|9)(Viqa57o6tJ34a8jB+wE=%OL@bJd7=5ZFbNpA=&bzicmteGw(7!p z0XxfQ+@JA)*Xx0#Mz_GRHYRAn3X=zT)~GKNqX~tv6eQvkDlwmcv5u;cFJHcxS^|Tc z*)Xg_J%9fGRM3N z4BF(P-j1L){d*%n#q^YSJoEys^+##pw497z{L4p$<)afZF=JKy`N)^14tC`#@xJyu z1nQ;gnM(Wgj0c3PA}U{V`=of0X|S7=4EzR=Ww6XO#f@7Np*NCg+E(5Kw{0mR%YG&V zu;>=&B~tA(J(ly{4SfB`lO^NHq^MNwB?>)$f!{dXg=B`PKI@o09h9{r#JhcDvgt3> z8Hj*Q@G>0u=~YYk>082uA2e0?BQE0A*T9$MAFq-5FDkNa1j*eGSHka4zuz9e%gMp+ z^xre<>nGl@1|K&}3q5BZVo*_;%E6K?s_F+hFNn)Eg9u1&b(qBeyuHF6LWy7RbeV$$ z3ikNK6n|@bhf9$uoGrTHe0F$fdw}Kpm7a4F6k*y}Qnp()u|;&+>TAk=!PRM^cQH za+X#<*8|%#Y*DpURaPa^7>W4Y;LO1V68dums-tmt(d+p=%%48I-k`dYT`0!9fBxE5K>D^~1-*w>&Hg1i*s=7lZ)b z)mr}gphys@&5X*>O#G>4LowexcvF-t)o z&kMFqR|~7vrL%29D+oo)?^b$2s|J4Dp5w!s!({_ndJ(6F#hU|8!Nuj)$U{zGLIZ<`BTtcGd5xWh)TCEb*7^@z_V_|sp zfq~-u56__Daw8oy^!PfbyxMA=87ozEy*XjInLJxv!Qvv@r0aPYO5)aj*7+877j^FR z!8d$4+*1<~;t|?9PVG$GYcOx-55OeZ>iYD0TdOn;;Jv(G52oLKKXZS>k%`I#PTON; zNIoDn%efa*beZ_9&Me(fVu>nYlC6at<$Ng(t%8o+Z^fXkBoIlufb}tehbTfEC%1^4 zfh$}xxTKQu%xMUOot>DY}r-xrZ0zG^`0*I`Z{P%~OE7-p_FAUJuhNtv;Fo^pcUSidz8*_rcgjJ^F zHu#;+yGN=;_@m&bRZJmch|k8;{Pq&x>0WHVN7U0I%FswNnrYKc7*87|%?CQK$Ng;o z(CO(=N1R?yT-J9wN(4Xi@o5&q@5%r9=`2uK5JwDF_Rr(VGtL$!?_X~!_Da~kK$A#O zS5$?nUG|g-w}XD(st_>>a>o@g?oBVCXJ61jL%dtWSOv2Z45ve3=-`GCre z;7=_olz4^e>~p9{RTNSa>0g>}6}P_zg;3o=j{fVw<5#zE%*hx_QDq#s^kFv6w@g5j z0pIpt!5hEjQ54L${eDP&f83=(sgfoTlNnsq`tdUE#Y{>~_16ZF7;@TDCms!zY+z7) zNCYWgR84t52(*xZ+Z)p$s1O%O+b?Kj$$7_y;C7GMzb{qeoH7tmx1sgyi{Z z(`Grfm6jgNH6VFie?KYd(&UJ^L{h>}@7w#vDDu323CgL6@d3ZjNz1KMdRqWx<>+kLEr_)<4;rELluan%uZ`TvF`1V&J( zM9Qy;XMUbth;zGiL;-rytG0XaW$c+t$slD~{@~4H31ck|cZARD3OtyQmLt@+RO zRnMiKeeIR-Kz_H%^i#LGMz_OaxWx}G92kS<8?`dQo4js)@05=oI}J!ra1GoIpEK~C z6C0FT9Iv^qxsBKe6x5!kJ?bS%@+aOV8o@skn1XcROIf&xSC}6!hPS@bZeBY7dec?HqF@v=l3DkC_O(%59o|*y{|Z zn;;XMF2c@cuL@=zxkbNcTf%VK+!zectg^<3nXDjOR#Q`0+a$qCeiJh`&-M6O z+tPboeBKZ?{_%matloJ}Dedo8|6Z7d$wmC-%BeBgJgcs`9|`)$p!D-SKJbOqrWgE% z-g1gM(P@4x6Hykn3p1mRoo~5125ZM3974mnhLou_DIua8zuZ-iPD-s}wgG~V^Ol=> zdae3>;Nk?=yJGKWG>jtSpX1U4lnC;{_i!Zs-v&`n6O(s+1ReD`q2n9r;i1b16OnM$ zYZrWiq86Zhunf0ej5V8x(&uuLg|+?o5TJ_}H1Ncl>Ae{8takrC)|0pC2=b99a^B6{ zgj0PCtDXAZqnSJO^S2>S8Qqml!|Z7wQiy4f5$&oOKatP*|7Tbc*HPz6eOWwnOrvv7 zrn$n9&1~#FuH!;q9P>HT0W~f+iSZ{Mrk2$BVz$L(M^jA5Vx9t>k+`D`68V+j z8bM2&Ql{>x1q`GlGU|B|Jj@4U$L%GxO3N{_x%tQvZ(8_-d$v#7+N-rird;cTYC;zO zJv->YU_31aL>g{e+Um8W%yI6ZD7cEr36I#waWIi_+B@lB_l@Lw%Ai&9*4m`vT0KN_ zK=}-^U$qtd^G|>Ay4a7qusDoM8kaG8bpGjDyfNLt*D1)ouL?7#3K@*$@pTij}lbLy49<)IJ7QG(5FCh*MOJE z);<>p%~w!}=x3-bRiMD|OHS~M(LPN{-h@+DO3;gk&}}OgW0|vW1xG$VW`QITWb7pf z`J-&M(*HZqMAJrwZ1?cgp|dGICQ@8#1KM zBR}faZSgTjS&_MA?(Qc{P?GIXwGkyrjZJJ(T2QYJxldp3p2F!T(W9A71F*bUmN=S% zd*%<_1u-ptFkgi<*HbldbWqs{v;JpUWlfi6Qp93kC4Tx*nX*8Ol|?W95}6!q!H(^5ng}lg9Rl5;hXa8!3KLTA_0$O0x7G8WSs}OAL=u zeOI9H5`AeeyTo&P=0;}MzkB>!z`*0*H7v(FQFW1~`6EJyx-9x5l-wzb1mnw8kBs-*|M__zkm zr5y`t5?Vpyy2vZ1pAj~#>b5nLo4xPUQX99PgUvCH${;&q8j6D4(t3b+bMFHAZ6^1* z-$KzB+(zP#xC6@Ryb^C(EpSYDVO624OvA@Qlq8rHftEDez7N^QEb;1E0I1wh#RgJQ zL&ix;qZ1X8Q5wD?q9!#otQkA|T&5AeuhCNhx)2u_;zB&2LfsD~w zocpqJS>&>OTvm)-ILwkPXqopV>^AjzxVVbvsEQD|ePlbTx0pvdbY&*Oez?TvKzO8U}2q3&bnCbS%1F9E*mR?OPDp~c&2q`cAVw*S^+pI{c4evfR3skoOEAL`p zgQ~dnn(?W}r&E&$dJ3wXuTn!w+9$jvE+$0fxyfK(mVeoAcjUrvO)CyRQcJ~Ua<`QS z98Uz*ujp}4!AL~SGeJ=cn&E3*GkC?=HN6M|*Yy7-qPk?)#R>t3VNFL6$U+W2gzQ68 zS!_=SV}40k4K4M~+(pUZE^Lv3h!tx~_}ht^GY7oYWRJ0fmOmD;PMyh#C1H$$AfA}P zn(Lb;lUZDxpFc2b+U2@4Y!7`Y*{!D{xP1#|bw5F@&F2#UWeqI(p5^}{2=|hmsH~0u zU>YX#x7{=~TUk9cBE`k@i$Ljcn|#jHOb4?M;Hube=4*@U!X_0-aiyz;L7@y50L1K~m+VmmPTCAQD(u4is^4`Ie}gf<`YuV4Kw z%okEC3`x>bufS=$LgeJ0oe9py)w=4nT$;7RLFk|fLr3BDvX`K6E1OeqgG^#&rDL?- zUCiPctBAiULeF6djSn^u&aM zd*F?`X-X0Q=TRm%kP-PD9%{0eFyn&!*^p3JsnLS^Tu_Yi>Wn2gtGrqzi$&f8&>b## zY%NO~FxWOuot2MtCQfGe)A(}v#VGquS@FyUYY3HhV>K(KR^3JP?%+O#<9%aKne+Z! z;Q&+(mP3#J&5!9n51LgRb6`5}I<)VgyPB38o1C=g5aZ&~Uo?pv>}3uyLw*w#D1yK) zlh0nZ^mDxYdbSa= zAF3MX=;#}B`)ksFZylT~DNqKXaB{#tw92eb|6JzIHRKW`!V+9O2 zgq{eJOeB3KtUjK&=scN+g$zZ=0G^6wyd%-f?~eYvFfg`@dC!3IwZ%U*LX=%$|P82pL zG5eN{2xuso6NMw8>)1!d^hb3Vc+qCSSPn{fhO!ySc_P5?78z4kV2;NHPZUVsVXr|C zN9a2ZPy}igd{KB_UbK`=ri}@+o4>;{xJ~VVm326Q_;_t2_|vs>d*;Yx(MsocUD}|g z5DEaE{htXIOFmN1?$5QppL`yyaPE;OQdfQAw6tNg@w7&#t^RRH2}Mz?!Kn|CrzOgB|awIzFQ zU*dTVhS8v>GI2vJj}S^>N5y6I#clepix%8sDMR7~9H-|-^^4PCE>^J8b?Do?bNf{x zPe(Dd@-2R%8J^obw|`6juOfoXP|2=WL6U>Ny99Y{-BlI0D*DjuQ9bZJCQ?Y-HrdB4 zg|e-nz~sOp>f7l0H$@07z203+*R+j%))sUzGxHyVa5LwNB1)O}alhRNEoq@h=YT0* zxt*{T2a2(a#POLgal8zYH8~upMIlgXTvwld;pO+u(k3h_{ug3sL2gxJRn?6qw~3Y0 zn(K@p&?0o1=>l931MaDmzBogyUcGOTNiXVC)-8@~s49e_CVm=o{n}hrAnNJ_gk!;R z6{$W{4o5;QDOp)dg`T99LDcsS2P#E0a=}oDC$({;PwozMId@OWG8TyPteXSH)VOx| zp>=FUP21q|dUJypT;Bd*YyX{&T#VxB+zLODmgwy&8EHxNl>Fk}weRf;in5?P(x94O zb~vc)N3}5S0@>dFg!G zn(KHGL~4RY&`~l0yi%$D__gd?!fi{2Np+pTcjc|4OP(F*&uA zW>vK`9U~`wDHpBCr{{;F@JL)z)|neuGpRN`D>YRYf?jf840q`-lH`h?kq|^2$r+Yi z4Oms4IbiyF;Fpxwh!-$W(6Z=@W241h<(eQSn{2vvt0V^1UdlZckUkY7u$iA2Zr$nd9S-!nQSdnG&?TtDJE=wcHi_xb24Tg!-yiUs&>DQS(WZHf?vlt1i`&KS3&oO8pR)s8{dq zzoH9#9A2lDJ+Yh2F%>Hydpw^q9EHN}XWYHJk%iOH%w>G|WC zaR;B7nxZg?ejWD1QbKE|I`o56Q@YUP(ObtHfOv*%ok$&*`YqI6ayZPUW^WX6Gn_ha zs^qD!+x82|t(1sQ$@vFncCD~=WE^^XXNHH(GkCWnIa34l8OmG1gquhV`hrr@3J5kU zKREn%OHQ?~GzvUZO`^>rCK-=QaWI8tYN6%&D8x?k63``XaZ zK3oN^Qapd+E_Gju_{LKp%)yOrX4^(dN@q6?N(rI((7<=rdUYK3A*sJERk_)$k;>Et zCw!|C?$+AIy#@zsI9a;hC0{&iXKqC#;XLi|5B5t`xp(q01J^cdS0YW=W~Mf-T3NV{ z2&FXobbTH@!cVzA5o7{<`Z?Nb3kIl*#B;=4?@OtQ zl$qrRAJ=^WWs5^A?1E2B65-r)K5HHj|Ll{h0E+2Dr9~%V0ew~&E5&hjwjT3*EVO8s zAmM3}@;6yfsM-&<5m5NlW%T2^nEtUe-UEL$q#H>dFTcO<0X4fwRLzL{ zeqQtqjq!*F2X8s2I?3n0pA^&aGWWBi@3));1)tM>w4tB)BJn}k!TSXts^@py;BoTn z^T`V>G}!*Gr-(L76%*zz9mFB&6D4A@ zZ4}wd!9cjI09S9FTVh2uVd%ng*W3=pjkHimH(<$^!h?wmuQf_#d<;7UMS@0~9Ncn8 zU!OZxcYH3oyno%^Aij5=H{nizC}J>*T%H(NB2S&bnRXzPA%hM-1J3Q8vWJTPfT4pW zB=PrpV^XQb6p`kMCRUBGf`q`SQrW;#$Tb?YzJx2|O#Up+3Nb3kJs-;HS6$(uzQ$h) zEFChh#n!Tjk;TiptrI2a1QFgzClVAY%MYEma!tyk46+3;2-2%iN&cj?IpGf)2cvAJvF-in4ZXi{5~|Li4K ziYeLqCr;sOE;~p-=8p?Gf~1Ny{Fj3u%&c7%yhPvepP5HCnQ&qF&Vqf zv701z@iaUmO39*$Xk|D56V%gAx~O;7``6*`k6ug-Mu3`H|hW(trtD5RSIhKzKlWYUMe^htCim&F3bklf03; zPo-111qV>Z@dSF~hOtR^wu8@RVz+}^BFnPoB1#mwH zHx`HnMVpZ{F0wF_Q6E@1QVmul_L9Nu+kI8;qbc~$A7}YM`WAX$AgIInc-<{zC6ja4 zt})~K_Go#@bH31McE)43(IdD~M&S^1$b4M%Y(Sa&_M}iC3^;!bW-RIxELX4LK#mA| z0|CS?bjt{qtxK9SQ1Plt@J>+H#xv|)#9CJbkDuYyF|K5asbprF?QznMVreKS!~~CC zw&@T{nxfK{a=}xr2LIpnO3K8H!wzF+1<})h`HY%~#7yZWSXrub0GHh?B5pef^bs|FDLC>sgl%HBo`Fm>DnUgmAS<>^|gbPlY{ki)!ijI}AIRH$pIB7)Ymc744POJ-%{s-%8{Qw%o) zksjW)T$gPiO_st$!Wa(4=%q6%Txn5yXGK4Q9{A|YO>QoMbax!b4r2jjN*0S1?B}f; zn65Y&%Fn+KDG=DuhY%&<1RW`5u{3IAZDR!7?$Nwy+xhz+2QUW3qyKM#4Su-uJ08os z*l|efVw}W@RBb;{K80%K1P!l_Zot3(Bs3RcqhtcgOTIsNU} zY`P)_U$AV_qn|=d>DIMDbE~{IJ|bn7AlP^im|m7l%}ERxsDXe|nEg=MX5W=je7rMN z0FISGHnYXfMhxI%nQ@~PlC&n~Y1pNNrMqKcX_S;)Lb?S6 zq;siVy1Tms5v038T4@0Z0TB>HpViOT_wV@+=5_DPnKNhR&K($N5G{HF(i~%@t3g{r zUt9aG>~A@o8Rs0I4j8m_rjNri`G{@jyx`9hUHsf!A-s!0hL$$(YBD6Dh-kb72T+GL zp^E7c|M&cm&~K|p9mR4HHt!{@57|(M>J>J*@&l*8O<~Q<)6!!>1ZjD3Qx>U@8$9%&}uq-Py$EeY(j~``(ATj#_a(0n_Ep2DQDA?1LEyPpXErd0()^jiVCmjvlRpq4 zphEO%kHW?U6g}kgwy3<^q@cGb!hu3@N6bV%ScPb4No7c@vJ8KElR%AzOrYoLq@#Vx zWaAVa#AiFp?a86XW|Ht*=%;t)U8t6Tt$y;?@CzG8VnyB2qzEDzMO^;`&Tk%tRF6Yf z74zk2KJ~Y%Bwm32qZ!RWipJ?t8S%=jX1NTVgmu!kX5=Y~GhwdEaGQ&qvv#5<(v`YX zu4T>^i9fEkD7T4^IPz6g8Fd{La#%~I!MSAzwvqCzZd0^;ul^{odPlDUZ?1 z6~A<<|M}pb)8nTa5CoopCPPv)hjx$13NT7{&+kPLmj}ov-~2s73v_lY9QE1WWE0`F z2&^%D`z%G`%4C6l8!on&4ATqe=@)+LdpaEE$#kpa0Jev{5DGnTprEVrHOF(+N7IBs z)9+ypL|PB8b(=XiB*PV2_|NWH!X3w?!~2{vd&w2Ua#;}|yAOMS$lx)I|5B8LFR@>O zoXQH%<9T)gZ(Cma1GX&i7#Mu{(WPi#Be>gM;DY6x)&xHxLf+S1E}}$yzbM=0>3i)T zDJ7mQ(7;+mNAzk%G9gdL7d8bkxxnyA|Ho|Dr7)hyshX&yh|OlfQZtZpf0e!IN^10_ z)om|Vmc2O@R`0t>Cjz*Vf)0*xh<}}Y^UUI?Bb)(>l`xZ%rPQ#cwws2p zQ52*{Ie5k&CIDNDctw4U@v?~_vJ$z6gO;wR?K^wi-sGYK${9Kyj;xgfc>Jc%_eGeB zyan`74Xr5nzGt)|(&ifie^s4RQX7pueds?RX;s0i#Hi+dl&p}5wG@^;m_HG+mpoF0 zzQ$X0%V4q;OH+Ob>|IHi754WmC!R4D!`CV%;?l}B%XuaSS?|+hl7Z!d@Q!Bu*20}5 zfjKO;j|KUd*ncOHaAY@cbCz>GdHIE)6kWi;{?ePrZKvlk7LICI_J(R#K6&lll`N+`p_yn?6}|6+25sz5;4OoduE(x;|wUR+kF3M$`6P zL(43Q?$Y!z9n%jozLWJcNo)TDZ-1!dtDA^xQ4YmuxR?IKBH!3Z_jG9lFQcmmAWO|D2>WZaZU%@R!6EUWd zWDj9zw6xI*fIaQ$@jL>(2w(gzVuN&`C%b>|6{Bg;(%vt%Lq&u7KOV;r$br26c{D|h zOPgYoLh||4J+I1#w51|+lbw>Gvwb;Pg z)kpu^B;i^e$?elM*6{Ncg5vBtDSPNRBZ;k0$F$7skHomRrv{m$g5|lha-oDltr4}1 zo>c89b(}g1uXA(E;!>=iD$=`T->cQ7AQJ@g9FbDOcxmk`$oPPUyNRWqvw<3sT3_ty zH=nI{-yQ2T{aYQWNtPd8f;)^?0%y~Os3R&vZmBmzR`nH{@%3SiA?OlLY<8;%vzXpS_uXz8gjkCo#fQ&ao z#{D62uq=rNai;xD@OGUbLg9xYJIlkx&n>-tPo`-!mPR@$Lw$XYrIBzyB3pXO9XD0U zy&z->*pNGoIR3gr1nDNl8dfMJ2-+<&Ay9Ttvs7ewNMDO6A3E1x!&9b7mtSSocd)q7 zJ2;YREMJh%Q=(N4)>9)IdaAX`u7(+>8K_o>^U_*6kb#Qp_H6VjLM!_~RP~>0UY{}3 z$eXhY0#%_A2OLY*rq*8?sFOWkJTET0V7H(>R`O!VJ=LYxV_IWu0J{KrKXm#&^bhe{W&5 ziWSVSc4?N)dC+`d%EM06aVFn~l)#25Tq_)C@4ow?4K;D{9S)E%suFJ{5(OqhJCr+- zr6Ll8kRUmACU1nzi3D(X?rNKA$RFG% zHB&IP>CaPR-xPksvT?{Bs3d2*$LWZ#DLxhss$eEp^Q2Y{=VeP$_>~x}W|CE9=4&Sk zvY}oaxa1B_&SA0t!j@5CYtJ|~R@6A71=z|qBBNtd@Q-45wG8*v;cb_{+|)-h=l=_C z>pJ_))bA_^v=T915U@!fgs$i6E|;woYp))Gal-E*=cQ=M(T7Jis%ai!lh9#g2lu zB()gj6rty8^5>*;%#tq?(>HnmRw&OAFTvh778ZIhq-_mx-a29`rXvGYF z`^C=E;l<}N5$Oue6yg`D^2H>hM5={_!RkhSQY;iu(3U4{IZ;%u!ssOQOW8Lc(1@LhB@&WCY@?UCFM<)BbNhG zk->VQ^qFVFb(ldSJus#BoEAh>5S>#R`y^1u+qYfR4JLZX=CTaJTb@Q$E=D*wK4Q91 zoi^h_tY1mF3Mmuq8o{qMEaMRvXqcx68}>DUGDsq$-s4i`)&TQXWxT*(@;^rY6;skl zf6pQbMC=u!sum$Z!V5OH(sS6jkjw&ak4C3Y%1xWmS;Lak(bm!U`cz6FY_2Mxg?&+T z_d+>+MP*{Durfok?2_jb+p`(i1(y3foyevFTVaw z;`&O0kHW^5k~s00_;G7HX?L+K3%gztD7k3_7UkEIvV?jj55!& z&*tMHh2vL_UVyI}85 zOrD9Tsbr#vdQ(E!g!e9f$^Upx9gq|zDpo8|u47Nd<@)q<*=YhSa5itq>`)`(u36wX zI)#Itcm#Azo#pg}O~Oj=fx?yX%L(!q4$Iry9w|gblI54LsQ=OkGU?qz@O%Kq+k-g} zyq4EO8HZDlxbC(nZ*OpP6R=YfWO{Dtl^KRw#J|oQ?ia!5*bU&N$Q_f<>}I zP5!07k>m@1D^Bb1W3HXpybHHVAhoP;+Ea;2x`oum!NSo@=Fe=hV|R00*u`o( z>d$r!6qFa(ti)7!?(weK4BiaIZm%Y)b+NwM zUrqUTP>U!p)n$V`f0gfQD3zrc8f3+o6d^;)&VJGXYPK8W=lK-&X&$qr?~NTAPuI** zd=YF9Dj?+PA%sKRN6PV_(s=XXdi4}R-)HKCbXvF09EID&E5atUNX}iIKx_b8Bg~s6 z!E?S(RRM8dlCYsAS^lXm;$`&=-eM<8QCI4g_V2v^A{a8hI|Ug>hrba3vH)fWN4|;i z;l@mFTIRK41V;~NIAzga20-v1PsW-pK|8i8ig6vJ3MM9*xRN|RT;QM!_SEBj=#4FO zjyp)?FSeP~Ee_<7RuX|)M3X*;DUgzj_eIyt7p^(yM2DlY?$Tb-XFNmpTQ_D^u~Zka zs1rs#GV=$s)etfrxqgYqBkBvfujyOXw3SS zttqo#z&`8Zex715-ZbmI+248ivsC|wD-K^D>!4Sh$SoBSXEVj^aUjA%QgwpT(-St- z+3=@1gQHcwBog0~IH70``)$NaUj#-xA#KYJ(qhg|1NHgMf=!Hnp^^QO^@oHFc5~u^FqQA7y`D%_!#A#3(0(ZT z`1CWE2((V735jZh>?U_?{8jduEzWju6|63*x{cWHfon8bEo8};#}CpGOAtR109 zqm;7s$wHJUi)ogwkIU#yOk0sMBvWmfE%kzze z!A6Qxj;cO=h+2GN6Eho}jtDc6xeeRaT*0&e*8qp?<;nY03R`*uoY<1*_WC_oF^V+9 zMadHMDpfilheI#5l1V}7Ag0{eN8)WCi>jjC%|k>obXI$x@;#XU|D?8wv77xj{6Gyy z{$Cs%?AWCa#0qxq#rq-CdC|XbiJVeiGr!-iLj9t~7uzy`KIYA{n_Z@bp;b0n_AbcG zk$8WF1t!Z?;8tt^UEZT-*8=CTF)VDah~A|L(DN5+x99D_r51L?8?vlgN53oPWzm~} z$ai%JRBbK;@?RVZ_C&;$!#57&AuO19QF8vsE{DQUZv0c8zVZmaV9kG+gA``6QbnOR znMe0osr1QVYXE^~>~t01tXNx#j;FD6OGO? z&T(g7n)A9acL`8rS4J<6s1}d9?lGKvCcoxai-`2;mW?(av-_k-BaV+X#IJynX67u) z=m`MT-`J@s+>#U<%Aq%v*ZQiljUmcKl5~R~Nub*emVHrMjW8}-YmL&&dLm(R*(uwM zmB@OdT%PzCRbpxJ-E}4z*W%by13+rTOhd$9`iv~$Pe1OC#fXlS&Sv?jsrU)Q+^v%x z?&qbv*a5Vw;lhqkBS=K-kH?=5Lxy;ZHOs&PH1Cni-<9JXWk{sJmGtsGPq;Y z*Y@#C`Q@pRm!N!s3>L**%@o}E*$lkL8pLDaAG7*Ie-@XAo|Qdx2#r)cd3rH!S{r9${fr@~J6_h3G1?db&yQP1kW1$Jq+sJ9h{a@dx@-zl`*tR=tru#oiK(8#{ZHbE)hPzR&-SQB|q@0(k*I@OuMo&4S=AmvyxS(ga z#M|=qxtYrD_tu>5bh6>CQU$Wuqt^60%wc1Agn#vi^e863U7U@;j=*zPGjww)XfPz% z-`mmooZY0^9Ed)_p$|%Y_ZXve@==>wRk8}|fM?wr88hcoo2>F(eH$S*D~}2kULHoO zsR|$)SvTAz*WqN8QW@HPR#$;slp>nIRz2Z7x zo4vVTExNJl&i1gy8x)s+w+{jq63fsd;4~3P#^c7IMbO0MiH!=3hTt8QE9>_uHlkNp z0hzU+YPPqIRpr|J)o#gbH1xb7v^X^24gy%8mAhgO-SjQd;Q11XPCHi}vqhi;v8xJE zq_IL#QPRZ5g%Zqc9-kC&`OG9EjIXB4LPLjYjHQaFORr7uO_}y^hWd70L~u%gjKG4T zQ&vc-7l-nb-3q&Q5q0>a%pP>sBjdPz?5rLvoK2_o!v2KpFp7i+y#b1c%U0>J)8b~< zO-+$8Ri&7XDS0)O$7;=DVA5xx(wBPTwz6n|{8EUFvaH~Nht!7Fg|=v>Y0)k-$tL^K zl7}HdIsuIjt5t!GR!@-;&Qm1pNuWWhcmKFjQ-|*3BQo6gvi}su)|c8pTV6kGWK!so zZX6~}l&4EdtlqTg0)C^*FzxS|x(Ml>#4>(RE>bHSje^DJN?l|}+1*e4h zy@ud*F*le(;!4G(qdE0hx~}$!p>|xaxyg(^X|EV3rQlh1kcD}QKx6wz^+v%$8)D@m zydsIXTpnGAvfX%dLY{aAu{A%wEV!#Y-WDV0E!Ib&5%sauEHayld_;G5n9x=Di#yDW zuk#%Pi8oAf&KzJ-eJ)=KT3EZIsfa#Vsy^8S!%lwN8^p{=%reO_*p z<}dB|Bj>fTAc`9k3+ND+Y6Sg39LgaNfF?-XDK^H#ZQc25xM6ufSSorn2>27KAZqJL zH|pLLs2ZbU6~E&oW~NZ5OF}`g$rMH98~bkZW1t)tdp#8JhArkjsTlK;>4gxX42o>{ zyFpX+j(ZW7P?p^;8o};%*y??x%Y|mjO;bChP|J=k+9=I{I+9Fss?8>-FDA;0gHvSfL2XdaeutfDFX zJ5r6U-zOOXYMSaAyYy6p^|`H(>oRaXqg;O|bwvbiQxrzikg>Z<%iLfcHJO^kmHJzN z#Kc_#o4iu0gM^)gq;`2XkIHcl3mt9bu7?mFS4BS>4(XD1=%BVm9clcJb8(ks=M(I< z4Tc|*|JhbE^_g#!F$RqL=Lp#?_%&KTM%Sw;McQYj`h2F;Mr!Qu`imw9-&Ta@3lBIv zlJ`8A%-msFWK8X(Bw+?Mm9#uPf3$Z>y(S+HE$qkcB3VJq<&O3Y+JrOGsGtD>{}FbB%tmCH3gJu#)bHi4)tAL?zMv$Uf=Q`n^oiFvG!sZz zJgJ@0g3cL+Ddyv5C;hyDLi<+H)V#PjmSYV5f!mY;emVi^;&NBAx@_~%r&NS(j*jJi zWNcbby&ny^QMZPfJdQc6pa;9y=7JGKS2D) zCV5zYA<<`e-%Ay%QMJ9`{*;gpSZs*AMW_gjBM;aejEz!TcfEc60t@hc(^oL7NeXWIreDs5z zxC*oIkK80w^fQHXN%p4Hb_y-uU~K-GR#}fqVn)KSqUpl44o|-Q55oV55zmvq6&i`K*h`<+wNp{6jM{f8-dU>=~M2VA>i*92ZLqMl(BDZ34F?Nt)cK8s4K?RO>!otyN) zfP2JJVYxj!cP|a!)@g-v4{0494fH65TY&0G(e=&+oRukma9FXZy0hUv;cW)+h;bb; z?>oxsOL{M<^f@#v)}vxt1Nv9y0iN^Ew8ntEO*=dp(_hN`hB59$;~QsXd`dZ(Q{O-N z2ivJ&W5y)#+;Q&mdHI4?2NDzZ5a4%FQM?;_~JJ%fF`lrIp zN6Y=t7Pi*nX#r3HvV`K(lSpUUD>dYx(b-)hXjGbMkw|lKvb^F5aNJD+EyOYmw%iBAMI;YC_6jFOlSG-ykcIN~s-X=Pwymd>HMLK5FcM{BwO(oTriVn`Q5WO822( z#GBC=Shy*!P+S#l4G>KfVvP?GkBU^oR6R%Wm8PqpbflF`4g3#?kiCTCDTq2Bd6)Tt zuJkE8eG4gP72?!-!|Y4nonj_rBRS}Nw}@1stNg(saF z&0t#P>jV6m;!qx@ZYT2TPZU``+D6puk;VM+*y8eu>P%(*Rr$%Z18I!{k=-4@ePc~*78A^UgY(s-dy=O1Q+Pz^ z9c+$TtJA}Xh4tXXfseIQtbS&7>>+A*ZqUH@U;@|y@>2o(IlUZU;LQ{ zA%oqQX-9t>Gy;9acI9$WZGzDLD4phsOLp}9edJ2NZ~?-g!F!z;JdXLvB0!d0*5ZPF z4}|L~t*^?VjD9*ICWHWBO;ZP?tS3>)yfB+~=I-fH}5TJ&gjd%ATuu-quxe ztl9kVHv}XgP>(%Hn&%Y7rp93_ z%BfgD7rmC=uub08#Kwu6_3r;)^qtUQ`ag!>jIcQ*V>wB%1+3KB2EMW zn60A@jSK#sI*hzg#iO2EX01qt`h$^6iU}LGF>;a2yvTZ?Rrsm?>Qa8xe!pc(-73UcEs)bUOik&wx z)SEgnwq~O;e!eQ@fhOd<;awdnw+cF5b4&nHxXDk4dUpDe4ho!e`K_Gb3#J7M4dIsJbeSk7bFflgMK4Xu- zn7RL3gZxQgtI|b*!=ee`lti$zKaz-YYDRotd*jLJnk^2n^XC{^kkcT`P05V?0e=D_ z8;ICtLJpp7nIxBbK28TImWO8#gk!0WVx?b;MP(hPJ=>-e2!GQ_+w5|MUmG!slb`=( z`Z9XCFDP0nUW8!b%^nI@huRibPBKQP0i@4Ft+y^2+X|QR3ye|7zvovUdew<2Ra^RLHM=5uV3`X@R5VhiOk2s#P@LD*|hh@0sRM zEEjS2`;(vGq?cif*T_1|DxRUoas@jB&`se>YGGBc z1c2L}&lQyMv@VPOZ{h+;l-IgA1#tdyfs);rNL#Q1WW31`H0}24*feF0DFH2~)5hC3 zpX@j4fmJA1zLrZb6TxI-aCAe6Bs`>)UrQk0w%3WE8~zO~sRu&Su+`I>KL}D*M;o?^ zbAi*mX@Da2@A7|X-+iS-u=x4PP2?{dz09JZJ{Z2= zjGIQNY(K(5?lSv^PX^%)-FbEFK=dWzT-t-j~vmMK`YdFv-jdm5`1QMXw*P9MX z$r^(P+>MGwl0#nXj_vL~bJ#o*6Q1Yp3S9VYhPw7o%&9|MKI2e6cMRyxZG!s|!rUzQ zg~r8yKT8GgIZ$K~x&%0U)bNb8)}<+uJGm{Tv=AEfc{>+E$=7c@8t8Es-bmpm+_OzE zlLn$L82k!*hM|A@;a&7^p%uVD7kcW8c#AT|Poq86DLh$@ZPQG*d3i^ccuBCqC>dIq zb0S+gnah@DqoIh!;n8kn$@b}&mZ*NU4LpXz=H*bc{+a*coqIZ1jALI05w`k}nR-IW zP_mZ`HcqGR7yq@s(=)xeCW4^MPB2A%vBL$AcqW|M(#+kjY&li-yAi-=oMWX-0)`2j zTYVc~`+O@^%B;Ob9#{&-9Ygs78 zM_83LEi;J)uX^qS)vw7A$-d1@Wz^K&$5NL})RO1kvrG`^XTI<#0fHVk5Yt@kH$7e8 ziuk&x_b*LROyD3@lIB6>(+WyJ=Ip`JO~9c4o^8+wUM7 zWdhiT{q=ci!Bp)t_H3mNCQ6*teEv)iXMmGHngdRRn*6K?K85z4o zyeDqo=*BQ|PRup%?NCz6dxYjcrDX1tbW`@xU8ex>xZ@=;@7HCqSa9b7B}3OxDbLuu z>_(*SpWo9c=`JUgW}t-SPY6dVhx4SjM_N%&$TO1}Ci?`UGby$8a^^Zsl#Iqu?T6#G zHM!*2vbB;cm`$ATbjI<13!*OwV>qegwcU)(l1|;`pI&Pp!5V{VDhwHZ@XN45N20gqUeX!(EzBPu#Mdfy9fQ^&sImr8*e-gF6hpNX#O-k&C#H8)$_iDyS zqG08Yjy7I90tGSGQ1(~FP-@3hC24Ji_PRrWyZy`x5-m0zjZUq}$B$(s>h*{0&v zTEg$|x0p|`c@EK@`Mt$Mr4Q>q}|994S^MuC7%g9u_hb{ojR`(n&%^fUtz`KtppnbH2iXGAI zL|@ZP&B!bl1Ic=KtcR^B2&zCX9#AtR@4le*YwILxP%)9=iFEtS>k#d)8uPh96X(-eG> z{gK6t$U#VufXOBGx8a`AZ}Tnd=feZfI~?=>6Q}^`2-c$A9OMdtjI7iV>`aPnUx@lI zB1=6TI`+Y8zuhq+8-4&iRjiLV(1Fq!Kq7Rw8ks-TC=7ib20!|&_lOO7SqZ^5nSOF>l4Q_@AzR&AW~AAj)9;zkIOi*JZ}*-^UieO~WEy-$(xrXwgtU zE_FYu^U(|DL9hhca42&C#xyf#deGS?ax*9A4Sa)}>gMlcSHGJqFXFQM*B&pI_ikqN ze_s;y4x-2Ak>Ll-^;jQbVnlhc387yUfS3ThDh;dEw+!E?GVe z&+n5pr#x=zPQ`+0OsY=Ha@@0_z}hj4)M;wt`ti%)kmxGKUtoyQyNZ@yKNuO`u)gdLi{yShWC6-ag9Y+R^7IOl?m#A6O-?=>HwW|IlcGvf` z*(v+3rC8ZrG(GRfi@sADK;IvsM4CrtA{y!04G}E3T|oVUS8dO`ke#$F;>a?in=LlE zJkh_|Hcq9Sf>6UMY{vf)^b)i6M6*s&-ynP&sZXms$r>jMykE{rWxyv39`H_?#QPCK zi-~zciW)D^J?~+~U=YFq0av0>jBU~NixwiRpUGdvGq;VKd^yD-%7hP*ThOVM12k?r(Ej znN>f|ddL=zGpBS*x1QKig_NDS7!$$b_IgZ`-@X`g3>g+op#qU3Xm+_}CaTs#Hu5V` z>6oTm%H`KKK_8!C85EM##H!4_vg|juyr3BNJBeSXdOmnHlC>4ys`$ZZ!QTEniqz0D z^DHUqPyrfOp*H6?L@QF_^TL8$NcJ%%$OZ8nn_bs)Fzza$ibjZ^h>S{aj{3Wj-V|w6 zliDdVq78C3_M;tT(f)>eD^ljK1(=R3sw{37<*Z5Yr_mBUh#|2Gz+uZURI1bl*#HQk z$drC*;l7zM*BkH+XnT)aUd8{N-G249Y&9d0X0?x&0FOy2IoO)QZnib1V!~sDk0$zq zJQhU?Yu;6hsmK)u1A=*0LUJ8s)5TuoT!+;j#>2HX|8rEJN#kujJ>3fqTK3};S3z+# zp*dG|nQ4NFDG6NeX&D`uNE`j<>ZQVKn*xTaxug=yQm?I3;=gA9X-fH?8^>#8fiLW9 z9UK{Q?%FaboQ;582Qmn>*xw*4`-25HiXI(h zC*Yy#?ue7REPknf5*|1*(+>iq_gHy7c7Yz^fXS!i@{%2k4A-ekficy3(cqOXRAWB)>g!*+}$kbhe7F`C|1 zk(FK{?)i+HCpQn_G2DSKq|*{%k!{Orc#OW2D!UkV8QP0Ug2p?5{C_o zrX8y$v~M1;Wy?0VD@o$?LO$~TaQ%6nPq$f3F_H# zmeGYH+kvx2*cb8wOp#;)e6fWzn#u-+zABDOHlC&g7k%j7VH{}2WQvjesZovuHYs%c z8k6T4S2v>Xac%4m;lb2)I}=s>^%zUOqP^|TiQD?lY?J1zO4G^c)}8666dyfR3JmOg zN^wYM>DStt1TU||t0Vo5)>tT0a9FVF2l{dxD(J6)3Z2PuFnqmNUs)fa{oY}a9D6MB zpO>)g)L$h^`h!-QhctlHZ z8B{+sC9^`3XH5hrO0RDMBn5pDr--$qciV}fws;tvC1Daa@4w}&_~z&P`Bv|9?0+)2 z*b<91!-v91U5A1!lZx`g$%8BkE8Rd@j>u3xg>nRwHY)I*gO{zvDIIFDmY10>jqr5JM4!RSsw7WbZtu+ThUU?XhR^>SLC&`M920EH_V4Q5gzIFzo zZm1z1?$~sCE@>@A0~dBQ>KE)=WG$hy6vpAw-jOm6wd8NA%oHt$q-OUy4YY6>66^Zq zE(kx^E3Px}GdGlC4wc~kFQNRALTYE5aI?Tg!V~aX8~rfR@0vDxqfWgIjARIfdA1*0 zRV00)YUbPe3*YEhW@_oD%7B=-7b4|R8tG2Gqj=H-EaKVynXvpmDvUX5Jah%47+$&e`6y5G-6itns zP8kF_pz#DqB&O->$gwTEv-f$hE@0z~yCpk>KZjMRx)d+HC1aquAlR^*lV-Pug|Mh2i!d1b!HVQ$w zw2t&ZWZd2cjVZqPYJWK$JoqY9cgUT2MJ?mL)AsFvC7R}7tFmv z_DJ=`9_eS(X0MUE^g1OkqFnU7ZBhG1dc!;){o75h#BWE%q?wgSnQPg5H0cl{s<;v5 zl=TDo#q;F0%DEp1R`o{skBmS(&3)eg9u$Y2$k{=}uFEfDk5ge{qU^ao^1-r*FwHkM zdgtRb63B$EUher}`{(aChE(zf=ECfgDAXWJ+Q*7S)||*)EvJwa>=cUA5MEKXQIa#X zIHmfnGqaScZ#`0zob#q5vy3iCV;R!3SMX?u1Iac8iknx?sqd(z=zg<2NS{MzzNSno z=Z7$oJ{r)W@C0-&BzQZxFT5tTVGMxZyrs*_xhwliKZfomEPg#Synb-QCHr;C`0JR^ z75PptnV)WH9=?lyew8~>mh5HNva*s9BE4StpJ?2YK; z*HO+`>+CczS3#f|8S-s__%t!oR;Jp*~eGnvslIpDeL1l8lQBx z#>&Mm4N$qfrl6{yqmT>MYD$`8!e^9+>#$dfbPrw*FZrywlKB*d_0+JWMq$)!f)QW}4*bwa_6 zJ#{3~*fux!TK;%NViQ(LcrrvBW&pU{W()8tNMcU`!JO~iPh={LAK<@!!EFg!Z+quO zgf5=Y>qLaN+dv?>zWgUjSnd9J%EpPPl>Jr1Wq*JFUm=R5rf3_3 z-*cPMH+DuC?e!wuhDse+>bF`Tap%4qwlt1o{6J<3%rt1zcy^ng25A$y0|pyvb^6JY z(YqzlWB-+2nknPxrxGxMId<0@3W?7sXOc1{l$sOsnb(8eSK$=Fv5Ql& ztMj6>Ifakb1Fry!W;v}&k6=Q(gbeWv*z1kl%H=;7*8it*vxD~M_>l(cexm|g&FTn) z*T(CyY64u~dL$yC`j7hf8+)|ye)ixviyI96pA@mKxM5eSukt69YB3WJddlaBzvh#NTY_Y>(6i_Abd#`3sQH`9 z^DC$xIETBI4`XRRS34Dr?G)aP_on`dMm$I?i^RtE*B^3vP`72!D-3YTR1Q1PF@LDE zV`A#*(JTI45E$5L4d0O`oF?ipN_y*x&QVO&=*Dq+&|j_id6p*bdvTNN$0UwZfrOj& z(j|FRAb}2#)*wUs*fS~2xQ`Q&CDcx`Pt{RYXUzM()hZ9XQR zfh&MzjcXY2_sQ`8WVSy?B)*Bd)_6Qv%7dVI-ap>J3cLj6%$bHzRbi{eF}786yFw2!ffj=+J zgqe`@r*lY$GSk-ENF$`*OQlJssGU9GFB<{q)M6*Dlc3I3vbzru4DnjAYR*RYk><9F zFYYe%n%MT$%s(&cy3MWi>7k1g%k;3~ck14_G;=fkdfst&OUv5_x`0w8` zJZKnL)T&Al)s)%{R~y-!(%K%;?rCBg3e^y}q<)6)+OeAa{-Mu}s55)PN?WY#pV4zw zwZ7|J<6=~D5`=9YsLFT{OGGH1`0cJun0u2oN88o-^;PoT9`b*lWU0|xUk8@c5iLJaF9Euh@zqMH9y=_uv-EQbeq#_@ZC2AaX*(&)Hv$+eOKyAtWh+H8$FT~ z*!-XqUs}bhp!7}OC=Pra)Vx&j_CxIx(^O&UtcfsdZJ`3QD$SbanOzDR8{~L9)?uhW z{Wzl4?Uh<{I)0Gs>Z{Dy`YRq{@pNoWW>L{o!@eil*KePGEjrpWyUsptl^J^P@NlqQ za9x|8qxn)Yx-7Tfv=p&F_847eSijuE~+-CapVtm0?5;DLx!S|%9a!|%r zXU50&i%FmcG*LJRDK`7IY=}Of0W#{=Cev#7lkllG8+>^tmLNHZ29A%lfx6<*_*y|P z&3%!#mnzkx`>Z4z77e?U@WrL&zz_d>ldM#(6blw`7Qjz*fAjVi1O^sx-yuw50+I+e zhsg4Impu_?VH9HUb@2dDoxAHdI7{)A5f{x`u1;(vhvrtDhIB3l8zI~G7dlswSlOm^ z<5CkzZl7mKOgC3&e^+YLB@cMj#!(ge`e$VID?%$`NIK!zt1oJ?03oFl1v}&np1*~5 z7ZMcoDBczCGu+iM!ggQq)u}DhRyaM-erINTWT6L>SwfV{})a0Cyt4(|Y>hxC;*b z`a=K8VdnYst=R7-1~G37AGvOGN5JrR8ma4^75`+Bj#Q;6B}eNB4g z+1pffwef$Elo3v-xyb_ zY$)QbR+Ex1%SvSDZ!VOC0_)-5bXT(VwVL1KxU0|~fY0y)_cebK-UpWJE ztGw}hqII3W`+DS0AeJRmE-r_AHLIrt)>)|s-pcgWXP34nd(du8Kvb6}MWd6fkU;t8 z&@9y{VEt@Ezh!%h(6vaGz;W516!R${qj)plo+nc{S9R7{hYV4dy_#sd1SayoYqBTQ zqEXKv5PSDLgZ9a*C#wVTLK@hF(!L(UY=SoI_^7~7_`^gxy*X$1A*o@_k_nRx&z!_ALL7POZ^1zSF8-K|gGRrCG zy?x_KXbbNbDy`mfpM5nZcHg8H*K=^IxVem=;0)*o@#e;fKY}j6gk}-ZAUYe&z@`ZZ^f8 zn+|s6Kg%F%f*6$dFU6hQjG~)0%f1Nu0FNg~=3&&m<@@jO5{>H~)&PWNXdtc`=sx`H z;9BoGP#@c>jnsiT;^M(Qa~**aeofxfUf{x_K@!iekl6V_Ro1jruc+RrZ0kWBTk-vd z&x9r0YIj@cpNXVuv>w3F0fhug@d=VleI?NqBVAN-WF;2UGAu?ezJ_LXRIL`_`6QCz z1*Fh7uRO9reLsT$X?xiNb!okcRli&Q&C$VNEhQMwdt}KHjS-^fYHRZo5(w;^=!%F3 zW=|UJfaJ-DjnSO6W5qm#*S-1@kS^~TskQconzLfBUr}E;_X=6(Ea_CncMi@`O#fUD zO8k-bD#JvUAE?OweHr>RsU^n@Yii&iKa|Z+t|V3=_|wNRO?aJD6U<6?ZgyD;0c;Y8 zXWq?_7$kI1MJ?r@#GCpMt4N;}1x&_K7u@`)H4259endgm`#Q@w36<7azFJ4$BgP?6 z8p)|O__MvOfZ6P1YZu8BfoOmz`T{gt`{AAwQiG8&WC1$ZDdv_weGYu%&S$gBM1M5V z$FDGn+ru%UN%v~caFtm=*?oCn(Rau$iiO;xmRP)hb94Z0HR!|rzVpr9QiR?=%RwFA z=j0wxn3SxLYH%8sZDIW(2}J_Bi*jRZEadzR@c|u?$%BRWNovWF8PSKKE*gk`4wNha3AE0pEPGnL`vRcblGC_D^-Y~V@H`>^ z*r&PGLZau&#}=IVI5p1tL1xcZsPDWgD!%@SGZdsW2V0;m>9|oa-U!3=N-=+;q#9PgQ54I zn+a%by4m8`8c5`8Li)UxE`ZeF4mMKF7T-2P5k?|6OyWWGlb%ouGV4oFE*R( zKEoh*My>|g7!Ii5@&ssioYOk}!Et`C9&v4RBY@bPM?<4KAS~nKc%au&Y{g1%)K^w8 zzq=l5(Dt-B^W##yM6UpS7$G4XMSDkduiiLa)tu}umpl=D`Y8#quNm9uGeO`+)Hpd` zN5aH5o;KLQtnhgO2e|#37auDxHQHe`WrQZ&;yYbs4|8;%M@KG0i|~|v&F=}ElpF6_ zW`SzH)QjlG@qZ!FKS=<6L4!aECQ{jP`-K>SrgtcAae}Y=XjYU>{_AuquwTkkgb7Sr zxkw}v75l*^?3nL?5bOznF;9R$(X4MVL&5aD%_VrNpqq0?vyuOm`{IJQj*w}%ax(2m75@Dqq_SaF2(*OWE%B@az8 z|D^FD9IbcD?;!XtL_!Z^d5e!0T1I9u9ZTG!kWJJY#n`kriy*9#r%cc)MJNStTq7?; zjPcwhHDAaF_2~?Un%@9Al&@zax&<>bRI^Ae++ysQBQ^nOJ0lSf!-71=?amsk_ zz@>Aa2d{nLgsu~?Siu>EXD{YHv;afbID+*Y9#u+zu4v&$`@p3aKRnr+DEI4s<{Pu2 zh!?#0?Y%1Xs?eDxd8ikQ$rnP$CbfFu6FYV2@~x!>ltCJ5Wl)z24DfN9pRQ!Xlsb0Q zADY$~*Yp7Yi1F8Vz{Q5&D631%4Mk^{QPhqvzTBS6*hVMC#zB_62f!#X@~K<7n&Db> z;Z%5hvXSlvJsf_S10O!#Fk~$savwfvbbUM5Yf`9wo``rhCxDkJ=p)Puo{ z8r>Xci+CWcIJ9`+78cyWZaZi^T}`OmLXS{R67@m|_80_TR$_TA6B$`D|D(e2JL*+k zS|49(pRla(Nl}qJ^v^VVCha&YcZ^~u5d0L?ok;S~$C~W}>ff6f6?uu(2nRfm;3{R0qU_<_v^vv~(ffH@7x75-Vmtz^B zViK~!P)TF!h4%^>{0(X4Pu@6qs)bn+n(%4NVXZ%Q^wrRx`2>)sr<>Z4^+PMCDtsLm zr3T;F4fhG}Dd|%b9o{=rvNY!=T3AMKBcQobIJ4+(Dneqaw>y8+`>COp(1(kWL+ptZZrWtM!Z`0ZQ{U}ljdyXd=Pp+Dh_Vut&jTbTNfJ@C-0|s zoMz_q%uY2|TC zU{2n{Q!F;KGkkmO|6%?Na5wpJs-$s>zNFyx90pyAJ&%z3FT3O-bb$FhvCt6yl1{vxx}GDy@NboUA_pV>G4 z#0%}jwuakQR_vOriY@?q(0r)T@9$-P;rU8N7L%N2Wa?{7VlBjzO zGQN{0u;{rX(7DMFJyeB?Fk&>Jp7B1xVy|4SER*%EnBg1rHklY4gw!>~(%{eeS@5yE*JO%bu(3y_40_rGllaVd*pjaz*_OlAh(| zCaU?cJc;vv^!FeoWAa-b;)Q3p(Tvj zHA9dn5t=7^X;?V+qC|OekA>w;*>TE`n~aF5w93WIF$*-p`O_(Rhb-h7usI~sUo)w^ zEwQC9&^#d@7d`wksF@k+@%qD$ujwJCg~Z9c(P_$+gn=%O3@4)L{lrCnOaE_YG6Y9n7 zRWrH9nT@80E5elyp`)nR755BoCrDj#o=0a_Z;vS zYct~?ss&U1_@XMp)mdy7bk6l-UZlmIw*Opk{(1d33>lS~!6}$Q)toytN3f#nO7Fq` zOoJN%LP(9)aHW}!C1L}IU2tnvEHN8hkXNQR2r0;ci4GO_EMk;&!K#lNr8C(d-q5@; zjwR$4@eO{0Mz>lF^kOLBfDxZSaMD)>VE>-7sHvzJ_jN_U3Ba(Jy@0#AC7 z;wI9NxrlB&4Jsk5#s zU)F6TNeYWiQarkBC82BE6`Hh*t_C~mnyr3eS1mIgC zcCfg~mp8ww-_x9eOdXZ!zkW#c<79M7lyR2^;4;OAhq`QU_P0t9xojVIY0nTEydI5B zNbqr@gSpZP`0yx9KKSNg!{EFjDOj?-9b4C%iAv%uW2O7Rf@E*B1R!Ip3UtOySWbhA2Z((C(+m_Qasvff<2rTC22F6s0~=rD zw1djqqb0N~8Iw2kkxw75_m4R>?bN(!CWEmAE71|Bx#Dh&QRnV8lvIqb9M#nt!ew34 zH_XA=_sFNXP^&q{@8*LUQs?3SM*OwR zhHx}{pyJpVUfnYKuth6zc5vHR3SJ?ke<9qWjSP`rev4gh`k|dfq?mW{EKc}$WJ2^W zCXHAVK}`zHORv(G8tyM}F4tQ8PvscZrDmo=NJ0(fwpX7%r-<9|>XYzV1opCXGsc%% z0_~l6@w2{83bvC7eFtFG&d^A8Uww?C@iBAi$(7T3(q2C9&G>JkMB(?yVU8}^&6nBn z;jiEj{DCo5nb(p|=~h;3z%^s5$-=I zlRkeKbA8kAtI%5*f3(_-vs+cO-Y~5F)dW7(pEv*gwr9T1V32c)BDBXNU;tesGEX#Y z8`0koC+)L{rjO>tKcwJGXGZrIOr7d#BmqYSGiMZ z!w2>)iBuZMMDl*2s_?7rCP&CT>9-SPn-bC$+c~S8`Xa$&nG<(SZULbqOnXwYI6eQY zfbUZr`BcKl+*LH?PCR;Fhh8LYepWm$#B(oT7pE^3{T}iv;k3`$(FS2L8nI& z#|Zqp%(M~Mce-Jw9rupSR_8yQqKz{Qc?D*kQ-Y18GF|lxVG&`anVLO8$q8Zs9RC*J zvZQ^hYbr#(0vlNMWax9&136y+j`aJtS;6w-))nTORXA=%WQK%%2DoGg)zV+QAZUs-m?379v(Wt!H}`@mO|+#6Sb?O0WfXwlL#T>jw$^>oif7Hnf?)po25ET((rGCe5pujpdJO zfT%zotGL;tNWG)Ftd7zzy{?ahC*mTAuB^WX^N*JNGLBWv=%UC-FT2H+ZQ`>?lr+XV z_*%6=WmIe!nSFN4)Jy}3GTi2P2ff<~H5>Z=7od2HC?98c69~rv@nuVPG{;j7;O7z6 zrmCt#mP+`=B9-(zoUB3P-+r?V5w#ZO$nmu*s7gdw{_$8T`HYhQq|klr62!V$AZDkU|Q#2Enh=% z>5`%KDmXJ@1B}^GYoW6z@yCbpiG}-K{VfRO;E@kv3iX7!C5kzh-3E2%V zWQ}Ml+JT2sCi-Dx7YA1lOLYfA+LbG%yXO&oAA&>J>)_T08@5=r^GDSRCt7jRB{d-MR$M(_Mm zlBg-Z{j_fYH}_*$T>FYEM5MnvI@+*@!&VQVb9Yn+*Bbi0YB=t6NRh?ZIw0V>+mWBY zYZ*NPvIc)$m%^syw03tM;KobIJ61Y1^MKlVMclJj($m#fr#LHXtTIr-<6?92tY zhvq1QkXLzc;%R65zy4Vi-%HKMuEc4BK&`BWQQe1D|s%q6FYe_5`4QIN>Tp73OFiOld3wrE=tQ zi9WAOM^#1C@0x`2loUr3j8l09QZke;pANuB(aSEW&?eibsfUyayb8lu`8TXS1`HKh zb7E>ru7~i#9J|Fc{8Rjs`Cz@SolaEvNf6KQ4LVGXA2(F?*tKHj;4RxuGk(e+udgUO ze&9GcoBqj}Ag;nA?8l~_Z9)4|j-NbuS*x)w9yEaK&W%LZEx8T<&Jvqks!Q*MSe(cS_XCfN^?D@m$op7V^NWSHbBr<+s)!KhZo*Mit&giFeb z6Qz={o=p{7>s9)ogu6hpgUDF?c!hQ~9GGUa;<=&8^)G6`5!1JH; z>>*{uil>y7>Hg+Fuiv1J$Ztrh28smysP|p2umNp?Os~a`6o0{2N?{eOAbN9+EpmRs83eZ z#dhL~U3wQ%%U#KvCHb}sB@anZ_91VcQ9du{RDwO*-Q{Hm^JaP1CFgOjx!yg*4tiJR z|LQ$srJXHZwrK78CIE8pv(xu1_w%j2nE)BAQFFve*8 zncbZNpsWWa)F08uqR+K7?AYZ^z36TH<7q|#(n>f%m?0QF41(Wjc8Ql8J<`Ox8O3mR zj!u0Vj`XT0^3z*KLBsnZyY{6}Z@dN%jt#M6Vm+S}cr8PK z9P^_GmN&5(x7jte`Tr^b>bgz#msb=3o!L=MiN34_Go63rG*PZTlA93-OtO*<+~Vhm z!lWV^C}noUfYoFAx zO-DVQVp*kob4r+|gypF>r&Kw1CJM-qy3H0L(*D~!66FcPkXoK);(anSiuxl=y1 z>KMBeYr;dJw_W)%1GyKfH%42bm;g*e70GtHhVpNf*acn*DH=Aq`OI0e&JGU;(#f`- zIkoB08<=GJ>sl`B3m>fOh@HlTnFGa7H%khu`U_f%`gk1BP29_zu{nM{@@7O!4EdVg z>=#-TrY(Wv;Suv|>ih4#W1@dYd%6=iRAEvr$FT6T+0-=k8*|be$)3Jm?ab;SW{gBTeMkfqIE=e4l|Bwi zX~3wY+{XQ%(2^64o)Gm}fMhFXDZ{mSx{h`W|(-*LPLdsl0_AyfHW5AnN z6<@tG3BFtfi+{Ac>J0ZHvb_SxGtRvpi%&>*j5ddSFic8_-_(D6-OIZ@;1sLI(0kYvSHI#UzE0$tdo*LwSV<}-HAv94+Lo|IQMad6X zW5pomAwg-@*RM#c%+;X|GZ>dhRkKe^sHnr%Yl0`|-PpU61?QiHe5&y%8ED-TkAdf; zG|!Q>%bZ(cGSUz;Vc#>QxWe;QDg!HOoZLJ3_%|^!z)LgW@3(J`(GwpRv7aoP7(Cx3 z5w=P)rH`ZS8&P$p{x5?gYAHTx`IX?j4_%%(QpK4WFSkIj6rhRje^-{>hhLpj>!X!ZqtHp}o%<5cORY z{TwW;E!Au*rHa*g0~|4LTT94_op(OL^6C&77XTBH?Xndqqbk1K%YIBY`gG_uHPLSRo3lw0=dS46*Wqth z7iZXKr^qX>jBtywU-{QnCc4ROobAQ(}@=b`0QFyqf2x&8_OJb*F0=Y+00=P9wx$mI0d+w zH(5AgH(yc1b3`Y&FiYU6C#`KA)K%Re#?f3a1CA_;=ZyP~xuKyM8}V;b5~~QaNVB@P z?N<#W=~M|Zi$fex-SfvHC~VW-YqLJ<$Q$q=DHPI|jzn$17zntTyJ79+A=Si^I$3+?syV`=k((Gk6 z*3_uqwXbE?s%p&7X5-&cc*pm6GQ&Z4+qN?7QC3PDBRk_Q?QGO5U` zUZbPDhO8hU?U?9nLHewV7`{yU9Np5UNOb zmWzWm@iB8|2{uS9&1Dfil@1Ew_5qm#??Zzh3N04bzu8WR_qi#4X0B&E5CMQ0lM}Y# zXr>3lwLJ19zcO|aXN*05+WbV#y54`e3gg8N)9N1J9d5ygIOAXkCfXX@Lb_;bp}=1x zo&JCPU1rKk_zz%ckaOlYSdhtYLLh6g+E5Y6AYASH^ODrWLkPZmbT|AaeV{TGja$-F z{m~|Gew5DZz4!GEyZ({IF7%A7v;>uR`Qj%Jik}&*n)b3O#1mNpaTq1&_WAIe&=(I& zFq z7(>o6z8pZ&6GdJb43Ka9*+wv%NXZ8~9u^18xab)sQ*<1_N~2GhS-D?qfx8NJ%DxF> zu&>4zxqDtP|3_n(!IEXVsbMW>EQCE!Y!KGrQBXNnq?B-aK-b&Vr;zyda89OI&01g^0j2uuzCmjB?(O+?}Gd~SY%3}cz~mD`GU4u<--+y&Dg@j317F@GU|-Fsvt zzC&1{*&udAK3oY#cU@i!PPT-I@|aNv?nT{a)JsqW1UsceGwnyQ($nJ2a`kC}Orgu3-;}iwL+KGq69iz~~S+XMPs{vXU&U(ihh+JTZE15S9z5KvOjR<0L_$x7j$Pi=>|O}oEazukS zIEM`@oO{zGA#q>;dBZdFlpUNNs1Ehg)<&@C7(GgkC0h}XkqO$&O#>1i=dTq)Ys?W)xpz3#&QAvSM)f}-wYlV;@#X)&I8JRWk zTQ`hW<%NE%s6Ri#_)H#cf#{jE{iRA*G>G)T!Za^zS61qQ2e);V(rtSG_fIjUzVhZRRs?alkI zF2%w72viuzI{Tf2t8k_>!OtoQJebPSe}L^>Ur*Iptt4BPDk71MoZ%ojY0JG}l9Ok{ zK*-=w?1+Wl+Qtg7kSB_gs-A~FkE51rh?0^!9%Dh>nkXJ$GXgzgw-DydFk}O^rbC#G zLh$1TnbV1+*f$wfc} zVqJnSFuC|_7PW(R-=fnG8LJW!B^QQN=*~*Bi1^P9(n=WAp>~ED3nnOJ&hl6qv)T^; zVHW6|`OX08ZqXxFD2IH(M+5s48`5@oI4S+ioPM`NU2wK4f#|!9n0{b@t}rP<4ro!a ztuSal7=3l0Q46S0Xyu>gIMK#khymCPDdd^A6K?`N5M=$rK?IQuFb0H?kWVC{) z2X7C$qHjfG>9^3rz@g?a+N)*EwpQ>nT1$%?Ue-s)qY7R1&Q5Ht&dog`$?LTlOhp<4s@L#N?SMkG#-x4GV&veF1P4`9@JWuQ^7Xc@7!C2UZ8RB80c9@(#7>qg`vSx(CxhO zHP|_MzE11tdg^(uyh-d%2=E%#EZe5rf(61&u*|}KHVu@f)}3kP%vl4ff?Ue(&55IVKz5Gv6M%d4%KQ{)h*rfhzehzP$JRDuTulCa77to4Pv%_{e zsh6Y@b0&=OpW`(B8@0SG4o35K#y!3khLbj3;cc5OGgztl6sEgx`-^70 z*)Te&NxHk6QG9aKtY8#e{=UgZGle)A18SIUA%}$PN=*!1^1+JU2sB~C(T`-czf&hX z!kLjwyM3keA*%|@B-+6kM=(Ln`8@3TEoWoe-@2HYDwRU-WcmTINn9=luS4j|srhx` z%oF9Z7$=$Pg}!MxWce<@QfGz&QdArxCPc!xpfK4N6>9yT{BL&MWuSgXsBQq`;DI@; zbJs{vSyBHke{f|oqah0o|A!Wi1<>p%zdciw$zU??5OsVm5oW`yrgb3K#&nX#&R0^! zkS@(OTBY!+Zk?#gou|37DZ|AHMRiGHP{(WtHlFe5O@!zc{Ih4!~r8Yn+4ghjH&^srr>E=8INY6^h3L= zRAP<1d}eHm>ctu!?rm;8Q(>yfGh%oDh|NLe_LjH|F@^J_MyhQF%=iR0R<3vXqJ^(- z*a4D1qL2l-igh75!`(x9DuV7P>&xuY{~RXysQhmZzPB?3Q0~pg=((8bW#-qXu6RAk zV_^fYb($%nOfiyc0;%k8@28;Vll&i34*3VC;I;`-x+?7^P zRNvq&md##SAk8!p!hjS){UWsC+OS*0=~fgaWr;z86X+Q<){VPd-@||0NqWADB>rV~ zlC&DCN_)nvvIb_4I>F1NqiHp#L$wF;$PD8PEc!VvzDmW@01}BM`S(i>9PI#VBQ^n3 z5&OgIYL!p!_DwrY{{1x)T^n6H5;^GO(xtcxGs&~HLbE@Ud7C*Bc8D{pk^l@+92R@E zF#Axe4BK(Uh(%?YQZUBgovtc7quOZWzc;dYV^}y^j{{|KK zhWbiI{oc}~hO5?=WNuNpt%HA@Oy50~!g(>bRXUw zgh3_WMg3}G6#Y`CH9D||XO_6F`mvUmeFfvRSEG!3nRR!}kv<$?74NEzEWUN=x9t(E zo5KjWcdBGarken8?Kl(v-x;?9(YQ*&FeE38lr&OtZ`N78=OZyo>qpogglm^y$(f+| z8IGS#CH774NI1%oh~nHGUN4{L)U~p)$$wFWQuNfiRG8j%$4Pd>3?9 zeD`C9=f}Aos9ok722rDak;_s57C4*i5-w0La z{8J&ZhFuCL)+KnKbuQ}^_cfZzGtiAqNOb99=zbIjV`>Nd@cdiI@KjNP>EQ=M7kMB+ zc?o{l=9Mo;?8m`lQt@(eE%)sBq1+nLo&HO5jEZJX>ajD$c0NQt+JkLZYDjPmZ^5%& zqK2Dqs?797XYq8uw*)y>v6A55t~_?fX!Rtbv>M69LUPF~qm28CedA)!0ySoirc1lQ zb_u2fwxCQLL5#cO;x`9&B0rAMga`E)Nd5}Xc#!i!dwiAsRx9)y4CzqD!nAncH?`Fo zYyC~4q3#FdpN}z=U=?3+A!zwmEug~mR?dg#2dndo3H8C_ zWlBroYu15qEkaWuKk)SJ0FmzGJNf}0GmiAgNCu2{hTnXA-TOOF8y>S9j+qagu7>}` z*=1sob+^Gy3`t2k2u%EmQ*}6~(3^4oACK(~4{AK%>ywD*2WTLy?A~u6d67A$oVsN` zO?_Ls1Qr#i{bo|i*$YHR;ZnjIZU7L2$7D2Dk_iidpQ7OuYC1+l^l~5W|8zXI6|UtY zY4NhzueQzvXChjQS!(iy?*y^}$2jkx3^w>{^ll@k^Wk4ii>L+$HWs`Uiy>v~ALKj^( z$WY`pfpbPGwp}3M9}Yx~6}Uamel{ol-j_QTiU!}f_GD%qR4ljOWi|d&S;WM7F8-g2s!iaj3O8;|M ze>K&^MPHe_bL)G#p`0EgpT^Ldn3T{S8f21*7WK)~Xxj>Q?@^Pq6Zm_7ZrzY097kV; zMEWi$u`aA2IV=cB0_sLtB?-u}r`ofo&EZq?gB9z#wE#(Uo!*hHu=T#2so5Xg8jkdM>>iBgmq3Z#xLE-=%r=8E*S(Q`4^vv(QrC{O9 z^qlN|ZUf%R(24}1*KVyZ1TJI->SIG9S%KraLE01Bn%l!lIiVyA;&%V>*9S4`if9HWlSL?Q-x zU!Aj#SjT^5D9`WzlpLmG(X+{x>FB~s4_tKnl zv9i;lUVhxdABxmkoM32v#W%DtxLk>Rc1}X;=rR(=iw4}~T0SUCXHzI8csLGi{My{H zMfv5wwjC+OX)22Fn$hmPH_^U+5H4ElE?8~)y9tHS_PzEmMeEg|4)HI-Wy`vKZ<0V^ z=L7xSl_*KkIdimv{yc(0m<@cCNg~*tzI0z2FjJTt9s`ItPjsuOp@^G`otH{ci z6B$ydOmc)bqZLqnl?v~v0U5@MhysN_8K2edE|bi|7P@e&+of=lC6lAKc(+NMGX6#; zC~PW`2kDBHuhn;Db!mnhQTPC|PQ>48@V?G0VUh+A=Bfp;lFuAs4F%T{ zR(;_4HMCUn4R9@SV4ty@e-3uO5LI6|rK^;)z`Otp8==}kx~1d*RAcG(QRh`e}Pb+yg26vS??M&cbn-5Z?+C4^nk2IXud(?1bv+7B>?HsnbxsS3ZStt8HUWQQ*B8vAeVhv>t~dAU%| z5;CIbwd%xxr>E=HiS?Fjy%>_$74x(F+#83e9!+SykFz&a;r9f}m#0W{vo$w za3&RbR%gfNATILzrJX~n(*8@`7%t_x6PN(<6@_UMS`^PG`Il{-ZywcQ7nqe9_H!K6 z*w?jC3R`?{b4yFB_&`;0qfGUFB=2)u+qksNhtZT@7mO?aWn56j53vE3&SLbJKy6H_WSGQwz`vj6JZzI;#bfkHNjl6I%taM#Q%wC6PwhxNppG8tb z&b5qauXt<~3AHl`_J%YTB2)Eom1M2N?eTDsO`OlaSoU8`gEXY|)Y9k2`h{mR(vn!e zptHPgx0x~nig@TPMwK(g!kXo4@LDbC2WlMOp#6V(8aT0<+HSpa20h3u@_CsUaosPO zrhu+ud0NV#@SdKL>y3A`R-W3ujN#MYQG@P5lqfUd&%AQ)YXc$PUu!zELZH4S$B6@v zM;(A|=duG#HcYvejZdSFGm9!GfjMf4+*7Xd!WPmv)7}ap@;Wqzg#dts zjdS+?-7|In(L6}-O8W0j1D$}b3iqqR&Wk6`|CP|x4e<>t{d(6f#qc-=a&tA(S5#qz z6AGy-Bg8jH@xWEzS&z`2SIW?>^CXna9Bo3r2~x-5oWL1 zDc5YxKaw%yC^AqGPZc~+WIT8)SRiQ{zDaAk{8Ry~E}1XA-T#a7;q=2Wt~>8g!@+2Ab8vzTuQjnNpX$Pa2?DsH4uKi6NvpPk^4W0q63a^R6n7?{Dnm4DM;9Ny4uYtXrJTC2lFvGk`kYnEI5o* z1cN(U0Dt2YPZbRzqvJ;LGIapQuX<<9&?cczBrsqA+f%mQ?LIhO_HptNxyt}Z{zQ@X zC=|Z@8HX9{_Uk4U$T;Tu2m>_=u?6FUk;IF_@}B1AmUmpltjL5X79BP#zFC_g*{#>f zVMZp0Uy&zL7wo-cyvnhlot#Z_#|G7Rh)IQ6h}bh$+-$MZU)O7^7~IvG{`}HN^e43; z1SAg{YiHQA`5L16_zotS9}??L zn$$fmXgF5)HvmMOi=mYdoSb3UwGhv7LB|4xcK-EN(XE72(N3B@>7pi_{*ku2y zt}LonYsD&doLpEY=Z8<5dR~AtXJ?~tM{_Pe%%B_Z)$)$zD+vaF2n-?z6is0N*vA)c z`l!y%h@#0T@(%v~+Y5nCWgV>w!Q%edeZW{sVjAL#RoIc?b7oJ~=QXr)R72GLdYC~k z=bJ92WY700#gvkiDTl9(RpEJpZQ9%tH$^Rj@&jHJfML1{7fz!YtY+Kd|6(EYoX-U< zp0(a&x^bEO65X@Dx_Z&~@`*@l0#Kbp0M)dxFdm>}xg=HpMvI!Ram{E{hrZ-vE7X1X z*j;KU6fy)Ta4~u5+{i`}*d)u7t_dt)a-kY%8`>G;FMIET>dPsn@S02sGSu4sqQ8*- zaOURqftp^GjKah43H89Cj+y(qc}{`7d16)LJ)l$DhbPM9tJJTv;C_|I0J=PyMnMHmt`MEriD9^vKJ<3%ZbH{KWS zOnTK`_Q-)f6B-heV_)B#4J0IELtH+^r3HuNNKidJDDmQWut6GhF~?6?-`p1=RPI>k@yvHU4+)4c3hDAbE0IKWX$5Ec3!6c(vPKLvNtZ{QR zo)-gLJD@OI(=(FO1755|?-p^?%Vr>XZj|u7_iOzhB5;><8IXnT^W>h&oeQGZHSY~$ zefT!4F!0DLzT=r*%Z8n#|Fyy95s`v4aZjg^hurW!uEqPuQg1LC_{Byy9|J(1BsTP_ zw{O)n{&aard84-V9|n+G==JKPEfu~UHuSz^L;!6?uGd~Yc}5sjMX6yvvYr?k?xV;D z{wV$J?p;+TJF3uTP)m33-!pp+i?gA;S+fBlls8E&D&w|{+W`4vxDwnyHM+wm2%5!d zZ=e3)NTby-*V8;#%;F>u6tCxgap@}A!|sE>p@^TCWZ0FI_NFg)F5uyEs?MQ; zyRv&Go-&C+*UoU@=2sDkzn-{EuH;h1S*Ft?4CC|G!tftlXFFdzoB0+w(1}7lI;*>> zUwMgUTCj<#);^2S_SAC@L7ur+qxpj5Z5%eE7~_D^eex7yyCJ%>1K}Uhz?sszZ0*rG zKPPc665U(rg*K)oXbe>HIE+X1pE**)terz%G(1S13Lfj3P>)kp@WjTBl?>zRP58go z_skchv63tQn=oefgA+$DonJNhlzv%ZU_T`8y9cG69}&?R~+8NzMozNu`tZyaksi2B;`Q%mv+qj7OW6j&wjROEMP{a<{D z)qY!|RXl;BlC^_UZ%oaVG&s5nI`R(HBB!x^>IJG9;O36TKVgl-h3 z*naEeLIjya4JJ&m{OrrlGE!j#S#0H(R~OK~rP@y0_8L_eyP^_pL(ZMYVh5@HS^Fvc_2-|qK(Dz#CSUY(3FNMO=n9(A)k zNw$eAlJG6L6q&wXPTcHdXonAf{e6ZPI?kok~j*j$9Kf|k%$&60< zY|E!FQ)7n7M@epYA?7)n-L`<@2Z1+Fi$P(HRnp&3M$dj@6dyi6fs9v0&&uz%E>EG{iFaUXKlK ziZaLK3RuG@eW=I+v=R^!KER!)hK&?c$@gm-FYr(mP)T}Tn^&e^@hNy2rdKpCqj&N2 z@(-{{6uH)^SwNQu+}7~e{bR^!QTia!e`H(U9ZXX!Qb0GF1Kjdbz@cKX#iZoYbxv zMSp>-^$O5Cm*#AAdu{7zO-cg_40wKbq}Cl&GV_Bj+dHi}Dp-Q@AjtSyXKojx2|I;| zIw6AzkRp?HoD1XT+Eu<8U#~fiX+UB)GlL0EMz{=LaaiWVe&*K@V z-2$u4l&oeq^D6Ms!W3L1Box+L2FFJYmbA;U;~PCH;#$R2FBNEA4LI;K>WDdG+qC9) zIRkb(SI7R|sCwVEPoFrFKe-ddpk6Yn%;jYdB7b`R;+=3RaxnUSTA?X@+$9u+>4f_X zURMn;)}G%+XkrVOEH%nP%L0FFSqs(ydvIyrN7+_(SGM`1RDZ%(VQ#t^gAMq+FkE?U zIch%G60bshm_Y_-iMKIP2^I?mpm6*3-HG#!?JPT0F!>z+fEcj}@!_55{}CRn)v851 z0%HrCwg1P{bq7NIzj1q$aX2FmXPiAEWbd<`oL%yaVlu&DD9SOL*&SBB1uSGO)$1=hvd3_qX#J0CP0f-SF#N>Pu; zY1@=<;Riuj-{R)mcG07W`XB>o*6mL-D+gGz zt}W>*d=*1!*e3hc;q#9Fw04FHn6QR0s)YI)>p8y?c#!5Eu8A`;FZg0~Pw*|Z{AwzX zh>3mq7R&dNvAbq|*mN)a5K*Z%tH}egTGOnczruZ86>TM^k(mkzruwalpJ>P9zX$AN zW=+}27DK$VFn#CwN>B0g0);{Hhvdi@Tk`CN&ZN;TJG9?U`9vqr-v@>*^hRjnxZ@H# z&tR#ggkHXWl%%Nrdmk}p{`US&^Iq08Zc?kQDdutdYIiFqg!2hL%5H~_VWM)a&rK!) z9Nltj{ZDQcAR99oABnsQT zXeBUk5MJ!=9n1XBrdBCE@4E?~y}6cw2G%;4IO)2NTJ49V0@&6DfU6l+vrTlcZAFGM;|JK5?HdDe`&jrv`ACYdv3gw62H%zq*r4#65I zNkPXIvLN0{7yS?>Sh^&=J%Wkc(|Au|(DJJyJ`Z-+=)D^GYc6F-D{ zZaFhShKgQEfw{g_>Vx9X-#1U*CI3l(iE@kf^~_>B>X`3R=@?DyRg)E}u->T=&|uMp z1t3tSxwlvJRhgvjnjItv{EEG}8F0WjW?O(ErgMk3rayC0fB}D2=7DJ>Gce1|ogf+Y?2m%c?+f}VMnbTMOQv3S)ajH?u5&q) zR9OT;jY?r-1uM^={fCgkA&-E)D60c9>AnDy!(pEZf;$(2RLohsNB83bIsJQ#z&dQB z8Emb$Y?t5-A&))fu|-w+1)~&eh6>`))iA(Ap_^cREkwV*C?b7LB0@eD&?NHN$UFAR zBf1dXrMJ!{q*2?FH5)`7ck6qle@*~%!aK*F4Z_}?=-)@INO3~niMoH&)Yx*k3%sS5 z#QiwbgFV_8_>TtU>`X>A$?P;H>m!LkkP(w-kKbc#pEh>6X(57VupMoS<+!7dB8$RsaXk^@&XaKx zYw&;YfjM3%!>7h=U#rkTU(A~fg<{)H;LnqbGwh3btr%M-@qrE4vb^rf3T(GdqfdPV zJ#6j=I6AW%`)4@}j|Ds8(s%jseUXl25nd~j2?2nn)*{u%4W&b)B&yMoKrU_#%;z+b zPD|E-`IFmWW4MUL0ItY1W_SznEn*?nT|E_Bj>t1cMY8grbI_MT7mIcOtxOT@p|=9AtWTo+wkOI(33cOw}hlV&hGs5t-Z1 zjJs~TrX@^aL7lj->BC?wlf6xnj3WI4r>wuEuw zh1m`eziR%2&s>O%Ik`wc!)1f^z&jaw_vFV6Ykm@YO|nSG;B~`1-CTO&ab%b0LEe}r zPRf;dxnd>YcM%K-;K?r0L`9}&O;;rp@w~=QtCj*xXxisCFW}XtRGRTQOdtTRmIPF0 z7WT0+v=g@DbN=Ydn&(+1>uCT|w{r3w;!0@QaQ!rP2VFEZfAIH~%Yh zKF%Lw(ZJ{N+tq=XA7?RbRGKYHEcn}2%{|GKlK-&o3_CBxff$%xDEz?=N6TxdGU$rv zw#Q0<|K6Lu(IA&|?&lOV0g=BIGO0ihaDCMV-5Zo$V~Yi=KIS^72$b5Zv+Vz#SbO?N zNi4D0CY`~{`Fj|lZ$Z@LqZoi6Sy2ow+?<`4EE`4 zYK^1?El|c`8Sp+>Hc#!BDtP_%f5mF~a%I-d&8uTD7eS28KK`Bj zPe)DMGq`!TEpgyDS(g(sJ0k%)!%RC?FDfy z?UMublpUviEPi+LyT!?_kdkwlGUnrw)x$Jg(21^)EfJxaD$g#|Cm>Xy#LkecyrXfN zhpNZmBHCn_rhN6KcqN2%k=sKWw_XH9vyK$pZnYgs(@EHPH{g*f!v9CCJJB|P!`IcO zh71NH{--8gi7&m70v5v2CEvl(59d6x#jBJ#SXu=>I$)XS)JDQh|IMT?`|2?R&>##>) zEBIBdfW4c6H|AtRnL<5Dole`7H_R?)fJv2P&&AXtLrSE5ZL;_Es0P)sxkS-16`K}~ zQt|JAz#*=EUi@U3fyR@PX+p+V88-LqGo;@P9+Cm(a|a6@10Ft zNZBI=_?bqqie|jv*Nyx2+s4&o(ljYD&ek%H-DyL{_4w$;0s%cAi+l@*QV_#IJ)AZx zAc@|uwE&;iC!YHlApUpy0=D?NFUv5xF}mq}WmbzXZg;bLJvUGi_$O|DPh=n3_Z6mS%OcK<5g&E`MuMY!i0Ad5AwN|Zo zPs0G_SQ!ca@?omyV|!I{&T4Ipu`hjstjw%j`{!Q6@l3Z{G)(jhQz?ZK2b0wJdMI1GH%2CSt;juIGBrO-rYW32Z!k`i+j6eJs*`O@3q zgjs1(a7Uzi0cE}m!&~4&AS`~!rBzFpz{Nodp8-37n}h8*TJw)2WYF5m*aFqP!~0w3 z>EQvmrja(8nDt zGed3%Lt=S;yz&frc_4ikMa^*&BR1&w&YS&9ht2sSNmb?(?_-(uYXyZ=WdJKhUlk+7 zx!G)5T;&mJ<{o(#ch+~mrwk^z?_(xO8v{GGaVNWbj zA#qcK;nW=4=M7-9m7cbCFmi%N8ZhAS5$Wv*X#}s!ff97wNA^OwcTIZLpoZfB)xC4^ z9(h%xMvKc=>sAq$L#dmkCSTBW1c4Q4f;)xDejm*#AHyDt@1IPHi^));=2DWL(6RmH zf5!FR|sz6GS!#8xB@s^d>$LYZ)KYSMmQD-m^te~ zhiQpaP#>B^6En7JSdr=|8nJ3QA*12vLI2j%sDOpp7ophr`R!^dyTZ1 ztD9tn^P$xv^(vI%D@loG6>M$t@-Uj>hQ>^CynE{v|NO{|`8S?v;J$EgRj5*9sg&c7 zNizf&7z;KYst}7)fb%HvGY{?~!4a$&8i64>TDDsAyU{MG#4^w+(nDqE+*Dc|!T#qC z;AnYh%C`_{A=k&NiDrTK9AY)U49(ZOP9|`>Ga0#a2NZOwyeA%DqRR`jO$&u+TWDD)GHVeS18tvrU4ei7w}?NhyoXBfSIG5T@XKYO8nqgb#oTBv}p!nzKhG390_FZ z{%mqFif6MA%pPql*JRP;w+{VYAs!db`Hh@Gl?BS*k$^#F3^)CKfHDMVSRM z8kx#JvkkKHe4d1$+o{5WBTzX{(8D zI5r+nl)K?QuS);5%)V89wGAJ#V~Wg{KaS6}GWq96UwVJ=+djL~c}(!Jp}UJC<&9jm zcV!@Zdx3c=ZOsag9j9U`ynTib%ESdK@1tT7)WDRIq^DG0e~-4@v@cCN#ru_bA9K+t z3*ksKGux%Z+!En{Z4F z@3RHdOg&diwnk3A5xn$^o;#}ZV{1_~rV?2(Bx+$#x>lG5fBUPG#IP!cvOs+*UqTT! zXnN=>r@o$+xx>r0IHTraKU!jV^9D7qo}W`1txWrAp92-$$S6NQSC!8>i04L&h^$9J ziQTiWyYhQ9_sFznZH&L~2q5?0I z5ARTB^GrK2ADZE0o6cA=>M(a_)TyZ(5(Uh6U|VpDO5muOnnbc!Y_uq}7>PcNwJ4x- z$>Vq(?3iqHMNJo><8a&k;&}#F>$QxoAJbUY4U_7N)*EH-q1hphc$LQrtmjPHe?DF` zgg$7??rZSKgw)FoSpqq>I#o??kCDOw>RUDOi@?D>k`;JT_!-IWg><63?1IP2507P_ zxSs<<#%R$eOTBEp_kMuB4u{a&cnHDJL>ipo(hHSMZ_li0>9FI`URie12 z@Z9tWU7tQK>BJ{Q-zryJA*ZMIKrQFtZtY?v=dAT-Pn_>Io>-ZT(&Vpz`|y$Fha&f` zcRSXcw~9L>#5cf>P^Mg7$h|eu>o&`%O)8C)_D>N;@Dh*PZ?61sEy|D)ueCuMwW(dk zTYWc|q_5>}m=b%$ovT!;Ej>#AO1G^x_l3Pt%QU}V-GU({rNHMR{--MmsbVjmh+#B$ zWOpQulfv7)#$|}Q&BDGRMXH;XVKuxvUgFv1rIB+UJ`pv^kH|i{^~FN9AMkFy%Lo9~AWOJf<<5pGFg1Pw`a=w?p zruvTviI!L}*#F?6L>U*-R+?Cl@!r92I+><3X0@z+uu88sIZuIa{Rufqr-Rpx?}KjQ z)E;oO^I@YwqUqzOO=sr5n$AF{ULSbA<$;hV(joz4pS@AynlEXL6FG0coE>{}T&KzS z{+YLUo_%Dz4iDLCo!g{hB4?ASWVT*=kHT?mg}jnybn7Io8!}lDXSPJOa6UE z2wW=8)jae0jM=OF?Rz#OTxY*Zmb5KLssbrjN0iQlL1jiHJJ~L_ZxhLGMmhO8AY{0H z0(GWn?}b=(4cLcb7ES#2ecBdtJ->K(%)Qr_2pf`K?dF)oa<$pmQyRt;&6_7*=cLY?BG$ak%NR_wiExIZf5uLW*uJwuVmlsBpAhK%MQq+bpYGmBHK`a~(uZCeP z@%XTtH3C1XSdhP_=ALPqM8eXr(V!H69R@8{?W{$HZL#?-H-7s*0WQ>5*V{Jt`;v0S zP>rU#c%cnoNXXI-;H)I0B-0@XG(wyGT|EQF@sLQH+#^7#D(mY7o2CC1bDQRv-?M$l z!^(rY`k;sh(g886ny#fXd+D8n3^PyLhIMQ{$1m{2sVZu+Y#YX?n?h=X!iyq!5sYDLMkLrS)2ivs^$~<;3Rqr_gQuvvSeh@hB<6Qm#(AYXdVZhUHC+o($V2bn zOI%ay=h@NwX>`}rX|wUq70{OgiU>$2)*z}sHD1~<3|!!J;cB3_$|NyarBg=C5AQwn zZ?bdk%h=|8rK#L=$H=S`+fDGB!^q>Iabx+;o)AjqgHT+D5HI17osxJ>ueLVUC~{XI zIpG9w^E8G63Bj+qy^iqt`@ej{BAbPJlkxceUG}?G@;94vM=KBBeOJi!Vvo={^_*Hf zhA%J>JH1p4uA0$JcwH0yS>uV?OW=6V)2B+KelV#PAjt=_;*$Mj5qSgH9nPE4y(h3J z!!{L|_wWi_Pz%xKx&DPxy9>MqPQ70{$p;Cz z&DQ~3oFOk6!JD4x(+%nj{>&TJNIw+nsj`!GF2333iB-lrcoX;&6lP=A-y-x(ptXM$ zAFp`E_S5HnzN+yE&Mmdzo@(E;?)(32!&Vzg7SzwHt+TM9Sw1WC$TpA`+i*l`^vQA| zJsFo7EX4ixqyZhT$eO;(68CtNUiH|`>~8<^eDH@kar366`SWlKpLPjkA1 zq6GiKt3`?5@!ZUb>HBJ@(eLS9-kVk2Iebb+-_`eC21;}Pu_)uJ)v`MF5;!4)V)Ef| zeWrA9U;ISkqoiHB?;+)4j2J-HQKMH0V>1iW z@x#*(aclM8x?Wlu#lZeKax`SNFmj7j*oPl~k$|aLdrqU;uu|roi*s5Jt=n1oLz)!({Htx7*`!+6usKpZY3)D8xt7_Tbd@y0GT}+@y0d9f--Lsp=crh z!pK{CMKni@!p@NsmoL<4Tz={GzjlSp(=(CKzou%crkWIP z%V+&`G8ZXiG`!pS`E7UZiXBbecLs?tQT|*mNN^U`Ex8ek%GY>%GI*e|e0Faa)X8T@ zkNKFgAcRLJ;3&pY_svtL6!g9~1lx%F&rh_3vpM>dj=Dx){Xucb&Vf@jHD&xG9~bTz ztBzc3_sA|Ki@u}oF$9&rOsvuEu+jnaL>i!^4Zm?tT&%|L>IE{1Hxs>B`qf`bF7WOHiHF z4+uUVqP@GdO+gA3OT&w{8%9D^hh8f!z;03MZ92^_&~tz&m`nTC=g#5Fd`nW9pHpB* zJJ4I-!ERG+*cR4B=%2vV7^>a^8Q20aKZCN*O~!mbtgCMz*Ue-992DzfdOjR;N`A3V zM?j|a@keRy&ZpsNV(^-K>*2lUc`K(z@*VIEy2lM&G9D>Y@AI+UB8QJhZN~)L3}|%( zzU94d%5u8WMy)@z}im*XGdnh0`lb zA-eQ@nrmp=Yk@v}hH-Ifo+{5ci2t0r8=ZSh`n8xdel$Tu)2y`tu!hLsV&fv zHPpGf>Qy9@s6;-h2)Qqb{0{|QMrMRmx{4^&5wI_6M)vRXxM%bkenF5FXsMuM(m?n! zDw3~5Vv9keD%C`qmv^8t4t6^SOPze>X{U@2+1aNG`lZ+-r`>|p?nG7XA&J@ltc$(w zVZSt9VIRiCH1FdCvGKxhBGb3Jlzc5Vq6v_q6$N}1+jIO)Cwe^Qu~{L%DDK=Z0R}Kr zE#~S8RIR|ZL_DZQ7S`lrzVC`sVoe0>SIS{TUzj9Gfu+;4y~So^^HrdjHg~Awr*dF|13Nv6p&)L<5{giwQ?;P&#m~2k+=5CCw;z#_#}wA5&TnLWjuUr}y1ViuY_0tty@)l24I$#s*f{R3a+I=lPaAX0;E`S#3`F>mR^@MI31d zdgi|A7)?GjN8ZZs;M)$r_5uOL9{iaay>t#O9hyC(zr<=gncRM+qvLOH;0b6y*&C7 zANXa=E&^{iW|r(uV!5F2jV>E~&(~*uFbqfQCo&_Mv_GE`tBLiqT|~WcGmak!il}bYX+2QlIs$|ov`It@wMu^P zV=$;X)ad*4Ri}!9&dR}q;t{pG$hP z1198va4a96G>9I)vzN3!THT7f7BHytW54x4gW8Z)6!1Q0llt!^M??1YLy9s<2Klmu zb1OsdeBoEQ=-#?>4?=Wu#^>gy$hOv|UKA7>A)J@ri5IdTMI6kdhIC{ywqPwWKPa(7 z6~c3y#EnL=-Pdv)+>LlWe7*_-T##`Erv??+=&;vgRJX!iwHUe^>!fVC!dND<1lA_q zKP%Ih-Vt(CA(W%*YFc-ZPH-8cu00kBF#rWp$F($h9XmRQ#dPw=KjM3Vu)cq-&=Y_N z_UX6~*RUm+7PS^ri!Ch)vtpftX2PxJlOCS5YLVG-x7j%NofIdgq60%TU|V!g64&7R z0%t4zVr0*%I;~^6OBf-lG^r%{y4(M%M}U8EUx*pS4#=7D0d%@lLGN{eyo=3${43~FPUbl3@EL%y_??DK?~(P=dE zpbCm2-5Zx`&e!3VWAXQzeF(Tx)DE7RNuZhbPbG~{xa82U{ywG*PV?cq1=SD4XCc8? zIvcV{t)0FSxvpf%wxIpIV}p9BC9>Lg?6Y%4@JoW6{93_h`=VnHC6Zs{J0`lfGcmq_ zlJQ>j$kG)w-Grhzcf#LbiUno4xke)#?Zz7{N+&j2gpzzcS7|jn5_M)2Ihd)Y6<>x& z=+^x`w&b2zLd#kTajV zYjlw&p3;zB7g4A$IXH~R{6%x3CEz}TfSP+gk-_5uQMqNE6L9yWc@VB{Ws8fh0Q+rW zS|w3YTlhmebsNcsT#)kpbvz(E`%6Ega4T6B$t!e{#TNk!HsjpXLU@n!(g`C9ARK_J zjL#)v)h$`1#HXPJQ!0qb$Ji#B1yW2ewSWJ1EJGImJhA|!p%Fm#7vb^i`uH5_tQOfgRVjA{_j!g4-stf)r z>nk0GKWlajMjL;U(gsn{lm>X-UJ&PDh=mj24{4ncaPv2*JcxNgKZ37}QCBxyqR~IU zFAZsKKzS8UB}%>zlH%Y>*1!>!Hf7*;f@(Bc922{L(nqnx|K ziVseFBgEkko=P>@HGAwB{8>F3BEJ%ed43cLcE5~7cS!mv;L+IhuQd~F)A)Rhd3t$2 zSMnQa;mKo)Z^HX0T5=VA1SuR5=h{d^ZDLlTz=6tbKiK?x)6lU~F!#eVN|!+>nML&u z1f_zFdFHjfMp#nb9K?};YW8jyNxzO09$^|z{PeFuCNn024$%o2Q2%(uY%*`KQdw$)rM0`EUCO+N-rD1@G2CcIdjhdO8#V_J5Ww2N#u5~_p&ZG=!=>03<6{3!}%qK^z zsHjB!gx!073MvqsF)h1Xe$2Wpcm%u(?bYV#2q$p?Qk7l#V~>04O98GdgHs5kAcxfz zt6JcX-aZuIg~Z5Rgv&r807B#2*y%dzTEopcvLPa+Mb|-;>)8f2fE(W=`5eDQ74MT7 zy9egAjkMDpe_uC!X-!9eB?dEhKsTZn)6>o|BFGbF<~R!zr--_*V{QlfqOGIM*I7V6 z&UL7k_G3(06nR8G)xKGyN^oWU!z<-&of{_is(e}=g94z7kFb=fQ?Jbo>}8B|nWL99iFyElKBp)1rYQDi{8_1$ny2aEG%N$o)^1rKp>CLpxSuN50ZPNjOnP5~H(0+go)< z)H?r;bnrK564MZ|w>2sM8DGcM=l5oGuRbiKPmvu7Sr>B+8bEYIRwzfL4`!$hond^J^3WD!JZEb%rLyybP zUc`n72OOP@Q59*~=d(u|ZJDv9MKN+bFKjRt|BK2hoJytZun~!Y@8^779Hd_r;zvUx z28Go*B6w%0rH*|LQ=3Z1E#>po!h1gI2j15w-bzjQ03*WkZ9X{`&n8hj8k=H!bYa2K z>7qOUZbTPT`zz-3x7m2m zl3MI(V#e*}Au)tfHck&xL$$X)5oX#h0ryrSmrVozuQDA1)L(YAK0VsqJ7VYmD!bIhePeK5n= zF&~9>T&{kT>^Z68x(~S^*-==gFx2M)=5Cpm%s0hThyX z(yO#)XHfKtGCw#jtV*0EKAs9$h&7^4<1xI7z@TL|&!LDW)m*Up=(JY`5lJqI*ziWk zxMA)9-?Kv0ItBLVVt?XdSYJRIzQAx2_Ds$$G$xo)bKY-0`MvZ)_@)AD0*7vmkHB-L znmg>oG86)bqkvjeAoPB0K#H4xBrW$`qPCKTp{u0DnPA0}@Z`L#R8Bay7Ku2EVmmQg z8tr5x`;xlI3PT@)U$oN6<+8)o#ru+w>-t}9D@u5oMjr$2p;pMP&#s2MLIkUkP$4j! z8GnyAF2J)&x>4mNf4K2lO)cUpKw7~(jYc^M>77taF{H|fqE&xu@RI8w;>zkipe{m5-NM2ZLe;1FY*G)i9 zsEc=JpGQfms~%7}lL;CLSz>EAVi1Ub^n<{!Fp8kHGr__!FnOp{%=P}SLaCLeSZie2 z+ejj8xCR@E1iIK=fZhAL(k*3RnrjYn@W7?LRjC1QU=mx}!_3VG?>3PPI#gK&~Kl=&_ltHOt6 zUYAk{zIZl?ONaZXXT*6R=MrzG{$*|09in7#7*F;pGG6!jHgn7D6rrGSJ+PO*eT!tE znWXB^asTn-M?20{8GgOzTtrp+{!W{53^})J6+VsF-Tsi}$=&L$d+w0v~EFg+XP4;0g9XfkH47-*$o1yW`l2N&cZCEq30n_#6@;P__WCj%$${W25En00 zNBR6iu3(s1wHWmXR2HJtl4rT9>-|thdf(!JR65C(8pTPf$rz)9ZE=V#eX~6<^k|VF zx)^wBclDhD%E1N6>JqEYcus8#_=Zx9A*Y2AnDIed_$5JVtI-W;YaMoJE+z4<1nFQ##J8)dEb-jisg{m!SO{**9@J~r)SzZ<6tuhO z*eZ<`2^=UOE@n9elaILcr=<}HN(^nKhdg6cF-7u2Y#toFO$P&}5RgJX?GXDzc%`eo zKb3m@iZiQP8}TilILZBU3s{G#Kabb?nO6a&f{9SyRDWnBSyR)VnL8Cx+Ykk9iWy-} z+HHJW2|D84JZ5u8c@gQ>B;Fm`?Qe1dIA8Z;$ZvWm0946TuAY(jrLJi+1DSAKX#CgW z3&(59?kP3{t<1StQizpv5_aPcNrUN}duEraVt&&q9k2eCc{1biJ$~x@Iy4>&lpl1= zV*5KD7sODQGRWCk#W+m5$XoBd>-sqSvS*H}#X3;UPLg}^iNh}D^FEfiK7o5Mgm;VZ zjrpvNpfmTANqlMH7!$1MzkII*1HzSmEPy#2EjCFZBxp-->bfuT5)~YFF`Vsr z_&y_ zqHAfbl=A2_q+TB%tie*1ENs1piH~FwqVy+=;c;sE*`fApS;gCuRFI-Uep@& zWt-U0IZR^2+H#0%<>?ay&)c#i=jKR|3x*7{0O;L3^{>bxN|~=Hp&wr+D_!uh4O!p-AtN)!SK#~Dd3k$#b}>aIvN%8mVGQPluz$ zl?|1>i4dw8Z!2DE;p95VN-3Yg6@vHU&4va+E+Q8GF{(D3TP{;ptI}>LJ0DqPZ-otb z=+W_c3i^#akv4R=<~^k#acaZq(8oH4HmoBH4bKOd?3J!F6+8ImtXwyt6SzF`FYm;k& z4mey~Ie9w!b7$dK)FiHFI8m~;;5&{TspR1>xK(cG``f@U?$D&S03d0NX-#uJb2(F8Ign_w*Qsx zy6MP_EJxR05ZKnjjoWOvN7~nUMmg}L>8*+|Bc20Qp+@=$dqOz4uQo!LdNq-J4L_k3 zmMFe{_T>XgaQBuDFP}Z(97S^P*JarKfAi>o<(zl(KU*n%8SHt@ZjT&|ixAOSRZZNx zAbrkA+hgWmn{~IAZ*OlgXK9#)-ZGbTdbp_W?OL*1pL12S63aVyxBVec7%1aJY!au8 z<1$W86L#Uv=)encPZp60LGreLv)xyJ@LPC*&;O<67_ish_~}RDlhxqW&)R*<$vXd< zxdldH?vu}qk4dznhL@T@A#0A5i~|VtsIRg+)cELFh1b5pwY0m}D98fU&5-2)p#|l{ z&05LvMH_6%17_u=?&P65k}2~0e$Zw>nh`N&E^9a_xg(}HSRUIIX>BLD`~mbfN_~61 zmWos(#n3l#t=K8=bPW?77B5Zu)9vpkuq8VeR!S;t&RIm*!utt*q!3Xjv?UZ~$Z@U> z{q6>I>cr`-O~EgbOzWJXbXLXWLuhXPq}6;g(q!r|$)Xe99ivN&7mK%Ds}^;BT?!ti z0HnT2bu{QBmVSVtnF>0nIs+}+X!Q#wU|)hWv83^gHql-7CSM)W=08`^jb1i@vB|IF z2NnI2C`8eQIgzPF+hn>$k8Q#%LQlr-boeg&0;xTKw?Pl=It0)OH zgan9)VaR{kk(>Q*fQYssgd5AwE9_pRSJ>T%s+41lP}P8142!ke#tzkdrz@qmlDA`U zg;;slmCU}h$SJ$*w6%1MB>}x}V%)TNI&wI~OuB44eXYYck5fjnBsSUkf@+h5U0-YX z13xdM=N<T-^XJ2#}6U9bz5(U7xfq?3K8c9Z5lWN@V@`G1b`oYxAx_`htnQ>^P znRp6oT|h#5w9?Yucu|X21QN%jRD^PN9f$jtpjxvik70^RUEf4GY_crc*G!1Z{iF65 zTzpEB7)v#7X_JqSq9m-$Zyzy%`^whAeeCImH4m|wDmh5n z!e&n)pSYiK0kw3(y@y(m$EEacm6u(LwfF3#{1jO;Yds2lMi83>Da83LGUMe}UI!s4 z+Kpl?M>KwmI&8}^*L#4ILtRtsb@=t&&kApPyQTqlqcMqFu^u5=&)l+*|7Jg*#~;C7 zaV}@RVf7vRonwHMelC*-(n?Bn2PW}kl$40N$zRCjPzmyn^ut51h||4sm!Gq}p?B&_ zfPdk_kUKQ$9<0hvCB|wYz6-9M=Nu|aFe{{`u8B#ncaq>F8OfE5L^>xM#Vj%uU7T0> z$S4zO+t6cvU&@33(+-KnT9Vqt`0r>=j_1|z5?l@Z-W1&HH{bVmh`>!AMDp%y(X0+UuMoZ_fF(GSlNOhBY~3QNz2~{84c+$-eAbEe()@fr3<+=6HvdQ+cv~? z!lUr(KDob)(Z17@IYh#NEP>Y49DgoIwu{x{!eY#eDkYN~a$k_k39SARyz}{#2pVoJ z4~bZfa*IpbFbW*WeUdeASFMsLE*D&V6=X0-Coq+py&X|n^5-4c4nHI|>ZB`6xmCw; zr;r1W!3dhGMG*qjH@h#kJRC^iY(ThCujic*j#r5F+Tu}ksUho&zX?cr_}~i4LjIBN zPv4)?xQ|HqG%X(iXlG-bwC{VN0R2^c!$Ie5O0X#7o&*2Er*qncvi+QAbG=1AiEHZ! z+Z8U@U$aMMd>tyLpb6~uFrTR-Um5g`P}^fD-tLuKBe&ENew~~LN?0ffshfzWW#d(O zsc~d`e;U;E?p~K`?3>(Gb&Q6XC%~V}ZF5@zB<J$m+YUi zSm-^#gcxvL;pyZf7f22svg_8N`SmZ%4P|4py@h-9pnE#v$)f#Gq*-an{xO1TNJ^;B zLmp_LlZj>6C*rK)0p3BN&b14F5Fl@de=@}Z#XX|Pv*ds$bXeI_yIJqQsJcxlbBS_X z#<5K=fjz*E)Sihcemc>7=8EEk-_zfa5wVNzOqwq5?aiTyBq-X*2?9%}TK*13{TEBA zv>z?TCzT_WsyN?Ajy`D`QlC`+prbNQ`p%430mSDpDB{=@lzV|JD;Z_y*k7sF)8WX? zHxoL^1$OlEr8(n@UIUX&XvqLJ=33Ka4tm$}*n9=RK~4>ZRH^ZkK5rTy7Q8A;i{0jp zDNZ(wF&by4#jt_EuS~ zz_0IIt{ov6+fSW4@pSOk3_{pn8vqwhsP0x7$TU_RQ!wJ@I2|4!Q&c7Kn3|$r zOgZ&u6`}0Wl1hQ?NYbvSe_kMc=~Zx7&_rS}f2`zH+3~x**whq0;kyow@rQ3ic-QIB z%T=j*XJoS9Fs4#{v`Uz#(ncq2+O6e-ym96-k}Kqk-)kS$yvR?KXHtX2B;35!uDEJ5 zfWIIA|pi6Savu+%LBqa4jewndH&^JES7Q7#4pm*#W*qR zyxLM8jM+A3q2_yR#ZF{)8h7u#@C-GUiKiEHL`rr&Sna(Zp@5@b#F?E>QE#Ja#R>Oh zq+AvK6~BkCNl{s(BE&p98PWb;57tjc2zE4USBFub+-qkYUw7S+-6n-#csDtkChp-S z+QDf}l;r;(yjN~-9rPVAuIw`$HliYQg=D+hIe@+V_UInFCC()h|JXV7K{zi9@Zx>h z*SLriYc-i;^I!PpC)i#~><<76b}iAbtyF#4up_(6-N0(M$!J+{=S; zl{2@#i>9w9yQYDQff1vk!`^ygWGdfHgQn2HM?kL)S zTQ34BIJ=TBA-byDwgg7{^M1G*IWBUNq@UG@eFlS*6#N9;e4`1Yv?%1OnPX`C|` z^kKNc0`O?6oV9%)e;i5{YVq|q28}6RA%!r++Xpu>$E3`*c_$lT43`_%oU*`#|KZ^! zci0}inUPaB17}S(VRi#L@M&m2@$zaNNYZb$t^sf+8A~I})j@3%~+Fgr5z^a7Vy?ZZdUj0gpPSfjcZWgsd{Dk!`JY;X?AxuvW^ zc8&MbQR0H6sH#d=P*{TSzwp+T-b~;5okl2l~t4x+@GtVKzdq}&R?7Yg8WU^)SV{bwpu~7zjm?jHRcejf|Js7Y??t8w)7~# zYwDkghNHJ1ZNRJMi4#6)IB{#>Dy7gyKppQhl%|LTW+kZ9ihJ2Dm@_SDg5&5 zkH8?RSQq>uvs?US1p7R_8Y;LEZ^bPgexcMh;jESgMl>2vq|6uPZk@*Q-QKj0&hJ|L zz8^;IL6P1AT#x=DFL)ve6Ns7@Z+|KfOJnB0vGeLYJd|v=Fwkpu-wj zY9!q{WPx)8B*V>q_yw*prGaFp(G^|S986tc)mk8sXflBi(F#<>|7f-@@~sr9&)O%n?&AvsTtkrRD0G zSQF-iB^j?4*J!i8kSuG@zsuSVi$wEig(^I4&7&q__;EaB>=E3&tJ>+wb`Q|wyw?#_ zPDOL1O2(?74949_R1jVHIF1N>Zy7lCV*i4kImTeJi0sHB@qbKx1w&Nd*R|l#4MQU^ zLw5^E4qehINVn1mjFfc4(CL7*gmg*A2n=Z;AP5X;pu(VpAiVcy{GXQ(z@2-~KIiPc z_F8L4kq18l!39(za&ubBe0bT}KSaypLfQF#&a4qXjZpY{qqDd+?{@>#4hP)zXVpb_ny4<%!(SVosDAa^F@l@_ z9MJh1u_y9mhY?4nw-6d*V)JHJjhWhDIHC}Nhfo!G8@#MY^L++0qJto7?Ryt2E0VG4 z!$DFG4!mdE%xWbmc81$yIe_8G0;6c=0AJ5=r^GUq{ z8ljLG0iEF}RaV8EkbA+YvPfr@TWdy1Wf{#hz&c%PbXL2qb(qz19t#$3Xg2EGoKyp_ z7gBYNFmRb5wLR{?Bru|z5bLdEv+u-4Yh;>u6#f1H<-J!*awhH~eXf&C+V017{|tb= zB_2PDB}VRS{;(&S$V&N!pd5jlSsi z*#yKPcOaiRI8ma+)N%MCCj@HT*LbmC)=B@@u8xHvKWtJ)3G!S>fkItOLKC?R$6ps&o8B>N^>M;Q{{i(|I2A?Z8O(RTsL|s zk|}qh5uwFG>d~vO$;|2}4A3@~(?1;xw)B68RvMI-gf}8(r&fgX{3=AZ>Lg}F_zisB&Q0Co*N{UFS6qt7J;R^ znF%)R*J)Nby6GWyokSP+RB)N(=}OBuo?MFr#v;3J%WC`C4n>a%`&)Asy1xgdW4IXN zHUhUL%r{F$8SaF4{%q5PR(cFW> zx_c}0^H{iA7dq;NfcdvSu{8qrfo|Qoln@C%R+*p+q@w*?Yxy;9JH{|e-IVd&(yrQp zQ9G61e17<0vS<77o#pdF6DiJY*jp1!?0{VUO2oO`#HANoDP4)9B|sQNVM9#G?}^SI za0D1Lz$!k&Z$ar7Nv{Yi^w>(fE$poV52(ZiYPss8q#0$uPa#x&vnwH_VcoX}C_{7}3M2vXddk9IXy>MPWwE@E6 zLHFfSF(OKS5ZvZR+17>q;hY)jY#vWpg5*s*JxU}bU<}hN7k{^Dbe2h}sA?P%RY{mZ zXmBC}9frr!o8i=(-y>-~M|#0%=s*Eh!8c(yADX$E{rsESDYdVN`CFN52Z|szIPG`y zxA}O=7H>WEBW+LP*VDxW<#VOJ-+!qgI*hu=FFY6+fPQ>1twr=@lMO1=(%SI!P zo&twVc{El&o6uuuq;wPDC@jOBYya8H(B2YKaf^9}vc`yMLXyf|fokZztzgx&R>iP9B!YU4rVziKtVTNm{#Mo@A zL9z_A)7C;QA|xGe+x@!2R<@uVQ))NcI7f7L@6xjcNzH~>MzR$nRnD$f*U71I_vU#F z{pcI%GuB+TZWGRg295dNy%PkO&M59bHC**zcA|q2PHCy}PAW`~xv+sW{~&GkU#fKi z$g-}D2)OJn53$RYx9LNjjb@1Sv)PrP%FLbnt#|V!6sZY_-W6bLfumMd9~GawK@%t- zN0zyh*21Opxq@W%kqOHU9qfJflJ_NV;u(ZLc#PLi5b=jklUQyy3)+tfaJoGE3JmN# zOmhVDJh7xoQZ?Xu04kx_XpPWMZsux0a1xSaa-nNN@;K9X$0A;Tx=iI;6C=7qT1AAtytZ@j?g zt8UZt4d17VD&zwi>3p1cI}xesgxY9D2udt@ta+3dnbykkGKD=Q#aFfv@BUj9AQO0k?N1_P$&?FNb`qCz zC@JymxX)3*OvSWeMVWjWRFT7CY$LoSl7r}b@;$k_{~E4mTq9KRBz|)A4a2e9YbmPY zFt*T$1FM6L)+V&&PY|5aYc<{T*6J>muZ=8T5)OsWou~uCy8lbm6QPCK-gRb5Ne)+_U<-_p(PhZ`k~ncA*S$j zLZw^p{|TUfL}V;DWV1AFbgpVEK@vUXU^G+AuU&%MVKM;BH9@1-a#!aS&>c{BDk-RN zpV|y82M<_mfL+kpPjI&1b$vfl`Z4)Qqt;rwMVS)+-CPXC++#lh z+^kkRgP!~9z|7c^Ip_wHBbPvc^Rs=|Ny+|ima~Rq|6Y2X&+>rKKM^4pi~6_;KVxLe zgY1hTNCEk%jVw%IRzNim=j#t&BeLuLw(`dx)4G zBN$@~74JNQR{E6D$alnnpv?+{ZFT{Ji(-^KiZ)hot%(*1FZV{~U|fNo2u%X}xa8xH zo|NE*OqabBOmH?3dSE&8>}>F5-~H44>C$Wsr4nDVn~Bb)X(|(jm%@K90|}F%ImP-NkTM%BaTd5TAm%DMvhHU3oOOXe> zjwP_acDu09jwf;7qKzA0JKCMHp9{oIl21a3uh6E*TkYNiG` zc8nj(l#A?{XUpU=9#1JYQWntWjx1Yrh_+{HN@-32OkHk8d5|xExX;l;Y5mM4>z3=r zgez8&Yc;3cx$~QN+CA=*T%79LVlqEs?+L^@3ThF`MS(=0t(1U&QBh}4Y=sl)6IGI;DP3;FKEj^!wb?SrnXaA_9B9=CttX%?eU(KP z=SA)X`phOs0~RRV5*Kp$bk+{u1G8pX`yJp1z(8RdM{$S1cMxbB0VsVsg&?oRSIBX1 zREO-kva$UOb}N4I@)nAmrIuPNfdiGHwASLjClmtyUt%>ysQ-rzBh0TVU%-|T_9?7E zpJs4~L7%1RIqZV?WSDt%J?Cq&)Z?v}gVI`?X`fbAE$QchEWl@+F4Rusj6@^KiTQCg#B=9w$)^Usp3=h~#{=AB_K@rmB%z5z{?U#dQR4d< zi(lg8DaG92`~*|@{ug4L&b6oOuoCjm%)`Z;Zs99mkGwbILyms zD_=gk(ef_PPGjH=x#Fx{m|AhVMZ%dj1H4(&H1Njxb@Q+w<@ zJ2hE3*Cdxf1VtRTT(*M6+y+bE4_qY2P!y{BS{e55{AG5Gfb)9eSZ;MU2`Kf7bp;_h zmVO8cV}6Dx_E+dnx-3N@#gMl(c`}U#V^peQ(()1u zjVu&bVSm!jg6I-YZEM`yK?n(|Xd-;M$f>7ilD}HMxMbBsY1EJ#P@yOZNyD&Ok7D0CJ&J# zTVO&xIAkr8eSDWrP(Yx<94Q%ZE&EqPCAzb?ThY9<<~EH-$Govuv00>faMmV~lK#5# zc9{Q2wkE1zT#izgTl1etoLZ5OG4uv*U9F;s z1q7pzO!_NEI89{0V{lh%NoKw_aSE9FGzRBfyi50|9wK2xl4C;otpCBE9nG%?LEqKd zVaCn(DL7$v!j}nAtYhhBr$_hDZhInCnfEJ-&@bZ)v{pSJmSfIhF#YAUY_-^2HJ_Mg zxptjb`v4ao6WHTrUa`&Z5}%SZsB5f|`prs~%AUx36;0#ZSKjCZF8nC`@;Y73_pvnk zYiJ6mf3zxgO?qPk$IX$0nd>nP+6a1uhad0bbCcLJ$A61oH8dzf7!h?9&8Bx96NFV$mbWywGQvgp z%}=0QKI6(0HbB2GhQ8km&=|`$Vu-V5I62j)mb1QpEj;2H6VsOs;l5sF^ZQrUbwJ|fuZ0)Dc^-&j= zc_NAZZn1m0KWkvg{}6LTR;T2?U%0st7Y=@0wpjMwd~P`J!@8PrGj*f4y0cVipS-y_ zk<{$18|VJ?$6JK5CL@euibVipQ-k||-=r(C);k)VFlO#Ir|aBNS_Nu8j3{1joo599fE^ZGmD z<|%FTW@}fYW0tt0Iwy*X$c_jfYh{qR$*?6Dkv7#Bk^cKE=hushZS2M-r{Cm-L-lN) z#FZkD*_v_ihq0-T2j73i+5>HFR*WJ|Q~?F`$hg9)+6I_Kg!Z|)qR6_vLAt7Y$50sP z?vjPkOj4^(-R8HZ?2&Sxbh54v>eN;>&Q-L=u$}D^9map?(_%Mny~Uqx?m&FWjLY*Q zP-G0IMn{{H6P5855gvZQzrs(cwsWL&`74rwDsLa3ab)I@Vj{H4VIKqjI^CLxb-1I` zY2Khym3TIi+^en1GRAJ6$CusAjJ<>n;-R-WcRp)pjcA)D_Pk&(1X7Q_1tbLjU*jfB zPHR#(TPAp;%AZHk!O_5Dt)&ZH0j=`%o~j7pQrMzVmWDCc6Tq@OF$coq*7C9RR=zEd z0$NZ(+vc|8vF?owurIo6KXKT^41;3qi`d}L_XMW357i4e9B0#@WG_3Tn-rNAcsGMVGQmdv0(j+zY{?4-pU^ON;%mGc_=)rktMr z;q~efA#NYmv<}ZATU-EOcfGt#^wwN2V9y2vI;;h|kY-U|?WouvMrUm-R+{5}i!CPX zCek8KC)P}L{mSvIh$|&2ki@_Ay^E~p-x6wv-iX$KFGG!Wp&dFwv#f^@;(|j$)x!dv zrYjVXcN@N9U8ZU1!s2>lNfJYNCTU2Q(pL3b&2h)+Aj9R6vWt~ORsw}(6=ZaGBb<#@ za&kB-fiKLgMGQ1j-dBZ>wTV7URNODqMyikail&Zi>KXbLz9S6!mpd=g>MeJ1`^`!6 z^RUQEn?$F6@U0FFFwmtc2W#x)JG~6$)bG%``JCnzrgu4f%kxz`_%RWr_vIXLStkp4 z4&gwHE$VY!g9rz6h=lKGwke3>Z9TgkXRom0pHX=*@)7C7!)NRGmZX<`eoSMPCu<0< z8cz44=k-*C#NQIKkWZvT;JCUG&Pd-vh*f(--lIE~R=b*J?NyYBEL({peW6Ib_=Tj< z=mxE|`tg^!ax!U7dub0msGR^g8@XegvR>iXX{>J)UNsJh>WeIohj4IVGwA%&M}Q=L znx=2;dT^P>){MK|xR&<8hjA1YdLqLDBo9a})Ac_KKy0EM#J#69!c&emV1y%Aq3XBXj%Ff|(f^0hB;pRj^^z z%r{fx3iDt$ZpjVS~031rEHYebsP$TW0Z-oV*TD2}(TpIy`A64cf_ zQFK~1HR})?;*UF$bQ+`GnrWYzN3G}Ezdzd<)`;jZR?D>tf0}zh__$2$T8+Hz0pQeA zK&FYhMUkCDg@y8;yB=y*c9PV*MA0aSv;c1jG%Z zqxl@*w+t+O&wqK}%s?k#9~Ew6=r#yXjk1)-a9O!0Qtz6s_B+rr;AL=0wtry z@Y9XN@ATro2^0XTeld?jWqUZw)Mv6qHzJw*Yngz~i;pvzm;X&bMwH@r(94b=rZ>`y zu+XVlxG9SZ)UrS67h@@>5UP}Ya%wlmCeSWAtZ~e9>0vy{gkqT4eo_jNH{)S4YJV#8 zl%vn4@Y|d=PE@WlCtlO;r{VLDN(Rp%I$C~_O$kuU9$E1JA&YTW;wOFqxPXzvNHjZJ zS`lyEj~2RFU&~8S3&~`90o8>!EEDlr%H}IIm*nXM5wRG!<%(?k9tw??YAi|nNoJB@ zo;o2@%>#m4jq1z=%CF)rH%8EDXHvOnlU48z`3v+Im5WOcYT%xdef*bKG!JHtNv~hD zrX@?JjU+|O2zJ_z8TAZb5>YD-r+&9@z@)j9I^F=fxz@5+-_AI;Pq3eohw|E(_a!+^j{x7G`(xoTtm#Rqs)fboCPU2OaP(gji=E)) zGsxF1)z0&y+E?D(BJRwzC33!QwgV{tIr|Qd)h#EEP9syfmad-=1H?Cdjje5>=Y(Ak z1p(5ethj3baR^n?iwbNY3!Rabv#d6Ri|=)@wRucqADG%$?q@R{fA_i~2Sk2j7Z1sMwv>(8z1rX z=F5pkwD>rSPf%J_O2cMhAQHs;$;rL3WV2Xu7ytPUanfx*p`{j%*|$2pNqY0$NzY`( zwEeurmq9ohjDB-egH#m|Ds(|L8kN_hg7xL{u0D!_=qc{$ow>Ir9CJ7WV5FZ>r8(m z?Bb_72Vln3fV~}NHBee9x|zpE@CBi{3Ov4a^FH|NqVQHe%h})SEN&lP3jxc@gi+=a zCGq?3Sj7%U=mxnpu12(p(Rr9E*0*Cwsm(fV;ig)SQ6WU{hLC0F647_&yX+eki&e&} zuZzub&DwmeYkAp|ToN!8o8unUn|8PP8tCLgD{F+*c5}633InB$WvED8C@C`07{77h z`p5dkOu__vKVf+sLhl6HtPKIk?lnF`(Shk=!-0(@xckyC(kD4 z_Pk5Di~OW=?nhac=Fp4;-d{v<>E>GFt_yiV0Wy&`vfWY9asBD7w`P=EPc0&bG>LrH z=&guQuQ^>n42YZua?Y2&Q@RXz5GWS49+_A3J5yslf`~RND#8SN3alTqabys8%`4`^ zaj7sbWbOnFEux>smLx6{!<%kSByoNjvwo&Ukl|z?Jf-f-K*48$u9s?YwmoYW{8XSt zN7Q?3yyU=U-|srI-G9%0<+~sJoz{Hs_%u=XB!fOt6K7>CgNuHw#|N~S4!L1SamU}Q z#D8@sc3dM0)u~ZIJPNI$pw^|PJy<>Bs<8r^@_Hvmepf`)+nP%YbDCV4*CJv9#6n&7 zm8lDo^8M~UGXsqCs;hj9bVsc25IaiESNYOZK+>mk!cPM>hLPjgH*a((?KF&8bay!8 zSSs9SQ%BVj~k9wB*On$y5 zl$F4%XE3djP}(XBJaEwE^zmCr>Iy@gTeB8I#p?Hi9nj~SMl|2Hw#>CqQBIJ|SUeMB zsvXsD`H8>!EZ;I7{sgbo9`JOJJ7|3%56`L%W3-Z&4=PE&VU$Ho91Ov=b6$-_i3!{r z>uroq70S<+`8K`1I+l`QPjPY}Pp=)M$E0;0XyYYdZJfmVDbZm8>%h zH$I~*t-G2c1y2l2Z`l|+tYunDTk`?I0hm7~t6w=4_C#@R2fiAA$ofs27^qN6ie_ubJz-uUmpl0m*z+uqO(oO)kKw($Wh_@*Z1um zzF7l!hQo>Yyu zBGXLEm|?WaTz)7xQ5&7=aG0jqOCW7?aVW=tUX=dV`u^I2SMFyMM!bYbRb~NOip9x4 z>ZiT43CS!Fp?1L55@OqtkxdiKDrYp?TOvI%eXB=fg)@OYH+f{;q~BM5^B)39UDI?8 zeL?J4{9PYu9;U002E$D6uqTO;YkomkaaL-5-m*g(uKsaI9LYJEJH422g&+@ z+oUL^nY*H=y+<&Btv$Z(@_E;&<&Hmvk3-jq%aZMCa^#ND<5Yr|qrh~}=b4A`=auQx z8Noj$9*&?Edh>BErNe@nAQo3Q@aV1srlt68o2L)&%iO2h&A5#IHMjI(|5T;XI14+N zXONZi*@wYtZqN$5a@hQR%7e+~2ubUBPXFgCoH!8I3uMa8=^cigZVEGEvcE_5o>Z*b zQ;;*HbW)!6aq@SVZK@@Q;`TWfOnX^Ukwt5z{s5^Z{c5c+YAGpG8aV5=DkFv{w(<2s zGa#|dNJ~GhoJ&!`J;E4W)fcg`#@eQXag|{^oOp1Kf@xRn|5{xqOG4{&hO6bIf9w7= zdbYu|FYiCht|y>xgaypLqbAI|d|blx)mnD5fSoW&hr6IDmtbFRrQcG`#l};^V8rC` zg7CyL@}qF-P(c8a1gfMTaPvT4R*-|UjXH$K{1%L@6vaV98U;8#De<`21|7~^WOyiw z=>UcSsuz0kn`&3;f5NUHx_W(Y^8wG`jaS>`1o)xlpl^F`x*6O@-vb;wAP;xoQ>3MeRx$pGkfWS`Dr3NDK=$tOr&a;i6+Bg)Sdw;a+z*79TC6Cboj zG3(^r2{k>*iC4VX$dYwj=&9H}T4g%A&`1ZGi5Y|It=-K6)U>Q-zVCQ-R4}B;twRFk z?8UC)I^83B5rTNJe*2&5#NT@6=52!J8x6NH84DKv)}9F-cvsaW;K~;*2rwuzwKpNg zA2Y{thB1rZB=7kPtvI~obGkc_%h1)lp#X7%+fEqw`7&sDbKyv`LNFltx?gu7D_XL* zz_d?Y6ik%7IE~^Qt)GW7HZ132q*nFr5_^Zd}YSb4-x)V-9AHZcR*vX1RBE$3N z?!&`=tV0*C`o~A9YQ8KYv&jIyLkaL!J7``JDSYgsvGjVqj`i;pW)R)8(=y%_b=)cQ zDmsth{y6w!R_e?}ZN$YQf+!-XDNWe2DZCo;-oLvhFpU*nZ6;G)FWC?(8 z|GHM;liUUH{bpR*_zjW&scyH&kw@F)W1r$AldEVyg6{h4z1cP&Fn_@=UuXZcf(R>C zTVNoXku1;eSn$c6!%g~YQqzKYiB;4q=?t`wa%1Y4cmDg&??Ka!;_=hT-6UAVb|s(FaU-ZziD6sN5mXY2+0}fp?9;1cbyF=zO(J@}k+S-Ph>L+&rb^7fW=lvU|+n~1Eu z9B1!`M}KBj6}7SD=F=*n=P0k8$iIS*sQk~ZI&3Uc-Fp2;618HKg^d3MM0ZV?Y4SVK z7_=^U?o{3`gD$cimPA8?Qt_rcDlY13ysXb?XY_10w-FMxR z-?*+Kib_tY1qCr^7v`1jNAGi@0*r-?7)ytE1-;>EPlhPe{%FEzs}Xix4<2`PQ}r(v&ITy2LSOp@RHeb}={>_pmBBSm|aLr}84%p2nb$HD4b4%&I~7kxuJ9F zsO#?=0QXtK4CNR^2;jF=OaR{#x#;n#@E3rP2Sk_PYIb0e!nvXkFNjkOUrxbuthn9>!w>I7MMI2i-=fOf37gKI#H}0YK}U(*I{{WJ^1#D zyoCU7z`Zu67#j7sYr2+g5F+QfXv8+XI+2{^WH&@h7iL8?1q822h>WVKYrT|@Y&}d) zM9sg0I%{OZU{oHheTbS}VU^Q{$2=iD{QsUFKm|BeI=s@+XH$H+rU5;v-E=8U|5j5% zS#k(}&{(Z-D{$b5qO?r2qcl#gB_-Lfe}S2NDa}H+=NGb!WYgd!#VJc8t&MW51FFCF zxG|`jmIJ@bF%|yq$TE7@|M8iqHe^cMZ$LK!ls?Vx#ycB~o7?t#9|44PO_4;iYd37C zo?^Z%>}nIN4{aNWQak20=F))kjGpY)E*#aT$;qr>d!s7?tRp7~sYa~wIDmVzd@DpB zO&I_<#)UP?I9Jr>A~Rot1janm)%1;p2OZXX_rkMUb>%TMq%O&&lmBoH%+`6>SIZVs zgd~3!5s)BR3n1q{PE`fEQaXHw)Pgu~Q{UK!^V@4>H& zPc*iJyQp7r-lGjV$JX{GH*4l*fdPYyF;1?h53;N~Zu|efrDpUnk8@}i*Rmg&swub= z8ES3R1Axzt&1g(?emS}gICnj6zcP0fHV?_dJO5B>G@){^aR3i!cUQ^QvNej;6kPl? zVk3%xG3x3rTc2GYtByIHBq=MUx_}tn{!H(091ZQ|(}uy9X3?m6uGedStnK3XVi9o$ zNMi?Dv!Z51qJ8xtNY%vvA;_Qz&Q%6c&ArqbyUAdEGAAG2t^-zPOERpU-VAEpUH<&( z?LA6%29@!d?B?BW!lPFFC2IkSQEjx1Mh2Q_;*^h@?d1DvTg+2 z#HTf@hIqym3O5~a*z71NI(f|t)J<}S$!_2F-VKrt>q`yU(21VccGJ++vs)c|OjZyt z!bzCv4V6g^ojdIW=7}Ea`6a$7XLvA*JcF4q*IT@^>2{P$_jsvY#39@slIiCfV~s_%)j713_Nmnls3 zuDK5EZ#*4<3$q?q74cw{)-K+Qn&o+&|91^H6cSJl#!#r(?(@z>Xsmt^3(Jcd!4coU z%fdBUp!N7ABv z^`lx*0j!fN64u#O)G0p0$)8_A)*DhzNO!=sZ@e8nvzsaO?D^w7M@on5F$~TysHDc$ zHTSKg>Z4U)GEFN|_U#I1oT!odnB7~#Ke^Qim~(1_4~B4|W23 z2gI1C&*b^XlIQX!j6%1Gs$rt+(F_bfF|+(NK60+{d-0C?s3?j=ic>{Nf-2p=H zVCp@?{KNf7QIRb{tgPtY{;T@D$_5~vL{3S-E1<%zeKMJ5z`Q5lkSpj|4I;Pn!$eFzZOVqRV z>@k_eZUZ)>&k>(sHBH7O>3!V@mNL&tEu#?c+dR6PTyb7K?^bt0{EI*aeLig*pP@du z4*=k|HmeKr%4vxj0qxcD6#KXI<|9@?Dc$J?<+^bv(HD^0$39V9+#Xh0p5@XKUIv>NjAUXdpyx$jM5r zVr|-Jfsqm8_td9RyKa{EO}swggGvF`^NjH&eL~sK81n2vQsE5rAk6y&@bLW<+WE3i z$E(Zl6WV1zzT(|8L4V`#KH-EMr_Sfwe2%Hu;`+Zd^MXyN3jSA(h=E7++ziW(_kLWq z_L?ce7UYa}%;8LUrNXyHKhuDSe5q(Nlwdx{EzDtIhss+-8F!*uZtzpP9a9KBh#)N1 z^P_QZN!F>KmF%~7n*DJ zCr=ETheP=Uk{i0GnGGlp$%1|`A$uV)+f5%7uO@_2HBT8CcQ5ii_!3ii*u=c{PGfUo z6}Xx$B9;}M5Q78P{sDm(CJD2NM;JDP5{KZU?8ZaB6C+^s5`Q;2Ej7Q>xKFE?Ym7N^RFX+aB{lBLY8pXHuzhoqmD;|y zL#CTpqaPN+YbQWhx32b$mZHF;OkXC3MU%$dwPHyD3vV)N z*f>g-utNkN?R|P9UfnjSjp66^mcS)MIO-Wsl;Hyy|KEIlB*VK*ms~s~7zZxj6Z75I zT=-aEw=YL~Uu6xH_g*JBB6t-owIsm5AM*jcQoW+9U&i^t zJ$g~w#Ih{W%yuMC{f67KOz5kQgsDJk4nDhcpj7UiyBQhV{CyG|q-a~5S4#FT<$x$d z1{Fe4xpOHjt?VE1*Uv%lnmn@08!%H?0M~gYqZtyN^Les1j3%8NV<3uDfHOa6MeUVn zCT`?NRIn+tE}Zdh(AvhDr^dY`pIH+ulz&Ynz&k3=gU2^heB%q|xcn@9g7U6WW9;dUD1BsfJsJBj zRtMK;goAG3K@5?%Y<}3@H10?1z6|s-j`63Z*T&q>RnV;^+m%DOx0zhnzn}p1AtZ(= zF<u!p$&GM-pGfITd%cLiBTTQho zcx!5nfR9H`TJJZ{f&EtVM5-wwXiYq6ik|E6!MhzsQUPtEwK+TZ3!e8IR8`IZSi2qq0vU0|?BJRBGGSGA z%G?{V#0`mnmzTbB$2Mu=;^7T$ScaF}{B|BoJ_*q+vXnFG!ZR-t0h#^xqYk_IZN)e) zAdzMczEvk2%67?!sLJiV@Y78AABnD%L>xHo;J!$4IF5bfUC<34!Y}%iOYlKPYBnX8A=!BN9x(LAyOAHHC5eb$GJ|EJxh{m zTlPIx4-R0nSiJ;^ER~#&VG;&)EgkU^yO;vdXyM=GoXq&#G(}u%EYKdbzJO zLKEzb#(;ycr3(6+JuZ7+LCZ~MNFLnK1d2vl>3PUp)m3wNv_2$rCF!ME1OyjiEn#CH$awP zK7Tha&lxReCcPEp82=`N!1i|L@G+rYQetAFqYi1>m#Cj|>{!c6kg=BPqbT8Q3W2E5 z%%{YzZU~yK>)E!CS%SpL>RDN_TG@>j_pJ38DB6pS4JSBUph7MqZ$j>VrUJij)oo&6 zg$i|(hjkM?ZRd`!S3YO|P!U##ntKaK7N3j(PvWh%AxHhV4K+kI&s!96>M}ha{Gg|} zKSrba?KwJ}*ElMuF^Ie>e8(+}FkXzIUU`onR}ae(l$-<-H>@N|58~4^vZ#8jrTb)F zJt4)om*^`eRuf5Q#n^E6c{F^+d zSaiwHCVOtebq#t9%{buYgnTwd7m>a*i7cV9V61;qO zm0-r@;?Qt}=1aVJR9 zqhR>fP?&}WCgYdwq3X7oEi#Kc>V8;GVN)4q^jZZ*3Zeg`r&-tvf zn`m$fd~q`g%t1P8P@I!vQuUV4_19B0pQJl4@Tl?v>{k{3X|_slusE;x_fU2ITl?`t zz6i-csAD=DRmjMGuW_c+i_pyH9sBJsPn{(Tz!_OxwhHE?YsP|)s9)4*w1MB<_EWe8 zE_Q5|v6<%O3+v?m+~U}ihj!=R-_4%lO%SL#a3xa*mI`e0L2fIXO(5}lZ2A%VUVtY9 z?(~wNBFr;F5|#9+rpMwJqdkdjOL)VnAvXu&G<}+Vq3_N5v}jFlbFT?4iB#YjCcmuU zmA6Ly^2^qjU@LM|S!Jq+-4^(0>h-oPA2`d{bb>I>6hLYd74Tw)hYWEs2l6D zimfpl_r({|a~zg@7zD_l)l1m6ObH-a1QZQ)w{k4tlLnvWYng|edy*)0rHNvg2Rv%a< zu(PJ_cJT42rCC4mYup}P%$HQ#FxzMDxlf;RS2j%EyFp#&hLwUjxb(9i>b66%TP<+hM!4 zr8cR7r#V^#AHYJSp z%J?%~{82$|y7=YdQv7@!bcVq?ck+4(1$vBa*cQD#=ZKIBHBZXk{R#Few=jRKkG!!7 z$FFLJXFk@v&c@&b*XEge7hU=0cgyUWSyN4SB`k~U>Ps#O9Pq8GHAQVKW{1AJMTYb9 zcti9#C37alO?K>gtvST%H4y{kSn-zZNHuoaFK-QBOioe(e<*PIps%9TBX1yuWPY$D zVE%&mnd$avSa)K7H2YBegO2bH8n|(&S>##5N}+T=W5L}o%X-*lOQSwU?ha;RM)#0D zhMTyL@6Ccy4@YZbldCzM32 z!XjQ~+-!f?-BL;Vub)7ayl=7x6;FEthuX6UqbfV@y0d5AT?)LGP>Uy?;Mw=G z?8)!AMc?HS!P<=+nN|uW5r7vnh@^5(EPvK+e0m_IPd`bzn=?sYX`Dp?{z{q zHA!h2Eo^~;Zb6&4NoTzvpX%OiaCPx$a~(-4GMj5e)OOKZud>Rp0R45_%o=-L#`n9Z z5l+i@o?j& zPc=u3t4ijAxrOm}%PA0W6uZ8QLL(v8FrFbMXfgBtT?0n-M?$iE`)BlgW~+X!YH?$jgXcPrAsq@rRdj>?TwGm@ynF)UI*u3aDms^Czk|7N zM~xybE`C2(s|*m@QlvPcdJVq6agplby%PBB?a%4K3%p1Hx1&=S_enB};c2B9Zt=nJ z&oX59OB%(*3Q@(X_o5u|=D=53Dv5=yq*g(8!jcCPI6S%FAduF)c)!t)|F$Fwd}o1R z;)p0 z1)DGW{s@ZW+(<}k;wQv2MXALDYkb^r<@c--WV@q7eCF%2@?V|wM=4?#pW)Y(32d1`T-1yj{!%=l0dYIg!#n}H2!rdyAo8-GhV&odsa2Zp% zD6(gLvHc?Env+Satcd4-Nd|ULz?A0Q8+O~m&&7L+hTT{8)dpW_3(tLfnMT4T{vTEE z09{ABHVp3=O&Ytg?WB!uHMVWrY|z-YoyKNk+i1|(PWsQ&=X~cq|E#?xS$pld=dx$+ z;VT?tYP$#lB<@tdM32FeiX_U0{OvjG566)<{gd_>m97+XipTs0KWVloZE9=$kXflI zJ@kwnmHu73)EwLRIr?71ORXQs|TZh zjUDWbNJO-9UQ93^69(**=ireJkxgus2p>|~6|nd|mJ(zF+aoF!wTI@Yc_vXinW~~X zXVHH^&dlolFf0GO$CFUovnGH0i*NGSJjUoJfI%Y^j zn=mRhiSKe*1m347eJEpxNhK0YGAjj9N{@8PV2k=0E%ST?19MRnC-98%ukAs^g+yeL zGV*^r%U8=Gj0u>=-p;-2$)t|JDKsFzMl1Z9$TqHzxPq|gyRWN5O`$j-6({9j4$Y}H zXgY?IlQtwesQ%R)bB~$){lLw{GXBlPaA^lZ$$5;5lw6$mIYIkIN8G6IH7ynqz4^OD9Bu-FsosWu{OR3w&0cnk1U4 zsz9XRQu9Jg$O^So3DaA`;J!0aP2x^ACJJTX9KIFvByg$4Npo$Q4N9a z!{lchqZ;pA1(pYL9kr?+qc2&Mp5G$zf9cX|!Tz9!2is=ZsfMlffc9H8jcuLxGOW3l zDBx8$D`eVv;ix%otNrS>DS$&ekTN3GK5rp~F73j#`CPY-{&YJ2jJ(ccckFG(`B(Q1 z^a$rK`1hQ2oriyB+16aIhvmoqx*LnhT_5VjvA&BCR9+=jz@NM|*gSZ~A<)ytCW3pbG62gN-RgBP4q zKgO<9mXD*SVGD-E_l^4~zWH|lmHY_m!VxHTNDl7y3$iC<6w%BneTGl= zL>5%AOjk5Hf|K8}dlBwCYL5N{kEsEVi|6cG`6wjbBcLRJg+}Jpcz?ceTejkvmLgGd zJN)a|*_G6l2q|zab(h>ip+fw7((HKgM055kz!Vun!)`=UZ)rAzeoR^->!U1P1yYM| zB9PsQ!VZ-OuLPE(8)1BSR+xg;*py{RJe{&9Rvj@|ywT)0G15q0NB=AA5!6e)T|coI z-G%p;o-6XOY*92?y4e-Q&-*vLm?Be$$?NAqauU#19AzD&%{mY23wlTBvtqw7g4E6q zIm0<^Y?EIeS`bXuK$dY-QnVx-Py-*nARXr z5A%|taTU%y3(A?eCB7^d{K%mCVt5V_!iD2JNb~)2ObNZn2|kbLC_bU22oUe>F9Gqh zbJS_Vf3qp7;>_`9ipL_ue$JsEJUz(UCeuDchv!5$IKS*0c8FRayzJo#P;tJL@eO2z zxPSb8Jj2mfFVIhA7S(jK${mYH@j?-(uPafww8D)(`AJ2QYHv(7?_a~kK$99TJj1nv z>jAY$cPUKFbQgiJ|DR@9%>sEEWPH>9z()KvWMmIrp2+4Bag+o=HP?sL8xe(aL z4XxDwXdEb7wLw={6kOBw`m<_KR?(4szwr9H-{mjl>Z~C!=-+*7mVc{EliajNblul1 z@OXtZ=w97g5<ZT31MpLhmOl#2sTrt}JbZ`3NDw zXui&8KQuoAngV$HXq9pQ9@`sEXhM&{5EAebRfsh9bJ%P{=zhqG_czb6dwlp+Sw)w$;Y(g5Oulnoh+&vfxw4(NFnNzt&~3|-QE`C!@Z02M$Y2pw z$4E5JDQRp@1_=`;amC+)+(Ze_^gjF}BxTg%d4s9c-vs>KyFWa87X3`u;XV_^jf(oh zEr2!Jo}stgH$9tIVrrnFSdxiapa@& zVj2sEN!&b#hLR$xN65S~Ul8CO0NZ^^xZmBkkK;hrEMRcF$;y|>F8S<^Wp5ZB9djt1 zs0D6Jo$ehEr^}Ln_A}0!JHo&Yxh$v-UX6P}%Z1uNDFteL78f&SZq2lxR5Syt~& zKLI3mNfdI<6><5Tj`XbeIYtmQAHL;rq^FakrcyC75SuZ7`l^^JFPmYahs|2DVF!=Hhn(@$=3R!u33|OXJUczvC}$SsoN?(dN_UwqKGD<|}=lZo)}( zG)U6~!8={r$tL!SC1~R==2frxg%HUF{;|~iUPR6IUh2W|h;Ge#6ZAco%U^1q)r46; zZ3Y2pGo8TmgFfGBur5lJpLXWU_T$pxcHr4~170Sa)gf&O6ISGZw65b1r0izIW3F** z#_>bMc!jnKGs?Gdhc=UTE&<#Qt~b-|+9Tm(jBySQ-vj#5riSmA!CWH&+^-_B8{?uUr-QRWqd z_coC{&D^JNwVd+5N}J+W%yy{ZGq^Eu*s=b~J~`?p=0ROlROb7E6hf%0he`dyO-2&* z3WG!e5%X{WmE-TQ4&0l%MTxsmqR&`39>ZN1POH&3zog*9l~HJ^PibF=nyvmVJ?-KU zn)dH!$lW4~Jo{dKe@QVvAf2e!*?11-tNC2q!n}_GLt50r@Rq9x*@qJ>s#!3D+O4`~w zICzVrf33f51O~Eyz9>RGWBoa!)(`d|S)b;~TI5Yd@0WDg&%89s6F~2;GIg==AG+xf$%5AQG1Ad6#jLppmAq=Z+2A_8 zVy%}~fY>yvyg)*l`k*$oS3qvH(eIn+_tkkg^8H}f{;sBDu zO$ifw_P>BM#g#~Q=kN&ZOcQM1nlTCbBkDqN=)rxp!h`)8A-;r><1q;A{B60mFNC^d zfuDVRl)YBc3adQL=`|7kH`gpJlz9JIk}vYpA)Kg(ug22d8v5z}ZMf2dYYAfs));;1 zhcX4Z`lU=NuRB3>A%ac5zcHE^e%XJn#Wq>}CMX(CMH)2lvm=SFb)rI(kKH`br#}(z zTu-g>;nQ+&aEMkZ&CPYCvMdFAG;!QFbQw>K)bgy#L!Op@Lv%|7Q7Tho35tOE@1{3h zl+5THS})m|wNDto^~ov%M~}~4M3rQZ9N4fQ^dYlc3~su|`_nuO;2gVFV;1s7>*o4gQeR3fwqYH zeAokiomLlro({TjzfU&%1bq}WsqRfJXxS$kn=1dZBt8&qw8v1wnb##8O( zjoh@v>v_7h+C074q4aaBgZcD3CR?Nrud_r)xh#+|w_64j?fqLVc`eul|09F1p`1vu z9f3LA>L&}O>^DEE=!=$FR#E?~GbR>L#&EY71l#?s$=}M7!Qucd0s9il>_yh*qi64SbmM{!dmHB2X%{iZj zcGAS;64Ifbbd8?xBy4Ha6gftyj%ogy6kk8QHZ$+Z8gN)T5x!b7V|$O@M2ITmR+SDT zi&vcSnXc;i)1*(wYXe}jCG`&bhNg1w!?Lm$4t?%r|FHC%VMHI49fH4jWmyWdK{;`W zQC|g{z%z|pk9{XXie04ptA(Z-=_idd zidXPW5oRC^rEF_{3{5v3R(^iVS*p$biYDI!hP7n%~cw46PdL4czU6^EJwIZip+5u z)E0ODiIcN#&EU9S9OyRVN**$`sQV8AsETW~kaKy3vwKXTPB0ZjYA5CvkiEn2dIE*f zry6jHvaUzp6VbBMZkiV2B=z^92E~rG^cT;)6=-j0~8UG<`GXh5T!N4OD${ z&4aKbNDW3|!wHT2gLPHDL3zFiZ#SN^He?Auv&V7ujN|f-Wtboan*W-0h|EPrx#mO- zh|B(gZc6tP4T1k(I&A4T>v8KZRD_Dy%1D1@0A94MT6Xkj)$}(RS~Hf+2*f)0hSWQ~ zbfU2COcv2xZXrUkx+h2L$YdG-1xm~l@;4|SdePQC+OAH0c>b-BOVfbxPTigjKrKG3 zQ>4|M!u2A!9<19}n9IIc5OKh+%a9lT68tO3@PjrHQBi<@eaoQ@u2MWZb>a^l$$%gm z{@o(;ani<->`zF7l3GTI0W|mIOD!La`8Piwet_(e*yiZ>)!5)8zO`l|M^*Brf zZp0{Tz>8E{&LHlKFea+H1*tMow)$2{|V_|P@XLf9OZ_$Ki1&#Lx{j;x3YhX;BR@I zV1iSVde2m)ae@jarT+z+gf8W=|MFL6P)w42?*h1i(tgdr)dU&qPS@EutEic~1{m&S zM=P8dpIf1-B6v!*_+R~+muoo@L7Mx+k$u!#^cn*t{h7jh2QMOMhDA5{&{3jZ&HW5@ zzwI`;1Hq=c@bZS`uU3mb?tE`#dg*M_V@Hm^1ccG0ZE7o2av_Q!HQK= z{y-n^K#?C0S52G{R#ooq6W0gcA&IXw4e|}93Gsa!XmtPH18I#s$XChx>jz^M2ne$m z(hfFzc#N|80ae*T8)%CR^)8#B`9;|5iKN6A7`?w783?2=E<=P^0?Rt`Jm0 zdHyv`GvIjW&s`1H2vn8+EbvBt#vx!Q$QhqC%(0!=Ic!Q+E2T$^<6_HZGDI4}HXU2U zoZKdK7Bdp2!a!5ZhhM%tfQR)jZC!W>aezQylK!v@lqxXh$+NsfwMFJbB0J*bwA~y2 zIHjzY#P{wAIeh>F1yk2!Xm!rZI>*!1LkTB@$g&+V0qVV9vujU{m)82zw=?xd( z!_!K_gUoMGDj&D!#c`xrPHMYSI#60ogY{*gzy9InYt-X_lSIT2#z;tf_hSqB;_T`g z`sMcFA#5BBWDqgmQ*vLl;1(Na>~^LrADW0^adGEKrV-;CKV=+tASEfaCH}ez zV5`f=;Nw^_{mIG6hZ`8+j&W77^Sw)ogq^ICrsw6Z^%#WIBhO3mM^{h$#%3 zg)%baomkffy7#9mN>u3JAR-yTCX&-~iSAO& zoI-nXuR$RG&+jZUw~ur6iOwCxcLxbt)qkFXX6)OIeny9^$;!#uuD3Xxtu_|*Z?wC0 zzdxTC)Iop@;3eYXJz-hmxnk6i8y0=%Tm>fuh84qJ9?2SQ9de{-$f?cVSKM8H5c96z z2wXK&@^d}zb=kKsRH%imegFRb?)LU{tGnBId1j{0=jA>iAV2{IowC{Ry(=P(J@6|N9W7+ z;UtBS(9qD-l7ZdC>*J*J^K;NYq7*lO`=;NUSa^;lgA(^G7V|us+{)A2vRk#@|4eyr zP;I`Jv=xXs-65-qRhI3yvj9SSaKcaVLbVho*RM6t$Vk8#h{oS35i%ZcU^+m_c zhME|kcWd*rA+u8H8I5B+w@eWt&J)Y zy!R=;jE=P6U&}nsFLgH=Tud^CMnnwm-kmIsHB0ijov-)w2mqIA^OMuL0_+3>2v0wrTAf;LxcP~ zy&hcM+)Bc4s+Go%U{7WGOK2J~2+5WS&X1mRf)(*47u9tHwTicHq`!`SSnjT~F z9TFYNT*U9;Y`Gfi|6*IFR04?BXrvMa1x3W5;gjvpg_NR!a0gESj}G@&G-B3&m-cWN zZF4!{;^sEWk>koMD=RB1A|EGyr^${b7y^FXFjk_J}Z36PL2d zBvH?i|1i@_OO_1$ZWz<$QvwMHtAjwG2qo9kWfV8|=slr4WQaIGj|YeYn!|rKSAPXGm#}f!-zdH~%*Pm#C34$^x1`fB^LXOxFHVR8T-lFNgE#QIgTelnhn%|02f3%*=ctKqeP8*B=iKTJ;8jj!sT!tGKyi z2y*^f48Uq=)xm;tCtv{j{j)mguiSzDk_Gga_W%F?|G^OSR|)=U*hblk} zvmfByjNzqgN%OacdJnUKKrQS#nOzQzx2!51j#lN^$=F05w==wU-5Y4<_~@!O<`yrG z>U|*4_G(dH_?RD(G&FKhqIQeh^mNDT4IV*UApnxJmX|kYwB2wXcuzVtm4wF1d(u!} z#Aj#_Xt&+LTR8e+WTzS+m!;x4odg*0rPsC2u@dmbk7^GhWdNxTdWb%BwnyvA=?w@F z=-FqN+eref5B)Uo)6yb51{lcy&U`P!X_!DDwcd0hJqQM=>v1=7isweA^y7Drw;TYt z2^?6&9fHQ@=8M%vTIIv4=FK+mC&yCOny%;L00@}$^z_}9=H`dtIMO_LHn!$a8h{#{ zhr0_ivEaefG5qh3RyCcNX+GAcTHQS=|CyyKxF7e_@!bvzwp4xW=P@odwH=o285}WK^)B1W83`&uafz2?b@<>Pos5cb?Vo@Ze;(XDhz6KTM zL8AKO#IxlW3JDQi3(=5=FpWNFX5XE=OnO-&C>=I-oxIao)y%!|oZXyG@K?KRENzs2 zC1r!0$lzq8qZ=O?8R_ZqFX@5b{uxIq9*xT`B`f<~a=KiF*2&4Kk_5BR{`3lRL?(DRM<8w)<~?yfFAv=YGE?){8;xVh2l^lVH@!eCKVw?V*V zgXKh4#pAS%`uSBwWxrx|byZzOP3@=RQnk*^w_x#XE|>EBd=fM;q(E_j{lXZ1S2YV%L-JX#{(wsdulQbCT1vp{c?td_9F0=$(t@ug zWBb&<6a^QTW*w6VYUt-rN-C<-;$ktXYsT5x**;$xxstk*D^h$G8C#@5sF8f7}Zy#-1m7A^H$ zNBQ}cMb(Z2%@&GE{(%R)5GKG_V?UYrLIug+@vr!7gQY!nDcK~ z->Rw_M_@^yP6;G-LU6ASCh#Z%=RTfR0Tb*Zf}DnVaiAI zMI-H@ztOb_YioZZ(Mg3x4qdF&2x{0d*g5L8(`j>t6-q}{C!|8?wuZQy~xtoAN2sO3~ zb8~ZJ6BF4qmXL6e1%z1;E_exoHo@g^F0B0Mbe^z-L@EDg10f-BG?*Csoj#}^m)pP# z8U_Xg+ou89GJrtgApC3(YADK!>WK=X$c>1ApXan)|HeW7P4>trFd1@xAJBZE6Xg3` z1DJ}^%bO{Hl|UG|{uBr!h>{QI`EA=TKpD~p<}fbvVDIegJEwoyNcW$2ZY$6!WelE; zX{P<{4?PLvmB^H2BN^ehjX)o5aXHsSbar2jy_F7)InlA8Yz%(0*?c`h#FQsjwd(!W zmynGPr>)`KEzdti6>4;C_P;G9e@Fh2%F$@DFZP_W&2Sqi(Js}=&iLG4WcDzbre;^` z8TMZTL1Z=>ODs$TBVZ-q46CLH$q$uoqCeV0^K2XZyg!yQvmA(o47EM)|A&N&3-^j- z+K?!nEp{Cu4t%N6YDp+`TME8sB|bhrnO+MTA0`569+BcB4_&P9{rLuMS)u=2iF_o- ze24c_ywxX1M@M)2>O%WmWWmJV_~__p^Dq=#zuXdga#4_`JRmZ1k$j~0%`0s#v}AaN zJ@(8Mn`vft-x&&Os~o1qw^x_Fj*K@qUXJ!>=4Om{KToAW>p;|x%_LCEM2x3f&Pb?o zFzgK6X+7ve1xm#y#z#-1oj91vWab6k6|Y78Y;r;2R>wzAN-WmxSSOl9|BrnH=rDjD z94`>+A0jd=fFe*=TZ;@v^7it8Rn;HncsyU$WV4np3#|!#Zhx{!ug>9aFWEU)slf_4 zx_fZh#{?Js=}RCv*%FDKs$$-D7JFlSPR`VTq@3Ik#!$k`!^HzHjAGX{+CcW6GB6L}~KBW+5@Hj~(_!zLraX1|ALO zJ1eZ2Xavo(269uxw#uUZ?deLwns9Cg6P&`~J(@YrDWOtIEA*D4R{6<80;RmzEuAUe zRh+;U@P3O6zVBVh7-+}FCc)&Iqa8~J5FIUquj^{ArYzUYA zPC2Bzs`9=QTgJrMUFejiq)XG7YWLvIS%CZ1!Ed6utH2^8nw+ChsPfY=v25Rb?zYk@ zqv^uPFMKJ!72@v4TOcWE{zL6^$p0xEbBGu7M|`ft)YRc)&}?{Ny8opbpD>I;g#@d| z`>O{;cC9TW5^RcQA#_{Lv{(a=1)N!GY2;U8J`YqQlFI5PTx$B*5DRR1RJASO!`Vo@$`xc8m zUp9@aAE_wa#9dg^GltQwGuWVC?$l7x(_YM$2`^3JGo7h_CPrhPcRtQNI^^rVzOz!h z(5GUQdHz2uO;!v$LktA5V)rnn)3x1*pKy+Dsi~<2PY}hzOntK)rj!HK4_a|iyk?zDo0jdqt%!pF)?JLe)~2jLfYb?qQW`4-BdA4W>n`)sN!?X|)_z^-gPzd+mKt|ATbf-UzmX>y=0U`(vO@zj>7)1kG3Cqq?4#Hd{fkFs8gsQIOp{z4n;8lA@m()QTWBE)+)Y$W z)^iXoo!;o28TlVads?q6$6mS&h_xkoTz>Fs9By&>QYOoCosBG0{Zdv_1fNF*)?7Wi zOqyl4kpbrZuj>%7s${5e|@MWAk@dEiv4_z`Br=lYgTa8X7{Q zkm)H`c(}V`XJTq`*bB|bz~iLoDFUa5xE=+ZLdyUV7fZ?X7;+8ABt0S_$w*>nn8Ne3bHT-{(rRq7F9_=UtizRk!9$) zCoCSoo{%snA>ron%1DMU)L7rd1nLBS3vLG_2E5#jChEPcv=o_wykHB)D-;oL$cF@6 zQc@Bb<@NPd*Bkt6<7q{Y!6nAG)GT%@RZUG?$lUR9nM|9j-9e^MfknZ0+5`si=uf>k zvCEFzJzx^jm%qu#$a+dhDJjEJg4_a?l9H1nCM|%f)hE7zp`l$BeMyCo9)Kw=7{l}P ziR{?0k|XU-gn4A%*UY*bp#pADt*U%hoV@OjkQgv);ai**@%D6a;uZRpQ@wj;v1)Nm&85soSP#|I*^~;w`pg6S(a4_xh2g72}_U{&! zl|>}3L8hzLZUw)PQCG)K;8JkC%tz*pELohM7Wl+SVnKYHi$%@OzR=&l2k0@fYAP%- zF>!}pcsF8U53IDdS#LoWzhAawWSSC1D#B?_YCOZQ!Rz3}+i|PD>>uM5?mgMOZLsg@ zZi<sg0`ET5u-~wT-2Nvv#F4v|6;t$?c+>s zG`VJ5oZaZ2ywp|cOBS+*%H5>-dQ|)@R!6F*H_UuQmoNpfY_i()V?x%Chs6z;Bcxpn zvU2mXit3d|+Ty3$&_b0l%%5)J&Pu<{S(oq$aC8yw^tcu*Z%+sL#N~@G;c@*GqeYs( z#&Y6v;`<16xKmMB=VmwQ*&B=85>R~nkM&Dje-3)%2mCTD_3Y$)@Wu8HIsm97TqN#(4^a(dDaw_WWv$cno(c#f=NZlu`D~|b-r#cRGxWG=p)f)U+{#*pm*^$jmg0bhg``HI11&>;-+SG7?*`9`a@vG zCLpei_Pcx*tc>>bL+`8Unxv7V?RsyI=@lC0UU|6ETNp>xeiFK$_giuKs|i$v+yAOH ztWZhw^R7ozOg4{J9ams@ko9UzRGy$%DfazRD3RlD$7+Qy4qO;UZVCwZ4i-zQJN(d? zI7Y%7vQ{Y-&dSQxORCGC&heeFB3bd;q4i4~eZ=^x<3DV(SwwsfTv^CRnGl_N!s1*ir4p-u6 zAroSuZ}uvxuXTEMcXNK)uU=QoEMVDkK!EuaWYSVx)ny_5xOQf&Xu{+42MO^G{R0gaK+eUAQmxx){|w1ZueYj?jtJR6uFH=NAH%QtrlT zBp6T(9l=p37HHn!T3$K50hZm?FUd}Dx&@7*n{za+O;9>~0?NZB`=&;;o}M5R_*4GX zZ~t6n@y}I<_7_hnK0xg=Gr>tS+6ZhEz2o$z#kcvP3uw{AHaN%)f1wm7^k;#3P;zbV z=2h?%5P%&>p%9&!3HS^82OAIo;y-5-c;E!w12_w${Zq`&1)3@tW=YP$-$0-Ao+cEi;)vFPCxRX$5k@LSjH-gjYq&>v>yvFjJ^TrnxbUFGUZH zl@6>N4SemqzMZ__)^l?s6mj}9Bi8;zHc1Vtm!RH-tl!RQy ztcQnv zt#8(_vWz)zL|jT#Dq-zn$aNAm)lS>+b%^Oy>~L;(bMIyAM^0M~__pcj)^Z=HPCLS*mcK_%-&zCNv4aVByoHJU?703_&PCQ9~u1B)~D%_XFva_Gc82d%Vf5a*NK0eJL#J zRQ{`rpAJ`W!5X^-Ke;e!$5ZPpg3^Jy7f`+M8P-Fw+v-XHi#1i7e~^^C;=Isqj}u_> zUKuZvMyJ&)E;JX<{NnfEn}2@=b-iDv+vq(}j=^fi@{P-l`gPo6@y74{N!&<%!V=>H z)_92zjjr!j-X7a6D(YzWuokFk3B^;RntnfSk-^W>gyU`r$N7+Kx88Ko zaPX_&d~~_D>8%|or)NjMAQ7_LF8$eO1oM@c7e;piCY+NmWK&MXhyHAX> z8OM!yQgOe!UV#6@zmfbz?(--Rwke!1y4NOsATydl*(~OF`=riI+04qE((UN$)Bw%! zvEVyA)-fZ zx@=Cn7<;LX&E0MqWYQ5f+oHwKE@b+>u)C9k&j#j02srcHOV+TN$Mwpjw&Dn<1~d6_ z_a*#Z@3TLmi--(wF;HWPDtI!Z;IRrjO_q9h?i;$c`Q7XCL$p7M0ggfKt%h}`%Xch$ z<$NLx>7SX_d#Yw+;uj~DZ@3%oV$A&4Aq8~8q zZ?2bAs4q`ynDBktn~ff@J!vA&svV;oPw@P1T&2p>@>OV)pDr&*DJGwMcuegtMe%lI z?2pPSmTVU*R2mJkj=wk=O36 zvRr9E&jArU@x1S}cKhRPw_jztpx`MG2Mxh@0#*_W(}Cmoba1OY(N4WYGx{`GLl3%z zL8HJ#yl&D;?#mqN0LP2&6|M!2qv%A=RITBPq6UkZ>nHw!<N8JsfDtUu<>jb^e^5u5~?IWeW@n+WO-a;Q5M8ee^I0)Q~CUGR@7*n)Q1F zr?LeAP5DPvyhoi0txG<$(6AWo+J9&WH4437X`;jqc&0oI_^p0qy|hvf^)dyB)RP>G z)vX1K$wu-<7hV(X%UkkfpbXrH7}bl@g^_$umW173$NR0O2}dH-0#buwc5051Vo1Ly zf-mVJ?Oe|m z6s~pNoYuWP-Fq-`VzYt&s=+FgqYse)?8AMs88YUO&+0b$>7Mij>39xK4w?7&WNtye zo=b|D@omT^M}kWt-~MD$&=9zMcb1^I`76JWp&rY;7cQsmCsb4+$or$2f3n2x*KXl| zxPv<_WuGZGitt?~4I!Pqv}o)-ftO4Mem?3BHq572k9>@5BRwo)Rj zO^vhya5Mr#3C566qy^eBU|P(U_Kdg^u@sZH3TBF+pLsswpLu+*qma{?)}O}+0MmBD z05RlMvth{Ei$fvGyCn!|yhxW;q0A)vm4$W60b#Uk%;Cz%247hwB3Ps^>*Xfpsa~wk zz+C;1Q-|VcsUhg6^~-n~VsfP>zeO@k1gcKcKpFyqP_%eyDtbUqXKzJZ3 z*=RCHK=wh%Qsq|lmLfl#9O-JKB?+qcXYM=$6N7sV)?7=AwR+~6-R*j83Q--+KA}+> z*?D$5WpO3bc$s1WkCUE7YTsXYf@TwI!LIiD?o(0P#m37ur6*CbrgI9e5I z4ILNiW?w~ax+KHo(3KvW$vkSV6DFJmM8<}IA3RW#7#;_WX0y@BTh8Ues4ih}7~X_4 zYnIwDY?j>K%%HnHKG)@n;1!r>B%vbgmOHkVpBo@~SmTd8bHq$G3{EN; zwvHp@yp}C&PcnhGfLV`1E`^QN*l-3z3jaW8SU-t2tH+a-W}s$W0xXr>rG5d(9_WYQv@ZM zL}rtjHmg5&Y~Np>8cZivfnwPE+e@?6QnXf73E`2te2IFUVHUSrHm_F`(EYB`Z2S>B zFB*aVd%13}QlkNT9hmh28kxR=AapwQTH&ydz|=w}tC<`S>bQGG^y9Ky4%Fxy8=xfjFlme5z_OM7&wcc#f%rP@m8iG!##WtlYUNH*pkrEHvd z!razmCE3rfUy|HMr7mi3;k;O?YVZUu?hMuvobE<=Z-PNsng*(e-Y;ZL(gIt_CkiC3*oLcyGqTZfiRg|Y$HS?gpi1B$`L+q<=9W5HvFqy8Mc zpUK^QQ&bmp1jNE-M#|B%H0S#EeeP zg-PU)7r#dRtXDC5hopsRmm^#&^p(Y(((PFGVp7(@7%uuWCG~-`>R6%piDxkc=j*$~ zYLEy}k$Zc48-Y{2;PJRN&f)W^jd`mvS>PUy11!f@t5XTU*@ufQHNp<}D?=-*6JQR2 z&1w;W&v32DMngRzftd-1jfa<4JPJFH`~qRqcr=kZkvX&+nHQNnJUm?H{PeVv8FdKe zS@;h9^8QTA_Y({3sFt|XQEw0oqjn4Oz4$_SLsUY-95AuMPNC6ctpN7a|Pac z6d1~V8Mi=LY3K%@Y=@hueL^dP$-pq*~iK#(hvp}zLldwi~UUiijs^qxiV6p^BR5g?loru?kbGiY-FsSLfr^`lz1==Bn8OKQzsOS|Yjsv~?y=jj7FJ0xEPcOdlK_@l|&e z+J!@urdHo0^%GT$vs^j;uGip=F`Pn~w&a_nX`znJ99aMKi)6jq3}z?y>h|dat|tOq zrGX?ex6ggPR86oAykop4dj%#x@EAhT-!_-Vn!mnouGzT+7AAQI(;|K5O1}w&ecs>E$Jw-oaXD#i=bDfjq=uh)w zpfvw%A@EJG^IU~IA$w$CEXc*p4G96^bg4!!9F;sUFc28q{i(y^Kd_y`s3(-tL*#t6 ziXkNEoSvH6BL!O~VQo#v3$%NULUlVmff$(+Pt!=t0egOOO~T0jnm zsJ6SiJNv_8PA~}i|EumRqoRu1w&_NYRBCAH?rsJcx;sY%1f-D?k?xl6RvM&3kxmhi zPC*)JhJ4Sc&sxt~@A}^V->*5d@4fF`_t|mp>)Hp?GMuFsZ8;3K<^&#>)|PFgMqzG$ z1-$&+b0KpyYuibPQfl98EPJj@!OSwsyiSWkMBK&7DfV-93@EtK1g~X>Fd5Zz{7$!2 z1H8=8phNAiPdlvuB(OKYoPs#x?(oBMEO{_WZHyRc`&|JliZ( zq+bUGeEs{gm-f)QCpas78=I9x$kX1TuXwkAd1dswx)Am@E4_~S>=)9D`apj+GnU*Y z^s$uF2@>bnZFzKP1rwe85@E?CB$q>LqM?YJ0+))=*k4?ki1UjRN;*QeNh&+}xZ(ar zOUAYKH6A87hP?E${4x(MEUoaCOF_(G2Hl>E@rU#Bp~$OS!Pguy^dz5ko}@P&Ek9$` zKIztTpm8*Ssl4ZUPBqB|Mc5X9l}LaR$-?GxA?>F)$MZp8wCt#mJ14iug=+!E)Zbzb&5vCQ& z12E8Kp_+C9<2m9s+wl-NvPTdNEv-ZnEKF`}EYjI{z}HYwIz}nx{c5sE=~hHTc%)iW zJ>w^1yM={cfE>I_;oG;ftHvCTp@1=Z+m_0&S?h|*;@6iAGCqMPCN}1*pLeuLhe>o< zQXembqT@aEp#}=vOeZD$(g1DO^2pOxTOR6o1)ke~G~?4QtiP;LlItavJlqJ2vyrlR z36O}{G@H>b528a*`2G1_hyosr_(TI_2$lHu;k&S5J?GWgRKEs}17lAvX9d^(pPI-$ zg=1lgy#okf>}94aTh<$wfP;k&O5#R5SGfmDDZgDY9O#v#5s774uB@UumMrUC=oDm) zf7t$$|B)qbo7DhOT?g1G*al{my<^hPT?QK%O5bnCz!nH0f8j|smZW&~aiR65yVi}g z>gPa0rSyS)>_+I9%<*)QYsd(L$75Py2?L(@Ou%IwN7;(%>7*o&{f`0)G`|IPc{NhZ zH?bmhw|wtA0|}q|Poh6X@IfmAVW{WH%PGf-py1mN@L zNzt(Ws^6a^im(&@ZJGaeF7kwfg;-Y zFtXp`#aVL8BL2DP;L@whvlY@+`IqWc;_;Eg>ndt-G>D~o^-y&KQ;%xUfCK>{{`X2_ ziDxa|x8^IiEkEUVg&^2TLGcxKbsc3ZjI|hhy!2(Zkn^O8q*8t{tEMASv86FIW3T&m zS{)dVvP*lVhu4Q0xo__JoW*oP23z&qXJ74fxLAA=_}y$L9`A-9nKT8TXSKeS?H|`+ zZ@2`BWr#UwCVWBtO`z4mm9mtzr1zX>fUn5;SE}l+K!v{el|eHOf;h6@TdwyZgqq78 zo(E($%5!y4yAKXhza3+uqXAX{eLb2ub|@qLlHaI9w?9TlDCL`J*V#YhUmn0p??%S_CYaI5?vsVhU!cau)ksD+Z0$QFh*x%@^L;Mv8pNF_P!t% zIV;2UMj6WFl7Dxv#`%hx9;byQh!neCVfXF{?c}OdiV4*w3V52v=!9&Gpo+wfgkY~} z|Ls7amUOvX|0T7y1!O=-dzsjY*(xpd3+vK+Q$g+FxOl-uJC$sZ&`0wRWVi$bdp{~F zTs{|-RWQCGnK*8NJQeo=JL|~@)3Zu;wLZmc4_CK~?@@v5o=k-E{&HUGR4mN0!+eTx zjn0IbV8YAh!Dd(|C;T=&J$)k49l*K)6by4184=#(U5F&}62WewGw+}}>R+oHG2g;= z84G9xR-~YEL5?&@5Z_Rr5)yI?a3GDC8`&6UOC<>LZuN)MT}20+LQBF`;A~y?# zCpN|Nwdpzf%m{zUcz&8;>U+~on9z;0Jp&+KBx_g5NtMfOuz?<~19HQfM^T%|G~zTBoUG!CnNC73ge~ z2J_EK7lG1<>3l&E5GNs>-pMm!hIW=96 z7UDbpwgGsPE-Az=27Q{o+C=_35E}a4>~^$P|BI84!YyTmUMAkkXeceTrs@YTINYi) zg++Igc0z+JR--H}G$sZYH7-}&SApM3lNlEE7zE-}lha}YXKAwYltZGU831_q6t7-v zQJ>pbmT;|03xKUj?O$sO5<*1+7m?Z_g!WEM#9zXm4ifz}HVwNp{tWw&j#h&rgVZ48 zGUu!2R{jbdHhnDM2zTRR>LZHPhEurp8?}z)zJg*%q-IKBU&yS(5i@^jY5Z*K-bC-L zP(^X`Xj(j-?+nptFJlZDSQayQFPIPapquIJilZwhd%g%fi>ZnTWt_U9E9BHjOsaY5 zysX3Qb7Tp9#Onsz^>A6tcuw=J-kO{i(O>(vQj|DmR!ARz{k>0)Viw=+Wt76a zWDiqod8=F>zk_%ftU~P=wM4Fq>Nnlff-%!bkzp!+sD{N0z>!F%%aWYVE}_xFtMt+reSLiWNfsmraJE(Qbg6UsW6 z&V)#u;l)ma1QG}_k?Y1C%$ z+Kh&8kOB>leye(q8<8n-b+!VncB;bjO6{&Z0XAd)3wMvaeO6==pKHSIU7V#BI^%*M zWCfgkr7#~{>T@Lna4!{)8zb_0fXDOn>$-@DH-rJ5D%raGIMyU1Pn>6(AK9E;SNdvI zhc6_(q;)2NQGz2KiOrdw^=LGD)oWt{)&$Hlz=YSN3mek2~qNR zPH!bYaySoG@Y zJo0`)NH&ZB#XtwULbDL<-0N!?7Tvd$+xwfNB5F-W!wIIYy9@H*G2kqq8MW)w`Bx)7 z)T}-!iI5G>ae3_+K=;kO#TDx1{wMT(oOJTEWs%aDO~iptNN3qsR1%p#cAg z;l3;yy057xrODJ)bd-9TQ7z8b7@@T6jWxQLFV3G35Cv{Sc?aEckQ3?$eI=AmemF1o zrOnKianZg?URy$+3qiSNnpOu6L2JoFR=Eaj@_Nm`+jZ)vj-+dp%+6iZQ%<})(VFl~ zjwb#|`P#;s(lkXrL(mc1UgHDV5YbJ--LqLE^XQJ)72D4C(1Irui}DiQ^xtQE!8O~x zAxCNl9GFBBdrjq+e2yBdDm=rG14j>zCvPL#>a$%#i``1gybR*fB29^K6Z~hqzD`Ms zneI-1#1icpy8yh$;rFXhrU)MUA(J7^V!hN(!*@o&s>7=U6`G6ULr82|unE~bnfB&+ zVPU4GkVCvNk~vN4ZdrfLuPX7H(K$JBUN{AZFi^PqPJ3p#Hkl+ff z6M$O*FieaZULfMGZEclgz<>bvFI_@HLKB~B0s|4)F%<#kMA-1E|4T>5!ootgp962r z&>n?6D=^T69>)9kyb}`>OT)hi-tBz_ny%0#RQLoTAS9GEzT;Lz6OV2Ekjv8(L=Ne~tVj_->-&@Mwwy!w z>ezYLjfSP4>nRjwV3h>Hs1WpQ9XAXtiOw%a>O2=Ig!tr4eQQf<^1ZL*s>Mh8RE_an z#lCo2#IX=Vghh4F(GPJwO?QXiC7YOvi7<>w&_Y+*&;eTwO?yU`sNFU`1Z};K0@so`bdCQW!SZ3y$LD^uQQWb z2M)?fNJx?xRmr%mhmylEsaYAAA_!>6>t(T)?F$!m&~fKhA;bD5#qXz zqH-M<3M|LuT17lEa>Sk%+@QdQ`4bTHEXnjMwG1zk@aF37j{;qD)LX?`+>RNKF})_$ zXvV|d#|}v8qHppZ`!xH+=-l1RE6Q}F6R{Fdx;v*(7aBpDEdriSox}ww{qsWPA+N-}VNlnojfS=Y%D5V4>zNbj$-a_20)s;`yfCZ z>O%3x6%t;_PEz!U)?i|;H$T=a*({|4VTs~EBTPmxN>f={)=@_7-bGEz9D{aRD0m_V zUjpQcc=X#b45U6UHeQH@UC~)t}BL#9pjiPT3I5qjVn~cj9@@aMOSt9TDUTnUY zj3tiGS*yYBPCMyeT{q_5R`8%E7HYm?(Fw+qk*|G-W$yp3_A&4b#~Le;do$y<-%bZ@ ziiB+6VTmb{MO)g4ywR~mnKz}mqtyy?@eZeRyT(&O3K-t?qrZ$N@ za}|afIDdctp{ED0Hh2_W{qW$hceKYmGt*zu2L|LI1Xdaz@Cl1FuZ$VJF~-Z4h#mbk zHx?z@=BrPBUbeLOM%rmQR59t|xWSF&ojX|)TDE~ULJ^e^=y{wiyzJDn8roH~x<)v4^k(*2lGQRe806Lty zD+N&sLj<_czyll`hYw%?0n+*(_#F2i;M{)&4+M+n7t}E(eb~DzFCX68{hl&yd9=Or z@@S~Zy{Xajm+u>_Y{xZX6hK3KhJ^oC9kdIJQ`TvJYO%Ser!(ICMy~UC@OLCUhj1DO zO0!T%Rj1SLM}J+d0wBgZf=hHfa7PD1ps2R1b;%6KN5*q@phmqHas7!)T0ET6ADBk) zUfOj74=>_zn9^YY9NtG=f|JR`POtC)#)Rh^&fHR4ec<2r%yJ#K`7IMEZ zE>)L!W#Y|DymNN!oJ9f>I!vRR+|ijO1(YE0SRQ%*_*huobw=0|Hobq=eRSV`8_n7| zGqp(O$$d1E6r0Ag6Y9L&wE79O&nLx_B4T@(r6K`)TXpzFSf71=hdJ~Fu4fifKJvWF z{n#$5N*@N7e%H(ABwtA)hcN-r2-S;HQY}0-UVUNM=A03<9Jv|m9!U26Dpf_(` zGGUV}`L2vp;X>gpQIqbvrKpy5v%Fz_W#E3PUS;U*g~MbS_Je}&K9zM`#Qh}L+1%?s z8ZWCWKwITWpdf_+>{>;=x(vMJ^1$iT2`o{7TWt^!GMVW@H^;7ii*BsVSFgDBs(M|d zBnLbg)NWChns=_l&ZlK7#v~6qED_fES(`>BZC|LK_ozJF!m1ZF*+^vP;5xo0*Q@1- z7YJM~W|V}i_%gL8r|EAkc`J%#@%e5Q9T&d3G+RrWXx;`McxZzHTP7Y+<|rrPV(d~Pz$yP(GRQ&G+@7AFXXaDXHL zs(j^*O-TVQRgP(gMDp4G>E5-~P+1N6vuvSLZIF+mt^SWv&WGKk2NTL6xGhnaKxQ8+ z&VO>oV`yyjN}h$Jb2|>*VsDic#P9BM1lRqw;qxAc9*Zel5MTr@ivjRX4NWf1HO?G; z?sU8OOc7@|B1`m z+-(AHM%>J%2Ir&jFnx6ja^;N0%kEtd@*a-E2Uua#@_FIJuS3wo^_n868eCf4VsSWo zB`&@B_gw-mGN~W0jtiqJfnc@ir;n-$d;5urwN)G!&ln33d1bX>hp2SkR;IEqknP%& znz;IiWMloYL456kg+oIVoVBS-3c=@>QS`QcKeMaJG}~SeV_$NZk=8 z8}gc6)?Q}g4`Kdk*;|X_N!uJ_ZEe%W)q?NqD>YHg4X%gfZtH6$3n1^>N^zWSe@WBp z3C@Rj2jKy&p$0VdZVKY71*Z(6d|P7l(OHS=c;wS`aG(i z_4;=(w`BYI$yilYslLF>^rINTffB89{4bmVm+!{|j%Q-W#<(n0M=MV|PqLj&&gbm3 z@kG21vpCsX1?k~qumvylw-v|hy}|bqR|9ppqsA=tb3Kge6oRe!Z4;+ck^K70?mrYc z4e*bWao?R4DpL8buC4S_oTew)f;_HhEY0C%0;}dqxXsm>hai&k-^p5X0S#VCC1$zz zi8R-!R=}w|xWjp)uC2!m9v5F$nGr`z-PX6YP6WrmZ4bK(wn4a-LeP&r2sa4t(NfkG z0s>mt2K=9uvX`*~IPzy4+`__{VLxXgKmU2AE}@490nIA1aN_VlLcrX05g}v-1`yov zx9lWlxb2f87Qkj35|ntF2=4))*Bw8A@FKoRYVrJ2-O13!D9w5E6&T5B(t#)l+zdn2 z#>*#{xksm${_xpC2m%JK*8nu`tfOwBk8u#URtac?O@lfH|($!CX-Ces#t+ zAk+EQSJA;5sM)N;x?~*au_RM~$?b&xb)O*+HE`#c4H1$TRV$)$@vQ`2I9G6Z3y!4Xs-L>6Jt+k$;kRasSfq z{3ngXKS}Yws61EyB+0RVqYmXn|4Wk$H&F!TA*z3p$UiZen0^1F{ZE1NiuV7b{7+v6 zCZ3#FE3+iShrYZbBJ8E(_`~g437dgAoX==xVjGM68ikuljD+`p2Vs(L)1e0`l@ z`Df{&to*5-KXdSF@Fc1B^+%)gk+Dwn!*gL`?v1Uy`7zz+O)!4P4c(iW@z~4B z<=cs``B&2Im*l$Hv2*l&NtE2su#yt~>}*OxMhc35sXfxiVq-7TRAm~pArb4H%8W_b zJewFtH*np{hfl?e@ZTw~U`yO-eN&5zr4kPqNki7F@@u`+OLI}FfoTzT~r)a7V^L}8~M58&fX^{W-{OH?kV=A zB@W{*HI$bZTKoD*Gu_9?Foiw)gZmZpJi&rCdbPl7K_sDNp~wrX#YJE`bV5N zX`BRgtbxPRC|asytZg!u3^tdu=0Bzb0TGRCm(fu3zRasLXFD7lhhlQlyOX$vM&Y$?u_ItL|fNxfpiNpVAx!}<*ydu6~l}+!ZQSZJ;?A6AVDQd}n)o_eiaw}5#$6}j48(V|MV5a~) zltMYNImA2H$lu)wfk0Z0(_YN2S`L|xM2lUn^ZKo+Q(&B#v}CDC)lnvG$?|?<0XA7x z^gk3slgueY$ZIy-2`ZV`Rv6BKM3A}b*AMPet6hdhZdVX!Oq@cxBgZRRZqiywWLJe- z^%qBd5CQ$W!(%jYG)K-cSY2LFI7}g z|3`~PWWpknJdus!IhD5JNN~p!4gPVG2`f@ed=~yNOSu$NV7=ud6PSTYe$?3w`LI;I ztttG&z?e;79Dmw`!1%tRFxBT*`I!2N-NxssN+L9Orp-|cu(dYZi|b+0g#dw~9x#Q{ zl)P?>`{2fnv}yETj?W*eLjO23qioz$aNiN_QS8CXg?B*dASp5H!KfaW7+=myf@`$j ztw_LRu_nB(U4A4R6X$TKwY0xY(RdTRwD%9-`{b5S0*Wo;#L3WluJbCtc z6md|GdFU6%2D{|}WeVxwaPfvBH+ChYit~K(&=gy30U>gJI;rQp38ynSc$Z|GFxa5@ zaY(0@_#dtxdu<48D!(v^4)}bCDBW_Y%1IW_8|UAKbZ0x=_avJz`5YE$hA(g04;;y8 zxkvA^m<05mTc+{yYVo~Z1I!ejO#7#cO|90`OF9suGP#?-Mye-qf}F$5s;CkEvG#p( z%S~L=$;chOF4*X8?}Ignprb|-fq=d>;=OjIqCc^qk5s6PD5Bn*?i#rL&(;jMvS)+@-Z+vWl% znL(4)Xb3KJ@o$MrlWR1BY*F+XPl&hmCd7sY}$ zdT0!T?PV5z+FHaZm$elkH)JC9uRaw~;hF{!NGJK!Ipk|Do5T&#vN zv3w*F$71=)XN2@r!>=r7dqTRJJOeh3OjihBXBA$TTfiQT{Be#zCt6ZJiq{pxPJw>P zLG!xaZoWN1a4@F+dayJePYbks%&peE+n#)@iyZ3C?zDclZk+Yvsaroc9%L?pw%I{! zq;YI1>kd^a-BzpasfPN2#pkzmij0-1eJ19_xytmx%?upNNe+Hnckze{DTB3;T2?j6 zTm6%7?dGro=P7BX_f&&fG&6B&aC@=DjH}`7V0Uwk<1J}}`^OUT(#X-e{E0w^X*)zC zwIa3_X?jZw=j$6|Cwt^s=)D+8tOO}aD1an(#FNm=S^o4H5X~3q3+fk*v>1NSJLwS> z6eeG&?8$+Y{fDms8zZ#lX=Sx0q{M+N+}UdO4;+zG23DNGXF6|d0K_LX|J>5W?EEoA z?-$-#?yt!+)csQ8?TeB(XIM`^giIw{+}U!G>g+W4x2vYKGb(=czMWMJ|CIC@yRX@K z{o;1Q*E7G~NtJi9E;ci004?|IPcCz1h!6jgv(TlSNG;oqsJgU$w}T0jT;i+cR-Gca ze9X8RJD%Im5_6kkdL2w0DNKgG`N#LG6~Z=IQgZR8nWp6?7yV{K5u#9B5Y`-oi7)E_ zcK2g~>PR7$&E;HAvefnxzeD zpn0w_7oJzvZ*o`}$IA(q?eO6v~(pE!q@h;_AkT-a_%(IW!ISs70O{JLr}23Ydp~ zOZ$I>?hZqarbDe`S?{4#T_8B5@|*KfTCx$%=cd#kM4``sMCoUO<*s6wwh%l=Ejp(r z;%Ge2u`lYeHD<-9QyLG+$mU7#ir}XXKcD{A7aB(2=LG=^cca<${mXXwyFbPBgVy_S z6Ai~z60O$Aq752CRKvw{`~19~q7waPh+wov_ean8iu%AVE{0t1ED>viwUX^=b!WZF z3pM9KLt}jM%S55&*M_{xMy;Ed%@Q>&C(&btGeB}zU;X)#)ct7%DfRr+reAb8ApwH(=33p{+cG5rK8Hv1n6`GT^TQ9d z1go1naurB4$1)Si6i-Qf!$a!~;kH(uY(_zF5!Lp!6G?2)u%N>77h@)zA&%-cp#X!Y zwkGTIPPgXBacWaUfdUfJlu&=4T&ddLOxIXXOgSng%I&I{`S@SeSmw=8yFj9Tw%7Vr z=`7-Ex&FGk?2dSE*G^UE^4Z;<4@Z>}1VvwXy;ccmIMU|PIl|`3h`~3au!s8uprHe+ z?vxf#h{elAx(WNei+(zRnZrHF4c@*^m18FKdeL^eo#2c8F2h3PLzwiRUNVtkg(x&E zDpkg@ZhD`_L%I7z^F)3v@`RZ=%H+~X)fpARVjJz#^CR9{VVDZ1YBX1dEVSe5sR0lK zaf0$5320~}SsB1;^p;KMqOYY|T?dco-OHs~YNXK=)A}P7!DDuKNmU}( z%b%BmC`ixXfjew=>FjAX?a|p?3)J8(S~2XeTAZR{0xA%p=(-ib4@__NS(A44vE9Y0 zJO{I0J|vR|8;f@1t2DyZ0W|(Cr0!qwSaZ`hR@s@5@}*VSD8?bbKKv1kk`=@h^q~4M z*P;OTvrE01tSzv)fAx>6;Ts&>o)22n#_QKc_Xx8?Ca*8|@e+^$kjyDaL@}ZzocTq; zaDSJQ-Vw-az6M%N84Lr1cv~F|!p#}?ZlG6ik)8Axf0HAe;yp3h zEWmNF;+WX=Kl(#ybCTmMmj_LoeLVV;*uxx6i@c;?w=>ypcS=tz`{OOOBnPf(P{*r_ z#mO>G5oK1~!t(oEB$bUT9I_e}MJ&nDtkRp<-BtYnd`iSslw@m;7q)FMg%j(VHFeJL z>^!g1P%4wGY9O42DFiw&+10^1&g1!#T{2^)?{-pf-r`y467x}TX0>7!@!PseA}K>S zSw}YIHNBEM!t)^m4{mrT=U*qT+y4Rs*VzyxYu;1t8)FX?NQA1dOl2%#8TvXVh<(^Yu)*Q%+ zP0PDN6Xa}LOyfXY)LJ!b!C^d4yNwhg0Fpmhb7{SJQ~TjPB#B(}c9OIy9tfe5l(#YBhtpOFB8}3Ccx)gbasEgVNARq zUXO8&Z4Sv_LM_KPlZI`%*f?P)lmv#RL5nI#$N8zH4e!y4!836gCllO8Fnn(Ou((?W zGgMp`0;LKj6IL<b?PKDPf(;imk;5XH1!o#-M>qT;Zn8omF|V0$SvCT*t-AEjKD_XfF=P#3R$ctTE+IPq;ng!h2x@e(|;8elJG&_BRe z*jHR|8^%3$dI>T*JCrrgdoqlx-ZVPG&GquM5)4)n$(G}-id(3HL}SC_Iwf3xjTKES z*M?FpP}{{wLKX!kO)xo*(84K^@Ki<2zQvm-EO{p99Zwklrj4JV=$BN4VZzKG3rC`^ zzVT)@>KK#!5`oB5wOx=>znQh2&&ghKELz2a9LZ1Hh-6Jscx5tT{161&Sgm`CLM+N} z&o|79#uk}ZuVb~H++*AXMrgwL#nJj;5~ThL-n~@#s1OZdIok2{MN$rR7Y@Xp`%5&5 z(3|4*R91u#V-4mn*K5~~@jR9cY^Q%ZmlvFxFlcaLHF$nWSs?6@(cMG)4U%JgRh7c~ z2ej2^=UX(qry%d$adn_$Zl+{Ex2o%eoNk9uD+Vx*Fhj7SK?vWl6-gZAWBEO*^8fN0 zFnPIK`1te^XUi1hD+JJh9pKw6d$5 zOurqH!yGvEZrI0T2)qOoJC#7Eh?WVqC_=a;^1OM{(h@yE;dmq?)u{W99NAsDRb;hv(geTyBbbDCCgQhY2=E6+b%cXCb;6N4z(+idtNMOP%m&1URO+le)^tQDxz+tOE zxh)XNH4%2px}uaKvbE7Vf;5}hJ^If4$kqg_)T~h|5m4=Th}I*l1U1Zeovh~Oa0pNk zfz2tioE3gam5DlEy^kNwVuNKyt*0~5!decRxqke31Eblz|GNU0+Mg4daCTa`;@DEu zfpC>UtBjcq;~^1={wt9sc1g|*oBZYOXd+fNkvJumn`S>obBJgCn|o}QaA`7Bm30bf z_ixayfBUF7wV|=HDnY$2yFGNXi)mNoS^wF!c%=L z2acGsoE3@m3H;5H((>mqD{~Q`*raI3e>dV$GwLfmI&5aYQ6c7rflGz!w_V5K#eNz} z{Uj?DKT#N_I@c;QBOc_c^IiuofUHwI0gOwJUO{y_#E%huwj=C!&C>5)tUr-ZNnu83 z3tIJ|x?&4tv3M-?1>TaS_Jc=fcdIyOP2dOo@pN;@Ek;^rNa5}?!^iuv>337WyAMS5 zn?wm}4@x|nM?K2+_CQSLn|m~Epz*n0)e?9a%p~SBvRj`{pKZPty_y~2(_c!12^PU}M2Dy&fr*CRrTdbr1UqwhyXnPU%F9QY<{0*AZ_i zI8h=Nov>8^XA%5sTM?*HX?3rJT4nD*^e{vcVLTBxF(Yakl!}_feu4AlYT0(-6g_I( z^Y6}llca8vLaUX12c6D!UPf5|9K0~4v@1m%`QJfmf#{O^G&l0}<}0L9e(Ed)dJS;8 zuvq|rBu5I1=LS;VRbAOvX)G9#aE3FX(uhBm*~H;%f12kB<$?x*^A4gw+aWi`t@?LT96D8XYO_oG^ zjrf0+W^Id4?3K(%l1bD$UgkT3!CO1Ug)0i31Qj|E8|XYmm&*N9vib9Vrm@(ebx&tw z#tETsTG$p%Pba>Ixj}rLSXX;q%XKO40n9t>GjD-Uv`�_wR6INJ+~x2p%PZd$HhW z$1?)+p1dL$IJ)~Gtv=yMxaECD8Cke4HF*s{f)emR zNc-{XT{oeCM=Wi=>1NK zHx~$1NCYT)amPRcB*!CtiAn4!7n!_rrw7lFRV>W53$oHA0Se+pGK~Q^`IL(?^IaA>Vgy z;HM!aKSY>J(nRWms$8ye`IRQ*;V-oQ@w?<|0)B6~cl}x!`yWfV`#E;8HOklN)umN& zHDD=RKT;K-<$^}PZHyD)zD%qGqR)D z6P?aZs}aC;!9&UMwWe4FT!H;OZoF`C-EO!;QWt4&9y~I#`+91mQ5I|VjtuLgoYos6 z;ByRQZfKyCng|3$>vRS{NiJy;2wdM%y~uA9)O8HQb)9$8zLM zaF#v$dyG-n>-OF=r6olD5-3VZ*uT8@KIf8)lAW9rGjEKwSgO>d*je^UVcg$G#rvyl z$^74%!=m`Obq3o)p_|=yPG`{I^xEkkIecc z#(Q5ostCVP6hYZ>=A+JRo2*~11{_-C)LuT4z5cxQFFS?x7x>oGL6o*ZBSCuWm!oXE zJ)X)oo}cxp0uOBQ@vtt6^@+k9Ii~X${VJU6h~Q8!QVE&yZ3nw2lg3N7JuqAv07ivy zqE~DAbF2QEB(R{xWs0(U6S=PVjeN1e+9uqm8#T;$<}5VSokj;16TT``hR}`&3vrRh zcIq)}Z7e?z3^k&i%XGmh_1fp`Ny;FVLX_mu?hvfqOG)>v_!8Qh241A1u~br29m#9W ziy5|Zf@{0rn=Vqe&@2=;AzK1gw9(?*XAwEhIZL+wqShqK&^TMgZc=WLjS;mEnPjq)Ss@y!;@j>g^m#p_P z@-}|(2|y&m>-YZqq8vu>5?AKx=~CHGx`jyOrf@@j?6WjHhf|O%Gt^~2zFC`vb7H+# z2V)w2iegdLX+941 zmYt`ERLxB8ZS6R&^U!AFmqrUAz4ne4cO+fxzsuW`_rZ+G*(bjd!CYw9B$AWeGF=US zOH%Qq#WHnpe%D>vVzn=#*%}DpSb|ylo7Ut*o+#|>EmJqRRr~Qdbgnk0t@aI%1`gg;94$4rJe}wA&kO)Jp%O!#_1nwL7vY@hha7{ zrTvU?xbRm_ub;qFL`X-MZ~x9?@uu%u9g#P0Ph2D`e5QisN%JI6H{#s7Id~^kY(|ZR zL$!GKCd52U5g_c_<7RHx7ATh!N`T<7u4=Bkzh9Sz6Y>w_)?B|k3allk?cX_uUm>&l zBNSGr{GU9Qgt4={`@x$rhB=INoSS^{XWt0f<~nc_@e-}EX2q1polscm zW$WUeGDa9JnZUWC?|f2BcRo27^7@a!h|@dN?sTdj#tQxW&LaOpb9JZb3c~xcjaf!=UP{x1rm?%b8k30yyn2PhH;%C$LfB zl@Q8%F#Cli;^sJ9Em%%C#K(Iz&^6j`!^Nj`5D>T`H)8Nuz+vBw#`%|+YouvpM1&y) z_~vY8BW^y@IZ8%{>Xf)8>pcKh5H5L!4LD{j9&%Ij&@ zUxJ5oz#BtOLn3CeLHs*U;?}&Vt;$DVXtnA{EBca(&1FDwzJq3e4hGp1? z<@|cz#Wi{6Gv)=L_2d6UW5!nm`vqOy@YMm;uX0IR%gadJf4uAT-<-Q-=xbWvpL@JcJ5q4?x-N;V_ zIZz=3y?8>2GnTt9<|i}}eVB0<4p+VLHS3C;-~kAL48iDBl~nT?C*DR~Y+}Zu0~qVF z#4lEmQpx+gsZ18}lf!f@_;2c~;M>;~a`$0QWorzXksf7)MlmyQ=F)%3jRs>A9v3F6WOBN?1~l+pY&bKuNxOTfZZ=Krxmc%5Yh!^>)O zgz`=c9YXbGDG_5p2^2sUHpk8x`Wc;^wQCmD@@t2G{fKOEx4m{f_%ixqzt7tLOxTQ@_8F!{I`=Md}y zUSX~6Kt%!b^avOz=I;H)ltIsvjup&BF?HWdpBJHLlp(lSTp(=uu;VFrzuYY(gQ1(x z?IBZ)OUMH>p^2UgeIYQ+4G3sNG>n)P>`rrwr;xB-(oKa3QYoqsPtIPxNLd5veeWkb zT3km=M@C0U3x%|*9Jru||J+g+oUG`-{eEsUNI=)dFdL$UQBR;ZuDCF8;2er*bjh+4ovSu(p-*su*3M~v~O9)9rAhcP>J3shqM6OpSZH?sv) zSgAjCz8v`aO+1z`DkH6Sz6%D3gU;d1=P;sbkv>dGMg(!cSpsSUhoJdcoN|5JNCqT6 zFwl5{0wr4b;Mn;1Jg~v4+g>Su+k&2fnr0VeNHF(E9Vol&&x>E-ox8hHpv$Z8HM4m^DP$mnQW8yoH@76AbUy>FA} z;^HER9=6B3sj*s5T5hD3=H&v4x%F|sdAWDHQiu6=5aS88wM^?xPft(F%gdrcD%6Yf z^QfT!EiElT(A?bI)YR1K>Z&X6*3y!5HRh6vx>U<`1s<&LJ02Z*_lbu zH{ou2ii*fEfk{b8ZEbCzPP2P^dtF^!fq{XapWdw$DA*vre>!YLMErSOT6JV)W#{MT zm6eq*E-r56?Q!Q>O|tAbJ32aed3iThR?OCMXrF<5uAUI#;6|pF@ zaqu6$6}(3&MhHCTHQgTPgzThejbx9U$@EKGdmUCpReF=w(S>9ZP(lfdExX9M$5V`o6-!O ztZugl7ftK*r6yK&v#;WWP1}JV?mG6DKG`#eIZNvh&Qv7d&3w5EA2(#zBq56XloH^m zxGrrw(y{HdtShY+@33ZG{BXJ$K8P_*mKX@4O67||r^Wlc<8PrJA7*s5>3rUDEce;8 z;kl1eZPw|ejkofX1LDg^?(8V0F`a&|l6z)XZmwHD8uW4Xf#Zyo;UYm@%Y1N>WA(a3 zgxi6&*!k*qn0>uM?`v67l2xO#YxCT5(CzD@XOi6}kXAYg?)!T2aUj{uXG^y-&^4`qpaQzR{uU;8eLSvv zYZ39^`@HOhGmOYMZ@C>0MdH3e6Vr_*pk)lh8)@^h3GBiNU%<1k7!2dPur`+%4M(jF z2W$eis>n*i<=qZq#XV6*&b!_&1L))hKJK?Xu0A##r^y=su;DBSR8Nm)BCcqsr0WX2 zreaQa?3|YB%Sb1w0|0=Td0p?9L+iB$$z_F!mkd4+_PE#FU;-)T9{=EJGLL>NH!2~882Wgay`88FNPTB#1D2r8uM_NJy_agk-$P`k*>jC|pR0-A zcen!wwdwdd@-f<%b9@t=z4-PFGHgGdiJ0NB`T!f&`4VKw+H&_A4x94o<9&C*)H#JM z@YB#9!}iTOSkL9>hkkXEF4t~jbJWS!ReI|-d7=maV5~GXT|Hb}!Q9{_s}eAckL~Am((F%X zz{T>}QG&gH+rsW_yFVV=?#ja4Uk;Nk1JSk33$?4cuSWcNDq8knR^rDYH<|9c#JfI! zRmpmscYN?Q>okqsYP}O8BlnIWkNs3aS~`Ko;p^iz?p4?K3grKl4<;`OF-EXD)Z@i~ zOtj5JC8XN|#)S{~;<@VRmu$4z{DyEdx*e5o@9enf5W zXZl}jjh4%#hOypzl0a{l?}l?9J;!@4y~xOlseZuFu#QI)l4GE;DJz>Gux|(P@MADjRo8DqI7v{2Kl_9HArXhN3epomWK$S{x%v`Fxn>HG z77A!K%F@M$c%nbVqY3brY+DbL`1L(+2C-Rw74Vb?mo3(Pp|*dTALBQr3w#YvQ9R-c z>^{`oW=L1@G&vUEy=8Tb2AySlp69A>|5mSiCng~g#{LnNB5IhqVDQUPfkYRreu-2f z={Vbmzhb$_cp`;tH3jJ|O3&whR{Z-v2ud-r`>h17JHVHch!tZ{##1M=sVtRX_*4;Vy&`f32!&Ugr>{u zbXFwDG7iu8^YU)t=QxvU3ox2yi)E^9aW#x5%Dhg4Ypet=1Hc$n9X~b33VOc%tz@I= z^vjyVTjm-mx;OwZ;7*rr-Lz}FWK}az7UdKTyP0NI2^t?~5+G~*BXiwxnh!Ky2{WfX zNsa1KHX@7GT;cv1UeE&zCwrNl{o?{6qIC?@FdhZsOa867neiYin2AdBD-hD{bTxTI z@^isHn)xg=1jAe#V$)rINjl2)cf>6TY%qR}dXw9j#lz`E_wp3RtFEi;SOE}0_uJnO z(}|4DI=A`E^-t5dUcL5nK@UIAzs_smzLL->_gleohPyi-YvQ}c0M<41s>@LpDmJ{! z&;$mijrbwrQZya<((!Mz2{D$!iAqL9XHv?k%M8=M)i#~Vkfal)#2QI}0TTcG_C@HM z1%30yNtBYSe@f?ABWOVv#EM3SA?n)U;9-s2aIZ!A~k{sD0bCDjm^y zcNO59pTaUalHad_j+b+@>8GdL8-9~y5)#tt2{ddOTD;+mpj1bCc6!jxlr!UMu?3Ok zZ9oXUVhRqtcmd6*%ow5RQk{wPFiEw49wTg`6n*eH0_sKqAN-EM_wx-ptA#8AhKh1!@O@CD}7y&;Ip zFTk4cbL6Ch!Sx!`{qPS$JF-71S+2=>mq$arAb7m3#u0BzbmrRUG56%x2gv%>#;?jD zc%~ml!|2{@+g@M&Mn=PspQ4S5ZCe8Ut4Q?V!4dASZkcLnY~~%>?MvSjv#uppUY2sj zgG3yYAU+w7BJ$nONYl3l40vpNJ;85c!R8<>*8V>Go#3b8g(#`JuvUKf^AUCqqD;9G z5xfl7x*-zP(pw}oQBYrV-jeCqIb<@s*a)L*Pg&BXP(dga3()}}R70$5X7 zS>xpJ*suhEb>KMy@K#D{fgBiwgEaiXdWylF#+9~tIUe+iCwDg{95>r(1q22GeS~vC zS!fWG>i&G6Q>&g2^aLEcWE~2j@*qp<)-Y<#1w76SD*-PJd+_Y^Q+=yMCj^0(jN#P) zm@T(QaCa8x`PPX;;gs44B9f#RGs5)G=>w-bi^CJD?x3b>6cH>=6>kU9bloG)zFzv?>v z#dM8xekk?c@yt2L<$qLvSQD#j_+u`ScL1mgd%|<2TO)Esf`9Qy_Lf(7QJ2vdTem6) za#`w#=*d^PBzh=wQY})E%Vmbp@8`K$-)U&s8{=%5lMzUr#KT4WZGGDEU;?bRz;9M- zM&xDrh2xy$qtfAfe0_d!MQL5|tUI??-V-W1dF-kZ zK#J53lH+zg9u>?{W3?kQc3qF9i{8S-Z)M*t3S3+VTYGan)SCZ1jH+yY93t;{U3wZU zJNZk}PSEuAxc;%VTs%kdd(3K02>nFfv0^H>z=RTqs2mM0k#`2o*ZL9A2Wv#>dd;4mB z_Sc+N7_OD}q=8fkUB-LFnKouz%tj>;G8>10N6(ZhQv^Uga6^z1zDPslZ}xjRkZBGD zZ~%Ntoc8qN;AZ$XGIW?RMD*io>hFP)AhYAh?mPALriIfW7^CG`faPPK;t<3KT-Dc> zTBh$UmFCK+gqmLd@P@%G-NS|8MiR|4i~zyIdS?>zheXhV(o433x@5^xug8DEzZG0h zP4nK#T&@%#8>ePKLU(7oPVK~eNBN7Ey}i)VFhO}R6ceEKx|1}KsJDSd!di0KF-yXA z4L?Yzl}X`NV;eAv@W8Mo8Z~}tiLt<+sA2@1D57FSSCdsvt;lE}nnqmIN^57kV?&g; z>HK>(*$h);$>re1JdhbJ7D_xEpwQF6<2Q}7DWw>xq=>NjfJ=zt;7Qrtb^|f})(TmT zG5ygi$Bel`@6+a`jIp~OKc2S3M zLn{_P1Rt+7Bh{3vo{MZ1G7Bpx;U`lYgWufYj5-cYP<&EYJe?6~rB&a1`tZpx*?xor z-=JK37ok}n?)WipT9`};ij0g*eiflPQ>0F(@}y$cLO~W@b$mem4&t5BFjL1$D#S6q z(QLb2fEB~AK*&mK#e<1z#lsOGBIzTzRV zi0ZKcU`R3%UB2MRz$Agi8P1g9SsUZ9BBtmvJQvT_{Nbl85y%4;N^?zWaQZO`1<@;j z49`0&im6)=|3eQneZO}eR6GjrFM9-u(~;-ZnTgw<(2$Md@2Pd8&U)hdO(;vvs+ox!b+ z$7vi&j9e1QF4QV$p^yirB0xR<^Uu#ihwL40U0cbPrs@N3WaB_2dCcIxV=A?V&wf2R z7~l|SyB5Ta^%X%{SskqX?h8L0R3PIWjENrQPkA+t4TkCNkS6k6Gx1FaK4>MW1D&+1 zDm8P!L2=>^QNDRRXKB@F_Uju0&+-3uZ1G20N`UTFnC)s}HblaK%~5JOv=_^Rb}@yA;=&VSIo+)lQ!z)tO}$Ly_G z)&B-g(_;x~?B@520^QKfuRiHv+ZXrP_4Au$q5wbu_7K0%R>3~Vl0#o(;&Q=)XG`(t zUI$RnT0`(co}uc@$Egh`tia9(9wnu2Z0JHu*cDV0K5B0`F~baAOUew>pG?Hasu_B; zKur*m77FJqkG!7+eNcu-RKV&3%q6BmdOP4S8>r2PzY;3Og7zZH@}8LxoO1$^4@`@l z$7l>>PH63z7BWjR&QIiR5#3@x8x)7bR2+gDK!CP;9b>O4i33;x14#NuABx%Dr&+~w-jCl=&12&lQ!3BHw%guq_T6~aD9C2`*2m$usr zVE7>fMh(5lzV9Z)l9%3-zeN-Xju1Vun9mh}m>0hXa7@1x>0y$={e0AYmLT4Q5^8J0jU6E<>J3 zY6tDUDf)-R6pyK$4l&3^;&>QWw7N#(#9wkW&8E)SH{nFHK*OD~j^G$%9%Zt1quW!r z&2QiJ{bm@{p8-SO7J?L)LO#n%2rX-IYUDxjclbpdT#6alVHV}6Zx9(8V7n@ z!g}mob<1p+#b`nfUMb0O446X!c2z;dU5Hfp5`AH^;EkQ;s)%=mpQpVp0ykdVCLtU_ z*|@0DykBvbMncU$_DsUEn_Jm7UmbAE%;1Q&A2h8f^u{i z6Y38V1q0Y1D}q#D&~ z)N=?*J92J_JR{XA3S)!1SrbZwgft%sNvdB^!Xn^f4=Q{rgJRK$sSy^YNj@sJQ?PwZ z^#~I6{(P0iZWQFQfYk30-C|!EX74J8pc)p*jz#Z9FNjzSF{qlEv(W={pQZl*ymid@ z?>AWRe=XN_Q)~8Z?QX=WQWUqjy8dt;{RHd@jC z|1=D&hXlNh6ZUtM3YtHwM=K*0hL^2$cbYW@_cYK=Dc5@hi9!r(C^;7z6E#^(dPhKf zuJaiHX|4Q%2`9{N|Mr~-niVFYy}b;z0KLmf0FFW=?&B)D5n$qXhOnHzSPQ7I2mPyq z;1VS_rbH2@c?;u}p=fYdPiMvS0}I~b$;v$LEKb`Y%6uD}78-`inCMm}X0zfqO6fOy z?dbP{b6!Wwg*OO%%P9RE3Jl_HSBnZ@o=JEegj5|)&XXc(h$`P70M7}==HdX_JLrx- zU_@g*jNmF{7+ex{RF}q&P#1uzTi=z99zd9m;_RN47Q>R%$9lSLS16L|9(`wNI!C|M zYNGK@3@PLqm&e>2u{~fk7X{@;a{M?<5+A59B!ggCguHk+emSnpme<@hVC zi0L+1tp+v`Bczg`0f36Ou`%)A8(a)mIIQQfnp(WH=B(BoN2;bytMQ?!%f;lve9DOaNOe83)iAD!5~$;lI#-O0?))pooupTdh=%9jO$5O#Pzq-bv1NZF3@M)Ur1m)H+l>;`iZgmo!@)GtDgbguE1Zl5K% zdmk`{-YuR)oT{E!dRx$mS4!Q@>J>){GDG_VOSu-0Y(2T6rX5l?JS+?^Jfsgc)k*zC zs=#pK3gR}cAZMy)r>sPR!|vh zE_}}ZEe&J|lPPS=Nu8~g+^~XwQ|b|lD=&@hFUv7d*$IxwbH_G`q*VH7nC+yOQYS~i zm=D%`lE#?G%M;@0FWF7s%!M@7pP_*=mzTXrTHxmQ8sRCn2x01v{BF>y7{V@%4IJK=mb|F884{xEIH&e2KjHCo-_l4aBb?U!rZ}-+l~t zMBYhTlSqZzRrl4=Ujt?MTKJi5Z0}DgvaQMC{W$1vtdR{m~Ln0Q1XfN>Ujh7w9V`89@jyRLSo>SVtBkk?1Nstq2jp(%r=Ah79^ zD#p5YrLD0R>0EbEGgpAj@3VF}fZ{1dmYVQxC!glWJem-rFOx{+Mk(FT zuCAlT?6P$24SJqJNv%P zzz{?~p;}!o-8dnw9n^%Na7@$tleG@bc#16V&r(x;sWDKo{xg>F;uE!r5H9|$ z>xk6Cb}X=_KqRjRRW&`$_9RlsN*NGhCA*M2qsm+T+y_p^{E!IXv0Jts8-e$?VpY99 zn{n2>*{!Dlvj)pddCi-8b!vspc+9vE_E8KopmD;>(WJ3TwaG5J8QCWGBjJFk`jtqO z{;N0~Adv!lU{k3MLia*xy5OREdW#Mw=D~Ly23gemnR<4fgAk3mT7v8)l=fJR25!@o zw1mK3?n^a85i!kFl))0#dZWgxNpcEdb=oMNYkX1S+4O{fc+Nx~T1ChN+)Zc^1p!PJTSUtMiz&Tu z1T^4wvbK_uu~b6*k{SlD0Qnvb-nDav)$6 z1l7rYc&42L;u~=)+fJ$Pj?j-D6h6L)#!5dwJN$ z$$T$$@<@_gF&-M)4Wo+2bVCIrr+Q}0(_yH@iQ}aW^BNJ8aWINjtuiMJ3hV?lg4R9w zPA(kIX}#2)AEOgH(Y@wYT8N&{nvSwdAB*O1nX9>&i#ZoLIohump7pG?Q!B`{ z0#pn%7^xz@_pacgVZ#o!Rs8AeGRjAtz|dDb+J%1L2agw`@t(uMf}$V?z#XB3aLcwb zJ|dEC`#z%HY}r4owC)u%WE6ua2+UBeA~$84HYCfUGtjM0COx^>uoALI2UI_9_P&V3 ziUfiE8oUhfar>h6z0IKgyqWIFs@C~ax+a@V+S?bNj~hJf@UN=X-D`weUpUX&zj0^B zZ+$#*c7N=ANud4wNXx8eG0djLuASeD^~<#D?q%(GYC42#hp~5>r?Oxx*Wl{h^5q`FC(w#=TxK4Bzr7e2oKq-cN^N&P>{n;J{N5)xgS#$7 za8|NJm90oTcKS6%5v)X_48hO@H=DHNQMg$3w%FV$=U?WS*FQ0`ceN{qofm$W&g$l3 z2+v;o2?Eek~>07esD!k+JUm;*QkG3aN-58YfV=qKM=HDTHkW{$6mLB#IJ9NKY%zhbm z;~XZ~v`9?soS2aMA^#Ek_Sj%M9gq(g>24$-(a_xdx3et4eoO_}`cu2}*f$kWMqV{%`Ao1&!y3oqjcjSDv?+L@HB`=) z2BwHg{Hy?BE)?)Re$$dSNN}-+3cj+kr<1^$p`mlkm{n}nj}H@7WF87|?H^SP4-FMB z5dpr=|0P9g zm0C3CFmulFnhfuk zi)6p4)%2Fxt)bT(E5-Vu^eO}^z~LJRu#=jHqOM%F`PxmF_-_VS91c7?uY*4>qXplV zeynm-C_g#e2YF>^Bcdu6X4!r4OSfL9u5jFz&_Of1>Q*?6>_+%NoZ-FV{TkRB1v8&EE|#xL>&b^VxCY%@wt$$-T|c&<8nLKcycG{TwLrnS-hH`*@htMD zB;*t$1}z+dw9f?zE7*a9it15Bn;5$M!q0ZUQnG^^v+iWA2&wCNKPmg|zRGwR&~$da z+a9>pweDOPc{kWBrjaGGvXKw!R$Q0@9inei$#|g{EVD;SLaQ~D;&nl-nD`{LG`Mo` zJ^|LPcXLuEZH|5MIiEVx%uR{NSA}X!o&h>QSrcqCoP&grU8O7Q1d)0N%4^tV*z`38 z>tUQn!BY4F}0iUkp zn5aTr_#F-^I3=J0iT$3;yQS8kS=t7tyE42TryYWITu>E8)2Acl?^$f#W72Kr#-_GD zuKIoMJD)OL;DvA3-d|_9Cetk(HUVw~iySACLfwz?#wF`oXQJR}?tN|lWU%-Bt54JT z-fJLpiFU|aeD@I+Tm`S*5i&J@nvgnnrnp1+{|c~toq%_$L^H*keqJxtuj@)iHm|O# za(2CtSh3J2vL;<$xFZz>7xz{c-mM@A#>BC3&{Lg|>1f;V2U2oRTopwcr#s3&UAP_Xm=4$D<4D^U|` zsvU`Psw5({|BNn?@7E_vuJGsnRE@Df&mJSl@ph6uUnhx+8VV@d(x|W9!lQORtN1K27DVw)?rYT#Qfa zQL~Zw`UIz5DfNbar_-HWZ5*ZjYv9tK40hXxB~kD26wmeJqfKxI4zEAw-Z9SChh$28 z?~cn}G3y+Tt^iINA7;XYOu!vWT;1FVcQ}CM9A#Ldt(DcEc}Caaeed}f*WY4tY9369zl?N17k@TRq#+joQ)BqWCIc)9uc=g)cE1fNq# zaauaFlx#UN`$Xab{>Pz`#?zvE)$Z1P%x;x9;q1JJ;<8JU2HNNCeCqgfYCChqhAnrG zIrI)`MJRJ(j3>|c{m2-;R83j3b2c_@pN*u+^x67ty|_^GhWy#8+SVtF+kk7T#=!!J zFS=}Fgcqr75WU?q0Y_`NC%)9+P2xI{TB0cPjl1ZDtaYD%#~ytDoj~#R{@pUv)dxKi zie@y=Kj2_x!|dfDNlQe8NHGbQncT24YPI5t=JjRHKNMzXy+cEZ0)1oN`1DOp+oZA0 zKKr&ioAY|7@PIEFc_sh`NGdGo1H)1>D4|Za{zV#$pGnyXw#ZFFf_rY>5YVa)!B@zZ zlCVAS!SCS$rX|`qZ1=jgmP8_tC8?uM0|pi&6RQkC_#~oz6_f&EIiTEI0%5WMLfxW2 zPejjD!V{G<>q~jGet%yQ6b3_4xje1PfKX z!4z>Sew^O>p${z>2$3|bI=o+7J_aU3&o?bC4a=_F(S`=MA^ya0ZIAQDGW^*cB-u5d zmBe1>pP8 zQHqq4QfJaQ?xEBhG#ZTb=t(H3Ds(^uOyDfb$LWxKW0Dwy z7=sq@^k+?Hoy}VH&%r?Y(C3#_)i5t>F~MdI%VHKuo;r)lZi+7_pji=RiVCMcRcwMp zG<_T%f9#XIdW0f_2&DLz#r3V0^&fcn@+o^&Icq2Wxv?6Lm{dyhEkcF9g(zq5$veMw zd+}+7`5@SZ1wkpw*%j~`gulv7!us*`ae&Vcj(_48p8h@5R`Cax@W;pD?wdVeF@Xe9 zv4+r8({5VxK^=Ws2*KU(zL^{ATUQUL;?1lyr5HdX|$;gmd1k07tY;HQnIl#+tGjM29Z$7_^Y0CW5{tI1R zYh~|87SLgLK`7LmE$%$ezh0x5iwR0D{bGjt(#$!iU9iJi63oW%um2E+ zRT38I-c4>I!p#LQ{{|(HGIw$3Fk!tZ_wPGIC|biBW-c)yte`Ue>wg*b!1zLU(2a}t zDh`1iI`oS0@4X_j;(|2xj_iLg1#*rn&DK)kJF@=01~$llUjs1+ul_fyxHA-mDKsbT z+S}Qq9REH8JCM5930`=!Qjl5nnMC{dOJBzQ>9_>wNbx)$JQUZ2dNwbq@=nOexAyG~D zc}|Lo}8Ra(BU9DUvBECtDFD)?;5_oAMxn0 zXIqATA`udbigUYz5kRY6U%ToSDLZje_`b$SN8?oUMH3a-^iBbUmvb zK2!Gw0#UG^C`zoyCQ@1GM1WbIH#HduMeXI@Xmk2?kscTrxc&KU6JRb{{3_yoyZZ%j z?EpHQG!aS-%)H^l>+LkaWL!(8)yP9_JRBi80;tKs(ewDt9*-9QZE`X{hOg|->}Zy;!mUWZC54zlOtF?SGy2 zEpXk~*h^uxh^w0B|LACI(}W=W3e)Ze@Fq`f0F$O!QXpaEh&P-JiGXJru$zk+&IYmc zUIAN;#-SDkprVhNbUh#7IRs`nSAe@`^ay3V*M+k+bhDiiEt}3lW%8$2VE28!7$)@jjyMHy zB6wrd(ku~K$(ic+$CKq!nFs$ZF-RiSSB(`XENL4_8Oc7qgaWcJUtG>t7bco)wtm#M zJs+g2K;=mye$}F)p{a)g82Nx%rHWQ-&<~YqT)|;5TbwMx6R z?-Ms>5CgT}tO}_mdwd>FAwS@P0#y67uQO|#p0_ezqk*~9oA?fplwNca;8Oo4?SO#f zFpHXDpKAJCbf4axOv-sQwts118m8I><~U?f#KZEUWe_o=j3gc$W1E}L%m_xGkn!}G>!wb9H(P9d}O z#4I%Q^v=}sb@la5dc9-9$h^k?b7p&B3GtL#>aDo)YP-jW0*oFp8=|`lVaS=P?fCS8bFnHe0#aa6!Hkoay!6Li4gfErshQH zZok>#CaEa&2v@0IYY6a+%2*YqlWRz@OeiJA91%C4fkV*fF*4zg!Ibq+Zj7OyU(}-H z-cwyH6+lR_(E88je=AoLU!E+lYC8c~1@$Q0E*HwTsN9%e5@GU5lNx`0^N2uK;h-AD zG0WI7=30&X#lb#0J>5)cY-|ifFO^it(%Gz;VRJLTQB${G58;I%;+aOrI#UA-Ik@&x zfp0qc%JoJB|LF@r+&*^gWiEdCd4v8Kk4{BP+W^z>c9@_fYvIXq7TuOX3Htm?IH*yO zf}DJC;%+JsD)-y1IjtxGq4!hn*SuscboaZXT$lHUH5OrTE40}^#( z-kO1nWGRRhTS>ZlBt(e1vlxexq zDp_f>&hy#+Yi8rqzY7;XbGS+Fe!Lr@{=ImX=Z%DpP3QgMUW2yEyrIl&wO;r9yz*zK ziuka8t`ycynu0luAR?}BZtE>}i=h`<=ne1^X0U*YqWM|t`cL^ANHWS@3&-tdYWIU;~JoIZ`+E394%&(;elJ@p>Rb|q!5PkV202}{E#Xu{n>-9#8C7sbgXzxvg z^jq}&?JTR$ER!&h*HNZO9FKx~0ca}iPIo7%Nsa&d4cO!dR9RoB^2UC;-5wm5sZv;` z=UJUDRt6v+6(QlNZrWXK3r3_hA(bwRfKEo@u=p#4`X`RYej6iG_y!2lg$9dXj@b30f(#lhwz*XfxDdiPlLjw$$G3;mYJ}?-8|_*wuB{$0|25v1 zpr5sC9k;9A?tBgimxzc64E74W?yioG*FTrtfU1_Vuqb_B1_*Eu#LN0(I^^73C zTz8Pu05`k&AAJPXFnRgy)F5tG-kce*TK17h#UeQXy$C40^M+tAK=L}?_V|G>iZ~oj z@!J0$0o3Y3q^e*rZd%Ei=uT)_I*>l1fZN!xPSqR$t%bJKgWoIP44oA+E$>H2iO? zpfR(2+yDK&uB7mhz(VHQW9xcM>Aj7t&~X8#n-s7fADF_`2QH9|NJQ?!WmXpoLiRv+7?J5Q6Ud%R0;XdNiul< zCnaE%3INBbb@I=DwSz%GAPmo6HFY&Q)_C%Azk*u*mvj2JIpsw3#PjFX{Wm^b*#Jv( ztAE=b_&_P!zT^mR>1EcE2ysc#3aEVj_hBGeLuf70dqB#+ulAX?qLi|-JW%f%j*JN(d9rC)Q({mnVErOxG<`O;`ZFM+QLRyYS)l-p^ z*V8Y?8Xi;94->kI^c}1$&^^1$;2=_9d_5rF-OJR+AJE0iN|xZDNHsAEb|Kx%y9BaR zi^FxSq)edtbx^u?WHwD+Jql$Au3U*3r!pLWhB#n))YRbgvYQ+D*cY!IBc%uQw@-H# zS>a8ey`BFVEpMN`doX3|v=)R2BSLjn2-$oZ_ZcHx<#OY1ZPmJ3!|GmUBNZ|72u2Zt zAr3qu^kU&~`@SZ|%+1Fsot@u)aC(}(`FiaDPa+NxRwK;i=$_v{o$Y8gX}kJa2C6e1 zs)_!<6ex_4jJ@v3#fP2U^>RLZoSxjY1CwjS_xFk@d7RkMgkF~veQfb%;*AC2Wd0(t zFeY3G(S$MG-p=;iPZ#$A44Y9ezo44L^plFjKNTL!QUqM9P0xXSk4F_U4ga5x@wR zbGfnY-&$*;iaMoSz!Z=PB_V|%XRUV&vII1Wq;b&^eP*spLiyhfZS!X+>tIu$lJ#{R zZ?jK1n@t`&8dt`#4HlRb3@%gu>yW;OVy>~GzLR2)W`QmZk}4y6_(3pnH4G6$V$Afh zA@}>aK^;Q9IKf@$19sn#{C{byLcJ>0wpRi2~Xmm(*pW;8m#aHG{rO{ zVRM_GVTeUuKbsSQWBOsQP!#TVH(v|N#!B!Km4cPj>uirYs6y)M<0A`Kfti$tBO{he zVKm-=Sqox$n5*-=_g^lH4teNZyU5g&gr?=aER3knKt9Z*Gg0hyt_*3#zJ*V2kS}mN zpDwN3ss3F|6sJ(rF>~e`M15Am*RE>Q@R=!e;z0a(?2zox#wxhJ5mm)&12WFtvyn!G zGHa|WFL+Ot4gq=?`%GNExPuU4GCc|E5Nr~Ip`&}>7P1N&un*_OlL8_(w{5}!x-Ypj zo*9u3WbsK=V;*kxtSYo{wKQ$icOr7E zWG&@&^ElT@E+%JM8h?5TZQ?jCeej=)y$__auTt1Qr&8VgUD?>5)c*~MsGE4)IS6G& zjQS$|DvItIt7u@t%@9{yp>U}s_Eq3qEDOJ~%o;r_T*oEuo)C2yB;qm4_*_LWm_-Px zLBBe-WsI}YO+bb14QY#3JvGU3{;c+SmifAiYy~ z4F<0R-bJlT1cw=B?@<_JN*jm&TVu{~WyFMXLWN0W=QX!J$Q!O%xg|ugR;uv*VWstL zdflq6Y0tF~I8EY#QFN*>sZ>HRy>iaRA0R?us59==AO**Q=Rs7p8a^4}a%0d}VEKdc zhx4|TtCla#i3iuLQbjco%ZUwLNTw|uL|^PyZMxqfEYFkh~-jzZ5a&13|;WOMWR!1$tF9MS1xj1^#Fq$Q^kqXh^-6J zV-(KFVlg z1_lO`BKbfR|1D0igwI3QL^FnE_RV=y%va7)qJw5T<-6cFdg0pD7|r$_(w8DZQHobW zgvd2#*@MGLok%#kl|kWF7oj@M*}Jvi1P<{**)LQaXP*!gIv?cIx>vZzk0|3Ft+RVK zHa3V`K4ODJ1IBlM^!k&V!vt;zfVy+|UubecOnXK{R#jtb;Y zEy!XimUD7)l2I{xn!$Q>;GfM`qtv4H?r6!)<~!%K-F*u|P7*Vf&OY!dj5wG`qf*F& z5M;m9?y&dz*on{P^Km{tACAB)R%}HBoSFR3uSdtVN{5v(a%p4=sk=X(?MqEEuQ(3%HP^U&+p3iNE+t=(iTN=2rTqZYhqVO}WS68Dz9U+n% zZ->JX#Wq)B@6KAI!8Z3dOrI9gFH<_Dnm>$&i;nIH_|7qe{O{RxMQYW$KnilJ+ielC^iM{U z-y=V<=(S@R2Zv&Ts4N0;*-R~lQK#>=b3Q8ncWNjOz}c9C5HVJ z&og@j3-;3hGB#(9cjef$UdIW`_YU$nG;ZgLE*&k81{*YXS^Qh?&1~ow8BUkuw1^-4 z5_y5}MbC*0Dk7X450|}cyusdYmaoatK)!STs)`uWSr)UK;_W+pa)3J$LH}&y!)Al` z{l$5jCLuUk*<8u(LXK9jT14yqAG#TK;njKQ=&XmUo0uAInFPkJYL`b$L`&)s>8SH| zWW<|v`^R44Nmujn_0j%?>^8m*F=^cn%X~Lv9{QQB@wq3gYTe|@g@e&whYFvH<|{ND zRvRpm0!uD8J8y4q;bCB&fP5CIA9BHgRDcB>d(7j!B!$_J%|!Jis1(-B00lbb);@zr zk7rz9s}gM^uZ_N<(2vd(T8pZHcP{<;7>s`4DD&F7Jb@>w3Gr zp;EPc`_*}>aIC3r!;2?NnYK-2?=$-~xkQqc-Q#x@zjmO8xZ6XXt>60M@Z-t6r+BvP zj3OD-2LXrk)4+Q8SBD{t5*@@>~Y7XdlxF86y|T^@vEY9i+X-gO?2 zKW?+;OO@JNTL&YsYyihlqu%uX>PnuYXgml3RtcDIV&RNq{V$oQ=_aqfr33D8s4z7; z>jr3*18(h~oD?3aCC`Lub!)bzKIEaNV^k_Pb*5wo(5!G!{ zWj2Qqst^H}*@PZ!?9ItamD8ukT(9iuo}Fx)hbvr$oGYg(;lx7IqJ;*A=%5B4nC6n^_6g@N(zJe;vA;rMbY<3%hq&cEzX)xb91PUIG*Fdd zYNaR?I#g}{NO@N_UZ#TjwH7rq8k9L?93!=2DPFZ04fBEtM|aNTEtJmm{tXF#u=pkB znryeJkL#j$E(6|EMK3UT<@Xz$XmF z(BCc2_O=iE3dqwZ0GEbFCg8E%_C0|l74AdF`Rf=9f?lgIb%!!5g@FbNYvRTCm!rw; zztRr`Q>B4Mn`nlq?fXuNZk3WVb7`SZf<(=WDgF8eFH?~=o)-DZ)3MmFW|v0}bq1%H zKU%g>>?t1ot*Wx}muSA=Idx@v+g|Kk;fJ{Wr;5lxX2%QmxR257R@=s4j+}4HEl%t@ zEwMK*zV;Ct zMY=$lpLngN?-IB_&&LHwm1t<=zQ|SGLjj)yS?5YXh4zPs;3rm_P5iH8TLymXVvRrc zb(4yj%?oG%k+9?g&zu3IUe)CT0Cj<6qGWd*hu!WrbN_c%*5uvcm}>2CRMOy80Krh? zDp#$P{IZqKpw|TyA-HK-ZL*fyAd&iGVq24x`d5-0)PZw} zT$jQ?TOxeSAchw=YAG0^aWBCoW4)I%JNq#~iD+tsuQC?Vjm;tms^?Kt+?$7m1tv2O zR#^`B<=;Xz_~gnusdJd=D4o3P*mpx%qwcdP*_cq|(ZulI0Uo)15Mmr>1rsaP1b zyZw7yoTJ&Q(b(DUKEdxeF>s_hY09h*_&f$B2CWYKDMn2bINC?Vv>L5;OIiID+8?*( zDeecbE3VrU3+FNBC@|ax4*@k(zA7-BYgWbBHgumVT}L4Fo>E$ z@@c{Vg4I7D;OiSu80PKIqg*VJ-)fk|c^tWv0Eo?I$E>Q=SZ2Bu00Jp{@cF!Z-uxbY zIA80xs;DLglHmZ@B+!vor!66P6al$c~wi-|NM(3@r7rhXIJLumcehKX7RO zFY6l{EXE^OOL{(n(g~nUMnm{$m?(tz^f?F~8V%+@Ig5jRzppmHGU+GLYRF%tRI>nU zJw^^P2Hf*Bhpfwna zfd>wl__Ss`X;Za=9d*2s@-FoE8R`ubX4K-$AC8AxHYz7hZ>8@)+7L@4=BhVMJuU0T zlsM8F9gC6tFqn){H&4mrP}`>Ac$HX_GuU$$*w3g=_g(~b!I6k4Y0=AJ%Pbsx8Xy&U?CNF??8kx1oOek>HKU9k-U2j%tty1#JB zesH&e*^PmtQl>1C&Bu!vWiSRHPXNRX#k>rp#CCtYk7GlikMMFi9{DC)PiOH?evmLG z03bBEL>$D=@ob-Wx3?Fk-(*0*j7A}EARGYKRLTk@#qWA2@YsI@7T6jsRp|%|2`Q(s z0Lk%y77%1rt=8={8OI!&uht`!@5Ak(2TtC?G?bjhM%&k@WCp!HN##)lmicnE7&a=Q z@nnV&!dzQHZWf>SS1?Gpp2!TJxL90OaqB(6z7!NgIj;HI1;2ohGnRp}0}<&Plv$8wFIl^K8mgTy3K&W2i*dYm$D^ey-9*0chUHFx&@0n^fMmwF?NaL zZB-13BRA6rQp%2kPd{*n^s1pLUzGauzm}uNd+84{kz(wSw*Y)0 zJS5h!XlRbNve6YdQdl%8d@|GnNVqgxeULYl&wN!~N+p6Jn27qI9>|&VY9d2bErzLv zEhXCxnq}&}xQXK`^m_gYgwmeK1l+vV7xto~yJ$a&bu62NjSe^aHQ84NU@=m_RF2mw zze1+p>`w(p2BB?tPifiRoRLqu9-(Ck=H}+wY<3MdHe1)X2{iwfK+}V5bJ`v$?OBo1 z1JiIM26uz(LuCEjFsmxSI#KVT4;n+jOX9H)L|-MpTu=j1Bm!P{)*p@W6f*uPB{($i z@9(h*KzR^DnC8)Q zVRzt`;6*t6-aJ-+Uaiycev?yDmH=x7z_$QB$x9>`&zF^Q zhZB754{APo-&p%Sk`~6+Gq}(k_SGh6PV`xVR<}{m2LreVi>fp$RS%@68Hht}%=Ls0lsn@NKnZyH$k?Y&!>4dkUr^mzTv)KeHVNJeJjiL!% zG0tL}^DlYeNX7%t=Nrs_6veK|G>#!!n_rCRxl#a*Q65T6oBWztvyH{+LjMr^IW zVt_Ih#OH$=@N)@Zt>+4*pwk(=Ut{8Gb!ec5MNbZj%f)dIB{_9e8wwv{5MZaxQ z{*Tw&z94u+3Aj50AcAeK4ua#E>0Vvt>_-BOHgA^4!D%F*y)B1TWoD%iay(tkqMBWbyk{;pDXR1FP}r#9(u} zEH;S@34W69_s!8#kD|l=nCM~*iOnoTtEhf7K$svQwmZ1aW1TH#7L?}L-8AgNCNH%F z8VNS-QA|bq2|xrmb-(MVKiQ6!O3TWbpb25N_dR^uMsYzs#OKVOHPXrYBs(v`DiEkJ zFGb-%kOxhB^7&vyG>9&kO!S!P2U3g~CMfr}(urjsb*Kjnj zuyCLbY(*+3g;ZLKCGBUP5Sq-GY`({KG;~IX(JdNN{bJ?lhw*0s%H&_4j z1$TV$6_Tm;ZjVZV%xC;Zz`_}}H@N3E{J3e`YUx}V!G1qNdc-ZK|3hA*@9YHbzPXW9;1O4$45V-LxvBv zW_NN%2!?6sm@MaG#Kr1orEv7oE4b&qOP@Qny}_t>dTU8s0L9>QKgl?tw%r*Cb8tNZ zZs$Zq(w}gEXa)6z2$IU6{yuzV$AU1dk7s;@A4rO@R-x2sxF~%bPaz?aNQ2Q(xzW;Z zTXz0I5ZDn5p;E220|sO?mL$S`J3{U9aWr&&eNkYL8xLP`nV zz<27*3jCk&6Z?R_J;kffgf9p^@Y9Pb;)9sjARGa(UzZh<)nxa57X!*sy#msH)Eb1F z`erWVe6Daawouu9^Bh-FR=+`1c}{9BFl^ya*gt^Vp~}D0>4b)tj~E53GlU z@cxTOq<_fJo=2)7%1;mK!$yK#4;k59l;NVe!@cFVHIx&P0T1L4l~VBga>lqq^N442 zj!-5!g(^*!oCR|ggyXPDPCkl5*+Jz&H@k2n<-y~5f@_O}*pl@YFNTSj?SAfj_E=;C zW~YYDVAaqBs%yeI8r4YbZaN)y!#>)U$OOwK3(evbEj|&NaS2$veM+TuL@f;w%p>_@-4rK)A=T!|lX*!y=P@e)a{<7`NM+};i{?}teO zPC6t3-U2?Hw8d;5Bt~fN7xhld3bB#8*&g%^bEcfhEZ%m@dTN9Tkr6t7Jy#D8rQa=p zC5~PPT45e+fD&OC^qaK8Hqn1LWqvnN>e*nyNEBPGN_$6~&N##T{sjwyLt7}@pEE*C zHm~3?(1vnLXbK$-Z;+Q=D2O#D5m4WbqNprEC2WZ)NE|U}83ok4rAJP_VKCw$!d7gY zoz!oEm=2zIb?A+1{UVWW;ij^;V|=&}`ElsucOp7|nfL?5afWD~eS>j}v$k`-i>swi zoGsqk-EQm?!E7>2`5gh@HJCxr^}5`>7@lg246DqNlZ-;##9}b5&*q;yq9x<>+79p_`Uk;^?KWQt!bkD{N1dcXhm+yh)BbRXbb^e&oq1- z8XDT_#`qRyTpW)ETEDmCt-!jlknodB!R-BZVIE;ND{wahQmQltsquJ)JQ3IhJyi>N ztklCu>_FUpq31Hi#gMwM%hA}Y`A6J<7lb6CU<>OeR)D&rRL-7ozF4^i2=tPQ44_^G zV2tl=j->#coMl->m@$lANpUPNgQIG&;B6}DP`B_oVGR<<1;C>V%O5fkTj}bz`*`=l z6voL1oZHjtIy6`%e3-vpEG1gB2C=2E>^`=$-IV`g^q9zQDZU9ww3Q+R3w(!K2CMX} zR^iMHIC?afdEQd%5%-`XI`2D*d;4m+^z7rB54sVIm+%_WM@&{_C`&=$9hvP14Pjbl9g`@9cLSXb!+OJg|j{N`jb$SA0!@(!o!l@>2h zdg3a4&N|Zyhmt0eZL=KSFP?#`xa)__qg|Eh z^WJX<))7Czm+)44%_VQ25RTe>evxDZ+*L6x&?Kvjv2}XBiJcD%ne5G3_~myP4$O4r zs8DK2?=XL%Q;ST>ZHWazXZ)#B>PHMMxb7z$Ui4`n#uy@g74Ts#=`pC4Lr?etm=Y9S zvy3v*XAIz9NxTZ$81f-F3i%^L1{{CW@%KCc(27_k=m8=kVh%`HN%zpd#5ogqM)a>% z$AI_3dWR8BXv$GAW#E=SAn3F0gTuRAI_fHH5e*2nYOIc(Ur?dj|IRmpSG!d7c zC9&{%awRY{%$y55$L2`M!`$+-S!3W3qss91+Vc_DBxv}#ecWY%G-@aZ#JAq*L&Z8 zY4e8Vi|(xg$WoUBgX{1nscP`~s*CZ*&-mCDJ3cR4dzlPIKaySZrH)PiQtu2!GLOlk zOjJv&2%meGYE@J<9cq+J9*>o)C8=6lC(rek+VTX3(V|5LI0(j4b^Kus=O`wYG};U+ zP`Ly5s#mu$$(U1gh|$rlaq`5UTdz9G`z@YyaOx0 zAJUm_lm`6X{&)HH*1jNBW+WkpZB9I-OKhQZ3ZvhoqMZBHcJFr)I&@xu-r7XPt914s zW@HdvkAss2YERuO-roP*$6LjU@rF4);i(1b{DqZOioIp2pqKIhMT8|jcPT6lq##wP z9X-k+d`f$+YHF)o&m`bFc@GHIk3+kowgwWe_Wl`%y~SYKK~mNVJX&x|ucz()^`dnG(bC z%;x^?GV{;s{mtxFw8YrD?+&HX73TTs7V>C-R6IJPVE(F#dBtkYAp#-4!wzeA zAEdq9XI|Tkn8U(ycKK3D4Sr+-zH0(b9PTWb#�OTS|}hmhWkWOs}6$8y}Q)6jtva zG`JiPGVJbOCBC2Z_74M5n2`ixx{04(1SGLc2D=TUJz@~>IfIJ*cpWOsKHA;>@QuV} zL-Vu1^Z_A}PBCe3to8lW~1}>)_x3&dzuP_@-As0oNl=Fh7)!F`|*aNUOmdRUW9th+B~h zz(tan$b$i+GoYChncQmCW-DD@+@Ze#UR=}J+tp4#X&>0QR$U-qGXxQa`@7mI2xj;n z40`gc_09oc7@0DM(C2>1xnj8(XDC8#+ek%{)tw%<Z8u(H5q)?_Q=bvLhWu`^`QZA86H5tpA1otr9EB>Ps^5d6}W*Mn9l zri45od2gvIHmATk;dTi4wWsf^$NX;eV6ox=HJSKg(&OQHwM<3nv7uDyNZ@01F!i06m2um_brT z+*s(RV9C)LM3bq%)2H2nl8w4pL~#HBJfOsQzafpVA~p5do1Rc&04hF!WGWTQ(#hw5 zvSeKiS9^8R{DUi+EQ3p zZeEIuIsbDJ5-SczR*F|9g@t&80&6qgsZyU*Dv9hlEQ3inOm&Jk1S@Jx?SSgQu=uBu z4mF$Qp%6!?@TRVhD^xGI>R-VD8(I&M#sY!6+?-Nv+|CTulb$5Me)+;(*Lv|_cs_D*s?>La2$xVViiI&9O^DwJgMc)#>b;LMqdC#O-5z_e^?U~I(h z&R0aRKMSt=qddcBObtVzHC@I+{0s;d1`b3=a zHN#XxI1E8iRb8j)Q_w${ebv^*4mG{SAgqg^B15rrgDzWKwOw`24E|ny#+WX8Z+DU4C z~}IP_Q@5obe-lhIU}m!)*x^+9B5;i&14>)Wg&3Yt;Cu;1l*Pe9REyky7mW6+Xp_ ziO9^1X{F^b%Y)TZm{gGOX&YQA<^<`_!}*_ETCPbl5r<45)x!K>2&?}0m(uLCpvs@W z1YwBdI#cPxksL9yi2R(pYn*j;+Iv_`FZ69gdV0vyI84xMY1S=7usi)1)NC=1coiQ* z>*VFN{M2AcloT7pkgWZ36f|oBH2gg%Pd=3`Ri!jRb|)nFNNtm&f7BWS!2T+OM!h#H z(jW*)9grdM**uw0m=a+4EDwB1KLQ~*raogpsMg^DN0HH&XW0`nLyNT=p>)pkB1KZm z!ljoNGP(FkouembGd;le(4;+%Q>-$Twu?rNR?Ea!}%OEAH_BhoO-7F>A}ir<356VyE! z6Q00yKCwpNDUSaoGK)$?1~D=R zq4Yf^cyevesW=ZzyeSi_&8=2J)LAeBDJ=r?C0HU?m6@g{SlrEQaqc*Sflws2-&4X= z4A5&I$e1P7<*pIxSnsY8eTBePAex-JWNeWq4`1d3Ewrwr`m6s=#HR55>cGNOnFZ(_ zwmbH(=)YB9X;dp_lGy*&{dd*|Vq_g`jPF|S!8T7spbRig~xGJ@X z{CD%UR_0Wlou7T;SKvB1-m|;^i>R**tEzk6ra2tCySp2d?(XjH?w0OuK{^HL1_9|t zT0*)60qM^F*5`M z#OJRo2BHe!!E%#$@Hj0u3^%rYe9sqWbPd+jL9MuqBp5OGv7#|H;tOQ zP>k%I4u9LJdvEaYE8dp>{P^$7FoFssbGw<-Rww>Zhl|C;@L~OZ?a0hPmXsK1J^+BW z9exR+au&$#Va%oPL!gtoKQ1>>MMTfFb1Y2V`W8C;cvzhUwPQ%_Y2KxafIxv$Pg^I7 zIJ{8XFNH@dkv6U978x0-zzMQ=#EQ_O&gwef%%}FwX%+90?@9c3_^7@{F&<2(2j2E3 zu}r0x4`GS6nEx`{oXnUt3kNi&|3d7l=0F0EmIwt{sX0~kSQK7g%*%s0lS!&!&D842 zQLL_Y;1@ry_iXfV4>K>X=$^Ri=&MpmQlq;f{c^%$D_17CtTOAX0|CJE%h#u~oIL>W zV(#O!j1_^kDNw?3`*4?r>wl)Rv9i9&(}6@_R(J#fy!~Ez89&sex1gglL$)7J|YBH+|;g?SKkC&R)DO%r8C4 zQ=K{6uMtkAtA>Z4 zx~_*t{2=B%K&BR806u7|(vohIUc%@D?Jfu{D}W|Vu3NdOL(yrLz*2y5m(-of&IGH^ zDGq~ty5GI*5$58AB1BW$y#v2qjw4ZCUar94u?_I!Nc~S5Li^QDAm|E7{eUn*z^(P`*D*-mR8YRtXigatDVWd*MMlVaEWkNA05MwJ;BTiyq1VI}1x#YC# zN416;!|1=O5>= zI4CLdz{7+l0|w-?V_&HnE2^O3 zQMek4Pz|)x{({;6g`030J9-I`(a{y`eB2VH-e6}#iU>ibSPqJ;eDe`9z(R=&1a*xWPr7qK;>{0f@QwS+udE@9yqi=%j9MZ~y-N8*r_8Z-gxgFQcI_L=yYj%@~eBK|z@I<;x@eWPhLgZ&R+6p;4xOuBtz$_~x5sjL8cgB{G5O8>$UQ z;U`NCW}HE*=TDY!Crd9sg`t}-j1Q%V#4C*PlUt@;CR{?%{gL*wy|Yu_T)_yiabhCfkHcZ+xKU705V)QZZ}Gv&dj>R%y8!VPTBY0y4F)^asH0oJcwkytu+LfB zyzk$e{TEjx6p9T9B?y*v@uf{56=5|~3(-Rr3uhArnM)5OqVvdAT1q#tZ)GCK3h7rU zdsWw^6k^7W40s%n9MNyH3uoQH04CP|`ToKubQ%EiYy>|28NCE3ge9rP*Ecu6t40gu zJ+>cjjw$25Y91&ikYbM1LHymx{}Qa}a1zXctc#dhh*WBOqxj@O2n1S`N2riE1?<;; z6d|?=C$KBW%$l^x2N$vp^|BgKMmQP#Obim4xnJYR_E82bAEP28^L=(=MjP#Ze6h~= z9ey{X-RXUn*o=p)G%Jm)gb(;R<+AFxPVR{uOP6?fczOQ+xWeg77B2Iqtk9J8`g(LT zcJ?JB?#CEuAn&)jtkwt>g}?hm1tb!X3duAS{^@ba34IX7HC)P}eOKFSQ2X0pAp9YH zerIZZJqDrvpsuSH)SOgndalDu*d=tBG*_(zv_kP$S~)C#sAYt|rZtn3@rj;AEZVfd z{603SyMn^}7ydf5@R@Go1?6@K zUPvJdqQC$Zh$Ih2M??`p)w#Jad=j>%nNd+KYk@~Zv_OZE zz*0$1IS#Sn7Y@P`V5TDw{eDnhX@C%BPh2(NN51cKSp3iFuj~RhLG?(q1xcL274H*m(RI z6rrCZv?Q6uioV52#FoJQd>Bz_e*Z|q(6p-Xq42W@>ROA>%F)zmpgK`F+T2Rp-fiFJ zP5K!xFLC(4g{FuBugR&QR!H*Vl5n1y0*;x|&m#*`E#C^B- zjR4Vmz^Bjlt3lqNxT*)@x{c5weYZCgZw(B2`1NZ*hOPX62igIK3aIB#NcmCHCD7aQ zL-qM1^3P$~VerDzF{?X{k5?R!za4{7Vy6!g3W~@*JUnbD_eNbV_hf5ut6(iDhwK0p z5O5>mH1Y^lid!ntq&;HTu-E0a%XguV(9Cu0J0TGRU48Hy?_v9c@L!Vg$p9fRG8M|1 z;1U{}CGM>0^$O!{=el4xzsG(jB~d6A>jUJ{G}=yhtV|*fKQ)xgq@nt}q!uFwfp`0W zixUwENg{4Kx6ux)J+LQ}qRJ|+p!6O<&zEPRYmL~}2;|k${u7~2^CW1o^uRHQj#gg~ z87!$UybbvfCE6H*e>l!Epg0>nf+(%gD<*(N5enS^cb-{_>vn4;n4oaf*R&rll{h#t zLh{~CqC|eDJ8ctSX77p^{|@)j8Q&g=`hoDy*`2TRQK1URm}U7x<=_9?bM+`R3v!Yh)qmejV1kh(*b(s12uVXjv*XJ6RRY(J(gNgf8zhJ5ueb}uVBia-D@ z4>WAAubUGYGh)FM1m*zD`P8oEOJ+ku13*e0hiK7m5v|mi``QGLLNv3ll3XV9uad6p z`~ZaizX=@FCnbO;W=i@jCE0tZir+sDdc3Qht*9H*ppBY`^%OW-NbUgiQKFGVJx!YqFP z9$_j1mLWuo3XSG{hKcLq^IKh3G{uP~6M91sQ+-|!oyoXbEMnfKL{qy4W4 z3<<$Ru%Ro~@(xYve3VrQ1L4)^_M%3UZ82CNNvnn@5tvIMyFVU1W~Xv^QRd`_%6yGZ ztzR{m-Aeb~{LsepdIHeM0oEyif^%GH915SY<_5W#r*ze=AQ{dMHt3s1Y?@+7N?O3)UbqBo<|h@B|=Affy)FJf@KBh(ed*!cHWO zX07ivFWw7{ZfzB6DZK~aj##;uz#{?ovxRUSKz8G}u7?DlD_`>O6VshK_S=qw%EEg7 zyjkB2BXhoyN$dk!7J+{vJ?#~EUj4QX1yt1l9>d?Bjk3_g9?%mj|3UUIW`guW!-Chg zNcC^XmQ&!@{D6gz_oDP*hzaF{K&Zp0l+25!nzvUG@0+gEwSr+`h~F!;l(}q+?tN$d zI=$g3E$QjG>78J5#~BOGtXM`nfyL54F;r<_yd}^-Nr{H7r@+Btyp3$&m1v;Mtk9{K zpvQK{y#4D^3kbl$MonCw)~6`5Q)u5mV;&harz zJY2_VWoWT)DivZKb2<`+oiF#l-SY%_9=_FSc2nRy^(%q#QEv>Z4yG~&lBbpsoGGWI zT~~9fGL3N$tJEs_Q1QGuE>q77U_!P?yVe6ZEKk4uv0ACr(QR>D&18>!BLv{2%}}<9 z9y}RxfMXHKY))>RL5CZ+<4-Kwfh++grjw?X=HC^nB|z6QlB@%09)I(_k{XRBL(3K; zLj%EF!AoSZ+m~x5=Y+W=n(17{Dzwo^zNS5|?Dc$N-=$>bz&;!0vbh7&(GQ=IyTTH z$q2`7)h}hscx*ar9Bj>Mn<5G?SQ3HQ50@tq=QnI0`e)oMPmq6i`Rq$g)IQJF@1<)n z&1rl|Pgm{_!iEvM&WoC819EIjnF&KZaTLgkjGG(VBKGrVYdJaa&L4p2P;^C#4Ah3_ zSjG)z5q<>eh^VMZTU#c>cuHQbt{rasu_h#`si}kTI4ikGs8IMb7$6uZRay>;u+C)Z z`9pI^2*XcU5o{)+zv;?~#kf$D_|S157Zr^5@aE-+zz5r!@mJ$>sx%BjS?_nSUbn5t z*4wd5&n?Exit`wF7)I4VwcHGzHtRC=R08}h8!b*D{#B9v+Cvc)hr8%K_C^j#Y(wm;V`(dNUDfF^xXMwx9)D2;Gk zPVm6^jCZ3EAH*L=;eUIwrH(=>Z!qw#;?&dYE#m~? zlYDqm4)7v}4ioXYBS#O9-ptydsozcNV~oslrjpe}N@a2j*hrs_g0#?$7tG+r|)3DfBSFHz$h4pQ2Z2#nDz^ zZRtbWQ7`pc`853*qOqk*Q4f!FWdQ3N-Q9}DT!oyqi+g>nIHq~us2J8Po8=rBCh-z`MOkZES@Aq z$Ax;h(&xXn#iXT$=kaB!d>UX4g~ua9k>9tmCH?aJ!k58er@ipqf0-_T8c<3>7Q^uN zzRTvc2DA)#d3dy{55DJk&lXF@Hvkt=B0dipHatAy@odh6sXYFr8hv;{d7#x?Lul)Uo?aHlSPWfjQ9j2`Z#*eIV(ilu|P^S48^L*~wPPpF~(83Fn zlam3|0f73H*})A8(%08_VJwkO!a;&N^f}b62O%KzL7?wZ{e2+|m1zN36~KgyS-%w~ ztnMlqn5=Ct0qD^R?bH-2JB~6 znjL;sEjfSTK0VrK46**qlaV_fnnkBy*7TR;m0phf_+g|$v^XD$Hu1tSn=!UlNqsMqLmr$~vK0^O8o zu1GCQpvh9tKUwJ{a1Wp2=M1{u&~=`-0H@4MuL+R0H|+sW5dXu z%M+LinAmZ_W@OP`US59UbtZ8FlpH~&3gp0R+|hnVQl+;Sq2`gOW5&_iucHX&j8#VW zvF9{TdT*R~*X&jl3-iFzQ7UPf*c;xo?JqRmzdX!oo1JwFWcWYwR%_Xs!$DRDIpC#VPIW|U*W={mx1+&MQ;EM!ucpuq}nigV;x-=H5xmD-# z1`73L^!twaVfWYDh^A7;$$ba5tf1VL^!i?34b|=_Fz>fMB<91hi~-0q%;a5efz^FaQTG_um9^wa8e)jNpdb zz&vdLJ*F>s?s%zAbo{RXCzv3dmogIHQ)JYIESV!hgbYolqS%7IAL{{&rbG$rz;CaW z2JEd(cgam(zJGrn7hpA5QCup%eX`T{yhVao`oPw7gijit6_vE)u#q5(dDbA_pID&j zRs21Vaip#U-eT(6uy+o5V(Ep3pboAEg?VXh>So%dtTDVZuDwNE)gYlJ+mH1{8S$W|NJK;goq!z>1!{ua*OPP9Kyg?!A4 zYzF}eAVArt1>j5rN^T5lrJ!(f07)mYj@M~pf2dLq%Yfm4h3quY-%sWSs9;S1{UybXF6Y$l2pS%_SC#W}pr8A~K9t?dVE|0{OcH<)x2q2H>au z{q$1Q|D84{b-GJpo$P*6&${gDilkSQ$4FPa&lQDSDcp2km$GPqpJ9eI_+s^lV!P;S z6398SvL($=ht8g-8>qtH$1Mdv^_rkmpzTiuuCKnS44wj1Z}*SYv+?y0yBpAh$HAh9 zCmWL@tVxlcxyOsuaB97*CS{|g(ZRH*Uu*nDDVuE!;0+?rTOe~%GYGvV^}mv!kB%#V zgWr?+6mF3#=XO-+Zg%aG;h`ZKLSA zhMygH@DGbPmlEbqvA)9VljW~6MWK4A(2%o*4MMB8;V)?l;1ILa0)e<)q+Nj|=^PVw zAhMxy!QhyvNl<3o-~068iD;-5iA4a&WN8T*qggg($bCp&OrJ)Zo89NYmq$}J_yGxk zrm82&$^80_RENm+R%hG^+eZ!1v9r>{$d6T8Ns4M(W-ep_^!#o|hcA@K%A;Uf}e8&_er_~bvZYcE{ z{Im$d?O*jKEq`K#4`T0ag65(DU*7n&d@*(18`Rx<8gB}5+U!P6!&)N9fPvmRQiBD5 zjJ{I6G}xX$U>zCifr?Y@=n6Y;Njbk=|CLt+$75b7MJ3S;!(YfrCKIB`tl;>A6uy>G zXD#Sl=QvsT?2WpdvMRy(_(ydnJtojT&&~bbSFh}*#^Lsn9lGpBeYBPhi5lUJ3>3bg zT=1fOwui>j$3H`(gMrIxgoR5++82Y@xjry3Fg|_+K*&G69|tb+S&Q@r?Q8+cd-{K& zFGVCLp_wqN>|dY*2LVHOE*({fa(@@xLv_72C+2_wvVT#9ZmfR|PL%q1?}D8n?Ha7m zlqUZXZ}fA8MP6jo?&zbh^w`IMG6dlO>7i|Vg(t0HT-bf3%-JDDn0{w})Pf!lxf#AP(YeR?jN@>Z5rM1OTf1LfS2B*lL%tAi9S60N5)F{V%&>8*ot z)pk*Z95KE3QaA+clbDbiGr5n+q($mXLS#wvb|nJ?0|Cj#NK$4lrux)8Knl#mgNvZu z0-UQ`FA{hKjE~r50h>KZJQ9RW2+-cYdn5yUw`7C(bKX+l*o$7cwF!8k%X07y9Bd;kS zttFz7N<0KBT-@9Y?Il`?_l3eXh}fZ4I~sTS6t%Fwe~<6Q%w{*1LJ1$vz^Gk|<%7no z4Tnk+&x}WAcLPgD;R7-k@`1>ud0rLyZ?D}OAS_VFvy-e+c*Rb_d=3-ul%bU&-huMZ zzNAC`D4wFFXa7K27M12dK5iby-FL4#7^#~{SDlR@eKC;wFd2=m0a7-mWc)Ny#Ac?+ z1l6CgdY7!f1{C$OC2&Ln805|}Pq~??pTN`TmQbhWW~tC^y0 zIGu4eh)i6sG?VAgJ2Wo=HNU$(3#MepfSX}bziwf35=%6i2$ATn0QZSkOhf&*3QcKr zVT4Q=3t}1RVKX4@1J`v#9Hw3<`~iUS*6urBs1M}-_w%^D>RJU82pAtzwkS7`kB(w< z&6!NH)Bsu?&{9DZh(2x2x&Q=;6?49}w+FxUv88l&`U7=f4!1p=mgGH-hy@t9{Gw5z zMATVqd&?oa?MOW~`StA)6A6V{D;A45x+t4-9EI=Nnw54%&?l1#)Fc!qOZx%#KFvCK zC}U<=oc---(y>v{zrv~Y2_!zDS+VN{MzRiA^+!M5cvXFkfhs`Wsb20{>`&~M&ud%4$g`SarhW}d6h zT2xK$Xv>Hs(Ncb=-`j`iiD*n(-#f~V;QL0qEE2aRYqwPUa=OkB-4ba1O(Y-=sJ{FG1EiGSfWljwa(S}# zzXjBoi&Y-Us({`Cv184LB5$kQ!+@h;wzbq?cR zQ=)%gM@r|=gm4Dwc6utWFLo2Qkg9acYCjz@kN7-=$)-fHOkccq$bR@(wEJSmb2d9@ zUMSAoW|z<@k_iPFr$xk#voH5?boq=WL`0-~x~oT>_O;3j0FSBFQvn|CkWf&&JTgDf z>Gke=fOZBE;yD2wSU`j%RKZe4GBh+4Lgq*Ug9SoFU=7GoAESQD$gg~_;9O1J^%n<8sp`%|*W zhKjpHWv{0N-E@p#3pZPAcBZ5hFyb|%;lyCdTUP^xC$F3KNE=3h%>jyBJbQ;Sa|O;G z=^LxIQcXgO;g?=UDwbEz`!V-W$agOH3nPPrk)C-I{ONOcA`(;I65`lgsD?L7DbI-m zXkM?+>vT$q$zLBHYdVuign!(9+;;j-C^Cy#@9}c`L0aUaLAR?k5<{x_Qb@_@d#BB> zuIT)Kp3Px2qRw8M9jW%1IL!k+tCY z5PWd13XEj0Jx-S_K#6NPI@|1t3SkL^4G$s)N&LMlU*>5Ri)mc)TzS$lh-1UWkL0+l zAXh8OY-~HF;{+sWT7hK;mz-qkSU)IdKM#VwN`#nmoYH-Rh$^{jK=SOL{N)7NlQ`}K z$;H}b5P7nZNh=w*2M~kPTjDOE#h+jdzkWUTMmD|h*!0c_-cP5>VbeM zdACGa;`wr4{+!;<9=icV|Ci35;u2q(J2)tz!A1cS1BOdH*C=+Z5$fHB9S{Y$=dgc@ z19=<(W@B|r3wM8(q~3us7>eB#Xh#HCKcEW-2xGa=1(*7}zQ=;LgdM+P-BF#Z!Jqe$ zQ7ph3zR#rKL%_l`b1E&?{e&e%KE(PdAf4#h;2?h5FgY>;;$`S-vfzi#mNed89E;+! z&>x7OD;G z8o_}0;YqUv(s9*FxZeZkqu12z$RIPn_yOzcImc^@&P6`4MwiXDoRVd~cXii?J0SxN zv`6SRf?=J(hYC|n>)13=d@xM^R6QrL{sJ~`C^di^K*+&hBO~KZO>GveF*i3yzre)9 z0|{eEJUgs3O3p+8M}PI_&*7n=ke_JR&>Dy+eFEre$pCE6-r2dwVhm$JG^j$*>4It$ zwf~PvY3Ng^@9fA_uzR0KGM)g;1`HFDc{PA31r($D;zXJ$oSujOmy3q_4^-Oo8Yw&O zcGRf@T*1@MuxvuOD<)6aRy(Sb6@B>SGxQTwX3wZ}tmB)BL0G$rB(5hB$Og~zeS(8E zXjaSy$VL$2EPM|UR>bg6N(75vWCbgD3YQ=fF85aA9^`p@Gc{}1S6gY3_!4=(A03S4 zA;L1+%kH39^_86A-8YGRhrGfvFrgEv3|7=>cAGyAZxi!_cITTvU^Z@Y;UnQ@RxGpf z`~&_K#W2z$RrYw{l5C_q>Vk~`0`;2h4ktYN zpxLPT-JT%8!R!qIlS%YkrnBFRHH79!k#%M#4MM%^w*X3}&~R;SO(Y%Y8o;5V0jwk< zqUx1MQ_}c{Enh&!VWvu(brwxK5nylb^c9zskUK+Gg}z}Q12=$NF55XEC5Agg|BEqD zqVa4z1>&kyb{c+^uGzJ3ue_$cBQT3$^b*e%=Oxvn)7RU|^XDJ*6L9?4@T2;RJpKI6LhQWUupNs4W8<59^}N!ywhXP5-**FEpEh+)>udWOyQju*udF6r;6Euj2R@=Dhr@{0@2p+6vl7#K9ZrD(a% zRlGv`dExDIOKZ$mq%hkrj_*%QeGtuwoNj zZJ^Nr`F2RI^dEZYVTvm{ z{47A^ruLLG@Y{-_Q>s7a52^?*mhyKz71@*DTkWL?%oUG#v|%ig6C2*cg;v9ca5LL* z>zkHArE` zz2UyO_u)y1)QIK4JMbW2x}XbkBkHVxO#$S3bl-69F7ILGdsO+ke;Qs4!6pI?N+e2Y zMx${Hk!=WuUJ^p-H(4NE#7zuk=41s%a%0jm3!9`w@{!pXNGcuIEhGS^Z5nePW51%! z*R|{ck6$n*ux?Ryk8NdbHW^TF1Wl zKhzm4a=kt|&PssTIeqC=!jrQo!qK`UlpJnmGz5pG(LN%d686iZhr!&xo0OqKSt4tv zM^i^{79@M2txt4|DY}7tczvj)kZGBnmW$df1peIU@q$r0QHiV4=+j9PVcqNb{c=C& zOB`+jcea_(%UF(3;QEgQ|EZFsf~moWu=UUKZARYTKj}Na4wMs3XE%~rErzpl3(?;_ z?|;sD=BRtQ?rrJ}TDV3eS5hEG5tC6s7Xv|*_DmI(Y-k9+F7e;>xUf^MRSv3o&CUA; zC*iC6>ediJ_kMlI+|%L+$|0vCD;Gn|a+ z=b`TT`H`T5pDo63bH*=+op0?2zoU$wlcaA0?o#02Uh`?3oj}`HcWZB3QzM_G(tzI@ zDsy#c@B|$xllY%A`uFrS;jcZvOglTT`keuyfR=Ce!s{Mazr>wM5X7R8HU}CoU|DJR77uMcA_l{qSXG(FZSXGgIu-we(FRVRjq?^s_c2u{@AM*<;=zb zBRge#xQ)fd0@=kqs^SgRmF@V#f=ZKX&|<}d&8xlv6E|e;ow0d181_SLG82S2Pr#GN!Qpr{d@&(on zW+u>P)q}S8JqPSB?&KJ*ZRBDti!hJsWmH&eLhnC2*UeH92p$}g=Drl?B&1FST-}U6 zR49J&kJZk3=abm}mdZ6cAmYv`6dI12{)0KV(D%{0{E@Lj0z__=_>KMHNX0Hu%nZ=l zHEGqvEj%cP2&b6jM$knbawB1c6OLm|F4!^F<%Ja5>JQ&c>dTh zys(C!Z~_jrWlTgNq}w8aCt|3UX`K72(<6jaz}B$3N&C(hfe8hw2m<;JJQIf!Ij+3XHoTl6%47g77JD_uT!m` zW{kRf+3Fg30`}{=`~5>ue!xw{Im>@vq&3t|I%D}Lk3JC{KNQx_rwv_?BYQ$~gJ9Az zi7DOw%1&t1mQKJ_&cO$HXo7kzEE4`+!d|BHAa}jD(?3~%0KtfiMP9K=nc==rjHME9 zO?y;$|GRd+{pD=r6@VJSw?^$bU!LUup!Q!R60vkNH>;S!YU^!qi1Pk;p5=b(qS8&7 znzR%05Q9w+o8dei@B7_iFEw?6>JV$ooXP5o0XEx2L4<#a2?Rz(u)v%+D%!ZTzsuRY z^Pjivg^-U)%0vR5W_;Ih$#>_h&CGSun7W>t|Tq#foR7Eb&$|19RW!rrE-O?UCP`4gO=yhA0EYoJ@ zdgUr2d5f8t@=BsDNAm(5dql0wTMz@iy#_#E#6~$KLF8NcZndOjI9pQJiy8=0*AS_2 zEk8R3SW#HN`%DDZZ)UKwhq7W>7p2ipXq=OGsB#3-Ww#KW{9OOFUMpv4Wiod0g5+cU zqW|~vKrw(|ZAuDrFc?wczJeEfM*RTG-neSHojwUa3m)9)%zUMTzP0O>(Ui1cnxddN zp)gA*Rkg-5q93(r-J_hiba>x?H@JxRwxirAirS zv#DrLzLti~)6AM3B<+yVl}Xx8_Szz!E>8I~ORVbv*%%rrOkQ73wOHI=g%if5R5wRbMr z(p%S1dJ0kD&+o!U@uY8y4F=lP_PMa4&}}1`fF4hWgX$5LS;tG-{voU%BIr+_NLdZ` z-%tIQTW^$}MHClMd58D~aF}?A;H<}COI=uzb`1Nu(&O_}b1g@!#0%KKLp$@XvA||@ zl809xo=`-NS>3()2|m_fZbyl`G;YEqsqj@cCkH_!a9|AU1#%gch=qALeGdm0wlUK_ z3)3bk!-k?=0$G{v1Gv{pBVCq8>itbmt?w6<)DMd-6VL%W!3H^<)4l!y?T|vNwI9=- zza|<;TI_nd>xkjBdq+#6 z{H;N}Xs7)EQP5s?KkA9O2fo%ZlH01EtV6liXGX}qa1(yuxfJCC?GwaasLdA! zbq^jA9zc5Nx5F2qqElYs8n$094QJMX51`4z@jCakS^eImrH5Hi%Km#O1YhAYmP!s| z|0TXG=vSnVdKV6AC^oI^wwcOj3N9BCcG-Q{-Yz+ge?6jtXBo2abG;c_6-(KYP9HrG$obDk=2Ztl9-=)V3by|mXC4HrLGfi z-czrc@62yEq#v@@s_dmx0)BHVsH3!&4l7S$JwU#X8^?B!niiJ)S6&$n<3SD)#E#@p zoTEz_%^E*#{D!CwK#gYF(eFA%y))Xz4)Dp;YM0E5C{<+PObKAuLd%XY0gMLu@*?~h z8ea4@^9YgjgfIdNg{0$q4jN~afn<1JrVaP62BIn+tX;02S81o`3!QH4Wv5IPr74HA z#&=DUiTD!?bAKPt;FKX|TA^u$#>d#==|$Fm7c~#On_g z4cHE6&M7c?deSZKFJ>h1kbfr`6mIl`ryM8wDTKJXDK^9d4jEdN&&CQf&UvL|+8>IS zBR{SZZ|j0yYA;oRwz{P?gOOGOhZ^Xp4DrD2+Cj#C`RzlwXw+SSY9QWiFWX(OHuLT- zx}zjctOqE;Da~0^rBTUj0XA@_<0FjbN}JEAV}nm6a5hyU^#Voy zW<-Fyl=`nOf;hVkPGXo^z~g&Ov)bTmr9E)txy&<)pZ^5+24AGU}g7# z*wNdGx8^3ZfaPX+yoXb%L|d-j`xtS2qE>TaDQJG)BcL!2O;0aqJvC}`sQq6gGMzy) zqsqkuavaD?L!6xQ@S2YwWQ8BoC#D8dq(rw^8;v@4OE8_0ckJ97I+TB1#9@D6-O)0+ z>E%b>lc=9~D~*Wt>|k#l#AqhnOiF4_YdoRrQt-v}yv*5|*5^a{0X8mb`KWd>N3S#G<8M z+b_Ph^mLzoQ5h*w7UHCm8wViC+BJGNGvcU<3JNfZDu1m9$W~%VKFUVQPp6PN#F#$h zR+`}Ja-}5W~}fyANh$)qV9R)n5vbl0JwKFh|7A#c$o)K@@*q z73ext@U}u4bg9gn5Fa1jCkk@CTO=;})cIOFK8mev;JUlYq*G6}2gqD2a{h`W%wR+mF$zTem2zI7cg5tL7G{ zsPx3*$XN|C1A)si?|JxmshDI@{`v9rC?`VZws1ZKl#ZW#T87HYatEo{4_!YM+V>G% zD`Efez&(`a2H{MSt^@bSUstm&q+s7b?*Zvg?8cA%j+~sFrZ#9|0&hDL#;?P|5#Ue1 z6H-)0S^?O#^Jd_2O`8`QhZkV#7T*D|WX1UbGrk`4k;FgF?yHV%*}4_xR#v}&Zj9nC zAmC6$^sj6Z;YuHWZZ=Zif&%YQ{vh5lDMfr4Op%CgKx;tJQ_wX+ONN9UbM3AO_aXqx zSlSrzMa40}>EVrrU2FE@v(9p?8Bn#YS1r_uC{xJIWYABlq3DGS9t1QTlzs5OJ+&uT za(oxd;TN*H;2@pmT917ao`#bXAM-<8c_tkurCeh>c9GT_R+Sfiga3`~wbGV9~0 z0qs-EfPiOU-tN8TDs3|YG*Sr>e#M{9-Z#B#`)Gzj^v>mnrZ%8Ba{BG*6cPJhMik{r z|HARbKs0!t8ivy-hep#R3q)6X*q9P4>{~XF$M+k-%pvyTJa-TuSz&7HtGLh}{Hxe~ zTWI^A!VIIZH)oIYYKXT*>?+#V?lTF9k3@*tKot+#AnTMw3aN|b*A_0g_xoE+kaNSvvZJe2kwB0QS7x6)=$ev)K~_Kwk)X96&!#);sS2a>t1}s?fie^YQM} z7Gmll)otH*#}^w(dfN_0X@_)>^5VEQY*!j9Oe-3gNur&eH*PKC2NoJjfhKo8s~)HNa}HoK3w7K8!0zscIEFWTb`vO+ zkPu|cWq==UFl{<~`qvO1HSSkBF!kXTr&yB-SwVzuDfJ*946WEDLGBL6!XSUEta7-@ zP_$Th<|>{y3_7_Rod>hsCy1>zJ#jG=#N0!yYnmM|6f*Zo-;x>iYXOWuZ&rsvirao} z?^0ZE7qYKV-FyQU+yjhG;-7BQKk!~TuOMMFKWa2d-N2(B3R><|q@3M4Z+_JUR34Dp zh5dNWZUg+=#<7Pi`CIK{iomt|)lZ#Xc2E6Re|n$ThWWg0I9;!w0?i`@&wl*;DTphc zP*8QXlyYW*rNugAXwdBCO|Mn0*%W!!ee-i6req_iQ~CH;!b}MPJvll1h)?U(QXH?q zF!W@BFzHuRT-=X9bkcpw5mB8hkw%?*$YM9gn!pe|-01GCsyYS`iX0pqCZnMw#>SgK z;93dy_3-;zl=IXQ}d-3Q6TMOoBTRAvDA6#yIgd`6Fv zs*nOeT(^FmsI)K77$_RQSXe!cYD)bUUzM7(!}4?siSwe0e^iOLm6yaLe-8u}gjo+{~QjUxw%Hh)*c3#YK*yBti%_DhBghH8U%=K2e5A;vF`gIj@+m_mvA*hD>n zs`Cd&M~aYu;73ZFRjc#7Lj4dkzJNJYq#l>8$$Afm$K#h7{F~zigLf0oA0)`|(%32C z9CbJjY}_=$zH*}n`~O@V9n1o;(c>8s5Cv2EYItI{)v9*uox~OvEJl96B)#g}3go0o z+ITj!xo-6RQQ(RjMomT4`bUU}&{-rWa_f&jitsQrAkGh;PjAz8InH=at;#1VE0P%s zw?K`6fWY^WVW9{ZHAK1tSZFvoSiKB@VEI#`xSw5yXyNd$2OaWD$Ydc9v`s63_Ym~@ z@OdsqP3RC1T5nA}S#5K+va+(UDDCNa0~kVoeP#g~TOPl+R+ScV$^YJr0hqVH%*81+ zu^|}U(~FKx5VOPFLD;q|#MUZGReyek-Hff|4kLo0^jX3tIv(|Rz5Fp_c;h@5Vc#J1 z{01Yq-4rFF%FE4=2pm+ZH)>V*_We-0SkZ@Ur6>F-)zT(pamcx3($k(1IMR%m8BTa4&&Q@kS8aTdr(oC5>g@AfNAUb%k7h+V~l}Zzg{d;lA@bSdnmX z6_6KfvP`4={aL7>VQXDAjn^T5WP7#6Dfabi%a>VUtwH!(!uN+wcAQ)t>+>Fa`MG z^~LDSvzwZl{;1N1FDR~muNPc^h=*gN36PsZ#LW=TIg*zF$-}bp@~@rpw_2(6z|084 z4qN8kLAZ6fWiB12cnWp1mgHJQxj-~{%ri`mzC#OUmnvpap1(skZ>kFqAN+mQGi8iZMT=pIf6z$e8VStGLWOUxuVENN*0O_xAv^%a z0qz{?OI+9EQB_u_{ZcI;JcgBNG~6gNhtBCiMjm2_NC2RA=oV6u0MAxHJQ?uFEz~<% z{SMgV<}4#VNYIgB;o(Im0I>NV)w-M-mQvr;yu4Z!yTYl|seqq%>=h*9{*@e>RoYT` z<8}#lc0^h98SvDZMULtces07&4O7~LF}nG;f=tHC^O6VQSZ0e5Z=BR%_CLZUmZ=lUD+968uPs# zt-es#z`hnUMel$xqmA)hfn4^oWMoCJ@CXoB2w zRz(>9?YX1moD>(=dillUTnju=7R*i`D=W(`B@X`enZ`b=RmT%lk=j~?=U7E&*Tj=- zx`nG}1a~&9RmqZC6^)y8t5qoKE!urlT2|oR3mB@#)3YCl=>x6}l{mX}-zP&dJJH6g z`A0o>3&K8A-1;Lf$OQ{vr40h@@iv23b?sg>>OdqkEfEFV{GX!&ChEVzT%#R7^%LWa zHpm3cJ{_(R4Xo`r?agv>cDp-#I=Lccx%~0xR(zG3vraC}uqJB0(^KfzOG4hNMAzDF z;=4aV0s)C8l0eEN^zKB%^3a-V<2S~_mR~!E3~MGtOX3NCgWJ>o_xFD41?XK&j0o1T z0@KBEIq-1Fzq}2CKI|m4irL9UMU6qe;I94ve}C4I+?`IZUq%`l>1r&xZ(|Xvbf5;K zuZXpl;E4bxZaXrBL_}X~muOsjz?AK~4f8xwR8%<>no@~=b#_s@oy0b{o+pe{|+`m1!{)*5z0 zUN>%aYjJd}S*(PjeU3!58up$h6q$}-y0JNveTEUy{#ky5T64`@9VA*{C~M*D?Tb29QnJ8+3D!o~0fV8!wnjuDEh=W-j}@Hw1J zO5yw9NznpygqX$4e4}kNWVtLSbD@lT(cxB$fbFDwC5)<^P~{XghL?nXc2>o_f2^te z$nH+}E8lx4biQc*jhS_$_(u!?Epy$yc>+I|kaJXA>=_b}j~9C~>r?dLXkrwF@`7%C z5gY8e0q6HqFyFOLvNw4cLRm{?QaH5gZ8n}Oqe*HhIzFqL)KK)PnrgHpz<8Y)jZ#pM zNH>I9KHdBMeogbZL9ZS040{yM6HLxdrRH&Wk)5Ca27Y#H)E7uRA+}?TiG?M$2Y!(S zf5b9k!Q}Z5svSoZmdswoT8k~Ug{&0V99>PX@eO5_uNJqLutATkoBsXLuffX5elS2Q zuU9N=vd&ZzCxIkU+Rsv2=a#@kkx>CbnG@DgUD4LLQy!YfF@r)nm#3gYtZ4iTOOuaY z61TqxCXG}duhf_PYEkxl166K3lsOzFgDDY|Q49SW;k@xs0WZ1zkFp|{-`|Ix-xi*K zh6>169J?6LtaN7v;a0VWf*t*3*w~uGCiz1dmV^`$8emVAHyzB=K#;2gW~+1_{=kY@jEg&BLJ0eGyj~ff$ zT=oir7P4X@fsn_fB-Bp$3mkV)!N9Nr^SgK?g0IwS&-p$@KwD=@XfG ziaX5Vn(&|SaAr`0ShqxoUt899KZs41u?+t4dImUgPJ_hLocW9^=M;pEVnZbW3)Y2y zq+FC$safsUY7+&#@znx@_94DOwqjm;DKwW#5zAK=_qNoMmahZBz8{h+;7Cxh06J3U z-SyPVT9{+e4xEZd!8&N|5Wj|fkd*~fhn(;2g{lc~yUE1kg>+SK{~cg2A|gUPBwLg2 z0H_`l41DtjSdIFC2QrsqQ2+0^2+FEVZRGH4Q)H}rDXV>~&sI9okK$ocy_{zSyt!qVOb=dzFV+iNRFGeRrrJ*56Dm>8ecElEI5fIS z?TbhZci*~A%?0Hu^Zy*GnL3i4|F*l#*rpelEW3_+I`{?yL&qKN)rK#ww^X@8J_P#} zwGZ#FF33*n6Sy4>QdcCvk`%o4gKt{8#0;-YQsxtbO)@6{3#-iHMd!A5z7`r5c2EYV z!r#@^b#QQSr+M$_sKaJ~;>2KlaU9&eg!KyM;dEg!T{x8cU$_mOT`C!Y>unL_!{nwWt`eU?88{K2gzZ1rm^KpZ^qJK4Rlh`vqWgZ$FSaD zltRgwyC&cFIC|n$&ZH;|Icx4uo*%kEG*HR2;pJFV0f+rdvlz?~>pWPzB)^{GCI+|II^p8~`F0@T?f2{n=bwTV_Pd1%{6PaXtDK zbHYAot?A0V9VT8E=bc^#gsm0sHK{+K1HX57NlhEC)GzWF2VHKo$R*+OXELhh8_0-@ zQ?OD@E;{=bU$Dh1CxU(CUk;6IFqM(Z?D@*4&v7Rm^+ zL9gvs$H@`1S>IUL-WCD^5y1Uwl?JsMHG{vwwXc_#m(rBnT)l%o=>N-GP2!ty7xdlM zk_jTTteusnFkNt6>|gNQxzSsTN+dvXM=B$n%2FXq-Dp^~D6=TnpuH&eX}N{rsKZ%= zi0JFu(R_7$$8I5;E^gvMm|0Qy5|iG#mVHYgbck8(l1gGYTsoJ zT)?l%6SbgwXA^M}ai${17b-#}&Bp129dQrTBkZtWx_OUUVlkfb*bU8)O5+v%| z>f#~0_^2>=9FRlw`3^ML4SpN>$K`FgsV^`m=u@zPBv`(_Qw`x?Wt>ZP4`ihHEYeR^ z<;lJwNX+bmIitirs%4*I0RYe*6W|vY@9*zOE1vlH_{RR%FeIww_@TJBYAp@JMg0F@cRA`vLwl9ZrwH3C_GxA*3RkRYOtNWe z5-HSZ3W)UwiX1VO367E z8d@b9rZu73qB>W5PpD*JC_P(8TLU{?&@gUog(cAzK4N;>qW%_PQEmo^&-SDgHF0P% zAeTu7mMG2cbSKKfXnWLj&c&baZ>?rPVZwuo83a?5y9FXrqI z-d&3E#S!#umqN1{tBUPbF)Aku(jc+^0pwBVd*p9Nr}arfo*Tl|#&>zIq8AUleoY=T zk7)tYXTzzPrM~p=aK^OwspmO_3WPfv*@Kvbgm$b*Dl+ONI15BUC0JA<_(omc*-(_` z<~^e(6NqV>5`72}Gg|%QG18z5Fyj=XUQ={d)*86;cGt=8_GkvEUI~7FT0S1cM>VbS zITKuLF@df9>5QDpz{;i=PCd$>O#$M>G1W>EkFWUQs~!n^k9g*G-^-}YjbQ}h#l{kj z;5zw`z|{6=x!OomM=40}C4pWQXGA-F$r&tica+Z&NpsBGx`{KX^mARK%2Mun&f40N zS~S}dzom{WoUTC2t3=|DHSW#1(&fBR6NXHCN4!_C}GDmXj66Rk_GK-N&B`*kxWzO~P=S8tdMoW`M6 z76nqgB3e;Hn>^Jn8Tsx((fu5U1EEN?B!PcQRZ&q zv!q;1Hm102JNUI4(S35Np>SQX2j%0s)+>8#7X^Mrh$Latmh#nx&7nlx z!SNey7hhqflGkNQ(OYdM%Xl8M)-V@1054MnZMhv+wuqz zmESbu3$aP8c~}Tz^IRsS2xhN){Ag5QL{Dxe4^O^K+K%S1w{)J!xMPYatLkE_-!LT3 z6mIcw9^iJSCTeRQk__!(k)W$z(|s9xhcTm$L$DQC28UeDirOco_selwR}egD#c6VA z#poU5KI79MJ0VK2r~aEmc!iCUW=_yI7#qJYUfsXn`*6VL+kHRBrLPQ+29k$^Wb!U* zQFN!SVrb?%Akq|kBf!td4tn{DJL>3h&rTVmqeX{>^(gi-yf?FoA` zbt!*1&6!}ddLKbeew?W~b|+E&5T`Ah(iL^y{oWhjQY_5rHGT(SXwEh!!d-?No zl?FDC=04D2D9xF7c7!0%uWq%A#jqG0J08{gq7A z##_Tn27@WPbQ~j;!Gt*C1_RN3)5{b_R0Z2IZr-}W>PEVcolS#vRnJd=WVFO29)4<; zgD1wEpNXU+QC=U7gWk`VZowTjMnTW5e_nsjoWfvIRD!!ph&!%RNiAs%Sf%FM$M`R@ zD{xahCd^x>>&KybJS@X&W5-E6wVh6lhcIjudr6g}cIRQLs^R05OjS)z=_g+F-68!p z+&@4DfGTvdWIsGT5K7tkD8_I*bmW7Ez$~gFnLI!Ie_zggRGEuG7x#VyPtWRm896<~ z_-F9}CP}1v|H&D$b~uM>n})I0a^@rjU2psk*leF_V zr#Z(|IjWO9gX9sS_4*QI#MQFSI^Z-q&Bo z1%+7T;a5M8MTEZ!{v-2hQk+c43F1KQeKXTj-G)rF?nn<9yHx|1Iz*DDg`Y|4D#qLq zXzTqH>$j+cs&$BcdUYj?h)|0Ys#AD4h#c#;+6Q-}Tb`7i%pV#J3G1RN>fmadd|jg; z+tLE>`U{1B^!|SNp)v=ilEU;4eEA*0BZd0cnohU(z5JjTs5H|w2F}}w)pm0SdOy?8 zqUkhIpG+cqXhMet+)H})>n0VSQMoDUqJ2miUvWl!-fVJK<_UGoxzX(i9>Sy%+#$pt7KeePl zCi&OYGG%Zq0ku(TmU2SoS)|6CCaOA~<)Sm!)-n32A@vuu%DiT1Pnr{9lo{02&v zp=8%ndNTU#zWOzzK^w*r{&)Z{-|+~eD;o!dR?9ihR1b>uTcf$<4&4+?>=*N@vDalq_+;Qq1{u(zV1t^mo|_Us1U z4<^g+Ycb7q($a6%a&y%tj>ZR@G{;^f2(aAL(xrCo)t0n3MRC(1%7)->NF)<*&@MQ~ zV0CDGyPJQTj^QIn4clB7%-%42QJLE`xlp5uj>`4qA-Gy*goz`Bu`$I6#4-rRsU)-& zo-6#j_Fo-ZPMuY29aK}F0R*aMFekVJC*dCqgpb5gH5#zrkn7gmmB z&5RX%g44ksVcM0tB#K*m(LK6pp4t#K;6AiabW#;P?U9MA=e#1x-JCRhrFcYJXAwoJ zWz4@*2Vc}bE)w3ut$p;qw$)YbShw-+7bUK5EcI(=;s-8EroK@J=QDVR`CDZv9rSp` z*Y!20C!n=f7B|1>HZn9OkU1{`t`_o%4TQ z|6BOYOwetxPA$7?$surD@Qs} ze5*+`>?yWja@z2&(`SelT7(m)m?|i16x+-5@L(W-s5UsR`+SdMn4ZP!Agr zY)LNgvVaSK;-{~!!Y!2m3B{zucU$4>?DlQ*bnJ^8u9K>eUiO(_Mlm+qGXFpmprN0F z_Xj#-SsL%4RGf#Vzs%(r&;D!XB|#K{>&1r?|WIY}YW=NHn?9HYY6sKP;*`^Scy9Tj4VE|%QmUb$p+tis8FsShs#&?5=&&&PMG7E1=@B8FqfH!jpX zc(zj-J)Qf{ctE%c=qq2G6x1pcRrjhQvjL9@4Vl+)&lf-wt@;5=1zh_2gqYmG>iL#}zP!CIW zHQkq=G}A61Ij{b%DxrQrYEWG6G(|-A!PfcPE2qu&wlZw|yf+=<6ty0?d-F@;M^FhEl)xJEU&5^m5%fO(0GVy7>~DyMdB@X>(rb?TicLf99Gx zKaEzR3%}!mDq<>h_?r#LFw1uiSM}gW1U|fYG&m{P(QESHyADqOBp=&&Hy+S2Q(fyF zJ>Yqn)Y#ZlTlf-r?mTBMKyS-%2K;HqoTtW6LP~@)93is$f^%IuS&nkkuPzv;z{x+s zNq~}+eTdgJq!-g?)*B0*jud6V%`LLm1mH1=fp*8yQYj3A&>OhR0mIgRl&xGa7W`qZ z!vqH8aJVR;#1uHGZbHXbhEe{Yp`TeJ2r34sc~4I?-L?>y+OT7jI&umdRc$*7$1jJq zch@F%-m{nO+7&HaKCp4>5D++t^`gQJ?o4drO18x3MqkvZ`d@QaJ_PGgBQd<10*ea; z#~|BSjHSWo1^q94D=lAs)|RL;fBh^|Jd$0l>NF~3X@$thGpJ^uO(2=(@yC+XnWPar zF~m)*cGfOqLDgv2v8>c&InWW;XL0tvkk|FK@IkX|bXQZupp}<7Q4|(^xX|V|?-K5O z`nLH}2E-IyU43xv%^Tu;=#1NcfvB$Bpq*ajTXTlKynIe-s0q9%6FudX)08!^gdtY0 z)7`J8i#OB;cV2heu_WjPK$I}3%fYJi4cjCb~`ARnN!T|3w_$lI+ z*Xm(mdc~5IJ4%u@WM+ zN%+gISAPB5`g?2SBJC;Evwr2iLe|4z?bjBDj?KzF4#I@w1QczLbyJtCxSi~B9y3~2olMn>^t744T(ms4bM~2r zrW=F5BK;@pP?DEt$vsdNVgOj$iOJd4GL>x1M7oD2#6IdHH40JC^~uMbh4lC1fS?w4 z5osW`M?v%MvWEDJ(PS>t=^17EaCfhlO+u!@imz=2#%Elm;1MQ^5CkY)rAdry>h5mE zrXMyDtslo3c^JxV&UspO1!1^-ME>=Zpn9zxvmXrlJPYO5*jj3WZq<$laU}HYDl1sJ zGNxgYIE1Bptb0yt#FK|1x@;-i#nV}-+o7SIba*lJBd+FT949GXBRU3}$=3+4$BVG5 zX_tO`wCko)#Zv5UwgpIO3mr0xtLeW4FZt`CbrSvJx$`l&*IgBGux!qlgZfVd9yMul zoL!ukK`&;_^h8%`a2e@=3A%a;xx|}co9A|No9qhHi6NhZyLaJVs1?%YOp9B%mOxwN zEd1yoi9-`=5s#!uSEf3ZE}ovvxWf_9tZdo~hdmwb5-1Z=ZMa>TmrN?^Y+=s?UZG^U zu=UU+teL)B;(p^N?8FydMN)One~np|E>;EBr$XCTkH<{Yw_dbTjCy1xu2gso=j+}s zm9V43_UIuhVO{S=v}FoXYYnIEzVxN`d#BB3A+>rWp-=brr%(A+l*-R8;UOF}k;NO& zp+NB)bj&r-=G7Ovdm_r1V#=g%t?ul&HHTsXo)MO-^2{X1O@I*Jo8c8}eD82vzs=su znu@;>^l#N;z%sqkK_e_RG{Aqd;Ij||&`JIKgf~K3uQs!_WW?B$Re8 zj&XfSl1E>_YpXr;4TYA<);eC%O+Qf%s@d3qJBgvtqsi&dXzCdVpr=sl=KL6sxxfK? zo3%NrLdrP}N_e%&Kzf3Ik>6;QGv}naN7}z2MSha#6T3ZwqmIzQS?h|Yg1me?L@k~N zBpFge=AvHxFwi<-d+)=W+c$=S}`xi6a zU3ySRjDxxV$^+~NqEpS%x;Y<|$236!p764^pKjIepS~CgJwR!o8A@C)7h0Jj{tUEG zo>sHp_V;dTka;3bF;Uf6a#wZSRqH_|F;=%HX}`{eA6fAyv_X?h2ruV)<;X?x^+1%( zIjkaamLO%}6ga0b;I_okx=_z67Bvji>Y@A?i}7kJ)`Ys$^EVIp3#p(RlNXo4s7Awb zb&W7fb!(;Mw{Wk`E;+0$GUwN=Y}L!vjdYDI>89xK(Az22DY8puLy*aN@Kfyu+KS7< zGa;-^pfrvsH|HVD=ji%$YjzxPR-9};komjgX0QcU?1YNdkyT;r} z&HiS>s+%cqLU=%nXxT)uM@ZLK3?|eK6)C1bSQnY(KNhNl2O*SNC%;(BIH59b%-YcH%#3DzH%(gPfkonrD6RA_4hTIbYLKsE07}fHcYr@>mID$GkJ+uvpGy^( zD5Ro#o>?JdAaE?dH3cKcZOI)Y=9>8+CN^&pM(5o-Zvg(#%w)T924IaI60!)Lr%w1Y z`&5r_q(ya)qq4O<YQFjs8o+4y2j*{2t#^#nP{i3{P?K> zH2E))eGB>OHj!eXooM5VvKbrBGY^+Ks@GQeQIpw_oDAyRAdH%WU*OYgt|nQmJAc9K zNn!ec2;5!Nk+hj2Qx>$Or6ZrEnlrSCmNl_9NdY>UD_$n%q-T7GB;(K0c|Wca5~hUN z`_es~8(Hp4H#!aj0zz|(fBv6Ta6W&CKg=CJ{&WaX&AiJmA;bsY8 zAcr?@Dq$`~VA&gAQEaHzQ)o{g6D~LS6;!OeI<3O(DmE$b$!xkezLYMuluo`9Ak}YPwrW`uMkzH19tomkvM$hPm^ql=y<2Gvu1Si=d5#k(;NLnYJ+w%zu8fHs(3=o-qF z4*!+qJ70t*!5AUA2nBy?EZDyJy7(iy)PdKLbW$f%#tFe~)EtMYCC*8kX=E~$#C*8g z>9=Xfwz-JvD`|d}9;f2J@`+6aN~z*ON|i#m1{o~u%?88ytx^NJA_N}lERCXWy_r74{&9&h(6y#wC@(Z+6u$AwDpA8 z0b6!1tN~K0;6Hl>U2FsyU^iQ?OOX{)${v$U6Rwhzt=(24h*a;0lapii0--f<@I%$s z&pr4+Pl1B(;O&B~Oz^Pf$D`))dTq~hwFHfQHYf&AklrH4ev6#Krd zTQrrY^3s3z1E5xq zE&Wii%a>kI*BNPV`x3NY(fpwEoBEcR-SRc}}h-!una_pNX_lbk%$`+ziOgV7~y&c$(u0-OHK@^_`qyD+RQVV%iKsg6| zDmEX@h)i*~8jXosx!uELEBaX}ema`wf_UacYVpX5Y4WPHFtk^NX->Oujp4=inpMiT*t zAgpXrLWig{&`Oj{p)QCt?t%_TvV~3?R6Fw2nJhl27ekfBx2@OD5q7nzX5Wu1mrdux zuuDWEG!04K1%iVA+?PgOIp2X|-*tCN4oL zC%aXSh5a?v{ty<1k5LU`+!XluN@1-$1`L;;b-`^yE&E6}=XFi3*%_exjVjHlILUl6 z&c;xfuYi^hfMtcL4=@%9?Mm+0VO_J6`J5%d!BY7)??ZP&QLdT{2faxho?onsCI=mE z>kT>bc%ITt#O#7RYHkM;#>ZhM z$eM620yr~j?119jHddbm9{eR&_{UIIzl?wnCN9OZnL>(R`Hn#b(34M?^>^~{l{Bd) z2Oz6r6*wO+<9ko$lsI(!C(%nvMfDNg-rZwvvI)#wim0nJICHjS98P#vmy56tf+OnT z@-Nf%5=>P0dk2d0y!<1WpIfyo@fw4w5Ro$_wvp;Vz0bMIt2>L!5MgW zL^+l&D(7G&#qvu5h7y;vj}TM`452z5B9@!O7g*}9(%2X|Hda1io~bffWXO?a=@Kdd z(0}TMnO3?GdPPlRc}PXZ!iOxo;G=+P?k@%zKq>gKg+m%%afj;z{Ltc-4kmkrc!#(H zBnr{81VSaIJ{!5?nPsDNR^$x+S*t)xm8`eT?>=)#HCXL$3CeW>Nb25Ekz#n+UkWt@ zVayj9dVQ1{s8Z=`qjTlNs2`J2&ZMjJkdV;jG5_0&4X#B`TY^^IRd=FY4G3j9lB&v5 zw=F1ZhnKeG&_k^bT0HM&XtC}aX1KMb5^wgnFS4d2qDA9+PDJ5T6Y8%O8eyIhL>fS< zL`o%a!S^flKAjCrgKZ;{4mn2a>uBUy>gAv#ZaiEpbS3gPvmbfCi$7lnTU>AZTZl;y zW|z>Fj3rRjlumNVDWzCn3PYkvd0F!od6#hZDU!ehF!Q7_uyE#EAN!XO`T+8?LRl{2oS4+Vz{l5oi>iYYIW24w7NV z#+B|(QV(7yq3Mhektr;4awlI!BCyKsF&iZtexY@+_Q9 zLbbodz$z^q){>S-N*IzcRj4FXkcVjUouKU>N1v_;jAaMLpC&t081Zek*oxD#0@;I- zBv!;&C2ABy1*!N)>k}^lO*V;PGVGP~+djkjF>yfqJbF{?Z!<^Ze z89o&$=XHr*rUR_4^%C-dtUuAfu6wrTL0qkk`*6j7<~doOeI(R{J?q=>!no48%?eC1 zr-2D%*5E9~~da%Qvf2I%?`v=2>3WILG2mM^7-5C6ZwnpBpb( z->hCoXm=mLCrxG_-@67+f10(?jOz&y9j!q2m70}|mHc#F6j_9-E;0|SbU?fbU=6(3 zH>E>-PMIIDrXz2>wh%^L9;9UT8^@v>($8KUXEUn&4`y&jK(69%(86)4gaPIJXG-KOF>v4a8A1Z~!-q#81X-^%gYTOAB@<3jATE z_IvBr5NR~a%6CX{cvv${*~}-|W0A~fm(lG60-IghTQTCGVaSKzJ3EO=4AJB;k}IVj zWJGxpQL;FF%*WCC@$cp5CxcxjbI(~AGXph$SmYnV{j5PYIHLi^79gfBYgTU*EN?T} zWH$@7(Yjosig}hCvj0NV%q2u4#yEW5h(tG4WJ6uVem)fkkPj%=+r!l6KhgNeNMi(T zBw=5Y!a9zM8tu$kC8*(6clHPran*3jj8F2wd}MtEw1mKD7f8axMSo1@n+rP#PEQ#L zYXOp(-ROHprYls+^4>cj<=udUkwq5zTWM*`MsNyNn1A4IcwVJAqrOlSRUAJZvl_~N z0vwS6N^FJyMQ4Zyo8FAt))u(m9khwE0T8LqzDL1ix6@ODAF{K262wwv;StG^SR1)y zA94tEm0H54i?8|E(@wC7Y`?gg0^3w-L)VF=StC{RoY95~cbGfM(C@9kNtq9Q?&y_F z$hoe%|rODs%Rs53cSuNGer_D!U$`Afv3`(kVzYS{~9x4}vxRXVmDHbLk zDs8O|eb|)+rM<#yu&t=+aA`Tc))mqEy&Uw8cU4@2sj>u_qgI*_Y{@1h_EI=Ch@@N%nolDvPO=hXrmFbEQYHCQkn;zh?$upq!dLO>xtrFIJ?Nz zigg7Jq-J5U1{E#1V%=4RHUYnguXZ~VfJ$1(#k-ptg~{r>WAvYUYa;4LNNb@Z$8UDk zn)PL;KY&f!Q>xd&%EHxM#N}y%oMA(ULmjyws^$o!;*m9y!Pb;^C9c8GeH|62|Gk<> zbRD71TdX+*viXz{C+8wX>9@d;Dtou+ELwRG%l$N0`28+qJ3~l{y^-@S2I_|9m28Rb zBwZ1SQoXWjt~a1uI))acxHF-w|H19f`E!jEgHJ7s!k;nWp#K-Yeid&09cq|=rzYoS8X+iRep=jf zq$4;hMvk@SQ4`9-NBIH_l*I9$ahMwaM64kVi3X|T8L-ruov!S3LaC4jTW-$j9V)#b zMYa|HO}rJ8)bpx~Dk>mlV~ZBtz>!obaF!Qh*-hn54F%czEyZeavf`Nd%W zFgnlO?7`o5ze^joOf1p&YFPe*gzEaEjG8M>7%RFoJ}>i7w!Bgb?e_p!g)77ndur>+ zcq;Z#o?i{b(e*ozOsRk;v?BC{} z=5n`45dxwo@BeCC*qkJ!l(?RWja;Cj29mpYZt9m}g#<;me|_%)KQKftB!X&z_jvZi zGM7$5i@NtvBFBI?p@3jk&s-M;~VIc z;Fy45OMr_%1GV#e_{fm~?6i?*TVy-yY|iFZxbb75@ufdM*!O80RCGD91+2d=mCY zcGhohBFf_UGy@0OU?Pbv*9KXU7%~T*f2Eml-;GDnH#VWEX@FP)j(28ocQGsGCg{$iUkvb9cLuK?Pg=16Qi+AoHF7U(2-Vj8U($MK5KP^Iy(Ya4LumwM>xT+>%e*aB#WOOlJA^sK$q=!Aamn95SjDo<#95ds~b1uZ@|D+3;CmRx<*s zKNbJL(!U9-jo@ad|1zPe38UoXJP%3j2nbpmfqQx3V~vuH z9j?xEJ?7;z(0;KQqIB?Bt^M~0D!&%~lxa1`2*f5($%d!ocpD*#|cxYhw% zS-BI+#ZnKJLw(sjvf3iA7@55LHJdcAts6g<(H4C`2qWC8v*7xO;;v_GWr=YTEr`f( zFH{)$+U4%U-w!atS{u97TVkc4|Ur{<(nPuMC>x@uaH&lNUW3sv2w!;gLv zK~J3Ll`5WQ%i8sZ#>A%`+ydxc4MV8pcq8$DWR0xki{}?>Neb@ir0+Ib@*-dlp^9?h zTo=EgJNR`HRi2SEX=&|A$q^|g#Mrk7*9CN~RCe{z^L$9jCqjI^2#0Klp>7tVNVnG6 zgXN}!TQMV~`jbHczF@Fg{>}gGg@(%OaB~27Bep4WF$Jmk$*y5gi&XN0X_%>1u%-ZU zn?_3HX)G1|9Hn6I-9e9J`N0J@j5G)SAL6Dc$K74(8pEf788LF77>=;=7? znNOaFYRCb5UyHW>=oGmc3~Uy_b!jb(kzD56aNT zb(Q!z^z$w5e^OhOBVRR5oCe~PtD2~Z|1xz%FQ+Crl%laLU;|F&4RVI-C7%{29+bQx zM(h9>^Ee(rk-As&at@G=lX%Z~1Re1Wn) z$v6vVJ_|U&t7oPEpQCw`FjvE&UR0@UQ9cuGqwZ(Q>EB4jQ z4v1NArJki@#Kwt$twOVsWjQ~|8yk+2%8{`5Y0eh`0WegUe7cU2d+`N+tmz6QPm}lZ z{vWV0Oy)>yxnu*DtTQQY3pknctRlFCVI2xm9oH>wO5er)j%I|nWobAWwJk^qaFMHS zm!b%+ieJJfnW{)TW8L?tPVU|v=jKL?-&zkGQjo4QLGhnCS~gwS7|=gz1$JnCM=9jG zUg+H(-!YbyL_59_jSijhSb;9S>MC`bi!;BL@U>mAq2Fq8-)e&2~6%@!}vt?ty! z$?D)?zY+7oaVufLIGY-+BbCl|79^UgGq-4{NjnLbyI1cFsBUc(cMaa%f4xz}6b`NF zgZ$UMq|50(<^aw>ErT&UIRL9aHp~loIRHOHo25`;8{u>|&iFeVMU@~N=~+R=Y<2k}$_ zYhuJw*d(Uq0#onHG*Kl}iqq>xYry4q>(kHHZ?t@&pH~@SI)1qF zL|hS{5}Lsvs*ILAp@L*h@J}TP4$H%m!f7652)hbA6~F2u{L%n_QFnt7_W}+S{T3+s ze~@7;FDD(2>Z7@uB&awh>Da{p6TP5sfZrD34K;yfjh*k{J{w0c8>_2s!g)YN3Txh5 zI4eg?gJi#U9|P9P-w?Yt1WRlKFh^`EIhI`}`K;p`?J(YpO4*GUK|$jhil$v5*1o}w z1_vcQ%5}oWTpxPY+EtQ-oLG&*N|3V8Dip(&LZgd^y9>Dw*I&Y#sDN(`{eAE8KM!Mu zKk(A5C{IJ_JRU$J71xPykCKM@2AxKs>nVICFx5$jfMj|lt~h2Yw0Vn{maGq$YhP+k z2vKJDsy>pd+W5(fYT3l$aceqcm9=ufoBmGgaklW`@|i3>{};zr0x~fm#07zuN{dE~ zYOQwq@>8#aywm0W%Tw|~F|>GPzYS%{O4?b6+tqByZZhyfF>8I(gK# z&s^U9a2-V&CxD;5bpY*i>#w8_uNI-O1_^;3>YRxlws6u-+HGh*oL8tUe)?JHve)26 zj#$5!pS2>}rU-wUv#V_`QFWa&ffwUxRsSsaji)&?-S`m_}4Tzdt`1r zMo}$@=j<6Tdgsa=)Qpcci!CRi;L;1`Ab?5j%*|W3TVpne5Kr*tOk;(N#tqo84$>;9 z3cJ3QCU_o$0`_dHhli}3C-{!EQS8wa17G``h}gx76(kjQ1S%v+FzM@3d;VO-5j{Mn zqyjkAFw=x#5Q{Hjbi;VTFJLCj*hFhg2ufAgtMM?^+ccZn70Qt#K-&+3Q?y#D2mmy% zl)GoH|B@&ZM(Nd;1;yA(*56h%5o@h5HpFR|yu}j7hWENLrpZXR5oCB)Sxs!N{gS(- zj7PyXybXJGpZlqmW5@?~x~gJKftyNdVO815IK<`>vQ#`oh(r>0Xp>*UJA|>S(Wx%i zgMh$E-fDDAnV#87e-!j6_IL?$58imS5{voy<7DX^Yu^`9-Vzp9yB-O3wB0Ws9GOd6 zLOvP1d_|nc`M0o;nf7byqp4cvvdNp0qCBeX0!jGvj^q?X0T|9-6R%SI5f2oc?$ zrpfR~=e|LxUsGqkM6tW#Ajg`9!_#-II|(0vEDV0lB!^#-OEw0>nkni2OqNZhRdOUL zdt-KoosL#mNohf7m2bC2sbDvDZ#!@cvOpn{x%~f#x(c@_*QZT)v%nIPODx^pB}=n( zcXuP9bobI7OCz14NVkO2A&sD*ARwuJdpw`#cU|uv@Lcn}Gjq><&&-pg{zMAJud(jg z86UG=Ev%tdn+?0v68kSXv)(rjBlb*%lnZ=GPd;}6?vBte{HS_%6z;(b-aPo7e>`JV z^d&jnY+~yfJc(KGMhK}pv%ha+_jOWzDmYLbr`Y(L1C(4VdL-n_Pcz<?Kh=Gf)u*uurqr4*HZeM!V*g{m)G=@wAi zr3Sic93R;OOJKM#z}RF&(KLsG*rC(7vz~hYUl&7mvt~I}hP=m%V*30ijt7GV zeSKsxx7j-`jH!s2b|KjY_@T~(g-a;nr~}v=7~C7=(`J#|UC*Z?3^zu7A%HfnTg6!v zgP4U1LaaTE?aRE-IC}rOa%@5@veopakL%Kw&Gb`~qvbO~wuN?g7&#i%b~MkVuvGGS zTr!{xDJZdrCY{Xkn)PG+8sjx%PAWrtn#d+b(wtC^-i)2Q&i~*cnWGFjY>zS;LE0R? zW>m<~vTMYdnoBe-|0M>KZw{E`{E#b(XaPX%U59%23ZMW&Y2s`l4(f`roN8FjPQfLY zi3hk*l+iQqAM1F1*tiGKsO3u1SJF}5rCJ=A^$Y?sww}AO0J<$%rxO7VAMo5WSr4u! z0LRpIaxc;+Y*nk$m3u+eg>8sMG$;{ml#@!Px-N6C&y6tKQb&eU-g+Rf|Gj;Cs4QKm zC=0Uy76S2n6fXkW=pPWEKnd~z?=_ODBjcY9GAp;6^t$ z2z;AU#+j)EH4dn9sq#=OP3FlS;!B!!;j~vk^dfdZF)-35=X)t~hUS6BQ3Q)l5qi_j zBna*DAD=>0&PK}T`FQ}!7QCTn#aT2k#_Ld<>bPZoWbh~TM2j)4cW&-kp!~3j$+CU! z6?fQ{Im|^+r0D(ZD)T%(gAsO z!wCN&rCnGi{E7!e^8Cf0lOn`?r*9>!F*fi0Cd8rTLc8M2 zL|b~{$*pLH88D_AtDbl{HT(ST=ucEfl+lQ&{*w{?;#VFZK*6Fr4*>BECeVT_2AoXN zP0Z;1GCOi@lhG@NJKF=iRkAZO&Z?yaMcB9lYq!I*i z)T(YjO1bHiGPj74zPh6c_^}|DE*4Z0<6`_&4Ia@i@CSDMBVM~Iu_#$Tkr81z%m79| zs3#&l=-A`~HVCoqFHCpaF`Lz|(ZHAl_R!nOXpgA_;q+abyHui-A@b1`ubya=N_vDc zFNeoU4DcV7Lw<2 z!h$&C`%VBo%@}kd#>P^VgwlQ!Ci2T&-*x)6RYI3uiSL2`^>Y{$zB5mkA%c5*ml=+d3EAvtF$(z!{#uw)uCWW;kq5K+e4|RWGHkva^zwE#PaPX} zcqTUZIuLrdYz$!lLknxAh$)2{#m26_%W-Ak={Etpm#f=Om%4^M%e+cG#D$Emb4DgS zAJ|TBD_gOZkW)w`kY(rx0chGIhz8Nulg+chv)Zw$h!N`Fl7DZyLldV}+LD#|68)Pa zqQ2&h!H}EfdmeF`-Q@js37MullaaHehPFeafQmu!fOi$`en%o13@0nkzc_+AO$`(9 znUD|-WJ-L$9pbn;FT3LO4L^QSp#q<*=cIC3T2#LPsT9>8b0==|I-&PU^83qH&a?m% zc?wbN^HWF)4oON^w=o?L^8gJBFgY&2r?Js%59s2nyz$>a3bY0z4+AxXflXfX;iUv_-Isxg&5YA3NQ+ppOY6v%DJn~EUI`k z*C`kUlar*=T&l~^VR>yEz04_YI6SN_s-~90oE;_8>c!|Yq~{bZk*lPe>*Ae>cKAdI z`OZ7c&!bG7hK^4lf>u1g_G#l!2>+*O=%fE6bFxhbV?_P*T3Og%lWbC&`BQb1Wo;q# z+ZvYc*NkBbh6;t$3G{eRGBgY_1kHAdaC|RJ=BZc;M*xsk^N=y~`LTVcIIYqJ$k=bc z9LRxntad8Ynt8SNqxP7&a3sdnv@kIllczDjV5&%(wrDoK#Y&iBUwfzbYTsyCrSd=< z@E*;$0z7_#{aWSyT(*-4@MoDX1MYR`zu^!;h81kV^0Vf~;C2|h)rN9hYTvsvW2 z;Lwf}wF2m#49X@UP2$IR{RtgP!A3kf~j?iTd z7JFyDc{VHPj>3TxfAPqh$jLVAPEr@m&qu>v6d^fqw?x$T_gyqNcmt5piR7DnevKz_ z^16LmISSSl1o;&|AM*TYGucS?Qt~p8CmBc2G>&2lG2f(XTmUGMYubAmaNF2%*Cj05 zp2MpLJ1K;;Cu~4eN*imqYl6oaAd0fB>nAdqrO)brr>2==pCAmLl1#lxLG;h#|Yy3d7LZFO2gtKeY2!HL*r7~G|#hb%z} zlN~hwf%}zU8t=F3b8JIO5N65*s$OaFR=#?rHY`E@bcYmoKGPa|WL(Z~(iz`m+BJv? zFo5muzd!_-##%U{{qU%_uVNveU3GW zE+#rscr`^A&4h=&>wu+(%u!M9EatX6lNG@w-O1|Bw)vc>mT~&X)}Fxrm|X~6?5ik1 z?7-ylwjE}9oF!6MbqC^SRST=ZtrRWWq|4T^= zuPTm`H94VABu%!gYK*QFgHSAowJlDFx2adpQaPyT7xG{8B7_C%6V+`61d1IvQ*}G-bT!a7xzK!;SBiO$c2E|>CjXG~;i~dBzH7Pv zjvO#2uRJl=Alj#?u2TW|5o&GwL$xpY;M`P4qhtL0p`Hysz(hFY@b&Hzc@&4}su(Gk zWpngGp zxi*-o`KdZSHsEB!(Rf);FtI|jcHsA_xNqKT0f011miEP{lhDT>{AV#zVW10~l0e|4 z9U8{40FN;y4&ZsB$baf5+baeaY#9y~=E5mXWh-d3VLl)t^U_l(5t9t6PMa=7CeHlf z4*k~%%T~JFg$`ZTH%fXtyU;m$_QL{jf9||9^1AmG&JAKiG?)g7(=+q)#QQ)?PxOGi z*8To==iR8q!n2gmUA8!%PfH8X5|@<=7FU2*I8GT0RyCIgWzr^iN*RX(y-wI@IQ6aT zjGZb3ZHtpkNLN$dZF3k6q>E@cZ{ofw{D(!v#B8Mc9o1DG_&$=ueB7%JzIN{=^cPJz zu=0V$NT+x$B8(_Cz^q!k*yO3Q&$Q!wz7g~FmlI9*q=%iKB9y}p788s>@09bHvZD$) zZ4XfA?Sh$_XsS1uXeVkwX*;1tRV?h1o65iRLVJk(U9u+?jPVI<^?O$yK&t@j zOQ+|d=BA*p_RM$n#F~g4kSG%$=_RwJ9VixiT+V3}XRwnVawy4ZAFGZj6o-kQnvTzT z=8Y$?!0~cO#0JCDSIBPTn8FAgr!FtD zGd@pt#sWt}wSGztsMWS3@VQ(C@<1)=R1AY95vLkqmZJ1x(q#Ehs0i>Hp)okrfqWO$;)JB z4Ph=FYl9c_WpSIBB>Io5+8AA+mla}m?q=+iqe2(<9X~#mWd4OZJB*?)S-c*O==!t%NnnW_2ttNf7uiTo zhpzyGnLnY^hUN2H42DHWCDYsBt$wJ6KCasRxyat}yGEBNIj zRxcnN{Z8>;{3?+-%%a=V@Aj@Rt-F~yqBYsRq z51F{EeKTrrG~(gMf1)XZ5;G|J>7_mu#)97dzz1IA5LccQgSBz;Mf*N>kA@Z3b-J@~ z2vT>WydTjAVZU@?bF?>%P8ujs`)#db)+RihNS_IGeLZv(2bsBerlL?XzcPm>>OLu# z?&|Pl20bfUoG!~b2dX0<*~D*4c*xx{L{^a`?OwX|cq-PaYrIwmwQ_B4XYffU{V(6; z@`auDY~x8XsEQ&DCI+c&qZRh{m38eTE$H}#1L55G2Ii2rHIHRAmb!su5KjZ$37LALgSaJf(c%7>_lt~ zC+(YV9_gI+VdODIcuj z8*{qj4)f+j$%C5czuaQT#=AsliLT^4%^>EQ!X$OE-4LEuabk_`$=MzCB{MyG5S2!k zSk*C|>L(QlVH>tC>U2-T&zvlYrbmoc1%AdwK=s zAOY2ev{Xr&nGee$d&@)J*JgOa$sX6EELHuPjB!D=?rpA??gu6z}Zo^k6Fd3mWSRv|7EY%WdZf6`$6@@^Y%Od zzE}tktc_kwoh5SZ_KDMr$t(bVzW)?5F~?|4cp*_B5}s&AhV|JJ)B&dhd8*wT$tW8f zh-k=|2tn3m0lHg+XSB{F{YanP^9QC!_Rt^VA$ zcjy*0O{Zb7)wgkYD&h$z-Y;1A5#x|KGR4U!+VxyjJ}|yDtIhGiThcOlbh>8wYij_U zx^20c8pb$@X#*9^K1hd%B|sJcKPV^#xf@XlutHWu4ea@(<->{c`Jb&+5fnJsY2qe( zWi+t_?+cx~_&%{n=?FE#*`6_-Cs-LW_MDVEn!uz>j9lbEn{bLI0)|=}ZY>9D&|<*z zIB7$@-UPO#a6N~EyAIx?3dsM67EHV)T?KSAQn*c})$!mak2!PWFdBH z^X#kI^iK2bxKc^ht`o1&m_NLWdp>!+`0#8j+wQu!xV%d&S;2c)+s~kDhvD@UGMHb9 zW2G&NGJS}%WU$T)ZIDM?Njda^mODc#Uv0b_&8BubK+f6SC4rn0qRv1j20Mw}q`2EU zw;Q*PvSWWF|8qo}pJ$%Gf8D(O-HnF*`v>9gYlqy#WytP((=YHxBNnCGG4(`s?aV^% z(lAWb95KH9s=Cuh8`G-m7j0iUo9akazZSEJ2x57? zuHjt?D%1u1y!$L1b74-;ZGz&-MB|sUQ3XcF30MAos;v#i{OiM03gv9P3*eL`m{0Z{u`~~7l?jDB$^gDUBwmZ8k(a5B zjF<@%iT0Fb9i12ua*go8NU(x+sm!)yJviPCkUq>R3m(+JV8}Tbp({R2xjhHuFDNcs zBpO{HGPzs{zv`nXY`!0R?GrDixLjb-?0xQw$eDjf$CRr9qlwcX;);ZGk*+6>x(M1? zPnrhiGYgjuI9h5~Pi&ShM1{`gXT1|u>r5SS8jEbf=fdsMOH@A_zkl@-uU3}9fHbAz zW+1wKU=N&pu}aa+^(y`(O<)kyIj+97dTw?HpQ&kpcZ&{xA!Y)gl~Hk#DCDO6<$XVk z({o82yLFa60@aFxc(M~}T>&R68W1PEg70$zynqSMHe(&((Y3<8(}YXU(f+u*6N2V9 z(&kL`Z^5evgh5QmD$3qv6-mH}KfAE0-6f>blmS z)n?l+)Y^IO_}ij{fB@Ne`ky0gGiA=iTDkkF=KKT=QFv^OPsL9hyWNhl#aR@}`~$k| z#{$&k*G%x;(2eyvs_rsuZF2q0#f0Ch3#u-!Z8`X)J5_9Dg%(lf6#A1G6or)m-Ce|H z^k2%IjY~^FE9ssNs(c#Qp!+vUAsUCzcG}5v`C)r=MhlDL!q={R;MEprz#IbFko-nI zoY#@XncjHM>7GihLeDNo&%t*fgwbcD8vWCuM}gJd~+99nNn;jzh8`bA}GhHLjj(>neMIw+#p|2do|5fZ~wp( zRNRnnpxAE~5a=)>_?43KZ2;o{Xf!xo7J1U==GjifEUcU(OD7AMZTi1}Wf=t0rS8>q zua@~*ka;T%tH}1>i!wwch<$fNdSke?DvFatgAXVS!(~Z#O1BRTjHs5G7tD}+2U*?< zPAd-`@69*?NVt8#?nOW6FFN8+2dI3_$LPe%{I8VzQ;P=oW}cH8Jyc9PQLvESRZrll zl^+GLI8zlf94XzrU(Ud0*_pYO9v`2S+c2cl7*i~mQ7)K>tkM~nR;d^dq+fnt{Wx|s zE~drm$bLaSKXNJlH!oyKU@ri=ag&J3WIXckLr2#_D?kW2u&k9}FUm$1huOxAL{6X} zu~zmhd9iW)pbNMcg?Wsofz}3!#j$3?UjmLXidg03?ed&_^L$P^HapM!lXqq$SJ&DM zyYb8;+pgA-pp+{=~@C3Z$) zMLe^(D3JwCu~Xe>9|kf?3iywYPr1>4jYgQ22%^r)3Wm;7iWHZPczU1cpte5 z)()ZkD-I{xk5n-GM5fIdO}1i-V&*8|ue&9lus%inC>jV4^_^tyxAZos?n#oK&r*Jh z49+jR0RBYz*7AXp6Wr#?Xs%Sitz>8BlGgnDbCdy#Qsp@(-Q`dLOJAyE@o`Jte0{t8 zsPT*Wjv_Pk(#zOv_ z0C=e4_PII^s(G|Jt6T{R^oNFtio*)$WPgVQA6E#=1y=jCys14Gc86f>j>@R6gG|!> zm9O@@gEc0jH+FeH_G%fSA}i5!FTB$47CXGKS9>Y9eG!kfXRth6L&`!Ag$6ust4DLq zYUUhX3`~@P+b}|oal+<}SUq%mV+RtSl}&5a7Lkb4n8rlxQPEe*=xvS&na;}7DkOjvo`3T1FQA$N|VO8(}Frr)NKL9E?h)^6ub7{H+mkqWVREDRS zG?^7=lJs==9csbV4)CMTv!C}piMiXtBcvNJX1->ir?Utr+U3m(9BBK|aMYBAt2FJZ zM(!(D6B1?Z(x%N~VD6nBi2e0QK~Cm49}veT?FnJQHJ-fiwYe=+Felo?(;gaW*ZYQr zUTK{L#xYjE+7ow<_^m*c8~K2UyyC{L8* zwFjwe<8gjUmF!RW?m%e4z#FHVp;~Atsatp$6%e&Zl(P4Ew#{TpIkHSIF?qFxLhP-3 zR`mp02F0sMTUpU{ZNRgUACnuTxOM5Hc^@1%Z3PMA^X%fPJ$w-})d+lnD3o#9D8lj8 zCh>;0eoQWkiF6X2q#=4na5F>rz;L_o2^@Ujk9Qi>Co;RGNI;B`vfx-;vdLbViOUuS zF6cZGMp!@IDkW@(34M4Lt6!=;&Dy%g&V@j5GRutsPrdh-bma{~Bdzx0t`-y$>||XJ zU!ku<-iz{g%s68DssJbay&bN6F_O|@_mn14ujwS6ZUGxl$r^e6X+7&+AHAziu(4Vw$O^6Dquvh)O2*QRXeR3~Y~(W%GJ@BUO+8f788*=Y31)*^y^Ok_!k*=6 z!Mjt-W!41+J0&=UTFC^H??C;wiuSF$d{=xy!oQU6Lv|)hwwa2PB#~tpxns-O4zzu0 zs88m1s(&+%Nne4!`HP5)T&w-*txLS_e*5!b`}ePH642v@%;O66CfgL8-kPO__30q7 zo~b87un2U3g3=ENV2t>cIiR~pqu7xk1c}|L#ccV-`)8vJ+Lz2G*Yn?(YKP^y7%T4$ z`M6H&y^soCysCdQxyT|y5YqhXl>8y>>M94h$*EFvB=ZONOApVy?VGRPT|v45yBNQu7sI?l~$hEt{eN!m5t@9(!t zfBGUuf0KY^{+TR@Ya>`iPDX_>K7v@7gMQte(h{-CwMY-ZXdBG->zQ2kUaJ+!*8o*>dqd|d0jaG)9^m1L(w}bm`t6) zSI{vE7hWmLzTcwike%OlQ2z8feJTR>=a&{l9GLu5Rx=q8Ha8026k+bXDU4j8fmJ;5 zw1Ns&9o$B~G8WE`%C;-0VWX1gqsAJM9hoHGj9?=qWpA4?UO~bk*;t~<8G7^jsHyLS zVGK=&dNqj-txo%37;<$aO{d0a4_y1PyBen1e$V{#C-XFw(?vv;yVIVfXc-o!`*>cS z=$X}O0-oC?AhC@Gl|5QN?+qcXu$*h{zW$v4@{3&SR;d=y9#RYz=zpxqR6YAyCjx z(BKEOtx!9r*>;Mjvpp7&U~#oG#cCDe=Q@pA3m9ghMxE>dXn#>G_3>L!8yiT+%u)Ug zJtY_o=Ae@FL7QT8Q?%_+E>Sa&;9AkEZ|{!I(dg2m-C9z7aXXRvk45Z(yKbnor&Rc4F#v{=YtKrwYi7qj$ z1e}a3G*5BvRO*^rJLs{tOl%0$GT$pcldZ)fcq`YIU7dThM`^zi2P;xGxr+PAM@Sn| zVfxOE={}jOhKQ8z`0SHIie;j2#+yeGG0jtDj#x=>n3-y!L=~YTm{3oGPzbS8ru*(# zr?ywvIe{DKJVq@}E6K}KJl^1{s&ejW1)x|bWsv9&6Zo(@czbX%svz9 zGYT4wqAGgg)k^EXg`uvho-InTGH{@j$3KUpoR1`1a z274KV1L(`eeacsWT>tw@%($pv$w( z$>-H;LPa<3ArY5IU%?b_0k{O_2r^4Y@^Zfh^2XD~o?X1MiBRIoE2L3WomY!pvGVbS z1CTQQ^gXMwx5pv|uGV_c0BngRX@xS1*b&QU&P-v zczv5HJxlt8%7^CDwX3T^|5M@8&9-0143m=|f;$+VrC*%QE3T*YifcACx}@Eg#>!k5 z$t>Qp_8I0TUekPXk^62f6xImT)@6HI{c16VX6j`E`{#*BnYqBHU+&$OjhdR|{)IA2 zp%u|p$*{sK%Q*N|l1!iW^fjep{x{xV>35?|ycsX|C^%V0bwl(>8TPEfh&{-!yFGQV z@boTsTL3SSb>|TKVLYalgJcu0O`|7HXqO#qxmKjjD7AS6mUkh_^Moa zd?fh(!@B^8EpF49vh@8GdzhOl*`B#^#k?K2+;p$8tFGnG?Cw9M8c9A0dhM|(inuJu zeh0@bwrTa$8g%Fo?4Jq!SW#thHq~rr@G6of_r3S;YseODj(k2TK^oni-9tG!MAtMr z{-~w}09$^rd#UB$U~JjN<{HeOi#}T7B;5-wG_Wrn2lJ01+S~ExQ7=WaSe>_jq}y>( z+&^!-;UzUcF{bt5BrK5k@K|q-v@ALGZ}_P2VY2sBXvH}!@x{XZ+_21_&e-d6_TFYN_$c_^!fdg522gs9?(7?=VF#WBMt5 z&s&Re4p808=DT{+KlD<9dIAZ|X4QtAX4k|2XFd>Svok_XD+i@_utR=fcC2dYqA2Yo ze!9M=&AGE%ZLt<)q)I1WKG>E!$bc%QyMgRm_p@c5aAU9h7#Cl#+a&v04&C-lIYU=} zd--pjQepbIPex>1vS;791!CeRG!ZYTG849aFv8bHg5=7KvWg%4avrOpj)AiUDNRdU z8Oi|1KbV3~gmpe)2s#AX8X*1B zSxe+Emv855zrfZ*VqPryLG_f-k?W-U8UKefW!c0}M&7184Igq(PB1@1ncR5qPH};* zJsT4Z#;#+(;&fj~S;NMQSDRDvLvjgkbmnUb*thq4jb(8izI2A9zVriQrf11qcUp!$ zod0pEEag;2^;sQUkdm-Ebkp&TMkYz-ol4rYiN>3c1O`nOSTI$(Y zFa24=SCcpm2SYnHjqm0Um93hLSj|OJL3eiJjQ8~pLDL>HAGNMTbx+7c2`g7WpY2KJ zvNroC?;WN@6zUb)c0J{d^$!a-7lJr5>M- zz$~I~gaL%!#7Tnl(#c9b_0fY@p}vNrNJ)IEH#x3{x-`~7wsTZP{8`+TUO|1_LKZ(P z+Ttjja|oz{Pxq1`4r8k1DA3mw$xq6{MYe8`!RYpZRGzdtdq5@O8}1Q9`Y%%_!)~S? zHe%4mjdeCd)dyzhs5c!&7XI#cC8%!k<23l{cdwdz|6~+E9!U(`&vT1v3KZk4qCdD> zc9ZG-!!`pDHg^%%-^>cuyo%N}$+NL0UN)N54$mxd#|k|jYKggn+fNA4eU8X(7%k1_ z!H$RUeeb~(s(1dTJ0X6I99|(@y4aIEbJ$VE`qh-e-6|=?@NEi0@Xi)im{ETcxB)&R z{gAQ3Ufh@^;nrnDWz2i%>RDR;=i(5S0>x~x_Ww6Vqv%$o z1I$SvI^9BDpqP`%@dOB%?Rj|wArn}#vU>)S$U@VDvRO^*d+auJk;n?!C6VE;n9uf= zpgUXOKiH0Sos#ZuFk^a=@sg|hVHg>FBd{Rc7i-6ss`<6I%8%V&W)KISwxooqaT~8q zmx7YuTwD%xjPN3xY;0`kjR1kY92pg6MMBakSMv}@`6ux5GUKSXDkFeN3E~GIUJayx zF&5rXz=o1u=o(qHve-R#Pwxz#XxW(VqNl31aN0nU03KhS$f6SoC0F<=)|)2g7VJ(*xWML5?PQg!IQ$mX9L!%@GRS2db4 z1%dGlRzjzMj59PF;*JTt3R34SBj&!o>B?1{?3dx!6gltnEtN=KOvTv<`CtnC} z^sAUzi~?2CNvNEZpF4cT=65+E_r;~1f`gSU?;*8k>A#TZpCEwVR!W`@@H7j%Y<`l7 z(zN_+WRt8>wccAjvA>27oE&lLAqy2Y>!gk*r!#$rvtdi`0u(q*tibBUh5>e{TtKwenrrR;`3>0X+MmcF z-U20>_`>I%5S%=JS-3?SoYRWl(>l{fdT*Ck$@L1yi(z^-hAHE52%?T=yz;ao=1jg7;jG zkH94(;S+5ReBj5c&6XN-4Xp6>jh3vMhLZAQ=#M84EvrO$z>Dmn;KpofDSa-x(#|(i zFGZ%&8Sw~IbV!i_JQ#`s0meR*m7;ijBsQ@`pW32zZbj2foiC)sdsj@CEj{+XHpY!w z9U`q;{~Wg{$*R6j)lqb%OCoi4I!RS<=usKL6S%;&#VO=cp+Xmf(I#MgXb(ab zE`kAKgL?+WAjCSYH^!Zat@K#JS3mf#a3t_6y*=d7Glk~+f(c(;%lK@<0NnXA#2gs< zWx;91U%h2gt!N5>sVBTRSw|U|u5L61PpkpsOg=lcRrYN6@-2H!Tc~6=3=u+8{FPqe zIMh#WUP?a{_MiMaUuHG+RF`*3ek%v1Rt_}EINlu;(U(`5qNc|A;O8mK67vG%)I$~8 zVdp0#Hhh@2RdfE8Kho$^u?VJba4bqyXwOs|X^ODDn}DI^=hf2!oN?eQo03M{A^r6q zB`viH$cf^j@X@$i6azlh5A+m;z|lGUYrGhpq%^Obh24y?Arq>$US?BJm23n-J^De8 zi%;J7cYnkC$Ic2D6CNh8#k(5eq^(;bM@%7EY6<`bV`1baIIVfhR|?XKjGpW%3q5b0 zUQJpF==4nC1hP8;RekRrS~t_Sgo(z1s&snt87z8@Pf_0_MH$f3qYpt8iSu^><_9baoC~C>nuCiSU)LW@T6dAJDxIrz;=20~7Ly@Gl<0_P zhF-0R$TVfFxU8;4@Nl{zOe7m+hyqKrjZ_#9;u48%gGLB->k$e2^-a0j2__=XKLe=)wDvEIyrG$;Fhe+Q4`foaWELnH9||tl%XXDbL*xMyQ#Qwhaa3oNXWI z&U!=c$0~G6^p_o(ir|(dfkGz^-w0M}g!o-{O=P5GpE;la>iZfm3ObxY$&9#TjE=7D zfz-`0GyWOWk;vBzz$DS68q0H(%{d#>*;t=bRtvF5y!7EL&%5P+k$(i3PM-k`+<$o# z2@_I*9-&3#ddmrwMsNs3w+nw`Vo_>30->9*fMWPm(*RFUT%t>poHZ|GE5-YUCZFV9 zpa;d!5P~93xwnY$1f_uv&Gilfg@b$bh zH87^b(B`FA8p{jI8B7TwiA?7@C+_4|_C`W|!2lSYIUD~tj6(U8{L!JVIqrc|n2SI{ z4C5W%^vpUm{tVJWH%9a7p@2_6S?SK#%gcSuom%R-mz` z6~JFW**j#b^x>siSRTWCJ4=2E%9f|eE>uY` zhIDxp`69(Xx-H5aU5dK69w$hjxMdVIj3VJ+!t>ruZpLcUBnH3HXv$A>@+veNefPMo zZ2VRT`B&4g?%1U7x3Z2E6VOlNCNUDXsd9EPa>?@)i z8%Y~gs9ldNbh(QtQyy7^kOlnJMH3qIs_DxwYf_<)kpA^7@qSbAoq_ZwTg*`;5|Jz| zKIZP!cuHUh)y~vLL`^Ma+xr4KR@UoHQNX^a!NMs6#5oq&Y`hRs8jaQi`{{-r0WfVFf6mWQfx6 z?-Pv_3&<|G>ll~ztvT9v0^{}sM~9)3cMyLf_(Y@3*Wu(oEd^JPo$fYdwiu%A6){Zk z>8J1z_L9v!8V$Rt?iVY6M*I<#GGSSCRS+J=d1OorpmQ<^VVGhbQdL-TJN{@8D@e)k z65rshNeG>Xrgg_IgZnRHR_dQ}CA57`Wt?S47tl8)N3{e;gZ>X66Qqm6Ypc-dFxnfZ z-}pc0q#wOV3_0%r$7-2KGwP1BEpJ)@#NSpr2h+KHMaHqK6AF(!ai$Qu8rY1MOQ^62 zHY~lA{x?t}f)a7APPoX}8%ZYo1t1KNTAUyKK6I0P;yxG+Vq7qLgVp2!LSNRVS!HID zN!k_ij^QW@1Ul_NrQ5)i59u+2tt(H?E>doiW7U|}-b4}3TG|e~=hpQ10jp9JTEAV! zv^ut@8^XCTVon}Y(MyOFyb%xDq_{IgU3H+gplsS@rTh>b5d90LJ~l+^$8wV^LOU&Y@k21i8E5gAl6NMMt62m9H1DB$%4;zpuvdh8dtYFBBZUB`6Q~p@q9E`p$MTy9 zIorPQS=KLVg>s=YX6&n^*KIFp7Mc|Qq-Y&o7pf4hWH;y1d$})#8Hz(O2eZ1V(3={!q#8a=Ggw)Od1Br95Rc7;KWre;)cgkr32cv)Ny*D)ATI%Lqg={ z?}SBvR;Aa?8O`z{M4APf(O&05B=X;86Z8#h#LcUY5@EW{ar>%nWekMW$J70&_60gW zduj89Be;Zx^e>5Hy=+I=!g_EP-&&6Hb!m1IN$pT%4Y0P8B*0!fl*jy z$5nI&=An`Ez?A$OTCY)LFqSsfNNo*Az8k4?8K>Q-efGO0api@MS?@w%9c-m(>> z3bLA@g}7N+KfB{8vWJ)VaKsyxSCgmuXs^nC8SV|95&{oP2Cx-Guwnzf3@aF zS()|wO=rp0GMBhaK$_#;Y?zYL+&I!GYrpI`WqXT8&D_tXZ+&l25x5QU<&k6#q1mZG z1>d_lScBD}MVT|IO&$7iL2E7zPho)D72_8MvMwxqU_xf_{r5QOK>G?ox>w)$XQ4)_ z!`d^A-}uQEUB(^0)Iea}vMUOkYW>6i+r>Z++`rb(P=MekdaqaORzIe$6#2<@>{UEr(Jf<3uXNXq#UF zEF_jawr$zsTpVRLCFPrl67#(0%=hiX+2EfWO+?XUWRo%WFyKlvVg$SF4D&Bt?@dy% zfM08}fLrh=U&HbM8&fDeJ<)L9b)y}seMccyuynm;2{4hHbG2LsuJAM>n)|h1DrF(M z-X}hkijW$CtZedgRotg`Ba==)voxj+u*yP*(5(R*k|#|Noc?F;ZQ_*XutGLjDl39)Dt`Y=wKk z;HK-a-|aQ<>1+hf+rB!H9I|#o`?1Wn9-;s3Qm&C1_`Uq)Zz4J19s4v!Hr6EU6-PeG zX^V^(3l=-~_Bfvd3PQ^0e@e&2yvyCU#hvcfG-II=a3Yiul3X#}k3>`y(4n2J`+rP* zWmr_(`!)>@B|3D&ATxA#N)9412tz6j(jg7fHFQen&><^^u z^#Ag~>%vc)z1Moy{oL`a*R&lq@6IsQ;eP}!&zlOEW8n6 zA$yl~^$ukaB}Yy(nA6pn{jXMoG1ZYh-MM#J==0vZB=8G1-CUmX%Gw=*$n+gVi$Ixz z^g~iyI-GHw1)QHB3ZXJ#Yf*+v%AVg7OSM}Xv4+QW{I%IX2*>v9phw{oT1)6G(n@dR z43G4{FJsmUS-xnCGXDu?T3~%0hqkm-{j)WJ4~eBC#)XRw8jn-Qx8qKzCR);GXt{%H zWn^q75pWO$&_ljFDEN^V&r3aIBG_wu!b}5UDsgPht$^&>IAJ5gxby6C@}8{m$I|HU z8_&cTYmRAn5{ZTlmJ;qKMkvmkFRp#+RtoWLDSD2@D3B`4xaD0!WJP7k@6YOYX{IJ5mM1dA$PJqj z87{0cNr$XeL*G2v1Fiy_!-57RgX5e~nA9doxvoM6;zISo8gPF$nwflPHG{345H@(> zTr7HbG$(e3ZGTgYV&qqoNdB+4vM>BP|66F$Of?VKS$5m)#5o;n^50=57cDZw`5SX9 zr4v|T`=~D~dr6S~+)z$hZ(VgzT|zYHcjA}tzs+Dhx5_@Lb;L>)xeqE6=11lvylF=a z(xJ>l#i$*>%<@qRMl_7r2@4uf;Wo+;BB#QI&+F;4ADY;8zy7vyog+JvthvR7ky?wU zs>`ScNyrU0`A?YfZALo(=V=B2sWCMrFxwIbC>k%jx9-c)X1baUP)e_E;0mk|!;kD} z_bZ=rYK{oZdE-OE7t~H+>2DP^gmZd*6v@#+x{{3B3k0f1(Xnp;$^X?cIABgfj=zYR zmZyqKQ22b8AzzNwDv+|cyejemd;ZU_(pGQnB3Sc{Nk-{DL+ves5M81+90azzpr3SI zhF$x_J%8#(Q5@1h1-7CAh!QBt#_r00=rk976ysqg(B2q7M!dNA3}8~{Jb;fMdXS)&aR^LacoBfH!}%T2VnFa%CP8FU&sVwdDO;qM=>vvU;Gk z-eIP<%58<2uovpRGN}FNS)7X3;~0~9oc66JUx>7>9{rTTg5tgxcE7-TOjzbiva>^X zUGn30YLWJT(Vk!!Gc2W8sH~o5XWSmp(_eb)ik(R$KP30Rs&`HTk8cYw!P@<-l6$P1 zF<7@CRvrU;#?PBPn)$+m!+S~O*ePnKJHEHm%$pH*={(Gn=+AYJ0E;6igQ%!KOw!YY zxW*P`%QUN{bfe1zzxA-l1cb#zmWi3ADd0h8>yk=mBpiy1slI(rb6eaFtd5yNMLdS@6T%d8Le*=@;+ZLhyg>c2l0qpaP<_OYGa z2_!zE8Q;M~$y9&LVPzLyr0GpIZ02BR9jt${A89s{u*^{QUnymQQt!3kj+#I1-oBUI zuzu^73>XZq<|82`?x#=TppNOyQwZ+Lz1Qxzgm3&A@tE3!QX|p;Y5NjeaX`%!RDQZ~ z_v`)_k+Dh3q_V@dyl>Z|{3u`lYKQTS!n;40={RxOBdVWc7KlA_h$bj2V?zpLf5`2i zilf8VzZZP*LH2N?Mdg37;jYy3{XCBI9A3gqTw#i&RAH3%V{T?;26q7EUL8Xm0BPmeMkAw8gGgg4dJ}9knd4dkwp9`1&A$j1Zk1 z(YZX&29?q)<6C`;~5oi`Oa2giG#aiO~EnoDgR4KD{69fmmGOE8I|GBZ~M z{#I~^6?atexfba?{I{okqp(V?B9ms_uHEMKvBb?>dUo`8N10GV)E|Gx; zp)21-#;r@%a~kLtdum0f;g4C7Oh4}Q!DRwvYubU!hl=XM*MI zp8-0};N0PWz2x#8-dPi3)e)S)A%`v06n1aas{uxUk#VUT2M(66i26s>bH$pqABljG z5V!(Bz^wplU}bN4g6nOyk=Tp^m6C#=@+T&;w)Bm0#5>_hac2vQ+!McUajVR{x+-b% z6YiZ!{KXY~uKZgCSr5OA{|@0h@U=O^TUk3(3U!1SmsK>HZ>++4KvW5FUjNH)shd$9 zf%bLg2Y~$+Kg?Q?4Zx`{_0*ZKlR!8a`p@Ifw3zcq!ujC4kuCEIj*^%#k({|vdt2)n z+}VTOo#A`?iNV{cvz0W+**3>=!knQg{I99Pv~orJb{YzHZ!ae)Bg^VCO-D4pFqHpS z34p8SVcaoTa@DwU*dOn|w?cMu%&+!Cti*rphj2zUQl*EyMEW2KzyvZ?lzof?JrR&_ zlZ9BKxht`R`}o*wc1@c0dn4?ur-zzeJ+-azq?q6~4k~Zb0wHYb;+=;9?_`7MMRIjt zxIN1nvAb-2hF?A^-#LXzK&EWO+=jIvCt^;fCQc)0cXP$~^721_S66BxmK~{Rcq0JAQO95}ugi;>~Cz$YK8~X3(s12AYQdUh*-nVz0xV)>m zCLyCNLScNW)A;nmRjKH_mK3+(`uM8;Qa+5+r?+cc*gGg?rRIpF}JDmf)4*$uk$ z`DmBkk{5sBYL@XPbFt*lfxAA(5eAd~40QqwSMRK?yYcFMp%=Xqpb1GaT(QQ`JbQ0S%gkmvPOZGQYpyU#PGf9Ll+&dT zWhIQ)9K86-2cvwgwuy_|f0kT_c3DB$uzW}-NM%fS&k;|flkxHP{#S+nHKqXieTeXX zQo~2+fvrdtRzjo-`m}(FB)l8!Aaot{qthdqrI#2fH>r0NrjQz$1g4w)(SBem>^m%k znqtBhn_;aw&VPWyF>)x7q_$Rdja@hL&NCYFs#-a1g8epX8NgN`9sus9o3TN^x{WdX zWk|!d^Rl|WkR7j8W%6tLu?&9BH~Gf*XRNY*e)NC((Aj%%GV*!QqwYjv5+&*+u=qaG zN{4GO*~Pd^;AWEYDAxxNY`>TQ7Z~x9XlEvaTU=Ed7Thio&|ZcSwe z^>;)MDJC+_b4P{wuDR)kpLY|d`Z!sC{Vc8F*@x%v)9%zAIWSZe;R*#U3W-t0f6=P6 zw#gXET&olJYb-zWIsrg2{i z^wmW*jxG@}+63T9jqJu=IO~r}$Fh*NmeXMam)jNMsB?#p@nWKNoX zNODF5_}g#(4*#;mKa8j?GvmcKIHm4J-y#s4nzmGYu6Cj$|u>_Sy$J*Tbxm0 zky=}jaP26CZTI|ml0^Y#f+fBCJVvtz&@7`sOpK<-rvKxmv`y7i)ozt5e`xEgRRpqM z3~}fr@@vP=692Tb=YMII6!C=L*;fi)q0O-5Bflvhg;K|Zgp+WMy5k*BFxV(^KwVif z*6{2Y-o-EH@7iLX3~DOk3k#E1xb^(L zZ=HrN_pu0VUzSsHYJ7v&E5f%MWMHg@c;Nwqn|Yh%fVk|}d_JprGEqj(+V)|=F=MA8 ziJuf*Lhv+pgo2qx=s+j)TpuuD8kP<$gHV~JGO?8GaRtGotl1fc?I{S3{LG|E!A`>< zv`;H%)Q&1u0xx3vHUjR5)RbUz!L8J;1h<$O{kRC(`^#8slUo-xdsPB&aP}Lm@SN;Z zc340|+AFbD9Z6ct^+o}0=^XS-lSpGnRti}0NeF@I1xE5i>z5Tjq?1EDUem?urPPvR zA%4>}iTLj>CH@vKyVD}5y!O@)5_3#i#@v7tTja-q@#59Eext+N_x?eVEluT00WLD} zs8m5P?D+fEDaRDFdc#GoXZHzR>DBu8v_BqZRaB(Ip}{?pM-}0#gmo3^R;~QWsc(tf z-{N)Q4FZeqNCu9oUEzQy^{1kDB8;{s)U21*?d6h-XyaUVOv7;F&-9&j_V6Fwrn(b~ z?zG+En$XESVfUS}BPdDms37qXlQ!$=fg!m{YM%LxS-4{rLgN*QG1Z)Ar>OUS@kG0$ zgYJtk?glY*h<9~UUY+wU^XUCUsR77Je7P4O)9|Sr!HKEe7i2sW#;bO2T`HHf#YKix zx-sI$8R*VqDVUd;y!yiztim(_T^ZHqm8wF{$fnw{2**FFYe4waGr*q@w>9B>iydN_C07Q z`}JXZ;gb*IL*%zq9iT4IrE7u?zs*{)OwAbOO&{-_=0IR$kZb=2BMVP$#IZewTBIVA?ejW>jUT$_84Scvl-|*YV{U*gulei+s zw{bqDUY&MqnfCh>w%))K$(TKfp~Ce>S#9@U!G>fC{3l8|BN>hFloQHAW>^Tb$z5XG z3~@Ne?@rCqRWnhUHDbpy-1!6ShA|ljDX=A0aYX@Lh$4=Wy!vRc4?w_%}$G&QF+!yy;SUxEvgbt+=o5UJ2*9H`8FchK7X7dKV3LD`)HI z-FF=;;GyTjM>k3JN2yZR1Qn!hTYw+$S-TKZ;m${v9tb{oL{c6ga6DCMDEW-Aoo1nx z#P&h<$~s}-Po$IJpL0>1KbS{y>760lAibVDl?_{cRMvSAX&tScQY**%TD(1nIi&*| zMeA+=NbzfBbkI25RRu+s>u1MCUmi|uhoR5s0X0A-akdv6IMHI88eey!l+;ly>L`f~ zJ}V~agLb{J{3J)wk;rY9D##*FOW-i&(hJk?E91-`ea{+?6I|#mnn?64v0?cUFUS>ACV?tVSlWwPoU(g_VG{?!~cvDt|FgcjVt>qG)>`^ z?G|8tscL!j?^Xore-Gk(wh4o0vY!6+YFDk8l0ARN(bI=Y>WHqu?sy(nn17ee`ZvqC zT8bVQyee}fT~QCjsyKdv2Jq4uvBB(~`V+jIN3YR@V8)C0@iSd=;iCEEf<2p?s7hK= z4e~8Eo$49J{R9S}v#G*w63O2?^P#NNyGIOO;vaF1o1^&gL&-h+D+xJS1d(Lw7ZuMs zO#Y}80RNc$-p-{<1@FK?FiHV{>KV&VGLIn3aDKQMSGb@+<0`hyfn5rE$4MDb>Hl#o z%pL3Z&O-Wg{9@WzoQ5#vDV9yW`}u-(qA6U47>MZ#hV+kro1EedPMmsQMYS{gpbS3b z@qu!#r^KR)gLjxs_Fj9@CZ|t~hdNm82a&)WwcQx;SVZEU09tUZ%&vRE<0{^)zX*{f z{>&x=E7CR*?cH_D6;*uK(?wtHan;3nr77W~MdXHvtxH|Q0cdnm_g1Ks7ShO)EKrW; z_`NwKf9axW! zUa<&%H3jx27M1>3?XT~HS%fj5^!}F9+Ovx@7+D!(S7_3YuX?kMpPhVs|FT2wzbb-L zdKaE_x*C+wN#5~rVhHQgYWRUzCgB`u<*NrUjD&Re$%ZPnq9??kGdk7*m43Gy<**G= zqHlWq047Y5jCF_!!CiaR;&Pb!o{p7%(lPf&O7PO*6;*$3yQuwCOL6{s(NKWgW8}pA zZ>Dh61%K?irqMvV**9%sVV*`WKD(DkIR&(C1Drrf(VO2&>lz8p#a~nnfxUO7{kIhY z^k;&VDCl*!p3|J0bH5N~{5P@8U~M6#;f!ajQ-PQx)QPF#x~D=a8xiLg4V7Eh&$mY8 zyK>McA_u5Q)(mLldlcGMNLKon@_@#`)njAqYzg7Va;U~=8E}a|0p+!;tV^v;aaO|A z{VwQ-Oj|26jduK%$owZptt#WWixNbbLo6Gms+z}Zu@hm>t!wbF&Xgfa(&kT?5drMi65C+6A{Abh~3jG!sUr0TyzW(r>B zvM!oc`eNfQT*zkko$yzeyvFtE)3|*&F@u86Ysj&lw5lcYs|OEm(Mf>AgN&bo?|nM{ zM-1YYevKvV4Z-?~S%IK11~wF~=L$;tv;X^Zr)4;1SEIY~VvX*_X&3onE?I2QZbYUl z;AM+Iw?2LreuYRjAIq7G_*ikSpQ-g}8}aIMHeJ0OLP~U`=>Bm*N5`GVb`@C(^%=ym z>;8S$=ar+;bW{q3jfqcN%j%QvGcKrf$cLe8nVP`Mn5_)_)CM)7g#ruiR+$LtKtK zmk)GIV?PT|3yH#{^;GWIk-+tFt^t)|Mc*#sel~VG$ zv2_}S!Qt;zboqS|Z*lk$H9o|usZwA9pv0`HvU?|jk-{dOH9yUh5mtK5u?E6-jU4>9 z6*0Qoi9)o_44Qo?JAS_4ZVw}B&;nB%8-%l-a+hc&1z&!nPOrY?OJQgZid*`ibw9ak z%O+tu-vud(+uF`hEk-APrip#0^y=)pz@LLG10YO488xq>pctudsONl+6r<_RwT#>K z-!^s2uPw4gb0!d^(o`s~~KW@(BDZW6}~^wJ34NnJ5BQ-t13a}GPMz)apJJPh1*JxgBf zMP3VVZHzh!YK2=N-lNj>8X*^%)8w>N0k7FJVx{9B2dsDx>CH{l6p8!i`GjR~#-(M^l?DKTV zlo+mdEK}D8cx;bMfOx>WXC#3$*s36@$UQj9sajsfrp=%&=H@}%qR(|*}Nw!fIx40hwB^23demgNDTcXWRvhMfUBOp29fLUqJ6lm=(Q zE*E|1Ya9B5?SYboRlNpc>E#>mKt`brB*1{p0JbeL8k;0(^`ipPRaUnV6~Es^Fd$a2 z`BKWOI`rNzLxvJ8s{ZfaA1Ws%=*^9*w7%06hJ4f?MQ9NryEvGjE}>(5^tSpOKC-zZ zUv4hGbeN$3vR~$-7Q7Zwn%Wkv1+%HtEm8Os&-j4!V0|b5?rL4C;mhG4$mXb88FS!5 zd-ou_N$lhzc@c_Cl5C$2;)n&;&?Uq{oV-oiA{A*}Izz|_Cd`IVg_{r)#-JJkSS2Yq zh|qqLWxZ4-^+^W3HI|tEIF%8ZZI`?JsC|l;8Jj^E(HD5MPilxw8QkQqKpDXP>uLU5 z6~aoG07W3OgsZcUMIR<7Lg*vsrIYw(pqU)J(fFPZPF=|9#g_oDui~^ooE+)FQN_e7 z;A|hiUzO+nEx5H9BdXpQl}n>3WfCSO*pd(L9S4Dl+a&cg&cDVVr^7t2|ytSw4z7tEHh z=i@xVG{#U1u1-o_z+^1L{uoy37NTEv%DV`Bwsv1S_|dLNlHTpzElA1jQ8DaHRn3*@ z&IAd2@+7$q^I)sfuF50J$+@LnZ&V=#wRgi}qkE+Aih;6*7%=&9m^_uNxT#RuZOS~g zF{#Z2?zPS+@_99b*$fLhZqPcbEBrVXK?%t#aeD6_Fw9%q`$x88;acGb8s09E$@?9p z`^-eHmfN{Co^%YAH<&cd<7L8j*WXz`Q3znK8g~o}t;ci~a2>m6C-hAIgEc*c*y!`}$jk zSkayjMa;V%v|?Rnqo_`mH6uLeA77I9=J?UeT~g;qf9hFfyNbTu#bzcDJ!01ng5Z{} zJb=Z@E){&;uu>fvZ*&Xou5=jiN@EX)k2s-nDA{0c9dN|C_`EF(y$FWt$PhUr)-?X2 zA6X_3ZnZZSScBr}5!UePmxe_a9J09`b8RV=THmkZ3y~7OvFkDu%1)(v_AWdh=c%fn ze{|q~^9e1tVXVuWLA7aRLNE7d2FH4u=uhoWt^*^@^Z}8Worb2{40iou1!&ss2h}6+ z{r3&(tE>$B<^YWb-~M#vCpz|~&<27VPjfi@sy_2$o%l^TKYYz*R(;;v>E`XsJYUlE z+iE(4)aqq&8`UYUcO2LhCQ&h+-hq2+*2K!)Pnu)3XDsHdJ$N1Z4tzER1PzS%^^el{ z?qw>Z`1s_9jv8k3UT?~_|E;*HYR_IKrzErzN0x|@wQ_J--Kb6M54^3f8ViwZ-+KFj zu?A(sWpYf~n_U@V?C|FBF5Xb}YDV`op15CsFKY*5H_PC8A+=dT^|^75m7;>2Dz&(Z)4pTqZm82vZ4)I@o`Kvw z#{9l#zeK#n;y0}Sk5AKqnhDPIm{j0mbl(kp;!bCM9qw`+q!}Z^gFze~p8381j_A;S zX@o3M*$*J-j=>9<2`?3p$NPYykpe&LAPNEb>Gl{H4gDLMu@h^#zq1rlusVcq2OBG| zf(*8I9tCbbTlss0^7Z@l4cFOi1SJqLOLO#Ou}t!T9u>y%b!5^XF0jHne^u_{jZLMZ zhc-9?nwh49V*s8*qE|_1j>v${V#Usf1iY)0KP?eXp62bE=&@ygND?o_MTb^lj+dGF zVXF)bP8k$^*Xj|A$njSU9f`Z^3{H;mniH>Uf9bh+?iHOF~iFlgg{Rt?1e z%cLdM-ywO_Js-JQ2R5e*cqOxhF~a-|{*P``{}mMJa9;C$xfk&u+;oI)-8`dVwgUod z&rJq5tA}KG!cj{scd3(7yYb%UBM-(G*M+|c988&k%a!-B=?h%W3}Rf4esCCx)KRIr z_E7aF?0bh1nt~Zua8jC97gNlBscV%6Z8_Y7JaF(_Hp}mQw=ggUkZDzme_cc3mVrmr zly@G%)W3DRpbvvceXWriX5Q`E`l!XKjyI~PP*P=DTMMIHN3BbLS%{LPj}$y}5M4eT z8LNtN;$?y1itCKF1!I%0PqLMT&VnulBt~^wqZ0Tj-~U>DC9+ssEmp3mF*0|UIrEe3Q5EMl zk*ZyQdl0DK>6489(XfidzI|DG!->`V->;o%|CBZxf2n;_aC%Qvw-5d#NP@1v zt0OQ#3d|h#PqJMR&^wkDno<@Hv=-(w#R?F*+M*6?U1i6`+}}OEe75Qqo)sQU!U`E39mqiRaMa* zXUlFIRp7QxTAAf2CD~#b-C}TJs238SeI$2qd7i5wv-NNDjySkIg5dIv&}1fq3~fVa zWf6?;a5g|{0ivg?b9|_y)Q$xU+K`Xw(zP;sH9Y=dKS~mKw0TPw-Z?)bR(S4RX6SqP z*dr+>{)h`BU0i=n?@c+O+l0pdl0W}Yq#G8iSS%`b9i`4~oLjj2c4lVHzqXz^@v*~l zw5$QqqQ5nsS8yu2~mx&P8{ctE<&Oo@@oB;-{oyDzCM7t~fnrxN(Uz7Ak}) z%dhgq`=pKKT0ObH2Iz9}JZA0a=TepvSCU^t4c9gg4Fx4K9&qh6Jr#(btLob7>6KBL z^G}Q$H4gkWck|s*_YWc|-LYUu52?L6xx1Dyi!DQ^)PO^ifp*DImy~2Drk3(~DMriY z{oBjrWv7#|v($rr=kwpdTC=J(1(?3WB;gc#aC|2mWDho^}2`=EH% zUXU`(KhF`ugl#S+Ifkl!t+C>%Bh2f?GA@rd7=?o--mNmO%$k9q$1i+<$!2hr zAwYajUHAUe2%K%(oe1psy_y+Kn_5UZs(6!0=#Np^w0YL-ftXOpp6Zo z3k$U0iAw)S)^|@g=o_Acm0Q-JE{5tp!>B~Y&mUQNfBIfiiVyXWE0(_6h$?}WM?cb= ze{RV_VB=2v-BV9JsVJWD=JjOs!tjYtcDT6 z*Eqa~oF1?8CS*o1QJ<-ks4n?v15PnYB#>`bW$;uMEwg0=y*`Z2tZ}=|E?tb243N5L zqB$IT&EtE-`aR0;j~YLFhx3QRg`X{CMV1)}&?^*h1xJYc@9j^f=QN5Y6kUEm%*GKyuu8+ZmN_ zZTN?2o`Cxjzz;c_gE$5EQ1Ug`kXHVxPjOqRTVnT985)AdUfmA7clP~H&50H>;L=H` zlwVoEZ(a9#MEuKOb?kkhyG4^W4h`P!OS4eH$wL>hesz}&OD~eL{n`~A_}aE9_L(Yb zbbf$RmI+1^6T{^;j$2ciK>QpVAr@M#?n^6sSxovBw(Tit*H`8z)R6vAyEUWU^Roc> z!wOD?12bOmXgJ`oW^0LUPM{r{xz^4IXT#C6MWAO($?<;BCwD+_X-a|uG7 zrk^ivUbWqks#?H9s)Ag2Xt8LyR+yxw$%}Am0gPDg3xR9@LmsdDVW0JKCm!MB_4KZF zWnyhR7I%d!B~g7oWRWc68Gu^*bAE7`PP03E+L2V!j^rO%u7+;b&>Z;V7&UDTq|HpX zG0Y`WrzA#uaCgYIYLHUuq~EMK-{9NF{QJiE*svV<2{3#<)OxO5y{!s{>AO-)Dx2jl zn@1ItUf}s~@ezgyPe`o_1`%M73l}3ciUDZW&PjN93URRu6t&0~^&*0Wv(n3-VWi-ru8~lfZm8QZ z3#%3%H56q!5_mH3-|FtsLZ1Z&(j#2zzYe6uK3h)n^@BEc(P!acW@x5<>9sGc6&%%X zl7B!Cz@1a~bBUV*G$pOU3US zBQl>33SpjQ(GFANDtf5%lQl?LTT(_2ewOnH?J_o)C}Q`?UZN#a+3Q3WrE61bzRec8 zzo%dd37cCz0s9tzyx@7^%S*oqY7KII|cJ&60a$3PUC^G?;G= zJv87T=Vzhc{xN#C0SfT?0(lBJv}u3o`qI3LiM&dka%hOIxGwn0?78KH%3kePoQ7VB z_}yqYrWnuAy5)WGVl&UJUn^3B5I*t9Qhgo!Qop$`w>7sXFaES2PafZ&&9KB0@bWll zchYSJQU0#xaqFsWm2%;3sC31o9GyTQlff6f^Q$B`RP7u_w|F^-kC9BUm_&0-<-2ct zf`1pKRhxmIb{5_vGTN}~%d_%qloJr6wn(+pko4UGw-qXCPsB->Mf402VW2M4?ebQ` zaAhM?0nK3=Qv^@vrqSsS8ytq7=j?IPN70m?_nO4%>GHm~u`&)Qg@dpoRX+XfQ5O|s z7sj2n9Lvk;P{>~7Xm2dByJ z_B9Qo^J$A+*=CIZWte&MvDKi%lHr315$lW_J^TpPX&;9^S#y)d{Zu+aQ^?c6g-4|n z3JhH;@fS0yQ70&_<_EK)%tmg5KVcal+iOCc-K&VnxgAc^@P2XoYxH88gtMCa#uR zZ$*<4*v5dOpXGBjDl7t5Ga!_zB3Tk+>V0UECpx5)Rj&P3+TASHTm&4qPU08aWpOUT`D=Li5L^dT=#)INMX zS^jc3QK`v?#aV3??@rfF_vzI2{~8`p=dE(UYr~Ua%+bWSKXn zOy?@DUtp-fOYLN=+euI2+H{7?CGyvx#K}QsnA3nlQ&4UO7Gur@e5e8A80{CkwWHIl&m6eBwDyy-sP*!`@lzcMIPdFH29f7zSXv%il6I%wmS+DY zn0oH8#@xg7{@~}PD~AxX!LJX*SHyTj@Skp2#ad{;g0 z4}2lk`5OuClR$I2W&`*wMAt9WTjd(mt>#zB7d|NK0VB+4U1n9V?lnIjO)Lb{&rE~H zB%3O_7hgv_7z4HijvMv#?8LxIm(u*zB z5or$r(u=Kn@r&5-g;f&a(tjR`cB%Z6@&2=G_vD}E6KZ1_^BHaPmk(f4eM$H6S&l|t zrEKvjPvN9`SYWeXc>V_R4O(9wqhiMe!c6p%AKw=|VaA3b^fuf`KJm@n;ER z=@f)a*y)dSk)LSjl~B0gI{(0+A;2lHVS?3tF2O06@qZ^@d%4GpY?Wt%33wYpl!)z5 z6k7l%Wjiq1!QDZ~yqEi4`{}nw?KlDtS0nC<;G?PJZIhzhK^`6W%NGH|R12yW1KSg21D@1yeJW?UF#?yIuD@gUf^VL-C$pi$Jldz#j z$rmFGZTx2wStd_I<}-2wk6z&fDGK%HpgULbL{&i!w~i^`=2OXkoP?l%JTJD9L8;uy z2%;#TO?5LV+mNyi9NnRgszTBThR@J63V*5`7pXNZyw2ey-$Ee)zEqN8G1L^XOQMX) zh#>xNrrP&{lcVn5-f0)16hFCNrS{+ETe5b6i(%mpL;zuS+ktzG1(e1z3YN7mA*^x2^);kSPLHG zqD=o;L620?fn+)YTtWBk*&l?2r8C19#n$$j+y!-1xsH3f6`+u-;;fH^&D zFJ2|NSOh7-LbC@{3}emRg{w}P?lSHmze^kmQFwfa7$%IGBD`D^XR-o)&9ln>pM(k6 z;SO~iZjQb*T%OO32z=~GLbmkbWkp$-qWQo?u!sCDMm z`8G-O|AG(kjYds;CM^Gc-B-|~`l{q>zdSJaOmlT+w&MPOqH!{=94ixunO zd=}Iq7GJ+9iII&BZaGrM;}pi@kkY|qs`umDGab2o`&awx*?v}956O_WTm`j`ZdH1R zTEFr6ipCJia4*1C*Mthcru!j>v%)0n`2aSNf4#;JQ!Ncb8|SibYD271h8*;UCMyOmO$&*N0p0#i#@KNIxh(i#VnZtEW5 zG3s^f52r5%X*4(fQb#gZ5DUw9{IrI${AML-u?@^9W#)*YGpyV(_OE ze&NL}LO(`$dN8Oyv-0e)v)F@xN)pH`M(K-l3j0 zBAu(^H}jsl*S-fz{4*B^lTkW`(}>0AGiCevz6l$xy0c}{^ew+FKKi;HT2@D13T=5- zz1tjq9N@d89k~8YY#dv5^W8TwZsS^weYpf}Y+18oq1T3VYqkt8X9lNcG@iZcn09It zn>eyOcl{TiO##%o@?E)9&7?s-TfS^h->gE@Q___JQ5PcIu#%-ulM(kZU%acAUlrRM z4bj7uvQUG+z~u(>fBi_Drz^N@8j4H--DRr!vMtt*LGo>uIGs~MvFGj>H5WImd~!%1AG{+D1q z*>|yKTkX%kKMs*R!Fa=&=_*BFalSHU=4Hq7=x-!MRs%6a!pEg#g6_viTuDZro)b%a z?mF84^lUEkbn@WQ4He5F-erIQy<8{O*Qmq=+t6texPg9+^aKl1eGnxwf0^I^VSV6>D3eZM znHvnLq44I=_u_?2^jW0pfXSbIF7Kn!;o|DmHenb|2z6`jQL~%UHEEIOaoN}p(mlwi zn&*48z7C#jXpkR2C5*$iJX2Q-xp~bDYX&Y&%1%selU za}3MW*gSyW$F>+0W&BwrmsztnCWRseQx$??x*lrJSc#(K|Vw`AFD z#IeCX?gB-dISv}uh8au~DRB%mM z{(Y+|gqrsY6SBz|^k^3jdSAOJVx=GYP{b42Bo7q0JhHP6IkQjR-5zCrIsUC<8Vgw= z+7`(@d_7}&a5ZKy5Kc33Ju~LXYJ7;;qOx*sZU$x-XUfWxuf<8^{!WUU9{zNvM}H>z zp==np2GQnlC>4q>=0R$$21dU`f?&kmPgAu`e2!23`wOE@GUMph8?BW$=%o@_?Edge zsLxgPVXy3>*fLuUpQfGIRTa&hhV0z>=&KbH_tK9$GyvRpf*#RCW}+Tugxu^3-ZBF) zD=rT>&Jv^GC^}&U@;&@OlXFsH{`zBVH>jGY3%^e=Oel+14hYS3*xe`926dIl|KF*(S+N;aPhnenvp)SGp~^P|#+ zNAqe_HIAQgpum#jwrkEz=D>@2M5z3SV+tPrJZdNRz`Z zuY2Dl%q8&jaFwu4MVIx}km(BXMoZuJX_53>x$NNQHCE4GbgPfGEdoAdZ!qRF~UF zUvPB8XPIW(fsebaNt6ro;)VAej=sK?7LY6z!l|M|`qA0f{%KZIeugOdgMdH7V{*B@ zNc#Jvk}4`}@)8;|6t26RbxaL01q1JAu^_3wfhpC9CwVh`K=$QtqvMWIafwSCl}A$#_j4xnjQ3x<@{!$mAM-Y;>oC=62K zY(~XDkplFv!oZ}%(Yd@?3HVxSeWHDSAJyP|9(M8pU^7 z_)uEYmD8={;cyuR_xTJu7-TXfHwH}zkylipYaCkWyjS00zR>e$I5uCbe>2U|l}A9Z zjw#phX_fDrsvcePK7XLs?+TfJ?C8o1>RHM)KL5#8`V^#MU zeSlaI)n&XRrn=j{UJ*HA*LoiRJ>EFY&>B+G8HzlxR>6NX!^9~{=BnL7rIuTDJEZ8! zX_IsOwOWlE3u>1fje*jTul%kR+G9pTgQa9G2kGj zcP&Prtq@^vefC7!+s_xxqWauXkP^ppK=+>?^3o?mzhbdy7CZy=qeb+5o)B>ssy-~! zcUTT5v7}O!p~Vwe1g4eah>Nl6CHp|6%p09RQx8bpl8f#yg^o+Hvtj7R-VUjP{E}I| zT)G%e@AOdD|MVOpN$5zqd zqWMCy8{hWFZmp}j06hmUz@1%FOZY8W zEk!LIX~#)S-2Iwg&bLjjP4o>OZz?`VcAv1^$MLgB3YahL+#^tZlMCSF!r|0#^w95^ z@4phkSjhqm{SoMM95%@x-eRN-&*e)TqjRIL#D&_d0x@hf1Gj!q@x*KAps#xS9}ey2 zY0u%@=yU&iFFR^%PK)nguIb>~=I>0Yb=d_<07qizBms5;(S#PM-8aFJe6 z+Qz2n7IiBS9|++pt)Eb45oV$!#b06@#Lag)#J`yPsd%O>+R`xUsh1 z_*x))`i+!>l2$q{*HPs2h`Q|lJFKNUtWnMuj>I*54)k9 z$vsLrS&0opJU(Q_E@|5kl4_S>W{s?^Q4TgY|LvGzx(Df*x=)n#HxA_0Z<2_>5dlFm zOQ>+Kf1f8DmUh4m{3k9ukxI*S4Q_g|t2T=~&Av-OlOhwA<}m^9Mfc4ei#bz09>(S8 zG$M~n$Ap&ZF+^UMxA3G3h4EWOqY8))>jJ~ke9Dh%AQfiRJ zqx~}%>G_U4!yW|QQkIeNtDn=A5||lG@3-(L&XIN1&|!Zkn?7=-T6od0*tNI#j^A=? zh>I^%rMV^RF!7bfuS2bV1J9lA8h_1r(^Ml?H?p=safP3K@}H=z^0fti9Fx0Cg{`K2 ztYd$z+BG6Ib=Ug{OxP}{(`%EclYk|KJR_rrE7;xNDY1eG~w>H z-ByvsqtXLM69bJ_tb8tKo(xQm!NUk0hT&L@1k@1W({FR>-$F9V5zigmL|9y6mb07Q5%T_xU@KfG)#?$-&Oh5wZ#bF{;RNu*iJqTZj=-J7O!I8@|Sc4x=Fp^%jo z`AX}~em4`po#8swMsZ!HA46xo{2U*xIz7lLo&aSza-G5BuXD|wXIicb}E7!`P$8`R-_I>LSN_ioj z(R|MlE)zgQLw!G}F7}SK`QQ8BBs};@pA}rD&Wo&Uq&ILTa^EiFFO_SyecdY*Q&7i3 z^r{h5$e%$FLA)XGP$MmIw0{H24TK2MmerKk%+{2r7TsG~an(mKGV=l&@Z{%ZSbM7)IJ_rCGaXKCs`c9mr=i)Rfx zLp40X>v73KpZ- z3aVWmQSPLx&u?oy{rV*GPY5gj-IAKUSIRT%*1i4&WI_wUDUD>Ot8z&sM5gI2*4?Be zna|pt?eGZ+tVN!(Y_3Gg6A+WYO^vN>d|wJWF}9@_CqFQ@mxtJyO(xTG0wEv#a}~-- z@G-qvYrW8qZQ;hLHc4oE^&SC?d2_$OM5tG&SBR-tkwD$=!7Id`t9Fv7F)kj4nn=$c zDK_t&N&6)|-94SZ-HBXsaQa5@+T)PCFX@e*_nb&rib(l^UwUTuE4l`K>gbR}nTbO3 zxR(gqZ}@PQ9ja7%t?PGNJi<~hMY_8@F(`bRBv-TZguUuZ)*78SZd$f?;^+vlMC;^9 zE^nh(ddKf)$3{n-6mjg`aFSWtD=fHZU|7@CgC?h;<)xL==&i|cfeeTE8cF%;Ob;^o z97zu+D>mcZ5Mhg7`D_%Hq%=4Ocf_e~50BzpD?WnH_qCLWiN7qo- z;|0bI@5@Q+7Z*!)`gHEO54=H(Pii2NP*E;LwV^Ns^g^v@v9r|8X!@NwII_f?`iZ## zDP|zl-a0lt?GuT%jxk81ilnMuP(;rE*dI2-ozNp!UmF)deaVgw4e+FlevV% za-2SOuQ$vain;7n) z5dR9p>#2?RHa|uBB=ivzXus%dY)>#Uc}A!)7JC+DzAYH};=gJ1reWy6#v7(()Q=?H zs`P%_dkn@2j%bS-Tk1u)u1jf~>9}sYKm_8eJpz4i7NU7#6Vi{F+|f57P*u3^ms^$B8Vq$!O~TZ9SKVh2r3~5W{NEo|Yd3_Irt`(h zIjG4_=K6rb9^|t|0IMqBd%Z&5hUy^*Oy1 zEb-|PYBYkrH?Vd=XJf~dGaZwz<& z2W!#Zw$3=55i}6Vb^-X+xe~%~G+jQ+Ec*=q2P^KmrB@;yp++XR@fkI+Bau>&lVs}O#`uO0W00m9abM_B@F5-b((}&7-s($>`9~f? zVSHG3=Dor9`Dz&2k^s>7z4u^9A9C&!40FtYq0hHAKu}+bYvPjab3F`|6c=Z)$oTtS z@t93=g5s>4R;2+`FQFFa?Z2yk(YiFC2JEfRp&H85Cimd~Mc9B6N2sS!&lle3jj)mL ziDkk#>4-8& z1SJX4xL}E%cMDdWH0P0a*UodA0jJgQ8!biT&;MI8>Fo*);&D2Q4P&BafP_c-%LKDR zr1zug3Bl;w9nw8^LPh+o(6#F`SSNA+eu8NCi&#32VO}sn|2XZU0fk5zodLs)aa{!i6PQb|kO_ z*X@+0gw8L%Z(yND{ep7UJPqaqd`PUVjQ#40mmVx2_5vrw{ck)Q9Ssk%U4EeTGjxZx z?)1_)DTtMJc4JD1yez6gix&wq-e{`D6f!y6WkoypmF?W$SF06z)@Mqt?fkG<87%Kz0VnpHpu55+CKaiWZahC(l=aeX0o!{?JXW*1DxPb>`2{*SZiyh zalQ&mKY_K-dVMX1WBXNHx054l(n`i~Awqoq^>~c=I4rMMK5A%O;4)Sbtbt#&(NENj0fapQVGI{;X(X-%F*YU< zUjkcUQY7=ySpvgO_hk`zQ5 zDYhaP2sj@2EtP6a#2BQWHw4~nItsT_a$tM(V4#4%rP%hioKI^fu0u-L84YCv8Ko?Te#4bmis#5;m-bjezCf!@O25mNzRbs7= z3#4=P)v_JW#X6N~n4VaoYd{;rjq3CuPUM7sP*M-2!jFC?@Ia~IGL!tnPkTcrj7D(4 z^Lzuur3Lz+Q4!>zj{sMUbdt;uk?=Hg4+xwL61aM-R~yc~(_gmZz6J;!X}|W{*f?2m2#oNwi<`Y06-gKH6!E6nmg{>IePV! z(if*J&gDAdhf?g#iaAJ=p6X=FMfQw_fk5()Gd8RphKQ2W=xyR2e7ZetQp`J??0X@a z7)t!DSVdlQJ)%(W2w&}pX2JW5BFVZE*PqY|x9mczn@M;JB+sbEZ8!NZzSua>)Izkd zSkk%#)@7iPNOja3z>d+17F(^ziY>eP_uQF;65^?i_h|w)95u~GEOzPPTu)@-EVpCe z*p8*iCn;sgVnn8<8hu|12lEQ8_iU88Ri$jBdN<7e*co@cMi+~6IXJ^ODqyPS&!5+h z_d3oL65TUwd3sz$VHx9UqH*70f~}JMfwqUR?7D6FW0gpZoc{s_+h`=tIHDv77Ez@c zX~EEk@kKSZ5_s5l;xdaE6kT1g6(`0mEqI-QkzB)xzivdjoyK9FPd~v&v0lL(4?|p_oz{ouRX>! z%e~0frAl zGW_jtz=1;?f=fB|Tf*{5w*=IbdM?gy4xhd|5zt;TmPm-FAOB=zwstvAm zm}Eg49`=z(4mcG3_tg9ca^!;7;hEJQ09@D0qD4t0`xn}Ri z$Yd?sKmAdheZ2mf?xZ7UfPnA~MJ0nXvyti6RW?*GYWDk1i5$!WgKHk6=GEDkSF+bO zc!__F@#avlD!TpLWAN6pp!;g7B)yCeK~?GBab3F}2IXtj?&-xEdP{R~B`9_;mim4+ zNY`c7puXccz(Y4y*;qC63_qrJS1e@G_G>QX8Fk21d{=l0&ha>R7kdeb22vGk%Yz^U z7CcQih4uOx)#B8?MCaH>3d(WQA8L-%7kLT(-b$P&&Xt+AUc(pLd~ISGHRh1g5vsWc z`LXElL~8DH|3jQep22Ty&tY_j85QDgx#T? zy~WDVUVa%Ibwq!@5pv`Z-%C$fu-D8k$dZ zydtf@7{2X2N(p^(^94hFXioWCtnh2M=qIttFiM=5q9!}EnI^6t?`OPl%u`AJS3n46 z8;n%0C6gc%;;DrhvuI{tO`B<0TyJ?w=j*XaqRAb*_`TLqKyrTfe(9E$pTO-umq|>c zKFy&ax`b-);_NJQ_%XY0*tR2dhMu!eWnOtR-|;~!gY9GGiW;rzW57+Ao$2Yk&C@PN zPBz#m^FolMsAVd>!C~}cKGlc6eu6cou3O2&O&{rKW)Y6|=ejiM<&Suqvu`i=@+O9` zWR^csm0~Y_B6^)L+y2k8ZLp?9-g-2%yE-evQ00S!VpTG)6K+`eFi6oLARJ8{cN?LlP=1Z- zsL9st8(@gVAwG&o)3P< zz!NaRvr$EHaQp&#|2>`h_DAOT_vW`#{EXuO+-d{|>A=D?N;$LR#JiKbCAEPymrr-$ zI-PrLQ#h)q(QNuQI1<-(P|5!uLksKG5)F-t^*aSkPYarR8Iox%O@Ej%Tqc7YgW*TY zC0WZ=p4VGOszpG+M4QryVa0zO9K@%7*CxHda0?@>zYZ#DX4lo%(zXz`ipw@L4}^Wcl0YGx zWB;7+J2qI(`hv_hc6RF>i}|Q;ra>folAY7X)zS(kYvN}=W773PuG373u^XI!!7X=p zn^_xaSj~*&2BpV^n0Qyeb?rhLAjtg>uX`g1AZEFfxZic+lG8jhAN{b@)B5?1bx=0H zTi|M&J@Do(bL86Ai-C@M+XuX*PyXC)K|Px%33uh?YnJ#ka>%2D)iO-=@anJ?&+VB> zUS!nwt8}Dipl*e#3FT@n#|nPV3AYBWGZh7o+C-C_wSQXWzVGl(PVwBREKrUYA^=~< zwM;F#SC==410r~y#U8?4Bb49dG{wttjSd){&2M;vI?XHp#KaX(vr$2X9clxmABf+e z9fFJt2`QPym+Mq%U8OReEZZ1`Eu2tII{VvZ_&*|&W*o#TT#V=urMq=vg@6>rm zHd03aF*BZrVS6p(s;-;`3yZW1FLS&swWxkQ`>xj(v;1Ff3*np+69xbbCh}PC4Q;dWnd$C^* zC-f{3yp3{~wB_p-7;23mw43}vJhGSPZWnJk*jsVycaU1qOOHP+A&U-aIf+R<v`Ja z7>T5h2SzA0+PA^9**^(V?x9r)49h`o8=K4g5A~n51gON~M^`^PF3+VL<7ayQocw8v z{HF~E8Jd{2oMOedhfS)X)2Kwa8w3v8LqSJy2N5bbByi#bupZ;#fh2}d7jb+iQvFa9 zxG#>j;tQj*F94^T2KC#f|Gn#SD3WE#yg<>8#PDBMZbhmAV_{AOopLkNJp@88)u;N0 zVhC-YKW5xzp^PR85&R^GZ0W7?sFZ&cs$9AhnVu{iNXafn)|uQS;j{TIpv}PC?yliG zW`b26ga_vM+fT5=`${@kYb@n1i@1VEsvUip%r#9IJ7XJHpCFOIZ34UjPrW~v(45CR z?K2tW^;4+rB6;Qq54k>wLH3a=p=Pgl)i&C4q)LjHpoIArsdZRLXZ+kMm7t}R#Af(NEacl%G|rd--gL}iJ} z<{ex2ye-xykum9I&jm(Di=QIfm4rjylQb-DYM8QAQf(Ap)Ke7$1@#Nga7>jq_8^u1 zVKnYf$gHweF$!uZjcwY>y_cZdbIbi1m*-rlpSUS#XqcfbBi@^jI|+TB{N zK+pQ?qrq~FYFXBs$SVnJ7bQwBX5?}<#FqC2Q%-rr{cewlSLY>5dT4yX8`s7?Q{&J0 zT*}h?8ddLkA(T=q6D+cx0^>!GL_wNuaza0dG<(9drlzz|hN5Jemw&yj4e7Cv5IH;w z6a;mWHLreyFfjwAnrT-_5zQG=A1#}Bxx^&~OtdJN z7wwuasja)KOa@rj&mO>KE$~ zfQ(VyZpfX0JLVnI&kSWawV)sBhH`=+Ur53-E?O0x*F})Dv!4Jv>HvUG7*iAWm@`%1 z?oIir#)IyMRP8{+`@|GDx(3HYicR$&!spT9A}wAeiTxvfRmZLG`W_^%i?J&TcJX!X zO;z9({I+l}eYI_9{znxhx$rg3VFDKM3p!b)nH2&Kxfh29a-A$bm6P_ZLBUEQk-=uz zyUEqm)VvM29A;2Sm*JG2(=A#>$K#Rmoi9{MunC=YiTJMc5@&KwIG(;tABZmdYC_tp zvY5=o!cjctPS7|_q9={XpXK7>_^c-f(ZZ*J8qK_Sw|@w|82zz7;B#+>a8zSQ(}CTd zvFFoBHYI9$E2H`jBfz|93z_!@)v;c?>g<_ca!8D+ca!6lgjF#Pi6PbD61OasS2o3m z>D0qCIm2^zxW*TBP$}p8M2c~$w21zt^94p-&Vi{O!3NwuTI~qvISL`p^FoK5;3?x zd{;NmPVSe=0_Mi`xEE3&>UHF!e4{K~j}5T&@Zm6=;+_##QN8XrSD3qKmYWQ!gxiD!yQwnNP(gbUqajdsvWHrj>|^28NZ0RiQpLz*Vu0SULo? zK+77?{&k?Ev06X5MvyY`E%cqg-eq0tHpqEZA%0xUP?zR@9$Yt@PH!!Z?2GekkNGCUzWJ0h6dPBC|m)-xGTlI1!=K) zz2!Ck^tzBPA!kH*nMW>!dYk0X-}sUhBhkr;bosUr2!Qy-<=U{nt!lzM4Xbky;GCzQ zmgX#QDmaVzvjK`bxOVZi?pNp_MxV{;gWKP;i>nrw>*XrxPe|+$WfZ~lijg02?Frkc zg=mDU)WHv{T4O~}GG~i*&~TE<>q_s1O+R$gA19KDO37^_Kja)31qb6uCL{=c6hU96 zZGezbu`it~Pk%$YrAtPxMNgYPwY0m$JPLhCb@$H9_r;GE4f zop*Zcx>!@Zv5QHw;p=gdzP&R%v!M1Yie$&+TAWMMu0lxh#U94?lC@5vie4;@JLC5O zAib?}7`1_6f3Rm7Kd`njKLbJ)pw`Igqs8vuxsieg9eL!2+N%yao2RS8q`;;u8~4bg zyRqZbMQy#FbKrrEN2s-+;p)q^%>y2YRUmm9Zty@h6JU0@&}oV}VAY7uFx8Wp!(s;y z%C&#+XT^~aduvo{tl_YGa(dO>(C7SzrO*8+?g;}TznHZ#ytx6c>vh4Z@+iI@Y;y+;vy|dV;cgPiBQa=Y3pD&W@B1yPz}_t@ zxi=iiqjEyOyo(f_PhMUAWTpLoP$|~aH-g_efbdSz`D$M=0C@nw=eudAG1z8eQY|*M zA4=37w{EugM^;-fyWL0`mZpU!gePlN zfmHFzl9$F^2{;(222Vw1u%l8yBZ$)xpx3s#)UQV;BX~$ZOO3!ouvJq&b0yLAXHhQw z5NVY*+Kw$u`{R0o%MfEVaOATEovGTp;}UyIfe)4r0kcjZ3~(Z6*vv*O4PE&q(11bWubSVT)OmUF#_3~7dnDeGX6t5TY($Z`Q^#0WX8LriUotyx zwy_y`Rd%e?zc1X0r;CC>CF)J&Uw;L7t$arJUCHG>yNA`r@}~5ZnPN8j&b@O&-B#=Q zaouUVH{^x;u;=Bs0Zsz9sm16iL{x4(QI!2l5b-)+=!jv`?#*lFs7F5Fz3VSZ5FL+c zOtbUpqfE8=$gd~V8qhEN2GP1^uUmPe>89aHSYI=il13%q;SFrle#T0wG;L>J>Cent zwbu-2TI~wL*f_8>O1a5t&+ix@qI^{mmn1a3oAWkl@&HBdEdWIBor)OxRy=$0SYfQr zd_eN~`Li>iNA_Yv6K4shpa5LsMyw#ETyp^ zv$vT2amg~$?OHR<`Kt7e>qM;7y_OY792n3Br9rSQM-?5)IHS{OU}Sw$9RJ_^@Zk;C*e(3Dyosw4aTTd?(@`0XLUP`cJc9sP(c6~8jU7NXpL;sr`CdL^F z)t6^f!t3~*zo3>vV`!%84lOyRVYlqXzQ;u@R4McyP5TcNR>B>nf&}>o>^ug;Xz+0H ztxhKKBxf;si-_jYf$63fiaf1bQ10R+Q2U>EV1}o-rcn|-;@R4)izQ&3$=k71^Nnwq zUmqs8&Y5C(OW6;2(MZpz7BhR-p0}5AnePLk`+?qJX?hlmyw}ahTV`yinmebsn38~F zqBaEAe5Edz-k*AeK+7U7f<7$AJyLU9O8wcv?9w=N@kwm;P__=AC$^9nLS@EgI{IlJrS4-TI+Mj7ZLN%s@mY_vvpJgc}UGRJ+Ig*4Soe7c?n~`*3iv^u=a(6xE6I$=rF&Fo5TtTzIN1v8{tbEr zGStmhR-Ny0%E?fL!s^Vn6vabgjRYt0tuMtnE5Y;v-Sx?(48u<^19ABZfnmRx5@4kD zuE+kvXX&PAhC)=6hU9RrLh|BdU&zFIy?J`c@5jgnYgN^zW_<^ZFHa0=&a@7b6X6}E zlV8f$c5R7a<6`3fwQvH?UGamuZy%&bW53$_F_&}?YIMHN*6n5LA>b8+J^4&)A zLVj;W*73#U*@w&tAa&{h3l~&y`Hj9C>P-05nP>Rr&ijVV0gCl*t5v4eH%q)t3 zb9{LT#1rjGAmL8NRy-art;IVea6j}o2tx-||@CcPQ_l8E!s)N5#)$ zWZ^!HrCqsyVKB%q&}GV>v&Zo0y?l)unm1JLz@unOG0n#)#gMwe%e9-S`J>&eH5-g2 zP$C9F&h+bky79SxehK*j&JABc1%C~~(G5ugAP3ttD2UKTATWMor+JZVP7UuCVjp&5 z^w$eNyki*^ej~4Pve(<_TyC;V26*d3s}gzb4vlZXy>bw)6Hl!CIvxFGiuw9HVK82< zriKiR#jz)c4^)9GLIeI!-lKwiQ??V!ka2}+#q6YS5Hd6U!`kn6=J-F%G1stA zeVSg`q@V1(Ye3MkkZ?$2=TrGoaRXY!D!M>$M4vY^MEaC+N7&jzz*Nlakm15yj6ww0 z4{h>*A0nxmq|=!~bN2<;2OHrbt{FkNwm3nyP1^cXTl$C(HD(qAMRNb10B%3joav(; zc~R?>_uP+d^qoTn-w$cQf+pTDwlaj9`;XtvNKpRf{WN1k9Y`F>Nq!uAVnOS8c22PH z+VOppR;oI?ghbiRnr|iPE=>Y&i;U!h7Km|5?aRiu7xEB!cn=*yn0DyQU7DPy(tn+^ zSgx@1!N9l_ob(?aZd)js6RIs5ix5$_mL-#Mft>7L##43nIlx?ZdL3LKd-o}M9%+WK ziIMid`C@%8A!ZSrPtF*%i-}j>1fWbzjpG-*%Xp-*!cno}t@4BT*vJNR7#X=3c&4h*ft?@Rs)KAll?-= zxnOn?g&q%>ai1VAmjn9BQkbRicDUN4j*77zR0e*+O*o^T}6s%4s4@9jCDYU|v5aAp)P^zC-iyDQ_&cTCQ2Om^=QHTYa-X z=MIh0O>8?UEPUYO!Zpw$vv9QdAld6cldbzDNRm2-paUc&Pd`?hv>yWYzrDpGa&4N# z>^S?k2f$TQJZT5&7kl`mHsP&_)8or@byUX}B>N;SuIg2)psGj#PK53MEort85LWcA3ARs+3}E^M3C&Lt2NvkZNE4Gi+~~6{&vmWzfElGKgIG(`IVf;+e-x zbm$7yM<8l)?#Z`X9NcTs^m0KcZTu;gVC(McXACC+EyqP{3r9jyn#IAAhSG53}!old#K3$v?7bty3kj^tpDvo^{8Q+$`BqXvjI7T)R%Mcz#-8_1wDy zVPTN`Ac*2s2|Nsj3cd=3f_Z|Fi43$A{!Gh`7qaE ztyu4Ddrat67u1JKo1*#i_#yEZgVeA{dY5Q7SYndVI6a6}h+VDwR#Y|l1FuS}!rz-g zdOL_~VAqd40QTl4O-uMm`Md{RQhd;EQVuE_Q}&#iwv~+2=56R^)7FP?p-xF(y~*EY zs6##tZBYtxIsZc6EU``}Y^a$SMVFjSScHhwZsM}0e#S$J@yu5)s-#H&{&4$&{^gUij(vG^wZq=*_J8>jz?ojmu@57lrUX0VN_cA++Bc0`*A-E{ zOt$;p*<3RK?iz=@rZAu?NGGl_4T!7bdj3K{-FbHVg(3?)dq*!QRGoWgjxhUfJ1{U; zTX!$Hq$58Wg(DjKr^|P$>g=LnvJSiw{);g=$^RdnF47Jlzhix+N!*cEp3^64M7Vms zYXqx5`M%HZ>%y|Tr};<`7NwPuff|%ioYs%8>F-qj>fUmKv;$@jg`h}csm&@Vg$ts1 zz*_m{Q({M9mTtO}_FVFC7=IS7>a@drB<5-I2CvG2&g6jxR!CQUO*YDX#jTS|QB&hi!W!fdjOtK9YSD~ z+J+uXN@2NA6{ClDYip^C<^Snwl5pts00`gIIFXuK2qn>~bcx{;LwY61>=1;*9qteY z?;Zq>f}Rx|Jcp{e<}|Gb8WU5o2@y~zG0jp4?S)dc*gTJSNAd`&PT|3fNj{!K6KOz!kr|| zfr%{O_>lF>$w&ezKVc=9mg==D+_%VM!`_&Uj!?qbdt1%g64S2$?0vdoq&**povQlf zqs1G4xcztMaj4r8v`X~TbY)J1^A0=wJto_?xVH*gk+veHs3-`Ke4=5~G|Dj1B#8Ne zFA-O@HeD`Ov90^Xt5OSBp*7GBK6l+Z3&+8_9oh4boGk9|D9^E^yb@J!G@Ct!c;3Zc z#JHzlPqn_7Q~h_zGFNTB@T#(2K(Mc9%gRjH-Kd}aym!$JME+eknp_JQI zcwiIP#o3&BT3%Y6s)y6ERpTNps~gGmT10{I62zOe#|*u&NCEe2$<+HCpCUi3-t*~t zRGI&~k{p++8=g2DPDxM;3UepxVNEF*cCdscJ0$17qwaGsZdYSe>ah^M!J7x{`{ePn zP8M|CKLp~q33Pn8{dt7@`*0OGNKVm+^=OxdhEn1zQQe_&p!k>v6ckl*h!3aXq{-X& zR7c^YTow4p>N!UH?}|$(K4Frb`h7Q)+t-S*im!j6U5Imw-Bx`S=7S}$2i`q)W8}7h zO3h=$CbKi!ove)BFQU54?F+>T)~LAi8VYDjtY+ZGWEjEtqgKhDoH#qTG zL!$uxd^B%DBV8i3w!s7U?Z?DVa?@6I5hU8^Q`I~^63rt}32SQf0rNn``@v_S)RiJP zevRY(jknS|80yFjA4XN#3&yd)Z~Bo0Czrd$uygMvg~U9cN^UIEzp~uEhdz8MN@6=D z^5eCZ-YsX%s(#C=B2`RoW4&V^M{9|n*8$;vL)pQHYg?K(k|ge}$!?bb;Y@}&LpLbPMshp@q!0%gkuhJ#a1R%-UTpXqC?l6wYOq#YX?7I>5 z;^DEPpt47qHl=Aof$!u22qIiW`kxw3?+HImpBcWA@^dUpc$SQWDU0YjTiZXVb%2v) z;YHlVjx~hAeAJzusSg)DrOV}mg{X(hxGgxei#i2?DRIS(+eSE7>y?Y95bELofb?PI z9%ZxBO`FqVYQ0t_cQb8kK}D`z{so1&#nepV-4r_GA6ZPb!#1YfF?Y4G7AxPiu^Vgy z0tTved`eeW-L)iQXnfl_@_`F)LfZdR9?ejPXY+mmts*_*58DJ|bc)Gm5S-?x5c`pM zoiXJ+7#sJSm)U_%jLLk5$)ktse$~lL)Yn`rud|X#&;0o0bJXJCr`s&3S_(U?Xh+MXf9?#Ol*zj57AOsu7I)aZaYkw4}e;AyVzHiX#; z`C*-gnp(^rSIu0@FVR+01Mn$AD^$lM-`i=`D`Nc|CU-fXn`ZNk6;9)APRxjFSnk0^ zUc6_NW-*2;GH)Fd1*@|r2y9;I}8 zxz@1O<6xCu_Z4sqTx{i-ebhS6v)1ZGN_(C2eIx!l%`0Sc`aleZ>#)umDl*UpXc={q zBhKB~tLU)oA<16z!M1yeQSyZFz(GJrpG8%~e?m>bM7xPyn#)V801ZCzqw-^Rnvh4Y z{t2Kz|KzOf@dw(V&p)gV>$pq#kYbjH9( zbx2Unpa{H1XkS^X<4biNAfKMU#~Zu3=Kh`n!NF4=ub?-J&F<9&JA`Z^6ODr8Air3x z={ctF24XqvIj+2-{^r@xyXEag%XIAATTVeizvyJKy!$)M4`8qR>W0<>UGjm~7D?=2i-mEFOTcPfZeh&7MR(nq+V{KDk!ok8Z4vRiX2y|;N>StbZUEasQrkb3dI)>3iBPWiOlw`2=7Dp;* zMG#o=riWigx2NnQQXZattvd*%WIDv<@30K2qn!h;L|XAd#)h*!`4|w)-(SqGOG|8= z8DxEZ`Y|=X^ilM`DNM8EODB0ab&_!F{klg+A?GNY`@Yxqr1Vx4aUe8WB=u5)L^8P1 zLPL91h2PJW44`fu7~<5m6H;RbGze;~KTb}zb6T7-liepkdi_kK>?URSGBXPlFyR@U zVCp;I`|s%aRPpgcF8vn{Z)7X;CxpnYmivlEPk!ARIt*? zn-u^GZCab;GY8Zl`=P!2s`*eM36RzxEA^)<@q`o0Z%wPIllfHPW zTTN8+c?wGIWd@sp#DoMR8){r_oOzO56O(b3y81zWBx}Y$-tT;K&*iRbu_Z2{Zf>~h zFg)@UDjXogcuiw;wb8?N9-jd3kR$^*@!#=H$P9J?dl#q3@3z$w8Gn%7U>PqEPDBxm zq+T6l+WmJb?)1>t<6phUmuE_HS1(qHfC;SF)a?xmBjExc>ism3R6wQYylkXP?29CG z$|igQNTneMGwj{k|p^6+%T~E3Z4*N59(o5+++~~V{eEj0TV7u1s z(;jjni5s)w-1iaw{v_+ZP(Mz-B<6=B#&07q5_Z;cM#r{7JuxiYHa)lc5xo~j|1b)D zUMuH0Xg?Zl^0Ec~J%E2yqjP1`=H+%_J53o2ONY+k%VN}k=!YKw+Sgw~&TongEMaR! zB0t;r&L9p^tclQwR=6qIYmWGU!enM)S;E_xW#jcy^3F0=))BK_7Dau0>rBG^Eu1rehgO zF;05D$2)H9PKx!uOyqd6*S4Wpe!)p+ft74XU028}a+}0Aj!e5o+Yf3>wV$pymx1{q z1XQfOc|%GtQKQTo7i?vL5#K+e_p6!d$Y~K{*fO5J1qXBW`V-Sk%d%vR-(yEYx^9ge z(b}4CF(wJF(XdUnt_m_1>B1>oToCzdZ{1NdNxM@-lZvoQ##8FBZGr*U>kji=HA`gv zK--C9W6BJQtus4q_A?R=yz|^sXr5Bw<+>3LE>>;jD8j?y@9)KV+eJDNdJ{4eN_?v0 zzc^I5_Ad*x3?zI-OahfPpR!0gxV#hCSXX`XBl3KLYvP$7utG&Pf9(r^n~MO@Un4-~ z!;_0&J-P89rwpf;GZT*}SjPA;Wq=$~)G!;VygU#v(vwlo;2FDN3DP2qOfw~&2HfJj z!GpXuJ-_Z{TbchSABm&hs#T|+@-IAY?T1N7oGOUlNEmT37Oh`)Ok@mm^6Otj2ch&@ z*dJ`sk)s8)=)Puua*Ufb_7+O4%4IO1$u*}R)sG_M(xpvVH4w_57l-qR{Bg0X5vn#4w$9UqeT&zpG!)DB9<}-H;So(>QAXUas5Af%w2bb#! zm&NQ4&Iaf2$EdtlUk1JZhBrJuYJpjH4e%;s=UXT~$4+Zv$|LCv8R;@7VFp-3UdT1) zS^%Y#)+6lTf$c!06w~j!1~GFPZ!!539Q&K6dV3fcNV@n_KjL zI|}k@QDsahN7ZO-89kULid(E)P+s59Z~x-LZa`6~CJ^2M@Oza?C(vdVq6b8E0p1{8 zoKrF|2FWL{#NK`9{w}IbO2s+i-=otBhoj3fVmqG2LMq~k5?pA|$@E5OUtpT+_ZQUbBSsCewj!QR8FPS`~PXq+<7ApgX zgtd6^eQ6gqK_WG)p)TYtUVk-IHrSeREt|U6lUZcKGToC}1JX%rCr(a`9A)sFv#kjJ zB(!)>S=<#t{PkF!@`!7YCpD*Z_Csr}xnjLK{jQfog|ke9_KFI9H}WRj9c+M=AW1V1 z_UDqvd{;X+A?^ z>_B#q+~Y9FSl$CBn&vGuJPVDMnb(p|FQyPrX`NB6jTx~;<<_(D$C3!ez9+gT)aCYT z0auIP`_XHuN^|56mYj+1NoGkr^J;?^cQ@^FYdZh1pN9F6fW*wbB4S~KkFjhw@Y1*j zjenb6P!E-D6XcdYFJL;jHYM+AEl2DEv3>r?E9&MR9Y&%mL`ToUj)IsHQ7pSCW}9Q>@85}F?P$4uTr!X=1GByY2BrtaXkEjQ|5rw?Q9$*k1dU> z_BC|gCx#`r;qrY%Hv*P$8e=cBJ_c|OTpOLy!25AUa#uITF4yZ&k4UHOw_Cw6AEcfa zX`~B&B-c%}wlQn~pNqL&X^YB0r#rQ?ytX3t3Qr6j(1C_3ez{;LSuu~n1(tk&UJ2MN zlwsqM%HouTsl4bk@h+S3lc{;hfl9Iqft#=zSbKF|xX5%@Y>73m*$R5tRmtD~e@uO4 zSkvwMw{*wo?i$^pbayvMhjc03&FBU}y1PS4Mz_&h8rHdw1Hm6G( zLxNU}IT`)p5|#8-NYD4(L0Fnw6dc3^&qK`+nHJF;QU93{6wLGJ3rNMakO*p- zX0Z{m?5spU1nTd!cYkj5I`n8_C-*b&@1CgW*jKT5BlC8sQ?8YVR)&D!a>`5VSqkUMFt0w;HC#|1C!Apky!AS%(-)PiWz!07 z-zxriyuLRHZQhtCd@Z~8H-flT(Gl_>zXbH{oIy_dc09MjW&g-e`juhQx5`=YD_`x# ztqjfS9|zcR{suLuF1EfYFeXjHVI{i=Ja{$D%|T_MYcwMH()y;ciw)%D4pG)%a>gsd$X1l>?+giX$5(dV{si;reNQ{GxO7)08(1ZZ) z_$dSwgh?bb>k6g2Ac-2uE!yO52ORzNUtz+OEvLmzZM(oh zS6$fGwE~fJeoOUwuON#~7ML)}HCA%1nD3bC{Fb?F$fxygbg9e%_!3{*yR;CdtO*Sk zLlI;J8}->{j&Os4_@-@1b^#XXqp$PO2mL#Csg$;&zKCt8YxfS<5qnD&#U*xWFoa!1 z|6%{-Rhj)@_s@mo(=l^2I8rmY;N`ReK;o(>J+ouUwYteW*Z2WqQk@8-2|)}E--vlj zU$S<9j=mMjk-I8>a$P`|?boLer+`%ks&?D8^kVp;L%tBFVilpzG zu38A3%;S7oTNkpk$Mn^RgZR4j8sr{ctKFSPrkG7}j{Z`f+f2UU)XFN&ihJZ!u|G~e zAnfbEr{xd1LQ{tzyN-lk7ia-^AU{Lr_~(?A-((|_euNOudFm**2Z-WsBbbml_fwhUp`jT5{+wDEE{vs5nP)Gj`Sso6N%cwG!M zy^V4Y=>|w8E!?Bo zS*8k{OJ#o;LOq8dM&h5Nq}$LB(s3~6Z2GT$aJe<6bt;c#ZT+LowgrIo0lrZ8>)lLE zC*UDZ0==VR0@6d|)In-&%)n6rlA`c;mTRzP35yIBSYe|xkej_~U&B@Ge}stfTXWyQ zrT`I12Mm2%(6o7V_uZK)6K5BWZ(@k!6X2|rAuJY2R5gXPr>e^f4q$i*1HbUBT;OQg z$sPl9h=UBvl~gy=<>>4z8FOjIn`tIyNg56_vi#s6eF3h<^)Q~mVF0pM@F`BZ6V=l4 zCWPw@0uH!rSpLz#O)&yp@Ad})-^x*rNC$$uRvh*mgrJ{jB~FLcFDV3e z<+46pR0&VYHu>Y<@rf%LgCwNVvS(1bfA%BZ8pka){l+9t3A(9S!6(LF=iMfZU(KdJ zSMcrT-6%|lhi98mL^qpRin#s~Qt(zLBW3&13`Y(;M}E@v_Zgyqrx;JRCp&wHFd&CW zBq?z+0WIA_YmWS_rh7Ci;xtMg`kU|JbhOJ(UF(d>Z_aM<39MMGp2)|SL7ACO#v9Z2P5wdqjZda4_7U)z~{^F$#Rr7+#_Jicq0H(2Rc| z7L%t07c%Q(G0xZfTIJtW%{~jV3j1u%_6El977RKnbd8P4JC{~@!_XyU3TQSyB#chr zzXiDdHdOyy>S+`0e|%1dm#DCNAk1FUGVYlst*l)k)LG|h5XMNuTfKD2@Z#q{*x!|4 zfnx6Q$L?1volSCr3|auUXrl|%r3@6pp;!?yyh3$55VoPR_ynKWHDngdKcf$mGOhU>I7Ma=wtWRc9tRd%lz| zBz*7-Moy6&VPvYdqTp$Ks&Ytp?F}jsO;39ITpVF7D&-`kXwa5qT*tV{S|PtaQxh+@ z$OHeimsQ&Kx=jljd;;%w0W3}^zm*GazE}UBMp4G5yeh|^9or;dV2NFxY)=Gz@-1y6 zZwtV~2kw2IDvQoXz&@m56;zAe_gzaDRv-`li9ix9ICzi7Tl7NZjKBVjywd@AcQWFo zw>!c4^5*7uygY1xm*H;SO-rDJlI1Xm4)z*tau-4ZulUF+%X;JMQ7#eaUukNIzKWGX z6Tv_qLBooVrw5sAMU8mKI+``^ez3DuJ z0+k6#uWn}k!j#n5RX|p;Ta#rtM^T5h&JA@{G&Vw!4k)a{4~#{SR@@RO8+~=9wDf^; z&F&gMym{-q+HrbBm!htAXe7ypEW}{vHw$cX={1>|qTuEc1F${583`Qj*Lhc0OEap| zcpsXK_oJ7q1rJoIG>OVh13dH|zu(Hr=0=y6g~Sg$v1>dtvDluBg+)+cxS=Oc=SvBB zDni%dAkGU!I&h*#+fis^rVg42pp_76=8}T1v=-NCnu<*|R$!VHzsXId-H>76WHr$X zwKQ7fNoB|LewQS&)xENVEb6d3JKu4z18qw!@=4CccTeZZ$1_t>MB|yvNB#0S(>jBq z=uFV*pDNkynnFuXgpYSglqZaqQ>SLgVqo3u-d9m?g(c;4PfE8R@fpUGn`#S_mFeK_ zk@u~xrdPrkxbeAmKAP6Tfb-N;w)nFnTiXbR9g9@9nB-+Ba)Ebe`=27jl6R}CGimx0 z%7n8fmC!}x=ktj}8dz5R4>WNGQ`erYMs%HE(?J3bhHh#EQdZEf4rr^&_&$g1iUzKc z3!2sp)cTAn^VP>`oVx_ov-T>(%`u1ACINesexkH2=wn6PS@$kw|Eg>h4Hk)(2k4Rl z;WhnhzaoumQS3F(5OO7eYYPJ~aLa9MVdI>nlLvjyB9$hp<#}On#`Q=k(~q&S`V`jwa58)R$erkpBc>jtI@$8$%tvfC{8jW3WD*361d1Za6~*Z)&hxTG zlGp|-1Qyt7*evY${Zl0OZ<@f?v$8{w>~G>bQP@dTg!72EhD}2fp{T6k%p_&yIWA;` zc~vCj&WuQy)KcUgYScs+RxF%N#@FGgRhn$-^Rx;u@JGV<$1z?n1f}tVvgF^QFwm*~ zxH4fOk2KzZ{s4G@>xnrpd!%-6oYs2E=@#q1r8hqhUQ1NtHuBf>*`I7mHJ?v2j~f}< z;M)kJ$@*@g?U9F_CW0spC+<+~Nu*2KSjqOVCX1sp-Z>kHNaf-s(3mJA48kwY>;~ihc zy0!AtRh_edAyQmrnu;V%4g+OrLQzlq40I5+;_S~5Z|Uw|b?pP{Je4#*yYFWZIegzn zsec>J_Uo8Efxb4Sj5}JT-Z%6V7glI7v`wDujpN#Nv*W{^(dhQKtRf1yZjB+k({)48 zL`xbb%+h3q?)M^qt!-F_j>nt<4ABBW9K@c?QiUR+%;RI`o+y6Z`*D1E2c9nKp0lnW zl{R)m(HvhSOnJKuZ!?$vh*b>PD#w+ zp!-|;9jt35%3SKxU#Y(%<7<7m5boV>j?82l9$%reBf~&TG3`dqf3LW&&`nc6Al(%< z&##_G8J9EchHw-z0GBtoM+-t)A)Pyx2iafvyY|G`hOfB(-ooh+fxZEqPeJbX`Ps;8 zUNG|kg3qZ!_aGGoH<1f1)K5v*v359);2P0)ggf_J6CD|1D#;;QEZ&{1LaCLAR7l{3 zN2?^EbfBG%w;YuWs*q^&b_&abrQs|9IXgN54Xr$_Di}lQ7A8)h^4z&IY5%3VPMb~o z3yZttNdNi$y62`MHN-P_kzvsO9!P8J)(T?#5G90?e$*gMn?f+HJg1_LNyu`M&1{f@m({nDVL$P#^}=M64_bX`l!%P zR4hY2h8)Bp?h&vQ>j9{h&uqKOj0UJAjXn@tEPV4n0UqQB1#1Vg(ji8bUDEo{6zO;r zC>D^ly-FWRXCCc$s&6u!Q2BwG=e)#UV{QCVfm~5nDmo)-##RrFK>Y#0$Zdr?Y^S*v zD7@S3#FpFmiA;k7(9}}QJ;Y@}wDV=nQM5lsX>vs9{(#Iqfv)m<^xRtrY%XG_yXq$X z-yMJn!V$5&ED?CuH3WS(5)I@v{UXnD!-1B%;wgE1hdZRCJ~UulK=fquhr&Zz>sVAv zSnvFiM(R)P9X+bg2j;;Ln{d=GhWRPdro+k){8M(~T%8}g0e1dpZcISThE5tFoP4Um zmB^Wt^KbGO{|g$6ruC%6<-AEL*Md9=4WoN&71T&@N6(ZYsSQ;>}iq>ql zX=Ox$NeOJj3}mGQXKXUuqjK*J(NT9+mh^1vmRLDP(27io$A_qE8zCKw*k8~e)kJ`b ziPp40)JGB@9F$#fp$K%S7ITEs^O^5pHe!j+=*K`d9#_l30O?t1Z%VoE7I(tBGW;7+ zX)v0v?p?cdN2=#r7FO*k|BB!>#S-+9j<@HID*1vxnCwMVYe_liN%UhHN{pFL6t*nEaLLTcDaPHCYR_cFz3UTqZe4V}z_R{jxEtXcANz?C z?hoC5{gcrac{{45%bDNX0lP!4TA&3u(zs1wvwXr`wLltJ-KryV8NUC5A9K@M#lY^a z^J{L4*@2X@Y0KeBsc-z(C~6BKhaa4vQ)YjmAZxgzazIB|z7mOa$&)Utdi*Xb6_bTDM1*&jaM*=3=idrWeTfUUorGSlDLPQX^^oenI%^9iO~Jt^BQb6+q{;6) z{!_$w%w|iZ0c%V6P{!S#$}7WG3tX{4^28i8pZs6p??kfL{sd+05{3hT3fltO#~-uR z6ZL`h2~xhggW3xC5Nl1ILJKNFKiy&OrFr>T*L8gJ+Irzu_;NR@%#BcE(zx%OJ6B2_T+u0am%Z)3)F(-$@yrQLJC2dCl9pEM=L479FQ>?cFfG$)@p7- z=Ov3Y8h<57XKpN}p$$W{KvhaD%ag;(7*j+SoBC(fP8!Q*r@KS|v~k}Y#vSo55YE_v z40i!^0Sl^rtU8Y%WG#_&W#${#EPSp3OhsL|o^u`1Z_MN8qAR#BoBJK2WC@MYY*GbP znHoyBxkD7{%xHl-mF(z%vC@qPffmW)pq1|I)wpS;N+Rl1tI^Nq46KkqF(7(&5Q z*?f#c2HVSb=pTk-dl*kD6c)LSmPtl)HnpIu2Qbe#qy9MT$*(MTAEXrQX1FlxauSGu zHIHg_XClJ`LHs@qd`lsowfFbZ?~d}jM|LGtDB2{CdD~_n{CJ`1 zx(2DGa>Dqkl&WD+7^the$@*jd2WROB2&}M26{c-(uD_XU{jX{Oy>i2|+nP3s&Hz0K zRe8Kuba|hT*WyK}sh{p(WQ0M+?LNi(Z%fpE$eL+V4c(N5I#Tp2<$$9v+T*Mu?%6Kq zD=iW1bIqBd(d?||_$_P0`Kx~rm&Kwjx_VW$Q-rzXWwlbdo-QJz{(+95>)gcB$9MD} z;xh)qH@ZVoWU_i+^QRr)$I9uR7M%O_Q*k`LL0iz49qbv7us4CCQ&SO20VznCR8`Jf z*uBe;n$rq8kLq(^K=-cid_SQ?T7U?Nwa|{Z(|9=~R*_bfK>KB;CA{KcT88UTGMO;y zSmS3lXH}&ga6NqCvA?rlx~;D3z)UQ}z(jZS8AnOCfuhA%w;N&qon>=8O>lS?L6#4^ zok>2iLtgl%$d=KUNQ2n4)-)J4z%<*7jVgLoQs5?@e7oVCrETma&dnR$x{tS}a8h__ zbddscN?~$jv-JBuH>n)KH%9+_A#g_T7sjbq-$6b;iwPQJgj|aw_lqs`_zeExTxGm{ zZTg0fsR3uXXwc#`*xb;9co51*BN+NDfGP}`_8i@@SqKL@YkuSP4OS*DO|ge4f|TUA zE0!En+Riws1nT=)CIVE~M%^q~=hAoUP})QJznk`5XOVy(`jbV`h|5#?*82XwCH`$d zR(GmU`c)lhf7!A5MgQsh4!;I{&AqR70?5oDbhxZ0I~lz30wmfAE)bq~Q<{fNN>+Fa zUKWgaE6?1ctETmxet7Cfc$>vS92ps+1`DJ8GGHOy51nG(X;5St((V6m^*uTmQYE-7 zGhaNgq%TqSL~CS9oN_}$gjRUx;+L^nz}ugJ`_$r6$&L~jK32qpJN~so6iwufS)O-d zQpCfBCDdmk#%x`os6(Ittz(m8RW^A{*AbG7w*6+rncbHcmTA&i>Dz(X_ox)Pdb67O zr--cf&wKbj8^Tw0lANR$F2{O z!hXkN3V<%Mm0ba*H)Y4p^D}7oV2E+I#vbGHT5MwUrD*!GOB8YWWv0PH{XTPd47S<6 zU}lm@0*Unh$v^Pe_3e7I6)BB_E(1QyKS6Cz&X`v5y zN&BAI&(8D)gjZJ1E;M(6Y?ET*0CZ(6Q~Jt!bhWGHM`)SM$_Ru3T*N9VYacRnI_5#1 z$@hIO_!W@3p^y2nD%SrqUQx;?16aUkvO5|DiAyfi9wv9cyf3StHmy5jz_^;1C{2ml z9NfCtdov%&l-gT@nrzsx6xD4(6TzF6)zS$?iB&^6PbZd?pudqRdH2aWjU;zuQXaGAj0a%P7OSaKpDH>%qdS2r6F=o#2L{JU zD53=DTvkM{-GK7_wJl+76J|Oo`EfL_Rj!~_fkVSiA_AwCS}?!KXPa>D$6R{|Y4``n z&~A2%D=iOZc73Uqqc3R+gbf!&f}BO6Bt_%S1Nueyml-Thy1l2H5v|e*Xuj{L@Cr^D z!hIgaSKIHF(-yW7^Q!AqLbogd#SYW~{@PYiK*g*< zop72d8uj7Ns=@FIgoDQ2u%--_(@jFK&TBv2Si(Y%)Y7YQeANgGNkks*%b^c?>KoqE z6fxLU0_$plG9{C2ZY8#w<&BytS=-}78-8E$P5C9TVa=N4V0tP>AkFYGQW{iTJ{J~^ z*Z3!OE#EPQOM42Zy+X{A0!wp(tJdEO{hHqk3KS zg8L0J4d+KU{r2LB+=xvKOdt6sYAQ8C5c%zqf_j&zG?6HWobL?xY6*q@L$N}JL{wP{ zL+cT~o^L|y8OFiiax@s`1C-aWlgVSQ3JsgDM)nNf1btIZ$?t$>Zr3i_&G0IYX2BqX zRBu+9L=@Ja)jwo8a5N4B#KrduBqhgB}G@EHOc!3$*#_h|mQ*1?t) zbC+O=8+&ta3j8MVJRmwXKcC{)UFZ1hQPiKSvaHjWSg9GhQuD&eF7toT%iJ*ZStdE0-`=L$P?!C zL64~>B*|xdywkT_U$k+FHN>sfX3x=pXaQ^6sxir*V((jmMrlHV;Qki~yrJ}sqL!EM z#ofVJW!rK@0eobJ%CS;?0RBo*7$I^yFRt?C{_x^II9X{7^@NJKFyEz&=}OqerlUwte^;3Up>Y>q?n@GnXxVFg4s!p*U0%4C%- zkhL7VKN9RtL`qub%V-{zX)pd`%+qmvd6GN}SL4IYnP$H}uI@nG4>l_2?i$e-<%)>p z>e^q$f_%f8m5o6 z;xz-RB4!hFH;Dd2`9G<*B;En1gXXWf$Rgz31AbbBld9Te2jj_)*k2?Yt|b(CmPEqr z4$!OCoj6QhcGium62L7YDRNJXFcHvnm@lDg_>HiXwBd`nrD|JM`}B0Mp6a?CA}-BH zPFac+7A(g9&t8wB{w|qKVRLo@5B2p#IoPT*JxZtX?Ks5f@R^A`I_I4Q|Jti7jqxdG z43hIf!QR6uLvm^|&|N{92X?4+X6H*PeV#{bOs{B?1l0CMa>T!!HKms;bHd3!V361D zzk<2SK7}risFnxmyT^gOf*ca$|7-M-4wViLxB{^i5{uYKEnxLuqe0a<$nRf;SB38> zU(xf`h-Lzp)3t7yC@8^&7NP-A!CyAwb*CN-1%ytQ8l#<0S#mxi8jwqd?`9&FL@Kb?93tbddve~+<{~Y0`ch}M zB9tvQSC*^3jmoeUnSjlBdCxy7xfT!WK9oilz~%D!=L~@}vSCqef6d;scw!1(g97D~ z%|zR=x`J>WS(Mqp@L{=ZuUZH$tAx?5yUX@l+M65_j1t4au%U8Z>Z~U|N)<;jcN6kzW@0 z6jv|+P0;u_NUuBr3)c3ZqoS55BTr#eZ1O{*CZ*<$cL@yvrz74ULLDbaaT|ADsfJU1 z^Ddg;5aA@odrL-i!N_~@jY!{pr6*=+n#*1YVG`BMLP5K044&qV!#%vx#N6E({l#BT z-O&ymh`zOICU^&*e@`(EE}(99ztAi`b8Q@G08aIplGOgTpY8FrFk_w#EuSnw*K0T$luMywr7Z9af%@32Bl=! z2oE6hphffj3$vtAFPmo*YL@HUSl=LeHIv-0hD0)-tY-`Xk025O`NLjQv)|EL%xgt8 z5U;SQjoDm_WC~QCEbkCMNYi!Vm)71(BTCo#MqWQ@d3ExhK^P|Luf7Ln5b;FgP6V`5 z%%4X}XnIVA34Pm=y{8Va@3a=0bsFTPIenVm_rxyZn&IG>$ndFg9X%e#tft24eNPv0 z49LL)001KyBxK(Ze)~v5nibK?QleiVyk?@-hrg!NA)KD2VIDU$}2WJ9JeCJISq zGHLwUk;6^(MyR|fMPX7Fh7eqR{_!h4%SYR6GagY{V|U6McN}d8HB5uBE2+;4x4Q9# z^{|#jnK;-=TB_X?pu;@bB2mlYMt-F%{6F6!9sJwR1`ulwYN0Nf*as6PJ7AuPZ^4MLBBt``ZU_%o~bh zVLvP7ki?Zy)@}l#KYdn%(s>l4{q4gD2OyWBeKZMwhFUqE=Ihw2xKvm4V1^m^4RK zv9pw{tn6c;-lUR~iJ`QH151~Qq-{;`pGi{4O4AqahrDUA z$<%rCSrfLDEYI%uDBTr&1NE&LG7#*kSSmjIj515;AnZ)CnG3wW*;54mE9X>rrWxNH8n*O%`olZ-2s~KBLlw`(9M?IFTQ+mgEBBqhcA@)=4 zije0jCsB{*jqkc)e8$Tb|2h`&Wg}1?Saz4)(s*+CTWHat{yQf}^k2=zsw%*m32wvJ zlNwtwI2i;)83fpDKmtGg{fvwYGLZ6rZ)PlQ`QA+r{@5-XQ`Xi|SKE=J=p>_! z-3OrWS>7ey@O`lWUo=2nMyg%znb=k4ZXl2i-?9q%KWaRt8y%YCWh~e;7I_9!S-uwS ztx`!0+2%cVO@ev`A`duV%of#Ti>`+Ju!FhBKf3Dc()o&SSki4PCI>Y;GX7TbReK?$X1}^i|=OhL4v=U4C)dHO{>SxZ`}a_NK&C#hmLoS z%+Ru{nnx&3C!d=?A9v4MYc8a4V26F*uEJeL9{Iw|W3BdH=aS5l@hCN;G9Lmt1cAYUp=yiavi%+{&18*p_5({# zR2Qi&RBX+EWBidL<5u3c~VPDJpaKK%su7ELv`N z0}OJqURG0UulZc>Uu_qn*Tv>$)jj!|L2H~TA!aQ%_Z7`_O}LBv!Y71dV~+eP+l1`~ z<>FEwJu2JD<>#h8Tv-~U>r|#|Hx^M9m?}*q&rpen$~flZ8?{65>YgQmsA(uosMjhR zTsLrBE~{ch@@!h=s~%RN@#a|qL7yixEy)xAmr6ms!ud@v9hfYCu z^g{xNqLM-d_hNTLPIAn*N}|V&5QpFCgSE(U>8)mfD4DNK)rd^H;UC2vIM3Oonbbh{q~^>v$oX>w@rz5}?y28&BWJ#;VW2`?(UXcDtbG9$l6lyj12(esvd-SH z>-zxP$tUB_Upo1yH80p1t0}NL6_v?Kv^0+3ock5htVUkFhmA_6v$9j3od*-~`x3ra ze$tn~O(tmOpfWK~RmHr3<2`l|*C&7zwEa_NwxqGo*A-G{$jXP+BTG$l0g}p}^fv}T ziZOYRj~nP$KNj$UUYK}luUv3x*LcUBFR_}vtzw2PP?96yPQyUNP0e45(&HW|Y=^N|_%QqRQfHx);Pj)AqxmMRHrw528*1NjvGte$f8 z)Os}d`W>RUpb0w&kJ|9)jp_+uJdd`LHKO2F#BV7vk5cA9Tm{QOzyc$#Z1M;pNpbqmQgGC0k&R2wrWtN?BvG z3$`ziA+hN9aBNg0mJw(8jVZ5_IY8=o zjEp{6azkLrt}JXuZLsXkFYB%{UcCTmTG0Yk+i>Y6AOa-4*o?e(ej-YgN&9y(^3`t~ zx|B#fIi}8v=;s&lq%yMyO)uIaIiQNV;*YNg9bKZ@giVN#m*LJfoCR*PF!b|&`eL0a zue@csP3V=%>)$S;YGcBRztu!sGJRzDfTGlBKHGUSk%$5}T7l|iw)mfs0hu$XVrr|F z>g8P)nhPp&e;QORTxa9G-trcRyt7N$;TzZfK@dje&Hco30n#vF)3B2ptXw5pB>oot zVT;x9Shb>jF$A2X*RQc=Vg5v8r>zd{ABR-@{kFyqiW%Q2PRb8azz@CK-@jV59{9#5 zNFhHzqPHUxO)sPn>LrtFVenxOka~U)k~n+U|D9Z}kL89vsxn2QhI+N6jD5WoG8T`n9Qo6giMJoBM-ZGZs~@EE8GNglgsW zI)git@b`2!_PTgp#Bb)$vWJWxmCPn%^u^sbxkhmbDuiO5Pf~|rB02R=iYlF1jw&vZQIQ>L^}T>*?w5yBg1r#-brOTG9fLan`85>az5;Zb8@ANq)cne zK6AEQW;2l@99^>-3UjHchPl@je2^+IF(6lc+i*q3^a^XK2791^&HL9>9jg!fge2x` z@2oG{qhXQ$GmB#(=v#v93V3Wl`Z{#1NRHq)Sz0*(?QyX0TPZghhmCo@)VRbYel^}_ z+=2VXgaH1NE%-IS;sW!rZHC6H`g+)GH&s5MX;#q^eC=Yk^v<&Mq&JLLKb9*!)4Tdh z220kjx4pluyMfTv+~2faem@p(XI-CpAKWiqwGlG9-}^Hq`PFx`-EJH?+KIz#YN_tT z2)>nHgb`J33PmsT4~BYYe)EaTdMO>~3FfPol@lyacYo^Vqf|u~#N&ryDQ|4jYsjZ(erpXfIlfDkxuLRCxqP?t*--=~kPE-o`)ER`-TWk{y0 zKaT9by!U)P_{XqWo5NPAhxQDVd`X5{yU$HY(`uxb3*_Yq$7YPmy(|0k5d2K}1Uic8 z7>=mU-rgKrnJ5it{gz)8p|o@$;le>v;Z4Z}O-z7bXi=0)zX`1%CcIx zC0&;`RJY$AJUQCJ-VJ;vb^@J-h@fO1v$N>Q#@_}qTJ~J|FsKChklNUT=d8H0-4F6w$LnQaN+L_8ov|ECMUnuRTsiM1t zx$jbYeB)boLsIT2SDOT)47{G&L9s#@=M)P|r7n@SVbNN%Brf~yJ~ZU2S89lZy(x-~ ztv)RIA~j{2quF`Ve+8GRE;nOL$vdzB6ur)9Ge+9t7a?i?Qv%Lb#I%XUsqt>N*b3j( zyYqMl!}n6NXf{Vde43`r)&gklHAqoDm=)3I6NvG~Jw}QuXy!q;$tLl6Wf0{_rQO|_ z!{?nh+o9vIkUf|+f2l2!+w&m|IySHMyF$0`+dfzlM}3c2U)(WNl%|Ty(qYs=IY`#! z*v?F}s-K>LHOWy-x5P!%+Bkr77fFTPc2g~O>URFuz^ACqfeZV-=Y}Z`1!2e`s@Eg) zI;{glM=+z&^dBnq-mFK6wg*mG&Xrf$@VppAEl58`WzVR{(M(WqaJE#C-deI?P*w>$ zTgrY?bYL~mMpkD5Dt$!@13r7MBN;pSr+$O!F18m$<3=V%tUV9P$}!5|?@DaLf<~=_ zGPNI$`Q$^s+Xx!WFrIvw`kFXY$M|s+M7PhHU71V8j?K~v_eFWKLU8&BpAuD_r2f18 zOvbYVet#Q{FIEm*>)SpOp+C{o{&5O#PqAehuJ^z%7LZa9XRnrS5!@z1|1ovi;_0e> z4AlNbRM%QyBP2$N+HUF{mLORgWmO%YE$bv*wM$Dy83Po(x;hZ~*|UmTm6!~Pn&R75 zbPWS9_SpvtJEdKob8ZgfQBu!kvveYkYxCd2GPgEN%t`dC-ULHGXtYtR2^+j?I)7;| z=D+%`za!-q1 zZ(m)gc2Le2&98g1&r};dK=<(##9QTgBM|)$y_MdNsio@EZB*AoLL$kOL;sW1lh=~2 zTUSk}jN~V-1Mf{jx0=1oem6$j3B!bjp@C88<>_GH3R)i>$y1l`_27A9{UFk}Tzes5 z{I9bH>Xw{D&y=90>i2~9zL)S^YJx^+>69?npu5J34mWq_?UeVsP=4Qce`Y>e&c`gW z<+wQCPn%@8*pqLey-P#zxrw9cG5Ut4`m8iSbW=XkGT&oxuP#w+eE=!gu^%=QrT0V9 zv*#=ZauhY$evwdIftp_XoDk||TDOqizl)P@m%IR2x)qFTxiGfQfprK3eOP%NIiFub znW2|s+M1M9-KIz?W}Dg{9cvVh|!uxDML`U^|Sos$=u611`3 zM!7$cNq|>Pr?0(YaFgh^{5SM8!Y4i*Jtg$vCvEKI!#4Rf*Zj1?d)uCmztMusJXAfb zC)u#&l|5enkt#=xIuDQ`x^0PfgY%a!;#3V60$gOJ~$$8K5`hy&k$hrRjpWI;u|-$sru zLF1uFB6=Qvblr%pJYSjqQOGtROq-x*YkE>+ze;C)5ksu42EJ^#R<} zS7a=GHue=bhXQXWlG1Pse_LrRyTc%_kCZdwPgA;QM!m$sstqTbCQE~4*kTmjiyFDxN26UM0g6uZ!bf^ZVT2Qk}SBZ^-@E=LLF+J4Lm(U!cJ|to?J>|2nsil;9U#cz_m$ZW?6&hr= zbhd&CJ-$mdx_H~b)&Ll1X>h1kRc_kM>L-1Zm zZXrduGozJGdzuy88X0USx25+M?rdj$)hwn}EFLcfB4|FX)yn(XqT+(iAGTqTGg-Zs zvoRj8cR&B|jNi~vx8O{9D$=v1e03&?X89Jk}QuL zBN9S}%LKb>o7n-2%T%V>?}n>8m1*_s%VMwB^Zaf*njW4zpNk$i-VojS|4i}K%Y%8$ z-b?T*V}GM1Hwq(2kGU^fs#w%M5ptw>J-tV-fCw6?3jPekqQhY08%H{1oiwx57_qC# zNXMV*-1*Fy`R-~&9+wNh0-lkPw6k|R;rSpr(=@)L_Fj#UFHm&7P&G3oRpg(@keJ$K zLWN$d9V(l`J#`&xfzet~$!(k5Pr5~Ai1-HY@nP3FLa?P`i)72Qtzf80?aMh8;iq$S z`71CK<}(RkK>J274^!858_Jy1v=;TAMauo7;M=*I zd~+mR!`MIzrSVN@I1t5n!n4+QY~hA4r!?p_<0_lTVrLvenTGAoFd8g0|+nVoQT z4!3D)9wSytkhNT(ePm$jvl2n~Xt_q+SK&a!alL}Y8G8m783Ux}Nt}aEA|Cp@xf;Hk zpyc?C{AT0N8LW^o3o`#5=|4PFF`kiezn=Acg$!75K)IM4opx?NFdI{^KLXu)Bb|rh z$K8kafMs062Z=)8l>K@8Rd_xN6w4H}3DaN1b@!!vJ|7k6x*;6zYV^p&6z;S==> zNLHqu#^!H9q1SqwdZe3d#1i|U?xeFMKJo5VQ^=$m(rL-DGJ0Ws-+wf%rE1wpBN-4a z8a9Lem7^%uBCoQR_6F}T3@L`Cz+OP|0^OBJnocaXw3yOAxsBx~PM$*PExw9tXOHFLvA0C&30w*lJm$s1Pb5`0G`C?3$(r(T#U=Bm`_gM?d@L0TsqOc3(Xbq z&k~vq=UFIz|)I40H+O;m`Q@48KQh(&~?E3Q;h*u+?w>0{=-Nft{?Z^Wic^Zdb0d;zY!A&X54~jewLC zreE(Q3{XwV*H-s~G1G-m6jQ!<uCRc z^YEYH&>?Tv`;#k2+JZJd0}TU&diu$7i!imND}Lt%DVJ-lf=aakWYt@QpIxf}J+^%; zybB)Z3xYVLB8NYd4R~wypA#~YK|{a8c}#N+3eoeI1XzZK&`O$pdr5Znj*;%>jdPHB z-)p9+z8ZUO$bHiV4%*mJdb(jBAGlu%7xrg99aP?!SG*74t=96(Obt%Z>0ZcKc zFX#EB?ZUp&(FHw?6&t(>-Fc7HLtXq)#)Hzn3!;opgbL$hEmaZhE%RfI$F5m6eb21! z&ZTkGW3$(B?(0Mb6$b9Rn&~!N^JjMBY91etUPi@-wX3J@5)6;jd*vekGwHACFPFfO z{FFgTGl5{_SX@yrCklcP_uD*6yoQW>k$T-dbW{c7aR4hY13GyPd##cjx=HqjUkE-G z`4F?XojfHwFwEev2hE{wr%gxG%?1RXn+f&PS-+Qe$Mf ztKNWx2vACGdr{|hQ*0W~))*(>9dP)Ou9+%r?c2is6l(=K8zOhIyb5?X;7CJqa2I2D zFTP8@fyu9G?vmq$pBo^DYmDn#RMz{B>p7MVI$ISRjsFo2eCzvepf{X%@CDT`;MO6A z==mAv-tsk}(Jb!rG|eq3^;Lk(U5_;5f4obelcA5^phe9RtlEcH9&?AIRs?TgfN5*) zM1}493XA_ZN>s9dXw~;dq5tE^-=mD*5{(!9wCp{}2Fr%UUCSdHQ9QUsuS=|DroQlY z)anLaQJvAcWr-ReDm*U`xiw0RPD-RYIP#FVIbTqv{chlFk_auLVt!7J=<37w9Yrxa zFc0mU!h5EFTZ+`={f`#o4ccdd5$|pgi4mj9#9Y`|-r{^u8o zMbgpEyPjit`t?#!vVoL-!@#B=`iph^h%G}UC~rR|TT;pIORdS@&%5FBcD*)}vfN5A zC^!d17sB#Fi$Bs=_Wrz+bBb>;<*^)PGvwBbFMwtGugQ&<{Knw!MLL()`Gvm5$qVTV zv|=q8+hQwu)Wxm9=Sh+#)PH~RQMx#^QSeO|7JATzksvaGz9eZ>vqTFx^j)l4J_*^V zX=3|#-NTP%&SYr0O#T->IDMYNc8H5)jL2()^%IMm2_-(Y#SY0 z9ox2T+qUg=l8$Y4td7}nchs@@pXYtnnt(;#ghWdXsx4_$MoPh5hc3lHQgm6MX&7J!P`p>t{sx!}w}A3Vrt+47BcRN=J@( z+4AMK-Fy(f-t*xy?XKoN^5W|#i zu(mVZKn|;hUkaBLT?!l~0+%L4^7_|)%A$+L_!fP8%3^k@SkLEdIu)sQx-w;&-!vqB zAfcQD6yYAICpgwd4+{OMff8cW5_-}TII`qi4ACu)RGjAr{UP zY5ZIsH)}^nW+2;C?*;U*H*!i@t5=G|)4Jsc(@QaQ#?vb;^6`&b`Q(nFW_k*OI-9)r z_4Lz#=Tpb_r6e+m!S9rmlpJ>J&HiuC&1-++IDf&&5P)D*grZZwh$JR`@$3%HYyy{Nr%!MrTXR(^`hFSnC$#qKsST*d10C#S=Ha?wd76k9KKDv%iXShX>3eVL19)! zfnROw?C5B<*YBmjU&s;!5*lE|O1j3sW+Sqj$M!fJ-x(){ypm(GXI1_aN+VS%`d3PN z@y5u7$G_6f`LuIfBfyVm(eQ90?V|7F9h%5D5jd&)00fk+n}Ag71w(yEDqXT5iRu%p z2fu(+NkISeh3PK&VZvt_jS;xD#?%6Q0T=rt>q_AU%~&sKdiinnI#)M0$bVWnvb6RB z8oitxQP3zlQY^ID(}P}XVPEB=C0X3I0{1(H_Y7x6P8X+|Ic4qpQN~4?k2`-e2SEY$ zBNqWDJG&b6_wU~`81+t0Pd9pe9;Ug>XY)O_{XASk++JUxIR*PNWiR3dqalhcp zvep!r8jV|hTn?cHdHLD-RkoUb_s-7-thyOYM(0=rJT2vfkVmD=V8Ml*v}@-!nvBLf zci=w^zp*HI-Ar?e=7Rz`h(I95cnk$3_OhHU{fiyu3bl zCrhqPWn~md-abAH{Jb9Lwhj)+Uf{rJ1c8jTqMSg8#1 zG-=We0u(yAXml#Ze$r!LAe3?th?&htMr(qsAkWr-U{YCrHaw^IJuS}1!=I79Qu&*1 zpFe+>>~)9BA1ElO#ve2fn=T5PC;!PtXg2KkPrNW&Eot2W3rkPFt(zVP0W{?n%!=Vn za*h|%7!~#J+Dlto1nF>~`s~>Tx<2@}PoVx^Jw9EXo%7QS+uW+(RE@2qIxSAykmcp% zfDSf;1A&&(JibauEKF+(I{#g7IX*tNot3W?zOVQ6?v`xDpm>jJRy2eC1Tq3{WqCY0 zK9=HabJ%|02|+gZaX;^Q_@(>}0}BH=GQv#9e@}d`Y@Yv0p%iR-9_l~sDWA{hb+z}y z)@kDL(X&kXTMrk7j}RYAem;Xt)aQf%(gP@9l!8EGSQVQAA8&bic?}9wTMLVes*D*! ze0z0!V8`ab-Gof6fA+k<{*&VTUXm-tK360p<=T1*xzr%OlZAGAa(;4pndyYSfE-AO zg&rIP`a#3%eim7cJL)7OBQvEEkI#)}A@a?fI!wf9I-A`n{-mxdWNmGYOJ@RIfiEe& zVQOmXpq?HQFtZ>~Dg60xT5@$xm&b*G+llJgniRakyng=EaLC5``d-vpAf-OX0UBUr z!9Y8!4JJcFLu*-_W{^>sh zCo_rzQDwQ#pwD5uS24%)vXM>(u-QPjh>D87z5Ua8OVh53U7pXt=+qPd_2&Y0iB_Ra zlWwQG8a`A@|96CsjEWt@>t(TcLx2Z2@dG6z(CDU zAmI9^d;b0MAA9}J=YO*N|9uW*h6KL&XC{C^#Ij((`#<9x1X4tB3mlA|kDi|}cCopu zP^1sj{R9fk`Zlo`XR}l=KCzTFF0E-Ec<@u=q5DQ&q1|P!(cGltFT4>Ba9hLV=h3=X zetJA11ZBA08Q})6LkkXnrDPzJ!`Aypd;IOhA#jjOc_Fcx+e?Oeb4|1fkb5uQDCfar zKE6FXN_iornY}PjR+Q}&2bBVF7?nb|txrW9AkdB-4)EUriv{p4eh{c|o2r*B0)tp~ zfOZT>xt99@9*`x z+{4zp-|jawYIR`|f48jY``oWtwYRlB%oT|iL1EyDCOgQ=rL z0k3x}2FNX5XAPtAM1q7^3%{pxIPEt*&o?^;1_l&E5b-$pfSGSGlgsUSX}BpnI}u^G z(c03|k|*Hj?djQ0@=s4(I!Fp-vVQq;yR7377Q0lf;o{gwY@Z9cKhbEc^6E zCGu56L&G*5_+_vH|L5z&$)biI6RE&l%PRr;unY+V>gN7LS!icx_Z>+xxm1@iV)LKw zHkt@n<$bBdU0{zDRKaFZ#ioTxwT>qDL&OXPQB$fCn~Ei)&2tuEu)`>#OA=?1LmkDX>ORdC|1k>ND%H@eowF@c)$&pnKX`*CmxgX$g}p7~t>d zSRWD+QdC5u5QN#EK=w5jo7=fGI~%uJ{B-&I^z?K?v}Pg}gEj(@06ur4$w=$~+IVt>U`7Q6Y%R=WdiVHAk}dD#iX zg*G-ii-|#a2aCmwkB=`eFF%}b?T2|>{32Nx{`Kqqc%ecOB044}3xpc6O1ZfTZ+vta}qW}!mseKZt=H~Y#7y%ID0M?a zgUHK#m0Gpu*4i45#m*1Em;1Zp1?V3V350q*-f8SezeS;rtZka#&%4gLI;i}4Klapc zs-g3Koe*GdY5O=N%W@6u~DBBj~WCT!>plYZi>vd6EeXGV0WRjDgHf~vM z8i&SnMXKhlLwfAT#SJEg`JY!VO?Gy6BCt`))ZCm=uhVrf6c$@jS4j!XMAF!pR6Q&& zGI@GpLRnck*p(Cpv43L1`uX;l$)Gov#pElXGxqZGQdM09W=Z=_a(;gNEHMQ|*wWB= z3RMG`i|-=}QSZlQhf8pBumb`-d`NPzkBD**YjQ>gv_@n>0nsr0@bdDSDMS*uJ96oz z2%^`^Jp;P1l9CfJMj!P6OTYPace>I(p3QDk*j=O908U9zNgMN-X#|J3aR(_WDJhS~ z&6rdOTf5DkU?w~wtOUBx?e9AKYor4LA|mE51tlfa{6oOFJi=iBRU0l~q@t2obRx@* zW(VK)->`k4th-d)_G(QpnS!b{=sDQ@NsxWQuc_y%aqjBspcwdPzVVtI8uzUll1IbYzncOda#d)GyvY^P}N}UHu z2Aw|Nosx(;Qb6w5UR_;HW-vv>fBFG^0)-Auh$SfqjZ9ecy)>}NVm6v%vD^DD+6KR| zvC-49w$!l@ML2aJIX*t#G6EGhps>P`LL8*6U}Z%kA0t3^-zJ=aPL5aF@5oX;kmX<# z#aOcQH)&2{XPwLQ%yp&*`sncI!cWsZu9-X}y-$rYQ^)YK!BhDhMN!SJrnld6+AfCR zAkxU+MbJbP=tq%E_7Dgwfl~?aTkT-BCQpivw8qOyzi_E&hKT;3kxa}CRzw>^sZWH# zp$4bM!oVOFDg_2jvD>2}uuv}4>hVS*&9OR0Y_vHHH4cQx;Ns)p;6P05{k|Nm*Usf~ z0+-?Uz7;x+UoMi;(|#H`Za7~ zxw-;oO9>+s)F=7{L~*4JRa>>y#f;j_?!(Z~=C7=I_PYx<98)UzS8-L9hgBB02n7qp zCkw@668AxOyThL)x9@L0776_;4!>B(|KEy$BL<&>m$wL!(`H~AW(U5Aelc+a>Tq<3 z!|(m2QHGX|4p?1x&kW-}g`$n|Kh6WDw^6(B28Jp~xZGkkUlzZ#t$ud*{q2QMql^PU z4v#TXv!2{A?SGf5SCEMYth|!^2!x~tj0go8&=~e4Dk-Aj{)S24{N4_Kt_$QhP0IPG zzFs*~@m*>BA@nH^vZ>s&a}}A5-F8hRe5trC`~+^48>ZK+pg6AkY-3{L50gGznlFB) z-%%ubbThG62mMj$B8jDr>3ST#x*+ow0y~G|dCf2`{(r46t4ceR(F>|NvZT1tUZE4z zZq3nYP;^ke8bn>=S5Y;!v<=b1oOU4nyQ%en+n5fDT~>8Y*ii)pier_$+9%fUw!gO&v zI0HI9+M2@;nb^mqEf7tKZ^7>M3Pvo;^rmrm!|{t#I)IFZpUKN=u`i)PsgGpj8Ky3; zW2~qA_owZ(uku(L?Rx7I`$Gmw%Fm59f8w`Nd5zZ_97QOND~~so1~%M;pK>^72*DKf zGY|gXil7#YLLuQto*_>a8U^5SvCbXeoUO4n|1w-eQdVWQs7PW}Ay&S`)*jv@O)7Qg zW0=<|yfcJAP9X*m)=omK@K|Qul-S(T(p%MV>d8rV>TOPVr=RPKr6Cs*lgRty&D%?A ztj;6-N~ceJ>$t49hsvHglfXHP5Nw812Y>7S2rDqfCqam<)a-` z{a#IwRE>5i#m8 zii>f5TGvPF;5HghV2KpmV764HmS`d(B7$q>j~I?Z#N`Nr3kwPPR1I0I@AJa3$sQ(@RE_;XEcIb1x6Fx#~Qd3itp02K^0kSUb94m3%*=9$I3_|fx$eJLObX-LRm9ja2Vy`eC-St=I=hxev z$c8a08ER{5Wg9P_1h73#fu#XMr`5K@Xb4L8)E6!{W$BYXyE&{8J*qyh4SxJvdZ9Vj zjOk_#%L0Mw-6&UwgHUU=afJIRAO3dtcg>?#tSuqz)crp1tG;{;`FgiSY-Xc**@{s} z@l*ah9vXL)^O8*|*p=?rikhNLM1ms+CX!Hqe^Xz3nTLX8sQRt4vj2$4^#LX&ndK*xPdF06gdZt501Z%3yL);J zd?A!t&SLtFE-@oB^Z0$-0k8~Fn3{@_Yd4-u!5l8MEc{NFLQf^1+mDmD>U=PUDk*b$ zEG8i_R6#~Z7n2d<5v-Dyni@F+AfPK!0f2=F`OrBUhG~v3K0KK1f~^W967UnVqhx{mNwG z{UZ63FDnbfsZ+%k6y1$u_Eoj_$Po&|KSuP#2BsE4WD&{AO~oerEaWgz9Y&~1k2;wa zHY6SAwExdU!gw7eq>YM*kN}{}po$?V==f7ZU48D3;1gizeRGnN(fH^u^9$>swMb+k z4$|7Yx~WbSfhvB1FvqJem3;*xbvh4nJ9ioXl)J)0I*e*Wi0 z#Ub+sEhp2q%@7B^=s{@Kt3+a&OJB{a+{%nJz;jW61^(aoc>HRx{e}ApJOyFXW3DVF zIvTD93vgu5sv-C#ENg?xKT$yT2%ZJVMIaGejwrVKzwwxo-HQup2C2Hf+}qikKY{mZ zuo)GENIx)Ilnen2bL{AhuljZHXQm(LVcI`E=1fb+ui5WXP-E=|K3~@x^otYFRzDMJ3v`6w7Ohdp{Tele)jBYfi#JD!v$rlUZSH34Sm#iEU)O zYxOm{NI-_&{|%kqCFvcvxYvu>*#4@2&!(NeKJilLUQ^}$=M43+wKzE{G1&=SCBlF4 zlx}$X9Yi8)G6Nvw!9iI7ea>Tb?_V*ZOQ`tYGEl z_;i!YZ50ag^7KRpr)lsO5Avu{`PWRHDJqKnaHl`$fcs@kYP#8Nve<`A$zt*w>BUDD z*N)?t@7&?R?O)WNHCQmF4IWoRVt-)Cp!bn!Dax3VBx0DJIek1X7t9Gpz+QKO1IvXB zS?6ft8RE;YG+{2@b3XS=w#l=HlvzVItJ`V8=CtxrN8IkPl0(WIP+1_qvPCN~-DZxMO&6{8HvHRiu- zyFDLHFB&7GEK+64+A_8u-$%9YX)3zhPYye>Rz6p+mA+oyn5%OGv|j5I2vw`}R;Rpw zD$XNDnZg?&QF;EFzg28FIR&wnMZOEYI4S@+_8%Z%ut-t^j4$wsT163HaRbM36w8IG zJMjE~XHwV-WrO6aJNkNl?gPp8zq>O~{W$_prJ1XAXu(z3;{sS<`N_Cvb5}R835->r zX2O4-T3`j9y5Bu-VtN7c0K%Wm_@lrxo@;-c{!X6101;53nGtT1Ke_n)pb3mkg|)S3 z>wqpw;NHhQ+nEu+Eh(`77G9Uj}}VO6gvQaLI2bS1WEsZ4Q7Uytb8`b2cb7aM~V zn3R^L<8khbO2y3KV&{ABoy)SiUCVxw6TFTLfeC>b#gHH`*WJuTWHR~VmN&z^TB4*3 zJF4kte98LQz?NWZ-|-n=42c$-|sg#6^6d8*2u+|PR zv@o=2y#8h0Pc1n_vAS-f-T4zyfn<7)ue5 z@7p+W?-+#nvHnx~^wILhnaA#?F9c=Sp?Q`8+M7PU9~;X-FEk+g^4A;riNX<@u`@Gp z$UDt{b7N_H^tZ8Al#`y2=v#R{r6>XQa})%;#YBSz>ce8F{-<`+Ofxg1s}dPiEQ&-1 zRlixTnSVkCvYOeNlQ1ca>~MEtV)pCZWt?R&#IW&$dd1uGE*st!k~4D`R@E%ZN+XMqPI z&!y9{;1e%USN>biZXkt!#)aZA3h=I}r>6(3^xE3dyHJYJ6^|)BY=KoYryGy`7%*T$ z!5~u*7+NTGATxL*NDLwpoI+7n1dJ6%I0Pb8S{j53&J2Zue)Fd8g@8|vk{4C$v-?y_r9|5v*(Q4JEQkwOTcJ?+pv zPEMQ1Ks~H<`Ft)29F68z1pZ{5tx;vCPmxn3JoPl0^oNnT;Nxai5O>;orBb=s5$vzt z)%6QB zixbHddOep>Y=7Q;?{$01MYI;K52edi-VdueCCG{e{Y|&7_eBO57n|MxuJdpJEqJ+5 zp+IxQ{}mvZ%Vo1{R%!t@dN*J{4gkgES)@iw?fEaMO2=BO19DTk?Z!9pZv~4~@Fn9W zP~3N?`P?q%w1fiw>!p5u53>uzD)n(P*Eo{qQ>n4}2D)d!qBnP-tB$^wn$)7cL zmrgn9J_S7J5++{ne!}!dj>3{VVanm9DR3f;dtQat$g}XwkLkM(d(+Wjcl97pE4Czw z0~=@7Ip~TfC{IV)c}(|gZ*H}{d^~2Wh&soKkV;~!8U;$X<(HG&?dVl_k*rZ|{Zf=eI}+#!xy&~lqHfbG((_s}9ggpH?<-k9d!06ZaqIif=J(B6 z&N_|JUf@&(Rf$FXB4Z!B%GX5f9#|b3=xmd-6hm>am7H!}TW@B#20(!e;HuW_1yq=*>sxTg( zEHdK-wlm3tKNZ_06n>`i*@@`YO;M2y1eZ&%7geHM6nSHKt=>$KZ z3=*Qv%WyVAMrMSP69@V-a+Tn*m}`}bZ0~K?%H#2Dm5awhar8T96&0O(;maMvXOnoL z-(!XT>g=(^_vGb0Hz-6!M)UjgP;x)<1Fnzq+u7en99*8+OXU-Yq}omS&Vmubp=Jn? z9Auop{PaqLY93iQY2{(p{*)j-x)v1bx1LDP0QPZlDle z1h&>cPdV@z-ZrPEe$2%lOeN)wzt(+bGTCFSSfgtDVttK$SnPOw5(k|yuSmDq@vu`s zq@Pae1VoVp-ycPLMpjqbffyFX;Nal<`z-9q8e3`MHdY8Uaz2++aZ}UHU>Lk$$0u0e zk}8*U)L-;VZ2D^UE%}GJJpS@>)z2f69*;9b(Zbpu^Z{3TXP0x^h2Xb8^{_6QR9fus z9;{|Vt^78ee^r;E>2naX&`@!z_1BtIHnP9TPQ*U-3fQzpN~eO`dUL)^Q?*(y?&!P1 z%odMs%NWnq<>f)$2D0wg`;EL};y+f~3metwa(!2Rn$J<6X*y=tl^hntM9`~v=f_qx z8lAZ%VvnojR6;gtTiQ-R{9Er2u;$PEzrTNx6k5d+tUK<8n$IK*p^E%GW|_!FTc95@ z&!ALu>H4Rrb$NPK(;gOyLRBK8wzJvcnA^qo{wy3U$3xgU!tP-~zP#Tr*6Eeq<+m(+ z`u98S2KixLdcC_8y`0}N{JLknE!?hWx3zD(xC9XPYtzl&&JdN8krKqj-fmO!7Z%X+ zY-^}%U{)s#9FGi7e`9Stg!(BXFO2dImZAij3!3izpo1-Db+9jiC3{9Z+k%xxL0mka zE3qbUc|`3ukuw@OC{h3-nRzw{9e!7>T=`-(PtM1O&)5zG%>YmS{WyRC z4Y=l~C4yD_M9itNad9;{YDy;GZ#ZYIm}wN*kcRXgBK(r*QygswY27D3a2EAgRI1K= z7w-LVmENj3p%(Iq$*8gU-x<;BG0C9sN&_LR_UIklC?Os3*DcyloBH&bLr0KZ9=j#F z{cl=jKAikdTe;&sLZp-uJWxKdO5EEn(dCrUWMy%>efb7mOHO|8OS8>d=+C{AUI$7oyqxir9-~#NL&a)!J9_;q{%Nda*(9!j&7R=Df-;eKjBvc0~rWjGZ!j&so?9lcE*o8p230!ycpD3ykUy3{QIs?%EcrT-ojzw+Owj2e zq*jp+kEOh7SFDmgrNxK!GJAi$K=GOD&DC-%R%C8Q(F|#3r^jfHCA6xDcka+mX|BKY zMnfpl@)jFSEC{t!^bA4Nb@Z3$;uiDe6;5rW5d6YdmNhF+@eVU?fTGHtOKP_yhz!Jv|M54fXZR%*=`o z{e9XxI&Fab!1zb>1d=llC@Yu4JIA8eWCmhvfIQ+H2-LmY|LqX>ISGpQ=b?~E2k5q& zTy7U#J-yS#ZzW-+jOsO-05b{1E#&s)^LQ|s^k3NogmwqRfEb6xboSxy?$0ur&-wZ0 zO(Su5H*~4gN+PPSuQ#)=RIF@lC9ej7p`oD&H%TZ;3%Ji4;!Sx`b>)G5hU(0*1tdShdNeo!}0qz`kk7ySE(7M)fpuy zmsmr_n_4N5V)DO}qUmk4m7-ub>%_3YeIsrELTj^B*=@Y5>I!BG+j1^&dxKAOnJ9uA z(z|ngmm_Tf=S;iMcacqePdV_bmqb~P|USrD_@SItWXszOTb+XhOGPddFJ zk%{Z>+~p*-Eyu_JO*pvy^?mEo(+&+075ov z*GAu`YwM+|s~CK4=g^EGhKUR&B8;iqzmp`mr*%Q!D;R&K6rvJ!#~yS-C$jPh?&(;9!K zrc(SK&xS#a+7rI{)csbW-fp-L7`?oz=lgn0ysa^p&+O-|4qMH#-_Xuv#(4|RghYZX zaf=CwtVV~!0Bom3TB&aM?cl;v6w5gOAy`Ef;iJqdk{G=qiOK_UL zC1zPB2=Cj^DOz9_umG4_Se!3bkdclTu); z`ElM?cRy@~gZv|^3G28aEdfG{4-%PlW?m3hEF$dH>DGFXL7_m1Bb}#_iZ97@ioAoE z=;kUB1ZNBTRX+bkogpJylHp6GhXve*C{m+Wf458Z#~_}PkHQ)3a5SV$D#H6NIm@&u zyUF0(V&Jd}E=`$XTtaT_NRsu_$+Q8hA0}-gQ`(AuNnZu>>@RiA1A&02 z=wL!o@Gffb;h7OV<>NHToZ#Hy;#RLD5y;fPN=3y&Ph@EXsqbJSDzL}@Zq(5UUaD!=*N>`DfiMv-ZBUT-hNcC(9jSO*NrO&Ts_8zj`5S= zrN?2fE`YKE^F_daft?2`e&&hT#x6Aii#lNFm@PGg^I6ESL%vn z3{g7W|4M(=QL?hOhNB}XCDo|YFZ&I!$G3Ap@E!>3FM#fYST(!czo&DOgpbY4oCA`u z^z?LKJO$G^d3Z?tVRhUQ@Az(0Jb!NB4eWBZ_v$wK=X70nYU7q z943eIWBy#4`J-#Ypt+gsj^!9j16hC0gDK}=XPh)+PP!al`r}K@NPzSQ3D`HM(=-1& z+{GOfmsRAHNQ>zaL&TAT-+#OGpkrTXXUh@?$up72ZW!5FYaIuC>zA}8@yy?y%jAwC zm}PrDG|^0x(IBF$pl$?)Jyz4#S{hVO&MawgPr;qtkckXuDbbfs-s>a3eD^XeXsgv8 z%NgL+ zn;6VRm==XE?TQ&7#xKaCM^{$b)T-4t?dA&q(ejDEtu=-85P)zdha`H zuFsKZk||7H=l}-v^!6qc^w;eXU}i>H-|G+h1SrE)VGbjA0s+6c%sPDG6)@0Ib0nFO zJH(m)1acTK(2TJ#80$#@orDH*9RIvI7PMRew+1Vv9%-)zJI~ukg+`E~d7n4W zGvCQ4e)V$61J0S8bELWA<&z&xUub<0-HcRy@An1#A0;6mqT*P@DJkGq3I*~S;s+Nb zLR#5qlrWT&7eOgs5xXBQuUJ3i@HfyBmdiwCbZlgTc`4)YQE*&HSSba_d?~Z=APYRr z_~V=WI@?B-UWg@bw?VNAc{xQ09}<(~2zM?=M^;I5(tRZCDhJtS92*@M^D)`_)x`?zl=5&hpi zWpUgX-y1t&lCX)CSu+^bd@t+rB;@ixqhh}7?53dofd5!uGM6l zI9jtv7J3f4gwPfXJ*WiaHxPG;km-$8gt%qE0$C|&s3Vq)fk7>H2$Rz^8ZM7Y zXe;jZIW4*>m(xN2SA=0PAep1l0gSW@F#k%s#hFSb?u#L=gyV48_ri)~=FdWPDpkjr ze6IGy?{q>T{RAn+|Lrq~>%GV|y9WC$+yp7wpnRxQj+PPb>D z*@M;Su$A*U$NBBOwqU|`GqxB)g6|>f467bTk2_9HV%ExUA;Wn-$ny%<1&|Y>9oZkr zqei$(i>-Zymy-N325EM9G9D{Q02hVF>lXC<)Td>Iem_^a=Tt@k6N$UKEp-;2p_=LXmLhZ08QoBu5srVQBqis5HR^Ua*9(UJNhBBddH}-m$ zaEXKa0kVn(TjoLwiA;=T_xSxSm~Vp-B@5Bbp1Gyov9$$fe`p)~HhKh(= z9e{QEd%Cj{tuK%Nmfge}+qSZ-eddROmVkpZXV-GkF4a8&Uy-$9ZLCze>NZ`hc-lw6 zcZA0+lN0JnAz%J7Wbv;cU&avOH-lZT4#{_E+;vE{kubve#}iy0BeYb89I#EfYXvL% z@~kD5j(ouuz77u!yzco-6Ud?3?ZhKf6Y;6f+6g=TKXBXL-+9B5gisPI9Cj=YPV%{m zKgNXl1$|#7Els`SRVxeHQ}3=a0OrUkr%W;p?r<^hMRBtHvp3fDXwrMnnc=LBdUZ{J zX*7f{7-WR1r4i2;YZ!Q3ZfA0CGb16z;6$x^8RXZA)SpS;sSSF&BS)1e12FFtNy6YT zi*dks*Lj07G$v%l_`m5;!(bgt1OYCD>rGPswvj{C_HO9zn_dr#GVv0T|!lWhAAra&K)}BEHXiUN|2jTMmOcbZ`Zo0;@ zNOSF2jZQa9aigINpC3r-2_yqtwu%){kl<)|i!3YchAgVJ;{_0|zJ#?UUlGrL)I=tR zmc%Rk4Fc)k(qKgHn#rDA&jHlyT$5s@rMmVI*wm>?gUey_(VwJX(>U29z z7Yul3@dg>hN*t*@0IJ}lyWX4C}XngML66$kMQ zxQY%Oz&j~4fkF30uAn|g_k_L+gCCFH<#unpSv=Ece!EzzaYeNPfiZIB3G z{~|h-yxsF>8Wvu}GdD@FKfCan7MC-K) z(VXIPwMnpY;*l%V?p3|Zp5;p5y7>trEN*vFnUkb)C1ZgtAFZ+dCVePVQH03BkVK>M zdsHFc$?U*^s)!U!oG`i$UbbtW$-siK#cD~_Yo)lBy9C#6<=a^MEtL^3ob01x_C6kcpfijt5}=;*8DZs^>UXr5lOhduTitB@7lGBV zZNm)#efg~u!^LKDGJDcOyL(G`kKYyNWF&2oxm}N~!R=}C*+%zelFhp_C&$1rQia-Q z63Yt-$l>@=(G0i0$z_M;&0!d_73mWZ_@K(jhnqp4noIxe@*Cw~X49zM#u)vMgM}6g zQRE=q?V?~ZXZ&;So3^v&Y# z7rI=q7eXH)9Ph$xELN`dmC44ka)?&=*vO5hi%rJ1=l(=TdfbU2*sqR{_LQWC58Tx* z-+UX#Ku#02tCeoCJ6dy$%Lk>E=OxxUU&jrH{cvvTEc>%p?1R{iCa2ZxBRq0IEMm~> zyVmV>1I%3O%|T8oK0e>G)rJ$@@S>t!;5)@IK-zdbdUYg{ z{nrZMU!1`qRxmF&B!o{>nMWu=bNnFMC`Y|lVB=`3k`dEoTXD&(tHPjTrU2aMFrp~# z0B*{7e(UvqL(97nL%1cni~>G$VsJ22IGKX)*;)Wd8rO!yg$x1l|ALjQU(5M>I4Y>s zLiDR4Iv_fUQs^+g{|A2GK3tJ0$YiK3A9ibp-$#V5J=zGpv9dHlFfgNpG5$LT*o*m@${FdhsJN3O3B+4>~egHEa3;5%z>S*c$)Lw~{T zcs8CQh~saQ(eZ5+XPrKmd!|Z?f9xo388yQ`I)EczDftJ;nuIizKiIuTe7?+?3MZ-oEes+2*@;%jGsIJf?Or zT~RU$xot9J-1Q_=pU@EkAz!9W@U{|(xD(u1&TJ;jC#-Kk7_FP5FR8Ll{d;ys#%EJF z+sRN^bpJUAN?;$#mM?j?@3Nbv-FS3&0J2U&i*VKRaynv>By+gsdSPp)rr*MZmvMC= zlCH)~xSf((J^Xj0HMNj4!>h$&tGC6P2$I1PDt=G;E2`j-T(2{p_RB|ENmDr(DbqY_D{L5?`lvJfvi8l#8k9Y*Tl)pm`#oU+9(;M!c@; z@xpePQIJrN4S$Plglxt(l(@}aThr8j}}lE7cf{C1N@Ij!R!%2A{8$!sDN z^=*WgTes6Y#kTB^lRxN9e%jN099mgq`)bg?*tILiCO<$&vs_JP1z@U3(b_0`--$+e^xo) zRMuvzNwtLPBtzh1wRd(Z z3pW9~iWtBo_DAAECU^XDb90SNOyXi==O+7$F2ykDs>TS49Xu1;+BgXY^LO+S9+Ut` zlQfntzqh|nQ{4x3&o61U3j+>_Rd1Ij0U~2Aqv2TEJ$dG6f|^n(I*K2 zx`mRfkOQ#>QuzTKr{Ti4cR~!b#dRSD@Mw^aXrQpDhEj@;!16n`t-R z{dnbE5%JnUbP|rsdvf)QvZ@BolaCa!T(I2!K`vquRF3cOGcR9-M)T>x#plCyic*R# zD4tnorz));Qv1!|$(SBTxA*y_ORi&H(zcMfpcqsy##=nJgVgVe%U{?>{?y;6*E1y2 zND5{SLrjiPhxG*x3b@_F&oW>?UD0Cs|8>;9fSk8i_`fgw-ONwO5h(BR|Fc#N+38e_fFYPzrdCX zeGuQ$!p^Rc&j$++zuy5I3Ub2mEh;|#{_ak^LAgvClSGi)=bpYnaM8wfGN#C}p{0ew zF^ZW&?G0F~Jk=gCsB@w*>EMiE-XrDZ^NgiGegUL#;+Sfke$zt5klRI};g9kYOf+gd zZfRq|r%Z;^0A%VvTJwjKIT4&vvYi=Dv3x`Z0;JGYJoU5WD?@j9p(lciztB#mn@t;5 zAL$f>ct&h6Xi^Ivi6KsMgRemZ0g3x6?!=awT?A$$ftaF)vc5 z(WR0+Cl8|=c%V!K(S8PbTnLItUAH`&2Ai3WgvH(7z;a%|1XZ~UX=czW#qRns>|zyT z4TwyjcF~qumlN$%K%2(}4Ey-!a7m-1qXRpViapP_M{~~l!htcKm6MYqf#nq$xhadx z?ee>n!U2ildQE;rjQb}n8aClWz}c3djfxR=#4Z&JNo%VnwHwMn@C+l8+pXx+_cQ3Z z>7Ph$)a_b)X^L|wa${ESj`GR|Fyf0`q4W7DbunjN5xkQL(8+(iRKbW5X=D;;x)cl+ z@xE(z!5TCwl`zv_-OoUOELp3Y3Gs8(xeO@vQVQXNZuV;QX~RZC52LRA$NBGxEHD8jUM zZPL-S)H(*qP+J!?6r&_5MO0B+q^Mn_x`f1%@7^T+o<84?`Tyld?t9O6-+S(P&$&1E zoD<#Vde4Cqs^>!sGYP0Z7s~dE72&}fFfP>_bebl1=Fxg$DZwsFvTKFRJ)+Z7V?Eb zTJ(IVzDmzEww%5wPflFbElQ>P3Y=g+1U-B>(10q)FB}mB zRjIqX?%j2Byo#_K8|V<@=7682^bV+coCc+p`M>t9xUk|FB^_3*IZL;f-foHC^yZTp zZ7;zbJhfy}c$D-}YOoaKT9`K>V_Unv#dENneB8d{R?gU!CAUYGDJeG&@7m2s zZA)wi+1g3=Rq95Ob~ZPS?ZcQ903wCz>!?@y?Txzt1(L~i!Q-#1o(v6Mb6H&1Au z_hkn-RRuL^Fbz@)W%mKxq>6G3QFhMg$c1;sGtXjXo}V$h#;2HF8Oim)wg0upi#`5+ z#2eETJ<_hhNK``pezs5`C<|?aYeEXoQl7VhN4YJ%ooO=6U?YJ(67RMXoFF{dMkG^P2VQPr{c|`R**ue7)%5pIlnz+u>e%zJ1@0(i5ZNQ+xC8B>JZ&hM^UjXmwWAPgWIw+8cfH$auhw(J2ocYq@hzK=;3^Q-LkQF9i`Wth8cYp=~FuN2FV&R&@B2RatSkE(b+j)>XnI`ac9CCKu=*t8y| z$TOXsmeS$fMJ1F%R*g3K=8p9%0)GQDerr800>{i3Rx*UOh9sa>32;vP>rDwyxI=sF z0=RQWLXV;a{kAeXzi2BaIpG}CNiY$Ozj29b(8oJz`A@FB3Vj&aMy|YZ3n|#eQQ&OtNPbb!d+#OyjDQ3`VuLE;DmZDzLCzm4}imnX*%no5SG(Bv_PLx8= zP>@AI;9OC5;ZvM58R~ibW#nnjx?0DWe9Kg?SA<)gSv;d}dZNWY085>8tEbbt=Bv%t zn?j@|hr~C8^O4Mo2bE5!yOBGanq1;mHIpn>`tLYIy`A|ESS}{HWjh*WAE<5ACJF>h3T$`Ht zk)8zCO}?q753f*=UDtDI*J=beG>&7g<6ExkV4$KF8q&}6D}>D4~0V$FN zwQ-hwDvtWD8*?d=dqdl>E~v80mzZme?|MNmu;A`}Fz6o2sf1Gfyke1tvVBcJV(IE; z{7sXY*O;zrERwgx$>bOY)6*5@lfF^W=iKnpJFvKT2znel%2O)1P-|9zklsE{R;*6~ z7cS_S?UhRapB|3>Rk`L~-E92&EaUl07(pphw6MD=rtAX>|KdwLA>j6H(%%t5kD3bS zzZ*<%6^+%%5+}Q_VdUc?R4$F=DZKDs&wGkbzRMalN=Z!9n9fy|w*>{K!e8-fiHYrV zQ22dt9{Q!4>>STkKhV?v2tT+N0I88r@#VDLge!|T@N7+SR45Tgg{_b9VC^g###4Sg z<1vx`O=kQdFplj;3IJIBu`p&G1)minu*SF{LtLzlFHb^=o_kuf@a`LZKuCxJljf!D za}70QL7gYu&fK*Pw14woNZ>k?`lp!qk4SS-92I}>{@-Z&HTWNq;t2dz9R8Z~EAaot zRJ6pV0;&}yO3W9xks*TsaYXGmB&hg_6z7NtyEV0ak2s^B^c!7tg91bUA-*2A`@c|q z50#MgeZj;^`0W@Hp7#4mwpjBv~>VPRnb1pHA#BH1dUY|`{gi_6P_LI$8v0S_?1gFwEf&ls-5@Li}ylMJ&uT-EQs^*(bGO3G!_E9Rp)i#FbDFdKtE+w zZ#x|$pN}&$Jw1?>>y8_cBu7~xSd1dNFdZepbINVor1V~cBQAFU1@`q_ngnXkcftnx z`v>0`op*NT3i{ivw7{YqDWSXA;T{jqEev<2?d1#Pp(qMjJoztWnoDPDC@ zVHbl@RO$UDlg%jGDp~&!0szpHbI;&v4kN-_FWWgZsd0?vINp(#l=}52bhC_7;nqk( zZ~P{PMc-Le+}T@ShCkMw?LS}uFR77EULB7*YY+9s4AW#^K2o!;r-FTin9Mfb)SS;v zi$%CR|ITcb4jOXus@8pH{#@8n2-Z%_RW?RH2M&00AMNIke@JJCvla*Z&(s(?LN_78 z&;mpVQWV*u5vefGb|uq^k$D$K1M5R{};k{07;zOA@|mDfq{u@PfH}Jjq~3DF3f}M zxE57ST70(l95HUQe|s6Ct)ou1E$^9<}ABmJh;0huU$ARgSt}uLxA2ugmL;F6_E+m=a*fa2Z^y^h4ITn;TUtA z9C3je$0JUb@%#bGY9bU1Rq9r?Dw#5~2W%#~ai}6(g-ImV6QphTwb|VxR&xd`n1~}3 z1T06AfX5^1-v5>t03 zTSU79BMX5$_QO_pXPa&I2IaNFZD*Vpaa{?XBgzK64Sg%)@UJ?Yux>@UmIPUXYgq*df@v$TbBitEbT zf;hsw>`MaM6dQf(MA7rj!p@JhUvE6QS1oC`?`D!2GRKd?86-8{+R8Zpa76DqmN-@F z)iYIiPpnX4tf)nT3|*WYQNa<^zgNXmv-QYv?J&Ce!rSoV&zN?dR+dofc_5Fr;Wm!u z@Uqrb*MxsWc00p;w3YIGm{k*}?qwM%fBx)5DpP}G@Dg6dX4`x4{umMd>xJ<6+zT@l zX>|rGf&1Ni+1o$3bBe{VV_`TQF88Fu?t`^We>;nb&9>32#wEs=ZxBKm?l=E#Mt^uC zNm^c_&LAW?e4DJud`CK9d`g?##^s5n)E4~e@Alc>D{c=eOG9J4&rdF zH$$3pH2dB1sYvCL{ z3F|f`|3GI1K9ub-3VE}XYvK1vFTxzHQB$Gi!$NI+GVOMa;a8Znd_1a17YFC#hcSE3 zLHwZYW^m^(_SRQ0B!z?P91G}<295C*v_}r@i#O}nC4oc!9dZiw7WZ(i32as&9Ruae zf6-^WdueTND&Erz5BNN4E1ZESi;J7i8Rt5LXID?Z=ueLi<~3>_(`>8Rgip3Ulz$o= zjez@)uCsBIN^rm2h}p><#OtF~n_O_jlyJeyWOdn{ywF-}0zY4D$SC9egW@H;^FF$a z!t4Ep5gi`D??=L+L(}={OF{nv0KhLPkcSpmia~_X10Vqv2L}QL0uChyfk*0}@sRdd zwd^)sMnE}lU;0y7Keg6$oN@oL^3}r2^Xygr$`e95N;Oz@8*ks7R+z&rzY{vvix^Pqvq97$im6Ejh<^uJDluDP#84r^Sh1gG;(2yiTpTC+w6>VO#%G2;&pnm_z2n=pWNJIoe6&`fD33Fs4AP6ivJ2LIc z?Vwr`)W7sa(leZxm!O41KNS@hHuy?$o^;!CO0?14FR{erF*~o+li2#y)Lk+vnl^L$T%HHYy&>FFC#t1~R0}-32II-{{<0-Dx` z77UZc@`H#~MD{)+By7==1FAS`fyaceY!e&|t_?pt0m1I%!Kxgv5^H(tq)oxidk*#g zvR#Pq5xD?Ki&CpR3;8j+lvt3G1N+@uq7j+*wpA}gu1}9pK|kRfVKz*YABMFSrDX+n zDs%o|rZoHsYAGV^C=}d*_6UI`hPSi$cs!qy%CE*Jc^Xny=4v zSSmTiz-xi-IKj zh(VwlyQx9f27B{0Fa4Iixq;!nB}Uh4(EIsDMZL*uNFZQtu}a|__CR3GIw8hNXVqm| z#a7ewlyzcxG_3*x;=}-dw_M?h9UReMMgK)NQA%W-fFZ>tLISPv(LPg`S*~XUeTv4r@Cm>a5eTG4@@$XhwA$VkJ+*VHfqiGGGjPGU<@hn_%XsIZ23jO&|i%>uQ*cp zF9#sMrX{biS8Iitu6V>hg#~QVbG6+7>_RFYdEqfW`4$~XVJ|Xnc=QjT;g~QFkBYQ| z5t{6zm`XJp70ZLLTyRiF73q9z#=4{AucD;I_oFjS53SAqAT=SC^F zbHbNx%iExpWf+Yn^v>(zE){HS);%Id@WkU#9vjUXg_1dkWag|qb}hc+kNf3{gu-fZ z*HCgH4-I;ZSdWU>fh;$SZJhhvrR|Q`7up2erR;cI*tNX2j?~};q%c+*Vb;Rd&eA8C zAB2FBC5K7O2sd`qkPG59kLuSKAOvQ2ihA90;hHWiC6{RmN!T?eRH94O8blto@4CIzXBB!&GFZVe3+8iX~55cs9<>@vPe zEMZqUw0;5(T+8yuQ%<2lvIkutZw$U2WDJLi=&WF%`)zn=6^ulK>-Qq=?k)qozWM5vO{K*-c%Y}Et_pgZfKA-K|%l9ZKm=dmDvMHp<=u=aDxeLMT z)FY^ThW^u`Bp>V#xP#G3J*@gZ+|k{9ew%{aei1mh80%1*ax`E z!hMA`Tc5Z%0Vl)++NMF1D!4!MQ%iHRmP>n){l#tdks3hJ88E`)uK;TUN!+67{>()U zMZgoM;jA3c_9=AZn(_+BVs3`_y&{`VFD+Mn#a{!dwK2(GBKR;wct8y zpF-B2ZyzL@N}UGgkKU0Ih4dG8*@(guDxK3f8Eh2|{F4$EZ_}duQoEZ8`(FzG7zY1x zZ*&<=kvw&`txpzx(72VhUx;!fnKStXdEt&+C`+C`y7gqE2%#@b47biThE9(B2K+>m zpH`!Ne>eV^FtS89-%q^BO1?IlMyoy3hycRi^k`d|C`1`J{2eaa?pAVLq>p?7h6HE- zsdJ@9Gl&!)NL#|YL?-^)QdPjd#hxrJxmU~^HaE&u8xKyAkwk@F!i=wxJwa`^Q0MW^ zXqEg-cAq1$m9GrHfd##r`UIUd9F4*(jko%ip}a)DjJV*}>@JK#_*cPG9s^{Y;ur&r zuyp=Og&sq%xRi;<%gjc~V=l!kk0iDApWi_Bg!dMJ2pJS|q2;lD_t z(^{?WjB#Vg9QWZA*C8Nkzl1mxi(KU$5tZP)T;UL&4GZ$+6(RV%II zIrz&nzczgCURujl`>z%Bgs->d{r>RKL?|@5$`hpUMa<-H$ikmM4IER5FReK3986PV zB9A@m8o<`)altepCnb@Y!ZHXs9@;W=M)*Wt`YlkaP{t9c!Xg1)Oq2E5!@U$bU15`g zTbKbVIZbx#=t_eb#k(Z9?_W*1VB(3?`E)QT%jJN7hI{F;DE0V$9%E`O}cbWEwdbKB5ff1 z;%-xb3MWFIgsygMZyD@$$CH^z^dy=|R41@fK~J58ZgyiK(f&4fN7do1&`MBKerRZA zDR*!_Qk#MaF$>4yQM6(?Hr3iH!1XLW*rEs+7-244(1)<_08lu>WHd z&OTqwK0m*{89)|tl=9Bq7axH>x4K1~F526JyQ=SS~AmDLFk4{Tq6oo>F(i7Je{`$XS zFI33n89(jh{k_EJk@@GcNHeT55!;)U+s?qUOIzWB6S5LrBgy9ZmTc-j%rq7&sQ;m{ zIpYA^HO*y(q^%Oy!J13*G=vuJE|;Cg>^bSIdT#(0EA5r>t4+F z#q|Qqup=uE41(f*=xPhSGsx^dHloApWVu}+**>)JhoDCW8-CnFoKca-hHIXTi$S9l zz+hnWp6lwGY+AQq#LQ3!7!VXo%royzuy1_6CHaN_35VN*hib3>kTGf#`gx43-8&2) zOg`;^B3?Ej(g2r-gpuUn!PsIZ%rS^jp#w|Ifbfz^hKr1eT0}foSbiO_+x$IblBDTyc?oCM90phMKS`g|Uf+Cxl~)O1#%Y z0t;>5fSf0EK-NSm!)bYjK=BfcI@qBJa=+w{r5&9$fbgwK{`2(Q4Y84F5S<~9CWW06 z3rKpTFh&9-92lgsrWI&ZDa-_Nbx9RxM~voRA(x1smMEgK;(}!5n<`Sv6R4-`Td1Ff z#b+nK!~5b#Ibo|8uF0a2UM(xgKd!uDo&QHwo{FEERw^nux{qO^rL#m@dKuG$993_i z;=1X!9{KTxH5gj*jXY|)l#bCayTe}#fE6X)esbE^>LqqJu~}x89fCSp8`7n45J}WX zD`IUCJV>|-<)P1ZxpDjVI=-%UdLA{@b|%(kmL8w1{+6eQE1i3j#Oep-1_%C$fe_#p zidU8y&!~YdM|WMq%D@{ePoy$ZxWHz$`G(-D3Dmp4nM?$;wn$+=gy#ievw3HUu)C^h zuvS^qA>QT<%Sx|iPqs&c&-64I!p?E>uee#aV{bKIvG*;;*j(1UqDR=Ol_MHEo~u^l zN8EiFf874jPslA4mUo~bc7HhY0AMat6gDRwK;)4k4JZfH*7)JYoV@EdrfHLE0YdNa zwBf|AoVS&VDsOX)bk#nX;}WC-1ud1pD|#2|adH<_;!7QHPzFhViSr>t+R4(yUdEaO)?(ecf^CEr1kVp3^JVhdd3LO!wszpj6Gk@EGA4nuKI-Bk2Ee*aQesQAx z*5Z5Befx<#X|bCk?%qyv&X#}pCGSIGP7^h%TWH@tgbglBQAp z@AEazhtZ_YmoV)8qG~2_=}}2SGg}vezsz%}%I6FIlIvE;Y;vzOJ-eP9rc;fr&&ENN zW2rTILNpaRsNdtAEyJ4wQ~DpbWokiRuj;ICLk`bN9DlPkX#~b)&z_KdJz5SrGv%3j zM1?{ddKxnDn;+sorQddBXS>*8jWJ z9eKv@*nF*K7EAzZyVbR5@*tIV>A&a8emK;1$eAP~n#k7VhWqU!!zP`aF^^^g?sVr7 ztv{TD1VjIHOMSoGqK31&<6sjkdM?5&&l+e>5S{Bw~e`+T&ircd?p6-R!46+DktmE@?b9gKul?57$k4z_YEY>B@RMg0mT<{ZZsMxri9N z=FxuNSvaEFj35u53@i5f31xA$>suYEL~m2!bdrBd0nH|IZ~d_b^-0aZtA1>kb3*`R zrAa}on_V0>=d#HUZ|~%2{K_KE`5Uj@SUDV>)%tOz4Fm}_&AZ>o&coYm6_SJDO6)a= z{6AJpy~iBUHd(xwqFFrE9Lzx+s+YB?)QM;oxV~HC9SO=XumRZE$XsOmBMUs_5|8VH zqm>U774D;@(oP|=xORs(nzlE3Wn>#QPI3l#XP6cLDnbBUS7cv9u&lO5ojw|fN}&9V z!B{dwz1gbo2M#kq$O*3N;~%L7S!EcXNynw%E2BbCA5_~WV` z&D=*bIaXH6r`sRr(L#kr5HnmuVcDzk+v58ZG%MMAsjA=ogRMwbl(auQdb7-gR z%hJUxot}s;($Nl%-3!783`hS(Ll}4!!*fi(7gLIckU~bgg=Nbg;?Izfe?mXy&0r0D zT)_iO)*9@`!9Ir6=-0Fu#H$~svpQ#Eq6*I^vN9Ne9TO*Y_y#klc8rf zDvX7monE11oXK;@7K})qK?ANHtH+!#OXPWu=#4=6+xgz(4iXyI(v@qtRf4l!h*zan zO$>gydGyXsX*jN>Gr9YQX^q!6M4S3*H~X?QD}c4)Z5< z=Po-2+iGOKek9Y%-XP{^oZ&IrcP%63Q|Jueb#7pJ^N*>sP+o%V?o+$K)9{9#Aa8jbt+Y>@ZiZRh3D5BF>) zh}x>)*Rj6uB#gruFQAnE;Pd+J;W#36;UXCCfX%AXQR|kW?=oq76J7HPA;6FNv-?rz zE)!kN&ZwdX(iT`S^DP$AqJ=TMLde%6EIV`X4a>g0}9cUQG_eu z|0hQOAMb^Jc=^72O^l#4!B7h80huDdOdT*Yg)kN!O|c7q1@9)y3WklrrU=bDiFhF4 zo0(XQ4jG^iQP>W3a4HGy~iH=``OX9&!AQ_JFrcPir5NN7$cD?j6r?cA)G;r z!@t)7Rd`g79(@8eTtTAXD#K&$9yV+8p394ec3-nwR|y&bfDczOj{G+pd-s`^7VWgm zWd*G;bqxb+XJeD=^G(AxN2JA1(nu_?LNCGCqjF09x+zuWXqX0Nc;IE_tZ!oSbARYc zzH6$S6ac_iBmo^dxO+W#SRRV2yJN~0SE%zRU26c@(dpCB-ubmt%!@7p(a@f}O8)-w z7WMs4ehSv?pM);<%s;7jww!;m%tazDD0)7AU{`WIc*d}@SA zUZn)GMt&t_m~thd4HS4`XGlnvgFHXHFh3tWOiYTIP)7(QP?0Ob4egCqz+R~bA~iLk zfVE3=G70s{kV<~-H70(cM0$NO0z-+{x| zLtP(Y-rM_aq}zKB(2jk(GnL-*==z=-NM_Nien*`TjOb5yx2JXEi^b!!J*ixonohu^ zCdy2f3aIxZK!BLfgVAHzZ0~#njtt?$r1yTNP(TA(*{M9IKEF0vykmXU zk;nsQ2gUyeq->*6xQ{6X@U<=KQCBi)<&{|H!hgCOu0NTs#?b53HFd+hJoWU3_qg>3 z%AAHZ=qBd;4@wKie<9uefxJwGb0Rg}&x|-(hda{0U z0%9m(EPs1ZUa#=}<7-$dOeH{Y`y2d7@ShmdnnhSK^H-!GP z=-{QZi=!hj&|fj)x#eY51%*3&WAAQWY*4t-;Dv$L(u!oosBSNGYy(*@Lb*q*LIgyXYX z_rLdRM;1&0(8H>TiiXzS*0y*1^77(Jj3^E|F+Gijg7W(L6&e~kIXSt%zu(!}2?h~Z zl&+o%1YLPFBK^OJb}jxqC)|Bi@_4F*q5o$!2}wyXP|yPdBcp>OViFR}NEvDA%Zm%O zq{fDZ2WNEv03%b=b}gFmI$ZW1Ch68{eROpUFaX4?X`1eocJ!CEAb_6(G7Ji;%83c_UgulCueC_myXTy z%*@SnTv8IMc}Zz$?D^Q3MD}!ZTie?D`g?Wy53O*pur#!^a4;~V?6a4?XJ;0zww9LV zTAbKXPft&+t*xu8t65pNueVc5+RKH**U#0Jm64H=jg5_|ML=L506Vv?LBYYsrfccB z=4)ePW2dL)bm*Q3zHM!7@$vEf{rz`$cNG!8eB+VXE0QePWm{Xt0Hx+1+&gpS$l<{c zj*fUd0ebYuwl6l}783s~vEJZ`_$ibU4@pAPP3TGW3V{;~2?G5s;(~;eaK-!O8z3I^ zS3W`x9l$&WA7BEx2c5svz&FH=_x!W+SYw%ExmC8<=J~YBd)ukHzRLTUW4XJ2%_IBz z>#7ruZ3m$GtzaUPlQp4#7|$ny=JhDuR^kO@(?XuEdCUDghCuLxcF{?gBBn(9ja~`R zXt?uv>%2bK7w{LTtK_8os2F~DFpjfYwN59gY?fy)2-?ant6U*_0l)UprV7FVi-2qJ5i1I=i_o?5WYw4DU^-uscPG+NSQ5M&v2b~}8inp|iWP#|RrK$mNc?VnHU zi&a5shRrz5mtEt|Uo4wuosiLHlgujX#p_YfU*Fuh)zKAeCV;eyU|t*iQhAfE%j`zoSJ;!cpRixj#gnQU_JMK;lPVgsHqKoq&)=8+SXIk_>Zqu& zh=_>sae&zjQe^tPh{dL?Q zPG;Y)+N&?0w>)G$HyX?p2@z(`lvTBVJn;?t;n;=%P=MM|1W|1J`$^XBn?5Kk+YWlt z5byVo`)QxYMb*y+KF^cyyIE1Z*i@_T&!KrWQ-@K7@cZ%j(Xj zrga-j8~Nv}&Bo}^_RtR7)C zo!E$|iKT*VW%uHJ;w~bNAKQE4CxYx}F#o!2tmS1sU>M#j@rM zb(UUA0RwAh2}5)nic5-iG8*9eUok!PR7AnGcVoYQ^WVTYbm@BD3cuLL#>6C0oH-gy zon^XgK`8rg7-oAt^{VewBEVQxRTxsezFyQ%zdc>HPqM5B1pQSc;$(7by{H?{2=V*; zVMn1j2BXQu6z6rvZV*;no7m!2%YM`myw}(3@njlvz5)cujlNi-$!tCUu4IZzM!SwN{U-Rf}fY&JT(6he4kyHG)@2}_XKMFXU&d`lOAj79*k5Ie3ww>?ZnbXH) zysZxx{3!{x-S1bq5b;xOFS0*A)+f?*$H3P+)uZU+U3Tv;INrw>qE<&dmzetCPxBAM z_^x!$;@XIp z(rj553-UnJ=D8ao@_KuKfPi{Hk_B3EIYx`W0@LyFM9cVxmFO`o^d3(aayTk#`XIIK zFsM(xV0aTtrR+mdIFc4oW;21n?=$ciVx~&Q@zgJ$_%MpuuX~3g*14yz1PSz5*?q>QdqyruK%hz4+S7xecs9hg-l3C|JuX;c0p{Fd8 z@2J4=jl8Y(aSH5s>eG9((Fb!ZS95vl{krov>EQ~&@wW5z_THX?DwUJf_OD=UkAcu{ zr<0#Y{V{B58|wYpLBkxb^_!Q2?W7jQGG{+Y- zQ{177oXg7-~dR5wEcJD)sasBG?Q4{XO2O zu@vMK#$!!|OZ9r*`c0>HncSlv>84iiwGOlVdO9|#0uBYyHaut}-LMoZKFZifS{FNY zSvO7-D{c-wqa8l6(il41-xsu`>iug5cWCGsCKATGiN&z$E^wv5ulM^>N84J9_f1Ah zV#{Zj|LbXG{(!jqvg=O?(EHVFqFHQ{@e6bQy^h0=5kNs(H(Nej^?F1x&T8GZT(!QA z?(k%c5f9}0@kN&TIU1pUx!$w>I^0V_Vu2Dbl|B$5 zQ|Jvr8$$-ni4`pXuwPYmy->#Z1B+FuD&(HGekD8&+_1u-Tt9O?q`+)`<&l;Dyir6N?#AnUpVpdlmh|92U?CRwz97m$ zIao}w+}7|v^Y9z5u%s$yKy^i8At#7|rL%TIZE+I?Z}uja>&?&V2Tn@JN4W~4r!kxM ze(4RxfFIl*PgJJ};|EXI+jwY#r`BqkCBLd98cQ){5qK*N*z2Q7Ur;xzFG@6vyKDJD6s^>b z*jnKHh8NcxCsEsNw#^e&sOgCI$r8Mob-g|(JNL;%zJwd)o365N&A^l6qBp*8kT@zE ztT!!wTya4G0fx24%Bxb|c%TT=!`&=QnZeyG5^-t0(dTWS@B1mv=mC#t?x&eZdgL7V zMbp!zQ*w~nH{7_kl1A0>{)^-S7^Py3Xppk=s`e^4Aa0Xc?pyV?Happn_sZt2whJwp z<1wF&Rd$?w_HzeKXXg@wf=lo%O4y+K;`h6xpa>Gyyh{M{Xg@9FL_9eXyn{5aFjKh0 zomy9y_RFT<>d&rj^q6k4({iwI-D-d2-wUh0@4qRScW7E={8V6;l^hb4 z>q^*f?CU-+Oa@W1WQ%-mt1A9{^d7QBkt*Fx+{4Yp*QQSy%b@i~3<5)xPKds*T=*2> zlIKEJ{1}n7C8kpu?76-zH0wh~T;Ct}xukk~=X;`kA3a}#$N7=3%*$GaW7D(Wcu%vs zBG$1q02cWIzjpkii{UyAjNI-l*CJ{P2!F0`{hS|Sy|*CB%)N3?S!`3x@2Zrq_`w8W z@*xBVdPj_8dWVA%PcjPEtJY(t%c>&lR&<&v_22S9e&q0#L{)wEA)NyQsYnQsk(kUs zUg*6`fV;YDaiS|#E*yJB)0%}w9H$bGjo>rZ=g~e1S)&0g4$ix}Y386kliBuaW%Ebj z7g3p>z~)KAVUcu_HG|>Og(_(`24u?3!5=QzpdaD4?Dlmyny5_YOr+;|x0*bDfI`|$ z|32@tzPp_M?RnNYzqi+f!+JkJ%KbdHd{}luCgYwfTiw~Tc8Au*lgLvmSrxtFdNIn7 zwaGKx@p2}U$!Xm^S&i%Jst=j}AKm5^HAZ1EM0)0@^BjC7!kD+$GAX@bz|H z-MowXwB=zN0RG5PM1+iwK{et6RTckxl##T9;7zbH-o%Ii%rTk(g~xAjCYkt(ire?F zxA*|UD>_-UDYg*M)o2oULwHv5jg4?<0M6QS!|92c;&d?qf7_D}R~W?78F(O4BxV)u zV9n~qNyL-*7-!jDd=01N&QoDEtH=|cFc6#TK3u0BSOz;Xyi}fu%1mD&QwgL}!#(r;%d82dZHF*IkVY ze==Ohm_G!US>OI$W9}?svSHUz(7N_da%Jb4F?!`0Vqic3@M4HPODbF9tj^9XOFmQx zN*YQ0rcpMz1RaG0J_a{n34R<5F^k*XC|UkmA%hWGK1$`dk$51)z+eladQi33kK00c zx7#0ViS(NT`8Sj&0*!&RC_Ff1<~_SCSGb*=%!Tgv8=Cr<_xmN8`my7kVG#eGVpw2a z80%oWK`-V*=3+LpF03N3pJ0@_wDjun{a~`L&+VbATEtSNMu5bOL{p$>E4X4F<%U&Z(RcchcB>oXrP9U6=!E)V>(?n`y`qx;4|VY_ zN6wfl0E^trm{&iw3g_&tkllQ|Vj50cYRtlJ)hel67nq0@-O8(icFA#Rq&Du3?sDn|M&@wxY}W&>ge<{$m)Ra zjyKjb?sfDT`2JiH{u~D73iK{~hGgTvfXW?)MD_I*K^j?kO#K{Z2<&D4;>-;2@4+H) zOYCd*>s-L~MOaUZ_5D*Y^mI1hVsXsDJaxK^f)&;&l zjZ&VaCy^#zd|p8aD9k>J3*;4aGZ;B9gnI5o=5pUaWez>e#^DMwmE><`N(AT2jz63L z&djc`9;Is}6cCjbTw0JhN(y91CaXvfI+E?+=?n3Es)B+^^bqju{$9OvDCIZ8ZxY2k zKy@Ms(hTHA58qt<97`&h-KlrH+-q=@*&?Uk4r!@r`icF|yq?vvs1`-m=SjJUgp73w z0_nEhzo$3xMVEA4s5hjW=9(g{jUcCWKp9QF-ag@Y$rUZ;+YXDrzqsyrzTZ_|e~N@g zE3BF+V`5@b66|$+)VklbmK^5rEULvzzwKl{2i*Xn8_nTTg$K)W-WNCRP{piy!6YP9 zjR+SbW)y|iqe6EEW6;cCwWKc4d!~j(RKsk@A@6~O9Az)Ko(l-YAt?KC(^)u(lKvhY zX8f7zg-U24vl$;;(Pcq4RYDt#wy*i+7LL!$+o-4mXeeO%H+}^>s%${D|{g?Ft5SQ~7-MRR5 zu)`#(IKR0Ml{=N5P3ayHjha_daVFUmx!~ev3$CupLhj5gTURJAI(&gRY3Jy6{sSn( z$fHyiSF6w(1@G?YpRQE2p)zm+&th^G)|AAzHjsg^;L~;yXzIJYgrBPgSlhB}7{y*h zlL^8|b~+3x1c4_xkN~fjOs$J{x@SpGs}UIxMi=Qf8@t=!6B`8+iSj~Jls&39HhA86 zq0Qjhqc0;GhvT#_iT`645!V4r`LQhZ1my@xktPz`g}QHo_|_;N4A_kO8?Oo4r^r7* zh6nJV-WR1)spFHlqgtxqhE)u=_B06mp;@NYO{TWP&O0922_L*aPPEEkFb<3Kd{^tu z*1{8RUZFYY5sJrd&zA#mK@IoEth&C41-s0@9Kk@-#yARWDsPAwi{)^DC5R)kwkJXP z+qV-gRA_9OT&I}hdI5@Yeyfdh5Pt74b4&F4*}Id=!EE_y?>mJScjPkeOU`7BNhFW{`_5#OHJ9|0*=JalG4 z-bb(rN_sjFr8qVZWx_*+SW|r)#~k5-pJ(LQZdL7Sx=CY}t3H2f!K)N&OwD5;5_55+ zz$j;_Hl67g4XI{Cs)mt-I3vR=z*eN-L>x(0lVjtAsCPoyxsb4Q2HR}mo~36&T0(>; zGy`~MZ1u^l8lAL^XvFk1YIasb7$i}F?Ck8!vfb)y)Jq6rJ3?-VEFHxwVol0%j!c+F zfiNyas1(28m_?qqeSop)RQwr2x)~bssUW+^6VN54syen5onDXc2nke+ps>*R?}ee_ zgn?~&?k#luoubm;PYeDW zx6bE_xbJYFzm=@p5~H1xs?!v{+U7;1Gvf+ioTI!s3E75Vdi6O1EwM^TkTrGc<3c zG_*|6Q`}DY{KF)wTXc{4=BP)jY)h7%F7f7CU1$4L%-wjU!-X_KVj>=D3~RiQ@o~95 z#S7hS#Vq~Yx3po3HLtNkBmQb}j)X;q;S{5Jq9L5Byt{z1z1@27VWI0kp`i>^M16Tj zStYELXE_iyo&azMvheTF+ge67kHVrYfu$B-E1KZn$K3Y|p$18L0(hp#s~?_FniJq6 z{%hR2X$Kt#Nm}|U`~D>S1cT5*u@GVH>>)}nCEyw1FTKChib^2u-DtaB^2q(x1_eSb zpHxbIb%8f)DI`*nhU)NiXDNTR5qV0eEyTu*c@on4N(*727x|VA5Q>Vk3}#MbMHG%G z^T4NLrHaM=c2LTYJ|EO5_3`+LFe2nhY&gLzOs4A>UO=+Hh>`m`Ph~xLi($(FEgP-= zYPZ!*aDl;dT9%^5MP*rQ&~RIc$q!3**~!xWFou%tWHbJVr(Nm_kFAl?WTPPE@`GUs zvrtWwQ8MLJ0!tO_G{?|k=46^9!Fk-^tP@$mgv19ygGCHlDW+j0S8^~C-oG~{E3M94 z&@acBAr%%`Aq4IG@&3)6H-LPIwX%*e3<(dpJ5l})wX|@g>TQtbRZ0);ZeJD7;b&TUX{30s`{~jk56Wkyh<$CiO zD+?FUa8*=Q8R4jFYK2;Bz*$7?X?q}dfy2!xE6bSNkJA&#H_a^G{**4~kA@Y4-~W0i zQoP|b4$jV5zfmL%s6qcJp{2;-fX)0n$QKBZifzt{fQbaJZj>2zR}^DBdmbVqOPwKa zM7anu84=YyFsZVVj0hX21(O=4EH5i`hR7IpW}LvBCx-Hv>yepIC52t_Bnu*~wxWkB zzO4G8!@#q%^3dLU{*k+%KitsZ;>0$#wPh8lknG#zg zt-C`{4ZK>+E#V>&gY>X;v#FPO-8Ghw)ujBISclvD^y>fC3HpIG{Q2V5xQUt-z=f~ zpjr5ZP8bQrrnvXXmLg)o&XVb*upb#qp{nha7+eJ5exaU@=O9E;N;!NvuVKJi=cj^k zXds-}%Tc9b?;BCaKoTZzZj!y&#hRTV_j9eWy$(3X1oBvw0{qz$epkqWDWQ+1#l(yx zoayzn?}<$OR!+BzA1(Rt5!cQ$!e2^Vlb)G)Nm6e4+e{2M{*}B`8%RG_qoItzEtz0y z=?hH4X=r^e;oTM_2kZR{c|IMO4SuW_-;o>@UgSvAJew}lbIPeK5)vkQUgm`JW@IZx z+YcFpCztTY2AkV4f^GqzSu#`w<;IsJ2fkP=M`JcvZBjXbs6N680s-pAk3hw@;90aY zf~1QZ>n14LJSiNdrbv~SB!7>iLul=PWC~y?eC}%Z_%wfaHmq)MQA*hAWkqy8+o0%j zI(#(TlWQu)lZnqX>y#KjOtNG!l`*%$V>ZOB4XVbIEvI_U0ZTPz{o`{(VgDk7OC8B< z)07wa%sX<$A@yn2w!ElnDfxYujVE!Mz^tk}S)az@WwMluDrz_|Of5C{tN zR)DYgfqI_~PQ6#-_8leQ&{k_WJoQJ%!g#RcR1$Gl24Re!h1-PUC$4D0*RBU|d=?|H zr9$$1bV*NH4OMCkNYjq{|1kEIVO2&=yPyIB(jn3*CDJY3Aq~>qA<`Yv-AH$rba#hz z3KG%{(hX;Aec$gp*LD7!=LfO(v)8j?*33OK_nI9pu1k)CSAB$d7ZGlZZPAfC-iyM$ zPcDs6=KK^p8X+wSKI}7$HDj;k!7uDN<0s(5xA~3bU5M`=`Yilxfj;87_?F_nl$VZZ z=klMm@V-!q3dUe8Dr zKa+ha`{4{dAp1s=_UJk#BFme{@#w%|2=BP<@sdwSq~&q8*}CO{kV5K8s}2#y@|RgP z_DG5ci&ftgeM>Uk^3}L-w-+P{QEa6i_^ip{;bXyL_{W!Xa`7pJe8o<(7qGT| z9BJh}KMX`a#Hy}iAnKjBg+FH@k5^uiPGlgFrQFS4!+mLT-&AzEz(OC3MOcF15tDlHVgPi`X{^Kg0_9`!P zFH&~2Pe%k#4G*iK^zBV|o$!RCtF2d`t1KV&0=7m}7Ol&*EW_F!9%ERZy9Bl0Bd8l5 zXravSdJ{H7LLO1RT^T}GD~fp!JnL`&P|x2YmP@;=A9^YDIM2bvNjojqtsBd5-A3G< z?Vn_DJ03M0E#wKYBXjFob9#d~-A&}&GV%q#px_$BRly8&`&L$Ka*5O&-pvyXo%01R zSXb+-S2WZ3f?ALDgvKP?o+oBu-SUk4RZ(>O4##+_4 zi4Mu0YcUPJZ^JGxd%sl33%@YCqon^bI)+pY+E6kWirFz$Nl(e%3O3-6g)Lnc0*-i3 z3&xT~k;dt_U=anTK40f9Jv0$W%pLvvQ@7eulcP$Jr`(gGw(}B1~bwQNE)&K%cNp*W*0t!GLM#-LpX%X%b~XV$v}?#iMV# zRiEcOJ6O+6X<%YzKi%4D=gnk=Zyd@qK^a}>tW(R|EJWKjN8R^z;<+{*fbKpW@~eDw zJ!lj1eE1GWn8tHgeKC8(=SAo_3wA@Vh(BLKI?q7o3@V9{q-(|!!xmwA|HDy78{Dx) zs-5Q34eWkI+n!JU#{@D1m%|Y!A>{A(Gt}1lCVkrMmfaUzJt9O0D<y+I?iQ-APXzBnW!T3#v>ym&E%0foixtZ9O@p1gi?)yGmM0{*Ni(s(>D0M?vQn( zu~=v*Z?@^27MZ~5w8AEN;QrME7g+|*UBN)PsXc@3hWPN^c$QF(GcyUvg|r%ZbjAEz zJZG!J$Wdw-4vzLfKp+0xvYwrz)Y= z==OA)7R5^wgGDpY$(E{!2eG#nP}lc3RKJyHnMc%Zg4BP)?j*ddkIWzQ?4+-8rih*2 z4n3}xoYFrwC?`sxhgkIH^uBp<5%_y-20df_qvp}ySnBd61_q-r5#Ka%L{pN&6eU6$71 zh-CTfJIr$4^92@eY>M?4-zJ?MI^mVAbdKtHosD=Q@m?L5#|Lc9J#I#TOv=pGeJM$Q zs`~+2Pz>urc~hTxiKzZgkbx|vGxe&D>(kK&;~X-DR??Sk2SvW*e4!zFHf^Hj<0U;W zkThq!3h?tYtl6L7%e$18v}q`qLjWG?x(iQll1aJ*VIg(2dIO+$tSm7W366{2 z-fSzJW$K01cZ=G>l(0jzxBrw6dxnIcN--*1MF`E+x|o#`v%_qz&Isau2H z%|ro=0?BILAY!|pz&M#wJbVwaE>{H}sst+Zxc_Ty)q^t~{8z7bU=t~^Nb5!E>7tYi zkVxs!a@`3)ysSA{-p0q$h*u#kM%SOkz=LGAAn4oB8BI(dYHzG$cDnoNAcfE^#ivRc zuiL4N@%LG1Ukim}&Qb)PqpUbTAh+M&+^UQd(LX#~D2~0+HsnwDe4J@@+Sgw%GSPY8 zR{JDRnZ|f+3fJkRIuSo>Gy2qBiz4fue^75Az8E&2QB0%dHZpS|TPcpoX)}rF>&a(1 zYhvUXlIY%YmDP{Leb!S}cMr4Bo8jAXademSxp99e+5lK|riF_w#l5lIY1@VZo(ppk zj4!CpaG^n#+!zeWrWkv0X~M5=d%|$wO-T5B-%jE?fBX6z?pp(;Cqq+#s}Hk-1=V%w zcS;mm%`d5UWMAdGTz5RPEfKzEmm_=`zeekcMIfmCkeu@xF*Dumh;!~(G`0TyO|Dom z@_@LpSv=JsNt6KPjX4ALjESm*B0=DEZ*{!BOz6&cg*s$X>nT;5YPx^*yz2g$mBwd=+{YEc~6x?|eHQr`B=3W_gdDed*dn(soC2 z`f>U~tLGbqb(^9|l@Qyy4+_OD146_X;=9w7!u844zubx9?f;< z@2(S~qQV@(T(Z4hv7W}rn3R*2v|m%sxm`_H6GFX5|xEh>^H>F zSLo<|c6P>XtkiKr`y-d?O@pW5n_TS=u6?0S@0sQr4ZR74J1D=t#lmh(#1&HJRAXIY zpcS&OmdxCdxonh z=9IMqwvHuMQet9I8ktRF_gbZk3*aj$rPjHvcKQG8P8~ak75X(EVN+RkW||bz%BZUl0z#WG6nBR$u31=PiDemF?$&$#+U%s6jeh?CY(P2$_e` zH9;8ZbEkn~DPs^x)OY<)L&NW(^bpjl*4OXYS4Lc<99xb$N1XPrc#j^q*7HvGFd14q$KUg(afXFz-a>nSt*rEz znmW$o6?SmG8{e8!80%Q|U%C&y7-wI`alIIEM}`SI6GM=r%xA}0fGMoLvx)ZNgF+RM zq`qLL#2xiGt@rY9v{=ok&-jq~Lp?l>Ea+QkU+87%+c1@b(~72-$XmSEKVEyatG7E< zpZwOo+>m#bSSwjWT(?;Nv5s|;-8C1yixlAa=&;57-nEbj>IIG2M+n`#f*Ha+?|`m> zaLT*>ve5y<7EsL@{+2@fzy9X`M?RN^5ZO`xQqW)irLa>K)P^V+RWLPVwX|KHE7G9k z>w{aoOJ_`ZJg?8EEQd`-ME4dte*SFR-MyN`75X>+Np%R7{bD}JzwuWQB3f=7c*o@- zfZp28!Yt+)l%dA5dAm(^?RNc0M$6g8Yp;i~?d=2&>!-F>3nsi98a&7I=nme66zisTp+RGCM+#qqVW zt6p>?3(5oauhZ5VQ7CVtDu&+R>iE6BnwL-mZ9M5#PZJ0TrpLD*X>h^&ZVG+kRXv1vQBlqD3Ho$Ks2Bx3YM=o!?vqxaP1IydEB+a~kh2#Qdlyj7XXWfGa#*e<6; z$jHcwu2MN{*1*Y0I21x@se_gFr^!621bUt2RyU`irD!s-?A#!wQ!dxKZ=n3&>`avv zpY+mhOA{^DGbmK4E#;Sru&}U?_rvqmChPsvD7fLG9}Ly7QOttX%j%qtm(#eN`iK-- zMxmgpR_7GwxKc!?LZG3#;s9C2a;fP8^!mj8`p>F)ZSrmBOvxv&ik*d83$x&fi3!?I z-&6U#+LUOp%9)|Od-ucP6r8F)ABn?)9Ze~l9u^2_t|P<4)8@4UiVA!KRR_Q~XaBso zW6xEzBe~i60;;9wBgK9I7he5as7%n)mhL#oJlp&06X(R_cjMLOtD-0m>u7?+gK~_U~O>Qb_-$uyvtQ% zhR4z2WA9mu=lR~ukFG9KUf##qG7Z7RwarZZ61K5ZPWL24h9kX{tsZn znHpGHO4FpKrW%hV*Sg<8Qts;%=qEt2+u;)s*z_TB&}-CL0%l2~Lw0$2xr?b!bj|fW zSe4x0ySu>;ywxUSqKW$Y`aYjd42O&Ip3G*7vA#DnHN}Yks!+FB}hK zgGW->#ma`h(P@Yq_D2xZ)zvv;Rl=;HXF#Kx1MlLxb*xv~ z!s~-VL&>m0U(<^kR)1OTA`^=c78WjqeZRBVc}B$RE?%zK?)e}Th^%*O2?$k+3JO6l zPmtDd6!;bFuK(!aD<~>5q6J{K0rnfCL7(MfgUwJJb?$p&VyGWsQAi_c+zCoGW>dz1 z%$wLPh@ct>*4<#UIVdS5WxWKCK^Lm1!R_jOn;#h31a~K7Tn0Sm8aite{Q4H8jnVJh zuZ7bS=@JHaKPDy3Uf5gDEEY-l-l|9pqO7AIX}3lHSrd7qoF@f$)$~^M9AOYe0po}~ zHmI)dTXl{Hcl{P!3adwQw8PD9nZ-%4NH2vFH)P)M^E36I(R!lg5YrRGU>0OPK2ubR z(+k0&;jKV-20ZM+!9lPsdLo@iN7J~`81GMi(Bl~Sgv3`>RRNA=CTy-+wehcd>*ML7 zSccy!STvfbYr}+iT!-f9d zd@P;kjuJ0NHkIRRDJ3^IUP(k03kj_NowTXxPL5b)@vzgMRd6=qc%{AF<;-|7GDR#L z*IywG>@ycPw+v3Z0Q0{B!29_fJOMfDG==hOg-xML70fnbq^xm=D5uC?y8`vZl$el| z;H!ME>e3-q!(Jz50$eZt@(R7XbQoG6aV;K<^{C9e!zGQF5TCBKO6DyQtp?FrRES{d zAuyqxSM>Dttr0y9F~Go(X*JoKjb}ppz!xKEi?oWWtK*IaDdDnz#>c?$y`$G`@YY8i zE-x!ABqmjIxxT#x&d_gTP0UkVWiXgNq(Vb^?VFQ+qDUgX2to9?sNq!3*k#m9u5|{R zHDNz$oP2J;k^%=FZkuarYbO?b$zhCG3!4Q5LaIt(tt&q-aoISb9EruC6B6&cpk!uHyl z4<~hRZ!g%-uVXL;JUw{>3VuIzC3wq_7BfP0!_-uMrl+{Vj%m!nx(@9#G8*6Vfw%a@ z2h}BO^LDo7^hq=FDJxa#cj^uoH~Q+oZ_A$EFpaLX_E$tzkOerr+8RsF zEiW(7{!>y;7N5;yvN#OWhG87~bnw$IaoU6D29cZ^9N>eCNar-VL~ogM`Z0Hf^I_Fl z*q-3|!%V0NqP2V|!`}_3JM<1VA=A+Sh<@&~`4A?Ptm4e(z-f3rNqKpBW8=3){AZ&# zXWKUXtpV3&PDhI*Xf52h{(G}!q2GyI%jHB!<0TOh5VR%Tp}xxN>t{FUipk2N_{#UJ zi~*g;xbk`h>NcRT5Av*1Sw%WUkD>Qjm2fma40Ge6D6UY-d-O~Z=?Lgt%WTMCvxjpV zHeCKhR4leU+q^g*KJcgYQ6S_oo0{xx7Bf-!>L)@o^Zp%;fEt2X2ft2x`&>WAi+4Ai ztD_#97gbfKgO4jFM%yeEmBMtdW@sRD9Z2m9i`2#U2yD3W4{4}*gtaio!v*+Xjx1ku ze=8%g?nI+uuSFR%RA66FbFi3A`Yo3PAmOcfFH?k7P@pL#M{%w03w}f}?zdMCL}L(- z@Y}=sw}^KwdEfk~-U(a^PVc%Mq?i7NQF}8t8f_#4mf1xzBSp0Wb-rYU3&nhTJ)Rbff5?X_2lVp@RUvSvQr4ZZY4k4QMWEfLA z35yi!L`f+uviF%TW`Qp6OEaiJ!3%mbWD%mB&>|!~1mn)%-U4;GZ(g~QkZ(z#z@1MA`kE!_K%DqYiDK~s-+*Bnph3*onCi^xB9S4Rs<8zQQ+5h+$!S;!Vkxa4MkcV|=C{{2>mt@PdS7iVQzIW-r5)HYR( zWW~Q72NQ0Nh=PKGUu-7cDJ2LkHIfGf0JZQ$ptDA`9G30PsgdiiD5sZGssMOtON#c8 z3=GCnPQ?4ZX??i6qI5_spmqOR3@~^=MZQQVc82FIb&*g#bDgk(H4Cy5Gek;^b7@^P z>JNEQJ>OsAB0nmM^@{|QsWJU>@}3KbKU*6+vN&F5+M0AAm24`<&d;O>BxiA#BYN?^ zn{veA@_u-^wLIZCPSM05*fcltYMa+X!apku+6?NsW+9s{SZ5Atv{^y}YZ-U<55ZJU zx0xSa5U>NZouB-5h7Dk67B)7OODtggmiznRwplG^btkI!l+-72^0y~xQ@NdxBSp}L zy8IEBmX;)-EfPPKYg_5*k$gS_aIRBtZ@TC?>?=9#`kETecF$H|HHv zVJq6AZos&@+Mh$odNnJrI0EU-&uVl|Ghz+jUqeGb3Wl|9|NMLt7nnH9`iAkR+hLV8 z?A3noCa>M=G8+dQ%s^_BY)H?Sab0Vm|#csdsm(030QpQ1KO@qq^k) z=fy4$e@XNfDHcQ>5Kj+`j4ahzX)}fa3%}rnVj?RIdK(S=AMHll-l3trNWRC8SFc(4Ma0B%o?b@sIR7CIegOlsdI%0u zp3pdw94|Gqv$3%;Gh>jTUDCR_xtSOm%Ke=XRnZd*bJ;MgIpz>?3>;`~o7wEIIiSR6 ztJ&4p^(XD>8;p$LCAe_wz43vXbP02H(c#|x+%=g~-|+TBq-C_rNpr({=M7;@t)SCo-FfKSeCL(B|}gfO3-THm%qvF z%?%0u$>}K*3k!L+kIyq{You4N-qF)jVu28DcfV+gpkVgr2miqQ#;2fr4pe3&o~Ge>{LRg_6+*i{l=Z$9wuN_w>-ax1l7a$EQtgR#$?0*11XfA92%P{W8?e zL)-4%o5l6n~P_3!!r;)t*W9=ZuUwb zB<}GkE0c_dCIpl}g3cP*4&SOE7R_Rh&gVb=3yYD-hx+PgaCb=O?LxKn4aiR_;(u50 z$%}f0#tP+>Q$~_D-fw?wE|yk!H~g_WV>3p){4+sx?(Z+$)FLh?JHdt2If43jRke8{ z>Y~_1XOA0XT|AUI<_r>g5D79yfCv%}VtB$}uq&4-XOfio(hDa#EBGbxgnp9&3I7PC zh1_U@R^+}kzZZ0@%w^5ny4Q8%54p%`z3XDgA)HM)Lfq^pQqOPg`$>41?~Bn zF(b6UkF3t|oA8Nwwe4&8=69qY2Y3sl&^MPW7PPcRFZP0^ zuOfMSraU#;Ur2f7E-d8gW|lu44xi9z;zxzOyK}M7lds(4|CXjF_Qhi>7Y#!UsSiUO zy2R(PdKb>aqxEOWNpPWIkvyb3D49lod)4Mfi~K5TpjlB&DP}JRSx)jn^_9YkEII|cO;s=wrQ`U;1XjC==->Ss}Q0TUz*jrv$879zrE2%{=u#j5!#++IM=c8 zy^R&Emtv9NYV(I8{+{_-$=JiW-vqu;@*aNIr{ zT#?4#WY(J|e!;S3eBpN*y8#!OP`VtpOUV&h4@0M3%gD`cog2wMq|Lx5;w&@mUt)*- zeYA5MB0D(NXL?WWDJT#DqTxk|V%?P~CnK?CiT#-@ry1~Jn=^P9|4-}&-**d3zwq8q z){A|F`mT866;tEid1=%n)A`zC&xzYBf>?Cb$6g@u%1%`u@kxseoa$U2@p1LvMy_AG z%^f8T`>A1d2eHEVk51a|kWX{<&v8!d%7w^mmOu&mD;1DGe4yFQlt~k(pbRVbRiY^Q znn}@}@AIaDt~%*>|A1?o_o@-@7ms7)-3;CP%TkGiE!{g817f?_IH-5s2 zK8e`%T-1LYlMNoqyACoAMt+c!e; zt2W0Vg!%HxAz+W~`O_8MSz7DhBT3XUYd%)#~hsr3IG1N<*IV zr!*bE>D)O0~6OPj=_%G7>fuC>p?;#NeOA)qiH^=<*tT>UzrsE%d1*ZsUGMlkeAA z`-EP?kKh6D2K|8R$G6hdD9IjGD975zhgaZ%dW~K*6esV9Fw%La<{N1ab_ng#hMg|0 zUaef_Z3j}LA;S4vr&}a|-s~JrlvHX*(aGsaNN7z>s%QiWlqKfNSDs+&gRwwCNvAq& z=f02@2rOQ}`n8Vd=#kIjJ4Ez<@54|>DEkx`+b_LkSN<47>Ka8@5U3vY?>&xq zDseLf`Zf>YGTJhPT^rXzLaWYyZ~cCu8H4tK?zH_iEyor$7)O zS8B)G(WWIHfm|e0JeAu2yapvB;7B^~AX) z)Sy2C9C)7vq2&GPB7>QKOZG?Av|&5ljrZ|-9To}qx~yEI{wSC-U0hbS-h90$7^5lu zgG*II!_Q(#_abo8+sMdBjS7-#fbt%~#PX0%<8qkD7Lil{2OOU+=5%Be8CJVd_=ZPE z*_daF->Fq?H0^({HeZkbq}>P(6hiV?a&o%Lo6%x~H{kdyk^3J3L>owJP;yC3_B(P8 z=c&9N>PtMgz3f@0AQlbKjDvD|48Z#-DHb#QdU~tH$|a?H|7M9sk;Tyv1QFE}fhP?q z24T)qXE{Gv@`>Jv3im|`z3R&nt>(Ia_jbjBsCjWSB{TCGsQ6ILJl|+%lELf2!e#^_ z-CH@}G&F9t?cHI$+b3{bwD>5cqJrT##M64FIG!olY1zeOx=?2k$?|Ef3qYO-P7p{hsS2QGwR>E9>L9fyOZXe;goLWW0amVr1Q9)F9HttsHB$N2E_blpmZCMR~cq|WwXK2J)^>_p_01xGZmD@)8aVday7#fg1ZxFU z^r$tTnG^#O`ZYe8(I5ap0#mgRN0@TG<)jbqZ5~K$%(Z#A^)jbz5U@t}x4_i*447n|kJ-}&TizFP5`rYE4diE5o?(v2MWeuCpRjZwy?Vj+30LBN`c%e}rt z7)fH@&hUDa&kJ4|ek~u6Z7BTdcu1D-A@_*nir;iZf$_hSqhl73CIW&TEVMn=C(CZf z4079}xs zl2fxSazw+GI&2SqGICSaJHDl%8M^=1d>5v*SXfsC2%+Al{mx13PRTl0s4dC1qURzN zJCn4}k9-zq_d0&3c)liC$KtC(;#!2aJgHrT3NcdK1)?Gi>dJqcfuRavQ1YKBR;H~d z?Uph@e|orD;f0~b$~lWWAoM@4<~ z?e|q_{voE*a|Y0V)hJNk#a-9yk$mKe z(N{iWS^Z0KA5bosy_zULqPXSH4`DseJ>UgXeX9Wq?+ z3vZe&Rhzmi!glysaT?(ZhoatC>txV%-@~kvYNko!z3KYsKL4O7K2h|+Chohnri(>4;Y4I-(M{*b|o*G{(bQnv9ygE zs3;{VDJdfpu@+$T;~N2RrNLQuo%+vdeYJaIzyN;u)A4+Wx^$6=nR$CSDaRjJ4fBvg z$_)2Y;A4ZqD0?~X&wfM!EzFLhY16D$!fXRv z*v=ubcQjb*{Q6z527!rX zT(|&+8h_$TEHDfxcx=)XG{rn8v+;$V!e*hxQug9@bKkYFymnrJW7)8^W>fk1-S$}d zrCQA@in{h3@?hK46#f>KkB%YGqFq6WkBS+2T} z0DY)svTWx85Fr);s*f6l;s%u#|7{rxY#(Gref7mXXVNlPD(d&cOO><5|EvmFv{IiC z*$uTXFTDO=^z^T1#M0C>A3k@Y-H-fhjzdKN0LB~6<}%!IwwgC3Akz)G^g=K zXW{+dFXIB#irz9=&Y0H9p{DvyIzn63e|tv{P|q0Cth{@vUfrdx#+f4^Re8Qo;2~TEQs9 zD#emQkPlzuRnE=c_lHCz&m|)bvdc(| z?|AJ^Q+Hv4#&n^;xGO-8ncaGpqfVRd7fTnr1logHis)_*_6T06RugEeQ2K_??dlnx zGAK}Re=efI?N91KC8x505F%dmQe@Urlb>Dgwc7MWD>}u%?K62zx*;i&_wbS*$HRqL z(O4~11=y|y$?*_QzmXPFsbqTB=vLPK6eN1$*(JyLfoXhhOT_%(8N<@uy6%;Km65!$ z@xqos{neoH7r@(Ha+%TjPwWsiwcCjJhT|#PuZ9-~{Jh$91DWZ)> zLbZ0RH1KzDgIEFYGGFFclxC4ST|riTpJu$9{N#A_eA>ZXyCUYX{IE`*79Arx86mm> zImC+`25WV|JG)+-`fUE@_Du-&(j8y@+Cwc_b-e`Uy5;BUdv&llvDB&3tA+@aiX2I# z0?+0M8jXZSmYjmx$_cF6OBor_liMW1^djGtViWXYLR?btW4wO+UiJ#?&Z$A;=fwJMamUW5SO?(YDD ze=DM}WadFzU6=U7Gh~yxvDh+@c}yZ-ir=h0JQ2{XeBv}5=;=uNSfZkIxb$H)<|Fk9 zQlIk<)f*&83C*=$W$;jntkr;)Nu*K92DJt5RsRH)JJ36`tU`T0cX?v?6wZQ1;tbk#O zzyhNq1{2f&9si>rdnUye#{DyvOeFS@O-p2-m%)c6obiv1m^Yn6YU8w)jjTDmV$2Vj}~vj*_RtG7c4|_OG~9Aqm-1CG(9e6fP?m9 zY|Lxb|8)c*55xe<|EIT5y>TF&X+e=!SqULTsB=ZD0KjDruvJKCXn{=HEhuz$2%t2G z0qgTY41+&^H+20a$34sparkqWLo!l}WNxDxeZT1DD!r#XcT z04J^1l(ZedD?aHIHmeSAXh7U>2lWVm-=`NA9Rd72eaLrsVk*{XX6HDz0ph`&j+d5C zO-&7`)kfg7Uu%*?%g{os&Pd+P>*KDJ&LXL0ci$bYXj5`ni@N$KLtof zDhecu_OGVdulYzB8EyXj?u0|e|Jm$R&H=y&L@vN%K7%4{XfC^X3CSrbc|5>CrL$Y7 z*I*G5J^eI@H0xHU`|{%b?92=gVA24t3Gx|~l&0pQ5DL%vw4&^TZS?J}D?wh1=|r|7 z_Jq1DV2kvJ<6Vugub7r=TJ2_+X|p6KDJYPl0iC7Ky9(!6>V?Wkl;G?Yc@TNy%y)pw zGv&@@=qKzm-zZi`sffx%g_|T_YD$|A#3;9*AanpgC<;s9Z~-$s3p`eOQZVmRTea#) zjTf^TW8B9d((b0(y`DhiJg~IDlv7kuq<+aUC1?j4LyP?FThXU^z+?arS{rX=*=~%- zRYhcBZ=rS{RLk->>3zLl2I?`EwFDVJWDW=o|FD=HmwFd!^y#TB{4Y?i=-e+Tz=q|q z+I)uQDH@xn(00jTZWsRbVQcn9#N?y`CmD}=_QmTo3>FaMN8!7#C@PW=J};D#m!J4S z-(G5^X^*C*xsc55^j#ZprzwF+*8mkck)QwD7f`*JW)1-Q!jExor!pJ#y+U(Y3#Q}+ zg&jH^tWvVqW5G~M47^zyb86AOJaV-bP7DD>i8Pz<(|64`4d0&zfya;%8=FI?ZXYen zd$SSuCmIa4Y$Eec>1{_tt#8%ZQt(Nnu{{qJ)KtAT|Mmd#f zL|*rmAX8-WJ|Cn$%*HT1wgKaYJcuxMqG%Z8MG)WT10J2=A~47hB@5(pfmcP{3{U-u ze=Q2cN!C#=Ud*vRn=>#lsNJdpc#xSsJ1adP02V%b?qWQI&2B=ZhCM_WNOsW8i^Pga ziV1?VO2V1SHZfsw{ERIF9>Fe_jG$Mes*$)NI+#C(=BiJ3vPriL9=;tyvxHD%VPW;* z&}je<{|;c|1crNX1Oh!^y+2YzO}Je_7p)v9h(r|7uU9$(o)mkuUQL~&{{B2HSmwxcJ0(PBmC^ZoCHWfnv5$?4&%=Q{17$iGnq1!S3whA`>OcM8`* zVo*xM_1q#)DTsH9xIiNk%0!wy6;Yc`T2KHJ z2MKKfMp^9Jk4=!GlknFNq)wV`m+)W(fKDca&I&ZA5KD26i2@+yDQjwikWtA6+LKFE zX=!P8b~cc0Zc55+u#H+kZOxRFl!qm~3-TX-R=bazk9pGu&VUd5Ek521Cby#EOP3!! z`-;1rgTqBCr(GChyCoH^)viL*K%sn&y+_#u@K9+1QEy5FcnrCp`XY(Sa}q9)CP_da zAeNX|hBS1Rw`Lhqxi3Y1ci4aj-4>|BJqe7b%r$Tdaqrzm$1{kEHFyVzTxlO%PJK~$ z8$cvMCXLH%4hwC9G)S8>Pj6^%ro>?$BtG{FOyReH2E>d8;29))KTZj`il7u+|5`!D zVdz+KQbGA~eOK%Zp4{VJ+Nat=bcRNzSAj}m!@OnCt%?tKHSiD?aKTe`xudDiBe>Rg zsn%{}`O~IcHY9j9SZcOAG2$?~o5+yox9G6g8-p4q0N`C8k}@(f<|XlRN;T?bs@VYF z?-w8~QXlJ7=yn41$4Z)eOixAi2Sw!Zd`h;Ksmg9!#>`^5#RZ^L*HMvmL3Ky?cU3@% zgUs;$7&stt`-4i|YJyf>W8zu(f2PShF*2bH@aKM3=&4`qOcg}d$<0^jbth3`Bz*g3 zP9w(MYtAD=OASo0X}wq3qz3!4b54!PRQ|_>aFNh=O+i~8ER6O|MT1 zl);2D@(;=T6U8B_il06e6zKMJL)0-@=NuU$*qj6Pul0GOS*iP0ea~kLZk!noe%}*v z63JFD%5HdKlbv6Re2M4_szMb!ch!EoK`3H8OnLo1*za#yZU{>HYv%sqkiS}mf0*(M zPqsdUO>c04g%W$N_5ao5G@+|ez&5aSJWLNQ3)qnvjMM)Xk`N{ z+kmTmm!L|ozphM;^ckZGrR)Z=Ebq+t+)}VUwSkx9kM4IL-`nwp7;))B@G9#6Jb^0z z3ll{UQURf*<%wx`4x&@!2iv{Q{I>L2SrmUSrrujM^pwYlmSyj6&&ih-1@98!9k^~{0`~nH|0}u!SIg)UGDM!@N$UWlIzbD=hrrqCFV(yCno2Nus zZyUZXjGp+d{MCAtSC7QBc$IEfCi2>4472Towb0#lu2v>@+V+i%mm=a}+pbl~U(gf! zYH>o*BK->l`M6LX>6+)gvHw?9{#SVPC8Y&z&D~?@c_&_%)1!On^Rc8AS`?ShWPC(& z2uG^b4+H)cu)n1;A(fs|(gTc05&iB9ji~ypKNvGie|X~rwiE6W*3EeI;W(MB`0?2c zc1kd2O-YQR%vV;3$yjDgxnbTmRq||MP@)yb9&73te|9b2FiORbnbK4mkIK+WL8Yt{ zG^mbKCNI4&oytEA;}LVkFN<#~ww9e$4o!gmujM*1+H~M#&uJ*!T1NZ0Mr_)+cZ!Hh z-_EZ-)84@4c{J3hHj%8Qp9m`XbA|TNtGUW` zvCFP5SM&QtTx@?y9vNg|;oFX!hbc=d1=#xCNtvJPi;oK<5C+(+GYb|-ElRcd$*gc- zk)T~|WB4zV!EgN}Y$QB`7i9$d%C3*F{dn)~32ZKTGRo6Lg{ksX*k5ES&-ki{!^7iIfq&rjAHyz-aIa4j}%Ry<+5op`TFQ9D=^e!(}W2KRR`5)y+#fm%2He3;s2{heP!iOS|% z-jZ2#-%bVu+;)h7d(bmBe*EB|PKR5{4|(9lgFsn*Ug*A`1ZVJ%ra!8!ONl(#*@j^i z`i6o%uGX>MChH5n!a-;ibu34$i8)P>_ZLD89C}@ymnW}e*+sxU)==T3B5J%9aIsHw zc}xL{)EP(z=|*UMjT7fKp8cXQ5K)uu2IDrSvwdJsK44-c z#wZurIzmJIMSf#r6`AAoU9-We&wE8;&uS_Z*jOK~Xl-3h_J0)@(|}VHy>Y@io{nYC zgs0Vb>ljm;_7Dv5IJSJ#p`wb_)Hgij8$`*Buw>$qN`LvB8 zJpB5B!d5f#X~sinL)%pNw4AA}v-qIhL6Z_!i?3(pc6&^VK?C`UBMmCh4cp_ah8alNOcUwPXDc3zmT z1Knge-Ofhgh{_UgI7&-fI|qf~5lQ^mhy+0sa?&I{iTDF>giq0IITF%uL~tfNkqi{R zTadefuW|816=d{Bh1|!M;at$cMkGJ70?&Xqa2Jl5IIfQ{E_|BDLteUvR?OV1llVu6 zipgnVzx>eaw&o4i>2h_1QvA@|B_FHP5VV0`8a09qbIyxIBurN_OA=@%4b(q)FpOJ% zL}qb0!GZ=}$tVGk2i4r5I_9fpOUR6HsE56nw7JJZ5`($eMj**g<-8b=9lOdU*u^{6g-@-8P`ROiP8J$5b`hhLP={y5z<4IH-Y4$AvWA93t?`y7sOzBvUkHYO_ z%cO?J2;V-?w4V;A9j+&6w){D_woGWdKqrXT>ywS@X?lE3)PD7qPm9H(+@{T;Wj(R> zW~Uc3ryA)th4)WsB|qM(Q6o`kY&qVIFZ*e|{%W|=3`4(>JQyLEqFzkFFnKYD7dWH_ zUuo_vD0T-Kp}7=W4AE3MF_Nfd1P;Tf17(t)wseTzxNmlJxFCKT+&=Iyo$H`=D^I)| zlYq&ha{jq#tk>bpB*MyI@h*y%GO#bQY>6jssr(gfIa=qMTSN6!gn?(?#SR zIWIPF{1cfRpPQbzoZBhuuILBZaJEHS8I*>%Sia-5-%NyxJXAfdL{r5kysx^U&;Pgm zh`L5GXYlm{5ujcm`p1j1ic`Vz#V|38yfIzlx5`6<&VWJShuZh_f74I3ras-+5|Bpi z7d1ip@6-ASS&5{iqFI_$3WC#zBtxbe6H3^dUyPP?4V1qGFH`02Ix>pp#Z!6kbHPQ; z>R~DYNdt=E@7#=teOO|5CPO?nZ)j9|!b`FYrq0IG4MR-EoWT}ZlV}R3OrFN_$IQlM z@T-g1r(IV+uMQ^`?U>ygj$^bugT77aVhbAF^TvD7OuuK|+6kAs?Y}G!D8I!pO-A|8 z^p6BqFjLGVs(ysBGRH+&mj6Aw+vtF)M|(YwuMkw#`NA?SxGg5m2v?q^9m+n7#=8CN z@!U+LvF@gU$nurYe-=^CMA91@rP(r5Q}sEn=skM~W7|w=$anTh>kayRaY;qD3Jtgv zdj+J-@J{NAbXZc9dStfU++7s(^(kE+L)^Q0a;zj+a|*&}s>=vfX_qJ8$j=RW4{#49 zh-dkno4&G}{j@6OO`k8$i$oAs#RK7|E>K&{VBU5AmXUZO4C!bkf2(Q`4xerx`pM{m z+j601w>xLVzkhpVo-f8dcWcY|jRs^PF%@5)yjFbCBW@?oZGjpj3#Zuz4w4V9Jw8>t?Fo1169K4~|dH_cd( zllw*0TFf~cQ>v*GTMR__DPg|d-QZT-fyNI8bxaze5m)#pJH@EsOx8L>} z{!Y!VSB_-?9goVtkgVzs+!Y^d#WlGw!10fs5ot}FD=myUD@%;Iy%N0oB){QFnR3I4 z^w$<&7(=88)My&1>6(pUxEcxO9pJfcRkOX`WAVm{xhR58Q}Q@9%1vv}aHC)1o~FKp z_UVJcE3s7TGO@k5-Kw(2>aA5h)eR0Jwt)d*D=TT?$ve7(+cA3;$J^jD4`39dcJ^ZAoC5Im+^t|m6U9PAo-{mevq!$e$HTYj8e2A zj`-2c-Fn)tx6V>U8UH7J zFVoe4L#Oc+7T@XPY+*-}?V59EK;wWvo*y=N!!^Q*nc@3p+O#vF$<~KBNSgX+*pTXyye$Eca&V(B5Tj(9@^$4`UL&=!mG&-G1^>9C-o;$eM+Y^~?SXn_ZyF+}%^KO4~ zj(?*v`hjU@J&wMR-&q`>5)NrHMl&E zGmtK$IS0L|!k5N+F3-K^d+4QrwsAdQfol90t?1%ggM+*`G}PMVU}xb;_kjt&xdZdB zWkCAD%v(-cQ6r(!fKKR~e&}{`j_^NWNubuTe&9fx?6an$0_P?xW?7jUg^hrXk5Z_F zKXyHt$Nf`gm>kLGCLrPL>-$8W!Wm`Ci7LsYV}qNAr)nc9&~(%JYn+^bWiwsnAD5!* zkzWIIp959!#CgoT-P$YQ3#Pe2!dDok=Y`~jfkU_Z%Y&eMr@tp=HxuB`_MAsYVbXWp zJfe<`)!3H=QH%v9`16J<1sT>BxKlioh;hf}CK=El=t?nISQ%%fR4>+A@^rLBQ35WI zn61c>kdcvVZOyd}uFOYh(G+KdXY6;*ou)L4E&#<7HuS>FAnf>A1^yxd^5V$5XsovZ zh3kjYR4PJyw5dnzwDx)~=Tj4A+CqC%<9)YImV=F_w#4SjufL@Ixu z%9g@RQwaOuEv&glL>)_W{cwiieu>+Fklrsna(!WPdR!bBF28I8ayJs+#I6uz1yp`( z6r;9gnWw0zIl^%{mCs~$fpncTZYx^XK^dX-X*m(nr-=52Rqb7(H(b++6`!Wgw+toJ zZXi9lif71;6#3IPwwo&jf)HaLGlm~u$T_e>Ta2WaD-fr+H!aY)`MQ@pR}I+4O5a!5 z@rs+>FP;j{f9fS-^EWp^iGq$GI^e&lH5T(_G<$xw&61` zf&9pi6^wXsdsMl%=t0H5T7OP5m)_#~iEEHel4sNy)>tpjSD8C4oAjXe_L`grh3oRQ zScOG>!4cQd)h$g~daIp6X?FKC@y0>TYIp7fppotam>17opr;gNV7q=qzVsuzHNAln zAc8^cARt4c(Z-kkjBM`kDOhiZThuhD{&AR;vjVP^TA~dmin^3)ux@E4+Wuz{P zSU7_y3!)UY$6#3RhMKzT%H*8a_ni;ECfw2IHM(|8%qiJ|kve0jWwj;?m8*8sh*BdX z0(?*Iq+U5t!|$ZvCsM$1XIAdupX&oN=_wwxuijHVtXd%SyWmgA9&MnpKjD;9SNxm< z?|w-YE*WKQY(Z)J?Og}rPnl9&v%NwIbTK|kKPlEZQlqnuA8w)+ylEtq$wPo7Q>%~K6XqeS3wd_ub=o=SjwNAtOj zhlmhG^$`?_M+O?2E%!9=mlb^V@}TuK-Y9F%q{ra&yMgcLi<@pHZzkWKS{3haG(A5Q znzaP4ZHg+?YXaK|!JLu=Y7{=`u_M`j`21GE+OktC{oht1>1;MN@BP!0@ zKFwp1gL2gKMP@wcujw<-_ZEB57R{&pgBf#zffpa84Jh>&-Mr@ubUPqLd2HNU#iX2$ zjo+O;_QS0MUa|T_;g?LTgSgL4(Cp<8e=!%CxCS4EN{dYkF|TyXW>$`83?hwA4*2sC z#Fe#WBIS!FL2R^V{GXBXPDq{5TOet5!!K^bcaF$Qv=nfGmkkIukSq2Zt={P;T7oNA zV%eG;89oNS48AYoAc8=iQW?$FQ+LUq+TOo;}gn3VKs=Dd?j_lhi?Vp2UP?ymh{J3GCVBPbJx{ zHAAFMTQ_2u6)&=(z-1opJ-xZXFobEzC?#dF7#}TJ8P*6cDo|M7!gt;kMYpC=UpKOD z_?WJ&PdZ8bcm^f;BuwrR3$gXjZ7XU6z9^MGzI5ms;@JxlBVDVNu|uH~hejJ3Jg>wL z@=1)(oFnWi)LM{9oiDI7c5*TWn)!#_3vo|%Bp<*I0wu}qNS;r!;5i!F|4n*}ST^P;p(s|Xa5_PwE(0C!~L1q}^N2oo#;DZeB# z0%Va0o~z;fIF0_4;|m8yaTLFt*83YD9aVKdbyyT5nW)g9i8oFmTdd05&&1V04@Z5Qk&X6yM*=*+ z{PbCT<$zghbx%S&5oz=JMc#^%ppJq?r1c+UebRG8u{9fSFq>0gqNmQ{Eq;3-t6SI! zSl>p>Tcs_TyZ=$kP`>&r>5yOV!Rl#WWy%82O3aD{+WDI=bdzRyVHF9 zmtKwk{2t){*sFw`D9=e5)O6@?p!ts9B9#r|_eIw7jjHLM-H*1%uUOWNc&N-soGdds z-no&F^8ZYF8)rG(Unio;)McnnqS=dfdlKq(TVg3s;}g#t%SmcV`l>=TLSKi?>BcyAQn2uol6M4K=rUD{y+{BO3&c_dGGpdR>j6 z<7Gszih`T6Q<19EG6=UOH&G(P1mLth(@I#3hAdh7jt`}KoU%Z6184p0}2CH*FE5O z5!-KYwV@E<1q z$_U^~$Dm*MK>d1&+eyWw2myBlW?Jw{zZy=QiWyxcAu`B+eeLUsMg1~bGaPWz2$4FGUr^ojRMrU1($jahIJ3IrYH|%?#rH`y<$WJy~ zoL@b-2XL?PAxu%Dawj7-Hb7|b`F;MIArJ8|{7Zq~$jk{20(b!o8QMvSDz)&3RrSBy zvw)`c@)(&RLogU+s53X4Oz``qww2!(N8oc|COF_FGL6Gs=e?UgrmJWfp(9rPbAtd6 z;wSnEn!%xhkS*m7zVz{hkh8hmF$?CL6uzqHTgNPJC9C~MMl2X6NzF&}5eHYlMn3N6 z`<_?jlkpx2`hJMDOzgjTQU?ZHQGd`rV|e-L$0Zz6mna?QMK-p6ooeWIVG4u^k8j z>)~Gs!kF``ZCamXlWmQ@cf_39g`n4pjJW z75`mA0LuQspeita;^V?g|KDOw=++>cPBBlD)?7dS&whAdMTKRqFCI7DW$hV`Uq0ZX z^kgl4A*$i7iiQ?(JDw&!8a~f@Wl+Om-D5b*naTHqk%xWn1-W)YgdDW-X-0Uk$z7@p zZ(UC#GiUYASs=s=ghpUeRN&$ZV1y7Ac-Qw2B)wMri(@ z8=N7<#IreVV`LuD=Fq3|Sqp@c%bp>Bb{4^%o+g<^SVuBnb*M>AkV>}>Xp*9zF=;|` z*|)%zOddK@2d3qJ&YL;n}>PiXl=DeS07pv9b?+1;kaHM*b=3 z2aycwM<(i@FXO1pi7ttxRap=R0_Y;2VCh=)V0FC>!5cq7UJQozs0uJPGK)2PtKC9UnS)2{etESchIxvW zc9oYAzyklUY22GjDROrkX>iv|Y*4i;rGKMP>Qxl@pkU2Um$za(d}ZIbOqWruD+od@ zpUdP0{EnAGtU_E&DJ~<70QJm#9^Qxa8r2O_m{`k+y5+GE|MC+jT=9# z6(4$TOhir`AmIWEA%?%x(Kvh{_t+M(wvE4+k_WG_Gu9!cBbM)(G`CV)wuH;z6VWUR zPO?XcRX3X?kEq7Mm##Tp*}n96kYPEbQ4PjfBBDh}gnd#rX@YC6UziF-y=-qLzR?-t zxd^RPPE*Hu*X)WRu1dq9_7iCx-!*bPHd8sO3Z>K>IP zjH(6_4|c4##nd=$Dt^x~$QMJ{r?obHf%fE}Uq5-89T^&@BWsX6CAT7lZ2ksN#WosfGc|)Ef`$x+kL;;PQSi&O7vXQwevnV@cO+6SH7)Y z5WMG;T>SDM8xkD4E=!IyMyL5+>2)ay(ONtq#=R>%@qAV4`!I!R}I+GP-_Y@Jv z!)oeRIXE^L(n~69RS*2?Zc0DUNDfCPr7+=F4KX$g3;^s zAn&tUgUiN4uj_r0n~MRnkrIT3*WO3rW_Q;a+1F*zhmbJMSPsGN7-J_7z4V)au&9C8YC2<;L9U12T^6r$v74_+y>A0rE_Q}) zFSdkr@XcCoCpQgdO4IJvmMG}<{$79EadwheCluf5=M3|oA09LQzKl#`{rHy3p2BQh zPZ`8tP!}a__fz(}x8H2Q%=2|3zWJJ+S*>W97^08}_tej4_J;3sc%JT` zuXA{u2(_G_6t)nyzzaF_y^Gl-^_#4h?v8iuJP)~-+%~JV^u8FJ9k|5_e40rixOdYr zD8`(}GzHG5IS)bKslYk$mN3S*pK^N%l+d>2MHPJ6+uk^3FpgEFC~%{r?qOS!a$!0W zQz-fO6GYV5K+519_A3$O5$|AO^BSgdYaOw{CWoZwN|UU#XN#h4BDg6yFROl;&Jn_) zu4u{ylG&9cf_DStCZj2k$1Nn{7bjK4|>LeV0kO_OCnML!wr`i zaRPXE4W|6LDh>qRF`xf{u#c(;ct67NO8cGXnR27ZUlGa~;K@R!!X;?@QaXM;p>I#P z7j+DXmp7M%B-AK+70{mWBV}s@quTqA+J19pg7(`_s?q!3O6fEebcYZbTQ*i2C;92O8)+k@gG5azd3zbSv{Lz6uhz83{z4 zj|e-zU?Z-vO{D)FhsFXDr{Br+MNt;l{qm3V2 zWX(U0U8o#BdZP7TPS4JvW&9Kv5{w4nMl`UYg$Db<^9XIXg_T6DON01vUg zrF^N_yAgenj+d3Ml@QSL+!CFrcEWI9MuwRpW_AH7n0mZ_;{}?@8_Xq2x&Z;tm!3S* zu&TBZfXnkvNcur4FfMBuHmA#eqxSeJ_@lLMXPOCIcsKBE$U_1M5TcC}l@`bm8$w66 zQCMC39S~!=hNu2FVm6;7K$lI?@m=fnPf5j3v-lz+Rb?nqM@*)1!o;CF*fQbkiJblZ zS(q6Mc>Jy6NK3F3j87g47C+DegvdyydPS^mU#uOL4VQhqAlKIq`X|2wSeUPdpd(f) zM9K%|z=;^#2oEzAz*KXR9(lyAwgc;7>@U~NO_$e^r9^h1)j0i7`~RgKqQjxz2D>;X zte(>*(_TKJ^APoW9mK3A;B7*-gr{iicPA2B4aotj#R_m633aG748)vhJq1{d>; z<@6Pcd9a$~_~_UjTA*+5=%&%m$vW5sO-6df7UHgEexJz&{m#^bqs)`#REM6TDqp{U>(kWGPk*bCf* zL@uT7MyJ5n%TK~;s)3bQqV`Xk4x(Vxr(h_NQXH^W?Mt9--SBjsx|)aR;`wh?swEYK z{US2M6rAB7BwT8BZ-(r7bV77g>NSLIfV9Ow>D6?lqH6fK%j(x~-keN65VP0*nK8QV zdvuH|e}ysA>1Siwd^^(4ta{rRMwyT%{dF{T@h~6?P@zgVZ84Aa z7youc)$$Q+JIdd*SY_qvi;NN0{#D&P>X+d=9lrmfPZ6;iz563|?cp|Xo?I1VyPG6< z_Gg>UHhS&&Ha_1qC?tPRfg108(|jpH+5hK zKDx%X?wL@omA-aPYaLPD$IDLYMgBi6A+cjp?NnLmpAUH;Pg6t0UUl@OxFkI;Ns&oBZ1; zjr=(zpK|%2kG%iu{!+{=g5uBCg^%8GOe3&KqTI!3`opIMSLUwm9&=QIF7490$vEpj zcYo4RZ04R}do>M6|7D8FP^PyyBMh6EU_dE-wU~u}W0`-<7>H|s{M(}a0_;f|VQUXQ zkV^%nzcbF4wg$IteEf7z7XN;qGobL*=Z169=Y8mjIo67nzk+@FL}!M&nb=fNxP{3z zq7w=5+WmUO$c%*Iv|xlC#5SP+0QUz$<@|=4ou2wkv53Ji-_{3XbkvN+twD#5RMI@Q zm{e{Q`j~UkUn__`?_#y+p$v@8!V3tq!6sG|u3zSD#yc-kw1=Z}=81BfNn5NxwENJl ze=Vl$7$RC)w(S5EzA_ghuxIkAZ(&fj8WDP;AAv?lq;OGn_ zx#&cu8N9fp(A-F!L>ih}ntvu2*xxLQCS)*O?B~Gd*7M>*?isn+330QKdeG-+`p)pSfE)xko9aJNuTH>h`RvOCe@C^B7!gRT1I)vR-G5gBkhA(>EC(6!Nu(O{fkm;sq{db{`1Ugk z1F_2dbVj?|iKaD4W|5O;Nc%pY{x_pBu=%zWz46lyU%KWI{&yN?8$WbD%FFPR6s6p- zgtm~!!AzcBoyEvVDvBq;-xZXFGYy{ZI-t+ux3EetRtR*eKEV*x%mJrNBr%`|#!Bj^ zHMG+i)_yNRmDl`@P;f|O!vHgxh8pqV6)H$@*oi&hiw(%w6g}BN6oYX*GVBz?MM)8X zJji)4+3`|FV`MA)AjPh?vYea!UK{)`_prvTrlPQn_LgGQTwIWwZdng@INxKElkL@- z1Z!RTs9hl=kstbRX8&HK6PLl&=N_Y^B-HUu)f%)UsA{3sjl(Q|E}wjfC-xEGrsEI= z0v}J>(zbC1^HbkR;oa}T?J3dUQJmW`;V;(mg|dS$ZO?9n%D1TK3nEE6|8vDPhNAD> zy_{VvVEA>^yKi5v?vp$BA=N#}LCvWs$qKNF7^@i-%;oi&FK2Zxsa@NWD2)gErew{7?( zMO>Zz1SH!YqX&rhD+%zk`znfR;fW#Vx+-5fas&LwRJWBfFSVaRZ*MH}|A-p(Y}s$_ z@dS3koJWbI!nQI-L;`Oj)2Be6JuQN<{HZ2dUfji3S)N~v*a*}Tg2ku`Yc`+@^ZeYu zW|75o4;CPd=R7H~#oGPP$5fVQ;koeSrHFsgMkyD+8?|vGGMihCLWVS$Xj@)8g7B_bc=y zIaDMvbxwA#zIo~WVZ!9Cd~2rhv&whoN9moP{dyCtwbVC9q3wm@=Ws^{ zp?}v5m>`TT<2kt4*!a{OhZRo;P0P(kK}|Sm)%8t|k)+?ZFRmuT)?8jF>Ip_hB%&-d zE-(JWm9kF1313i5rOqxd2U$XrR`8ZNNv=wq7Y;*m6?ht*3^S8Cl36AbE&nLimFE9c zovPqucT!zYro9zkBJQ)YIEPJ-K@4p#yhN zd)Z6W?0rU=Io_Z5Kw=tz3m}BO&th(#QK$Vb^+k%A9NZ17O#La|LyxPA!rNH*G5}!~ ziatiqtYG%e(<#Jp+qJJwH<W8 zHTAlWpJB^_4)NKsl}Pteu$!Cgzgp9oKrN&99e+=jiYrnyDw!g)f_47OU#-uY@p82~ z>`$Q(M$d0p+2!gTQMXliX~sa=$FT?Q3XtMMp_qt?7|5bE_rA+tkL9BQn#KpKBpPHC^f3r=%z1 z(H56gRcoAHWKS~a4pmXvDH9Tr^ghYirh;S`evVbZ!B&~4--hxj$0^xtLn}9^NLu{- z1pnt~DM}1DY63I<#2@5jK>8+XC%;PiiZtzBUIc4-Wmyi; zA_TnjpKLX3!0ZZ=V$=`)Ery7d0m8>NhSBDfHJW^@P&q6$5PGobFCS)HQ8|e<{%QB!IfW6bpRzy*{^D9ByJ4JKdh=7FE4Ev_oW!% zOY?#Mz!iW-{0pM;ss8j_xU7aq>SN<5R9G1(_&AA<6f)PM8O}B#tnER;S(WoZs|sAhma8YVVt5e};|ylDnaQMV_mSNFH=W z6mve#$Q>X_^z!y;soKj!Va4R3qfQ^HP{kiQBoPxi)XsHBVk2KG|2_tX(i|Zg-8_+(iA_X$j!rAK z)-NQ6QLKO)CG@x2dta(O*%AHz!=H?EUUic>(iWaoj|`#ApNzEkw?U0q9NBpH{=Z^n zx)Pb$DN}QHj z(s)8wH+{`5>G9H7j@lj;FzeXw^}dqWO1KGzn~V+gO&JEmRN#GH*Xcj7>=5K!p9i{G zXG+tqCxF=QRCy)+PTgN|2kc5;QZ*AyN*%afI9xik;Bed7O_DIkZ_g8{vKRbiidl~}0nUKeR8$^ESTmvJ z2P#!m`)p$!;F!;I(Zk#m*?|G8cuINeI)u~9M9Ain|5aLz(Pe4L@&M;4Ds|gF?eS}0 zLUf+yobi`PH9J#mS6D=Rk0rX4K7&+H9z))&AJ%8&-M}Z{6TP&F z!%1ggIg;zUq7rXWxAsU}gJ>~agdSy>Ue&2GfPpI5M?ixY2~ZyVir|I9w#TBb1ZCbk zwgD8PE{E&TPW?1(+j3|P14MA$v{C9W9BC&;2Xk$&TA3sn1tl-kD@&vX1hhG9Hpz{4 zZOSsDoYCUZvDE?_8UGKu{~BZJLsxHT8)3L%+MDm1IaI?5`w>ZCEiWp zK$N#EPW2%#mU7_!*PDQp5zccW8H#q3Fyfw|0^y2xN;8C=>=XoC%qaBN(jUOEBmaq* zz%f7sc2_@4FTh-Qkh1>RUFj1j&~2kp>g3n4q^SVZeC{ouobY=x&^wwZ+;*GLI@IJL zQX9`$a!!W3N{4j2iY1p^9DSaDCb4WGcrY$F@9Quhi?bPVC?C zJC5n4SN$a}g|z2pn>4W_*~R!9WC-MhM0T>NOu7d_=l>|MijrWzP86Wc1;v8q4bE9< zxg;wI$9+NJ!8(9nnN9(PA^2>Su=hSGzS_=vGK$hG%^j^BKbAs^S(rFQ-6#_DQ9Mqm zbfX2*^Ldk`Jq5!}`Bs9M#&dDCr%O!->-Be5wSymsN5_DTufXdb!_-vR?O8x8pFtT# z2bvW-tQT*o5H!Szn30CjlZPsudH<(lJ7XnHsm{O?p2f0P=%QzrQOA%4py61-Z=;^9 zMYTi#MgGg^6ix$??q2n)`bw{TEW2b&AH2p;CO2ip0ll`J9}zBrjjglBw=$I3a+Ewc zbXLkcoaG*yDdW+q8Y@zQxYF)k3O3KLEq-Vw+E(CW1}8OUk9(t1Ao@K?Od_7lWncD$TdvxTanKND;>|Oz&37xr2WbU{U;4^ zKOH8fy=%Cv%1scy!5VzH)ggTjDY&8H{w*l4q zJygbkyz=cSyuTG!D#Wr_0hA(R=Tgo}^t% z0eiK6wI3wG(2G+aqZ84}Gz8Sw*|h2**;NTV`dX}QF&)ym=KX@gY~}G^sd!&KA7|sU zy6xuZ=D&e72m6H!H<|4;(v8O#D75oYK4D*ue+`LNs@pzc(*V5JXy{*o=~-6xWlS4O zyW3LUf||rKzK16u01-pT)z~kCv+uB)!= ziwlq&j4FqC>9|r* zqE1{XK+Y%k3im!WoAZ!!0F2y8!2Z$*TSgB*|M2Y;?t!7%%k4Ftoi&X(b4NNECB*y4 z^kJz2v7WfrVsS3uvVKy;^{uu)2*0 zK#?OT(%u)dTlHCBN!&iH_U@G@6K z!gmqk7Hi59?~=1Hu-^TA#6NrQ3XzdQ%Ju?Elk{-;-?M~Imhae!SQy{K-7 zss;1(cW-vkalLCj-lKo*FS7A}d%uV4;lhBwdDc$wQ7#r^OB>Zn8lw|ijazX>x6)aQ zh%sfC;1D)1wsL6XA$%t4zLNMNlr!?&0wc~bX z#5D0^65wB?Az1T1<#zZAq$YL1qL+t=2c(9n2K2UVp2(5WUWJ9uOJ%++@ozb9BfnpH z9`7~mB6yiObBl~BmZ>B0Rr}CPGn-@1BsFAm^1dO(NTvbpNo1mUj3a3yK*S)Th!B=D zWgKnEU`30t@nJssofr5vv7dPmRLf@+xwx11yhH}+%e3lv%#=Y(+?#_7Q-#1&35$sz zhrScbZKR$yo7Iu?2jV{hnwA-U*Vh8$@h-)8%gMEZJq5>XHDvg(xx*R(RmwZflo3cx?9Hcwxoa=y|zqslQB z6nAswr4$7ouFiw2lj%qeFidzP4rc0u9q-6N<>Rmd(bypeK@+;3Crl3MU49zM*{r>s zime~CaylCK`oO2>if&bz8GNA7j$82l9RJMKuy@r-eb*{^&eUt5yMsT|Fi4@N6yKue zDElt|)IzDx>Y3DQ<$aW@ALP%0PG9$@F|IdJrLz(|Rw#0_sF~047LTnlF1@F%qt7wJU5sgG0>lk4PEH$%y+Pu6-l<14esxLkQOzC`Y+KteLNKV zN(X&l_p0kjrJGtz=FmmpEI=v+-*Y25cpPK+R8y5QPwz)YMG#))J}$yHE1}h8$q5ex zUZ5jE!snOYzF^VPL_2dTf?T={^o-UP|Ll_ov8@qp7n0Jck^F0?JU9Usovj{?f-GCL zd5i#-IQ8`sWT*k2`$Ub3=A^}%K~v#3CM#Z|_kole8H?yfDRD5jMK6bn$Ek(zQ6$m15 zJ;-UGKE+*;6%G^7sW#4K0uCCbw4767_FnX^`Y@5#_xo}R=#yrI?)X$~=L!^{=6KPn zaAQWmEgKO%kadYV%jm3fiuj)FA0JQjhba?{fx3DgDML@4Jw)L^@5K#M_+K9fMrZV; ze#%1%GK-N@;EB(maS8?ewZ1ND(D*WaHXo=KzZva~&6^%zFkTeu95-+xkduI~sFq^- z%pTV99Hu(bTq@e_^xGTX52$ErH|Zta(o9d}ONuQ)@#XftClnp({Xm1n@rMC}!KRs6 zWRGZP8KEHgQ}7FK{-3x+_WXFqaw}f!6&uL+?Gbs?Asu9vAe)d^@DcP?f&=Gem8vId z?$;}NX$oHS`)WStwR9`Alqy$SHk`cam&wEdAQ{sDT27tirs4vrtSt>*=KdPDIQqMb zOFL46;)9{sVEsr9Tc3@pFK;If9hEeVu^>5{-TwUoN-<}MCNXs3fPC= zl{lk^9$Mz;tg<0MNq zDwC|V-w>_-aoH~bOG?G(J&yw?7eKs_&iI>jx$_Kd*E7BWiyc_);~qOO|4C$e)i|&k zJ*Uoxz}$1F^`%yA85c@|*k6}|mCe&9bHt)5gwKEow$k4!L^@;pTz2W_vj5{!LyRz| zf!rfm6DttZSPAx+@LSLL(!Q5bufR8O(HD{$H}v6K`Y`EFY(YIsLDw%xLx@^1$x`*Z8Gw`saI|V@7isYvvPwa#=-!78?QVY9lbG%&}%?Pn5}F-nORWR4OE6aHz%i zRSMGJrn_k;0H*nV^@1HQ2AZS`qQCc7Bn&+}-PXR%#vIK1&%LVh;RL8Xhb?2?-mqsu zOzVimo|d^KBBe>nRX~_Nzb6xGOjN_BbZ6sNkVBr#u>n3a&D|`!PQpgW*zk*E3OG~< z#OOM=OXk|g_*KHC4DFkkIAcBa&bkz8LXoFK_(n?f<0e}?HWd#r+t z0IFzmX-lfk0o#%Ls5O3d7r!zNAT$8YUog~iVC^k&wb)!0#1m`?%%NEP_E7*$JeUAz z*4l*#?}vHweDR)<&g~1+=daN>QFc$V1OfAhpmN#+G|)D0RwsWh2_vZd%~GIDGP&#I z*OW0bCu_zh^HjbLc8krZ#+<0b=2m#J^}R=lU?bi&nDf=_dPpy^?nemRjK{GFc5=M+ zQ4+8_KwwC?Bbhl60gI(unYw;3`k1G&^#8Gp(lhtN+&QD^-(L#fJrd13hUfQ`mZjVfZ4}ZhY~_=#>4lMkOZ)~vmYK35WNE=naxgzXY zEIX=cEoLvjPXX4q1Isr&J0oicmdUUBMINRA2Y1Ei8G9?vS5`WOnP>m;_%o(3clAZN z1TG|i{sDZ=Cm3tq;C?=okpDccByYpE<7qp=ye^B{;6z|@tyW#Ico3c1JLt-@zWwCD zF;dt7y-<1L8lUmc*Gb)x@#*?A*Den?V}Ez$t&pVBM@MYj;pKIGBjWB(!I=T{Kh#d- z3Tb9h%W1Dkm#{vet6L?U5RSrOtXeK;_X91bMiea3>y{^cTNZk=tCq{Cz)_v>jdhka z@7Z(KyzM`>vjVLNybbq01>n!a`(BX!wG_Hu-1V-G(6|SeUK(Uv$&es(b0s=?P%C`5 z!(}XDMpGtdbz@HUY&~P^kN))KD{yD#Z}S0C)$m@iS7w1T$bhX89^UtMc;Hn)d8A0d z>0=v&$Ba&~#oIgAyDYw&U<)o@8c3pXY9JI@EsgW~osf!4!cuuw1J%8-7-qAeF=X~$ ze^>v-a1bR4PENlc>dk1VR$wCXgLXpV_+)N5X8qfmR zmA6AI1H*A>=HGm!#1O{E1rXDdJ8wRfH5BWrZ*61P)h`*uPrZb&)54+bmL z2lNLnm$4C3JRP>8KI!pumGm{_sg1>-DoXv#o^|Pt6m+HlJW~rS2t-EKz&i6_JmWJ@ zJEm>JL0xPqoCgD-vwIu-Ma}y~0$e%_W-yQohvsOhtDRa@;i{&UNT>YBn=7w$Sw;TD zf3VczaJOLR`F-=^aQBZ5cKh9(;HkvzvHg{INvhj=HFxP-&)waLWP_!~=IW%S^-sT{ zmooY3+K|zdkgc~N(6-!g45lci&hg;KLYUz%_zv(j$iu@2T5SPUo`hZYXJcqj|L)g8 zIQCvMVe0%-m6D~F+UCRlx#jK)vju~kyNjRa-UhF)oXbvnv2DFP53kH_cR#t@?7sYX zZxLV?r*&Vu{@J-+kj75aO>MEdS(H5=>3F?+!I!OxCeU7{1No0vZdX^+Unz4_O8e5iFWfLH>nfwg$ch;g{N1Ar)KZ|Xr_!z z)t`OxzCBvuAk!C4>v7-R5>SN?0#|JQxW43^CNe9SFfqnptk#(_?HE9dKDW*TV049L z?BYJ-lEscj2z1_@+{?1(-ZutNr*&Em_jXz<`MG0Hg$hw^d2MR~6B}5Zz0bFn(}mnG z_T8GBPTL%^PgVv>SxRlaz0HyTLMVdn9IA5K>$<9suE&#Ca;k#s93tP{f3+8)dcC`t zKfZ3M{u5{RheM>&`<2oX9-&Y1v(0J1#zTyjR-kSC>U?0L3pQfdF$p&k%^5Vt4)QN9 zw!YS2r3rtuw-7pb#m(B=g~g#S&~y<%d3`K$U~oHoyH4z!K6bRj8R^=^XOM{}0MY30 zsr}$i_%Wwl`h*pe?-Fq8oNpR*f5MU$8r&;&37*`$s>4n0B&sqNs{u(WFHy>SpQ-`g8 z*R#}g4j<(^E4brbd&0Nx>^pXGiWd|d0+$|*HZoWZ{Sj&3hXT8_)R-1%0aoU{c zdEaL9@3RH7pl8T`qdEZF-Dkfk8-LgtuL{jANv}~=ZtAnsA%A^+IY6@hwKnj!=4VU) zR6v$A1;~9Z-8mh8Qs3fX`)7Cdbuu30lfcdn8{AmQ%OI_dBx?Hf+c1LV{FkKO!zlHO zCi(O{RwDfez|I&yEuS*D+Z$Par`yiRb7;rmdvP(66-oB`vif^&DS2@bE7Bm5Tc!^4}t!P_8kE zKLep%>PhmlvFRMzn4JA>AV2CwExYzO48$F&^Z@RNKsH1OJF=kZ55n!IArtyU+L$n&Mtya|;^K8hZJ0fmr_3Wnh-P#=#Nt4qG zI>hKQ6Kd9;e%i!-&}kz>;4y8QZ~K}_JbrWfX7_1yVvp>53i#?()6$=*9sSV_eeh=) zSSe@Md`dJI^|CEL?<2*aM^TPz@;EbXHyG^_l>+>V#%LpMOa>eIzWLr(mvikkL0{)6 zXps>S_5g-`V1i%RDI+`0FK|+1RObmj#n5nH?qetI_~krq$)`57JaT$_1{!PjS<80S zeh~m)riL#Yp)6+x80bkZXLc?d1uTon&h=5lzGe$+!9NPL}bwW)zts%rNUx>Awlhw)b zqs6DscH|(Hp4f4r~P3*C&qbP1Dt^gD<>@V8sTPfIsb zC${OPs{^|GoSUS1>7+zXzhrprzop$)e*HC?W(84rjir!|_ew^;YqhL_xcH9i<0W~Y z={T=UaI)4y6bm^&AFFlL`M0uq;m*(%(re5zkyACg5K>r?#r;rMQwa|)b1ui2Qgg|o zCb)Mt{P_!xu3?um*?nh~7}qaOCortjxvI(_zWlV9@GtvOJKRY*G{3k0Ax7UF5XkM@ zw!oVx+q$uRu8P=rvRcU!PURaaLo~vqJ5zR`LbKL~Zdz4i0bbM$xvvJsIMih&W4+uy5H-_b z52h5WCf6%^;s4^M`tm#o#m0^4nn_CS_a*{YZe{{z?9~}EPJDV_+P$2=?EOK1tG3Ev zh){R}JETz;U@mh5ud5 zb#Lh0M4yXZa?4ciw@_EoKxag%uHMG&S@FS_c;x+Tp53fvoZsI3A;93|r(LF|#iX6{ z3@aQf6!*u;4_JQ4&%buA?O>60iSr~{Ba`}$Wr$g?oI!kQ6laU|wKpM)-60uE5ha7G zLY=W}y%)(>#LI2nIs{D5&|{k9xgX&;r|=)Q zcf%(aOW)k|dhTdWng4ef-f68htM&k$E3oQ4!z7!8x01=TA}}5%ZK(XYe1=FZ{2C z4X&S@lKf^24^s<0Lrr{oBkpPeA{-dfI96ai-&723PIS_-9B0xTBk5}~Q<+gDH!8^= zV5#acnE&{9rSVeh2ylb+eH)%-ccnGl=i|^JDcgQFqwu=cI?z?CF=rFwG}k0&^PA_o zJd(_%*tT`n3+lGmbh8cyo=Tlf+@yuo1`@AlU%D=0ctemSQ%#my@BEN*CTV#eYYqa_#10GAuy`f%1H7AVsKdO*Haq_j5tFEC3 zcU0GUj)H$ZfZg9~{tX+j`+F{HPm0?#&Wnq}OID}F@}zx6SLNlZsj64E;IPm^h z^E|S$l9?Nx4o`1A-e@U|uWLRpbSgi?L4bVt2q@fK%TJnMd6OHof$irwxBH>roZQ7> zaULy5%xkPU>7Ib#1U!JVZ`kp2IB}!yFQ6raN=0qnx*yLGr23&kicL zh8Rg|NI(dcOTI=xZsJUPh`{NH3IhfFC6&5td(x$taAWFivtH#aJ$!KDMB()SzfD8k zMbcQ5bp-xDZXXa1_hQ0NiB6{n{$BRph~G{6;$(juh6jm>L=I@+P+~W;)n5@uDdO1> z;D8=&0$n0>Ds6TP<1EOKnq<;Z5A~s9OL;}b9~H{V3~qeroZZY-#^j^|)$HddZ3aEH65SmOYgm7GsX7t`xlUxfTQ+h&llD1Ek zkWR!#H=O>jlX=H#seN3Gr%~EQV*kdg7(sBC&wAv5&4XYICgE@%UZIPOjMU<`MLcCg z!kY8DcmrbbeOC5%sohVA+0+4sl}Xw1yeEqE?0VC%P{8zp22<=r&C|*XI$v^dlzPq3 z>+}>@iB;gL^#`o{-rQ6@w~Wc-9-oRol?u*|(lYHB?RH z+sM``QT!H5=19s>oW3@fK)0DRP@AbDvL3KQms-J0oNqfLF~=_;m_M12jogXbI=FD z=l3$4|9zQshnLq3PjYmZS)5KCPuBRh-PH+neiQik^JgT({lu!H)VG*yX&Z7SdSPNq zEXu0w@yNNc)e#fV+N!Fm>grg;p6qtxir^~dL?1=-lkzywbg1qM(~QCVu}D9SW8IVg z`4=UH;~`I#W=qf&k)l$+8mLsJty9XjxKh>7;J%E;)Crc`Cg9Eq zx3($CYJPn70WMTAwIPp*ZC&gR#rP)hn(=Jh(TDDcXH!3>Ieo-c8ffY&P2#}BPhl6R zib%a}tJP$gwwf;+DviG)Hz7VYKB***>~K0Y#yS}Ih;_xjWonmt&E7@Fym^1Y`WxqA zWTtaHdTlZR>w#ctGLh`Em|UrySvKSw8XGOkkqGNA)%A6&dbzw}6|nG@Kd%GjPL$iSJhwP^TpafTZrFmNP4=s{_{ayKsBzkB{MpxH6u z-}PX9_LIw1V~|_U&^`1_|A*0GAp^*E?xeyIE%`yY&7=(EVa;>6rGl z;u+zVR*brYH7ioM0WaF~SylzYS65_0*wKp9t3!7ef8d=DojLN`Ft1$8S&yrZbEAvg zAfh5fbDxpX>mcK^gzDZp{ab#6C`$6zQbAeQ2ZmQ`7|G11$wegl?)KKQ)pMTRrpbjy zCQ`)yqt3F6N4Oyw87c2w<3M0{+n#ft*lq6k?ahlP|IinGYjh^#?-E&IpaKeAU_0^spHwl+5Wqp>UsKZZnmyG!Wi?8BKw-BY#H zo^oJ%cvy9R^{AbmNjSrDlU2dZ&~y@C=JE|~*izTV>Dv1=BBA>o{gw8({oU}5OU%_v z6Jptx6N`q8BInE+r{-LhB>{mP8%W#XXL>zfKv((=s?A8DV%OO{T)9G;(7=~&f#x6KM`b2xuNrLvyV#R@|R5tWC3*6f7+UT&{WIW-;ukV=_( ziq%l`p(&0<@($!{w9BBJe$c5-oD2^kT6_d_PXo{;!tar02Mf96FaUFpB2sfLIkZ#} zO=$S6#SZQR!6M*ZVDMSWv++o?r)de=Gi&_6tSo31nj=QFA~m2oiyQ;I z>m8VXXTSdTS%2oc?=!Eg1~h=d{o_;b|Bg*UNJq4bhaTZ*?Jke6HHJ4Co0|44yWM!+ zbMr}Jc1zOg$c1I7i@F(9BE*Y%{?hb-A`^<3$XFRDVGA|l&$}k>By}UIwz=@OXGDro2{$6 z0s84Zz44U9KpLV##$@xqc;mDk$ljVI{gfgGY-lYJ1Hja|4Rs0gWzbA5_BT<}EBWvD z_?pHggF@C$CQRWaY-J&-dPhO{SJI+2SMhWf(dn6i8P^@+eH|AMbLAraayAtG;&~*U zPVhyj6kMd>nLxC2KB~=l{uJP!^73hIEk8*PPtbHC?7uOFTU?Y_Y)f_2%#7m_lzdOc zL`BK`GT~92(rr^|tKAqf+*NQ{bf31_egjbrMVF^TK}}jGXe4(dIu-hlBR?wc8s@$< zK`h~W=Ii3@R8h6m`lH$aASA8h`y9}PHAVD=FXdAgVI<#3GSHkKX@bqk+EE(vW<|dy0KbRN@llZ^H z>1G!Cx76$dr5UC*X;@yZzfzBDbj@eGqkKaiL`r_E6d2|*#-p19K#)>(Lm-AFyp=#Z=X08xMxIc(3Qnz??jhN#yX^GZDI`Ds4z>Xq)BIp2A&6qYXM)b8YlRyI%hMC(n*|X&i}&hoD7st zxHRH)Q6eLBqi%Q7H78%V3v!&=wTNJ;ol6R2^_2`#I%2LYxKr8IkO+|efhWvm64Ttv zKh2XJ_P2+3oczLHz;XiU@^gnCJ~MtQnDP^IudJ3sg|M_ZxBEo^$3Vi=^mJ+Cr)0`h zt^{7ci)?>V6cbedWpp^(TPt~7b{?+d;NVngGfN2%thqx|Tu$hk?t}#VoFTm1FNX!%v$d*{(_R88iNrfq}Vk;eh1qq zl=p`BtgGD7ie`eF2LO%$jOhs`qGb|_s6BhO4i%ALXGMs@c(XX|LAF=NUqs^dIHhQO)3_8FXV zNFfd56sa^_=!RGG60-w-g~Ums%69LBeJy6eL805 zsPl9m5uocy3foOgjWwAcV3{L&aPmcUi_J2$5pXC*Uv%!Dl=A z)$~NS=t0xr@*{Ntr4xEGre-B~1{kN4ER9_5hH^d(XKKhNe-kpN8&{# z5P88F#c^glGExF1Ntg%F4Zx;yX4UZxiBB(pL$($>fZ68f-nR-?N1@w_VZO24V5bv} zuAQGKZ%q9>=>ty_-<_(DA4bUgM9*-~E!fu#B7ZR8@u>4o)epq{mv6@W^()rZyvi;t zcR4LTlzpVb{cl0jo*pBaC(lvCLZuF`Z~OZEDd{v@hVVUS1w`GK2y14Y?Mw$JsChgh zSgCI75cS6rNr=OyZzSI(N$|P#mWWSGw>|$Cto~jbLCZ4IE9V|K>5;@LNyS!iO?8z! zi^BDzKc&rA%@b_!=Nq1#rG!nkIMyV*mFD-qFtgQB*kc$yx1Q3osg%EV9P57fHmu@F zif&)wol_6x)=Q%}u7LKQC4k*wiMKuT^5IX1HV=e+m119Cr+eL>^jaY9~Z|eKsrw z`T2i4mXo_p6j(1GkrN2vhYM1LaR`M~whv30zxqcI1`Ko8RQElCo|dmdkjm{C851e& zabFyl+s2?i6SFDnMl|IqEAc5tGQO4?SR06Vk{@>J#69#>beAm)&dZ4*$E;LfIPSO( z%Cxi_H#IaV>mDykl^V#S{7xghmAUjf>))*ozV1F=pQ!S#!k~PNKxCs3)v1q1Mj30B zG6nr$fbH(6W|-(MtyrJyGpJmL#pcdM7kErUyYz}fmCEC#Wr2S*F}1Lyp_uCCbvEb- zBn}_%D`jqgL^-XZz5N10dDq6NCHzR3)AMOfj=S)lfu`)2EFzVrmdbuU(n)vjwzV|t zg^wy}!Y;}XKetVjoRiRe&~-ia5KMCq`~Fwfo!VJvub`8Wg{vzRnxoE$-|mV6BlZnI zQwW&+#W6ovqgXrWye)OBQXx^T+7=tnnX^5s&G6c`*ET*zHe&>RZ{fUmE-mS#`Y1W- z+%YU2T?=zpL&k zpG^;+cj6h6-Y5Us?fEellaRn~zp^QkQe0EY_!Jf#|6!7DL_e$5pHSL8fagbHz-eR4 z@Y-}H7Ae`7bzQad;r8WDYd)V%lF;?z%gCOl46i`XLrwkGQ^M)1ODnR|pbP6Gpbzxq z?`#85dhuoHYq$}om6!rcT5x0IX+?(BOvP?Scv*&`xiPd0qe7-5vejRbHx(ndvA(3$ z9|oa1%()HQ?vA(Y@pWTx0de%z5ocaFI&_z-p=d0EB*~V-+tO8mqLhci6yO2seSt=O z9?S2^Sd2lw-epGFS_&)SgLtH3%njA$nQn+KWt9b`9Ayj1!1ukBRiZF-HX$tEFp;IS zZp-Nz?b?%5cbluz*@J@#9$VLyJ%wY{mH1GR^H))b?nhz`pG)y+NSpdlEkUGYn~OV? z3@FxQOd)r5xU=s1j_is`1!YuDOaCS~gVnG_T)t z{uF6KKDmqf zDGyZYrc#(9Z%4~Gw0FofiR<`scsfpnjwsa}1-4703MNzO#PsEyeXAv)0*Q{dF3eaP zUX9d3nVM|?8c^!hyS7odgvKEs4lL{4nwi(mQ2GX#29@0JVY{I7+)~JEVp#a*PNcdyIIHl&Ac7~z0IX+lW6zxE0wT#1kBP%?>MZ9&-Ofy22)xh z?W+wU(b6tC`&@sJb^fu^L?R=N4?%~dK$^zb&B6M~CVPQ&^lptvp;nbcp`s@DZ|gyL zh0I?K4QF3Vl9I5z$n05kXyQ7;{r`-#cjtL%Xb|y_ueEwUMM)V<&Haf2$zF?MdE!$T z;89NGlv7%tH|)e3OG?6J4%nr^!i4ca7pPLEL-=;#d>koHXae7{YPB|b(q6uP&dF*eut`uAuFHpunsp`s$!}vwUq5>vUNZE?&j# zqjF!!Wv{^Zrc00A480UvcA8x>iy+5&8(FX1@^^+Rvn~f3mnUZY{$xXoFCTrRx(@OW z;X1jXWf5PKA5wjbgRF8Y1c&$(1d^>lM4~odnq+3O6AK_K*c6T-n0uV4HtnH@n$XoK z^R->pw&;YASvNx}ihwzOk0dRWGp%-=7V=~OgZ~)-DE6QQ%`JXMSD}XsCr#5|B{emX zy=O#S44_nICpIw9$V`hOm4*iUwMuAIes=Thn3V1^vj6l6e$$=Pd5f&_4V)-*h123i z$}nj7^j~xRczoDs)FIZ!9D3P_Tc7m-U1@X(Cb)dONT;BCJUy4THhH-2vO8~$FES~@ z$cG49?I>;Sc<8V;L9Yo(?<-Hw?E#Ob7k*B+IqY{|n3P^NxoZ(lhy?LB7E@n1t~4>U zfnUfaM{D}f?Ub+u!pbvDEI1o%XKFH-V+?MDN5EHU>UUxLtnL3ewzen090jEP+(JYD z?v%*tI)B4!XSdLB>!<$|mAt~E{Z(v;=n_Rw=!7xg@q%p0=g?R*7#@6jy?j zj1Ti(UIM*Z|D4BdQ1X@|Si8s{_qxI;d-?tv$uG6$Bdk=sM{ zQP!s=V=x&B8?q^Pn0!HcYGK3#=Zv;ib!`~_f&e$S^X(NEDGEaE?pRR~S$34cJgXa| zW8a&9u{0dZIa=#6es|`4?xtrGJ9e*pY8&cN%pP@H1rT%N-)g|4cfqLd_=M+T@%S7M z880L8F+Kux!ff~h2Y86P!z(yQ6S^zE#)49{$@SPdjz>>UuuIe#36VIo&?%6*7#PK{ zm^2|)$t9B*O)rgchK;2~+(t$+VWr8aB`13EB3x^PK4SSH1C(Qoinzk_T-8 z&v<6jMCKbUxBVZIq7P2#i((cBsL67@)9ZVPjoapm74I84D(u!MrV)KQgqhw_3mV`h zC_&D;BkDEz^V$sST-G+dI@Qf>Gt15~OA`Ihq>B_FuRcT^b}KW89<>h z_pZy2v0YeuyTINZhEjHhK2(rAyfF|3iT1wrN!-ul;MTsKTHL!}ugl^z&vVyarEAJt z7Xm=!RT7vywkzFI+H`6-h%yESSO);5f*m#Lt5unFqU^~{=UyB~xD9dkg@Ufaw43Tt zlmh69C*d?bB4JG+B?&gmUYMCXn)7?+G-0XbHCTk*M1;_m$ksrI(q%}4mw#>E&SD4u zZ_4@rNFuT_yFTQ{N3sN#m;Wl4UZJx`unP*ZvxdQZT3S`hAkFoy5To84OJKCmaHG*RHlvZNRUy z54mXtKu6y?4SVce!{_fYW4iiy3NKNpbDYEwn^h6aDCAhfYnm>@#!!a>ooGDa{#Rb6 zc6J+QyS6tq+!^l0;6O?-eo_{P2y>M|L)3q=2aj0SC|Y#(Y)$LgNXva7roA1un#uNn zL6FhO93Bvy{{a^{%It|!m>R@T-!=*b$Z}tK|2VEzrs4JX#CXfy8fRTm$|`*v5fKHo zDCU`EVa2%@D3Ob{@t5x2tiE07+S$Hz;xEEMBdgt0AHLi?ow&DrGG2DG5#$-e`N7P4 z)OPGm#$jWrf9=kKzTSlkfW#km8CX|kKM4p1U_Q+9NS}o2t5y;XUAw#XC6Nv=_ivxEo zj0!%)OlvW$;MES~*bt&k_OS(KNq5bO3~rt^=6)K9ESDYp>zm_k&?_ZI@+f=k^+if^ zHt4?zsz)D>;rioYAhXKEyrKx6U z^PfN#oCX0VGC~&VmnB5;Xgx|rKM`{9_7L|jnBYC0TO`c0q67Vpuv8CA)E69;bDUix#B=nXjCa7`>e^jd`PE0xhh9%mAvO4-b zc0jM@^N^Q5GG;-MtO5^oO5!g=&_7wJM?YgikC^6M)twiD2d1arCPO{)!3fHg$RGB_ z{Ez$m@)uAt0_So4Fo4D~1_>ItyWdo=hZwWpj;YXr zRhMOHcOo{6IS_A4^%#2`e^W~t|Ab-V(u^M2E#&G#D?$rpweo7!C}tG03ABRV{rOvW zK@vR;jl=j>Lk)G0-gJ@aSOd7)Bf!3X9~vNT^Trmp;6$x^5MFzHj}$u!u;LaFxV9&U zPu9EPm1MAtkYVlU0tl12C*IO{vy}7BaKfp&Ix4OR2Fvfo8yScWh4}|(%9Af zxsym2<-bQ#t}p8+6w@$NJZV;=!$~458kBZhoc_0(C;7SUShD%iXow2*_a|w;t@puy zogw26sDQ>OsS@{iI?llqms7_BZZF504@ zqRv-4%y@~A_K2ZwK}`YT_kUagl0|TsTJ~p-HHW2EX#wY*&iLgVWki7Wsv zj$oE1GTN5Z#NJ!j__&70 zBh6Ocfopf8>s??&sf4MB6k6H%yKs^ubTpy6Kez-im@i6_Sb+x;x{_K+34fEY^YLAt zj7Ug``?>7RHd*l*@mtx7Yz{Io##Ae8BlA&#WSn&bilFI*#oJQD##&1w^hKCpgyU2p z!z$Q%A{cLNCK}I;u4jK zf_%|kM$|Oa0Zfva6w>aqZoZO7$PAPqAX;lgKdYU202_N$AbTCMiC|p3y~FLy*mIQ_ zkq|c0%rgwi&inr`fgwnTpqK2-wO0Z(@);v|<_x3c;>)!`-&zN?VtL>URuntTekDA1eQ!jBBPF$3}PH&?w{D@4q`Pq4M4 zP&=hwgdL2}QM459ejJ_IBpM%SDvsAOB)x`pqUE2u?fd)HB@r!k@cf4d@TH|+qs`Z=vXzj^2TQ(V(8P7@C z`);z5b;-TLC@aJiRBNX?5g04~my16t`2lG~aEJ}T45_YMDMUyJ2&O9cyBz7otje(^ zS;IFt5g0NEnXHkz_Ik%D4;||FO!nkccB5(yIQg?Y#~`4vq4|}PMd#O~x3N@D08@DQ z^Zm01ny(tP(Uon*=yNJrlUIMB;_6u7vr~6V=)qD#fxwtUs7KcPd|z@m%E^n zVVms#@D!dA$C0O}jq{Df@Lv%Q@S01Y^6MGvs_SF0MeWntM(cgNL2fH)b0OCzk0Jk* zmP{9zDSK>g15Kjbz#9;yk7A~1$Sk-dL4|1qGmf3u8Wzf^qgn|2WXUU4;33F=B}E-x z?RL%#4SYXpTI^6G!F5DIf}}JF-0+flA$`&kCqI@nE9+$zz?Eq`87(Tx{yl}P?{}TV zqqmSp7y72%X~mAbKA@#La%=jgk9N+5nfp+SH9J~-qtdt@#}&vo$*4^;Fc#skv2Uvc zla6y)^?1P|t&VP$Skd?R%Z0{!5-3nhfl9{%uT}n>HwB#WKW6l$KY|%Yu|8&M&TZ^` zFdS%&MmwscUV53GhOKd8NQL#)PaoNG)6LJ%FGP?lTrMky$p!9H2M2Q?2BR;`q@#T; zH%na&ZG1>6yQ7bw!aj6SIGLRMl`GGFG&iiI^+yy|CU5L!Mh@*ez@@h<*RzGm&ktUk zY zolfr*vI0!3z7(=s5zsVy-aXhty=ZVvaq8QjF!Q(g^S5@#CM|N|P_{7Bc zygNbX9X^Ag7?Q}%Vusj|QIRF7%;g%J-Chl~e%VnXhgHCRwMrCf6^(+=5=vh0fzg!> z^9Mk+G9+w=tTKy!P^sgvw$%8?-Xs81(iW(|e^{UgQTSjMni}|^EkbqHR8u8nsz<>?^p`gaQWdVsMnivZQ#JlSP6f#}TV<>t{#OAx$Yz=v-ys%a6 zx9>uMk0XM#f5WOTY^0}X8+i69r$ao*CG9UVzM5>;(&BhWvqX2eNIniE*QJx6(GLu1 z$ZBl7_gxRA!A3IZsYddh?Q#TMm2CLMs8sG&>05-R8V(>tQ-QV;Itv+AYMzYOnSFMd)e@T->uvIov=Vq=NQbt+J;Tz{5@DDJOU2aCy$CMn^u6B!k?Op zV>#>SY?d+}4n;HPZFl=on9h+|-kJQGs*BZAkI703M^|os&S2`k614vPEfiSa#NR>z zu)3_9$6H1TI4X9>Cc= zWzo)5go`{D`$B$OA-KvfBT0z`hkZ};R-IogH-~Tl*0KlQzg)ZECJ5bnOZ1%ECLXKOfL`{h+{(%u&bk)U1sbe zmM`6&ED)2BR6~!Sp9Y5gg4xARp7uu^}*b_cM%)2?7QIfGFz;cJwbfLyZpJOH!!C1(!Q2qzzMhN!- zGE_h>=qTp%pLU!wD0r7n9Lf8}G4{N(?(P0sOp1IR?~j1v3#=XR0H*c!q#CUJDLx(J z(Vb!}fmo_7+jdN&ArfZ*$>VM=y7 z`IZ8HIPiNa%e?YuwlvD%Hhg+y~5m0>+;XAaE_z-}gs(U>=!@)d6~Sc8h z<$;tdb$$`?ma7S79B2~Ow!L%hC~9pSnX$qyd)Qm-EL0P;f9r;l1Bs>a<`uP=NnfFY zAc|o2opL%qE-S7$o?rKF2f9Qnw?gVaeE$`(z;gFCrz~>xPjD_P+oo>cyb3J07xfqz z{BXA5aek_86A-%_Ovy}vR5|nxyQp~5v8Y(2W+z+A7YBriYDF60y6SDxa<6xg-F(S5Odowq=4$ z!Lz@lp4l%4Wl8Bz1LdXv>959OyGVFv?!6K^a2>$_v`P(lm%w+opvB68LgIymrgZsF zHVYK`-FHu3sVC6t6#8F7EP%wBPfcjv==W4sq|r%PUzj#{sNSqL|s{o!x{0 z?Wo$z-MJPh`S=AZ?)k4s<_>A=uyY4dcHHUd2cvi(^{H9&dIERpGn5$(-p(x6e@}<8 zv$1L9D9?Ld^Ne{1*jHOI3n&ZNdsnA?b)=`e^zcn{@=Z7U8MVoIc0FB<#1%++w?bpH zg&Vbv9H}-LJMjTXrbJA14jbDW^V*lOsrAF+@?nxN0nx7v(6SzVDU;yua)O|&K>dff z_P-4p&%8;xn?awOhQq2#g>?Aq1RT$h$1d|sWo-uI*e>9R&es3B)h)FLDR62^)c^l{lLCC{$x)nbR_?lCtN$9sjy%l5@o#D)zDP^*r!q)ZL)<0!t6KC zcU>t{8mpruU)B~5hFv)J*eRna^}p8bS*NMNc&Gs7SEm$}lNk-BkDmA|IpP~(WbLam zwULmk7WSHBa8hsX#z4=7Jx&j{W#}F4>pwXsK#%-&ayfzCy}YpbbF=KIy{s$wh9Z*5 zqJMgNI+*{59DA%gev$Hh9tXtfug7VGIm)4nO^(j2u|zU7SIrfz9o`TF2kr?3fM?Y* zdMsKLMrrq6GBL(qx_BOQTXR1jB1(Ja*4`nTWN*PGz%K1t? zcBA_|8FpXf(uo%2RH$1o<%HUTpRM1);NgLkJAxOAik{)%-DOe1u6@fF_7YzaP!pJY z*op0_e)BaQSZITzhBfarZqj|7?S6k^ZJ|!BRD3tl403FC#_&03?4btINHW#`Q87`^ zjd~^0Qm+A^X?-2v8BZFxN+k!@;q};A!x4)~Z7t2W-5TT{QqL#M9px^{?_g;97_fzk zMrl80SbSAhFcNwwMk2kq=Ev|+O+o$uDOY{_FUpU*ti#FN=$3A1 zG%O$5(r`-ameNSjeRX|vFVvf_Pdfu{l9f2)eP1>lPA>(J-+VzZKlq6>3#&Ytzn}5TCc^4h5-BVkK;92gL{Wb5@1M{xbek zbUK+)SftKU?r)sLh@5$y%L8PS4&7sYb-lk*iiYCQHk@MC2Gl%0hFSBrcm_fEDM>s3e3?BcULB-7b96y z6Vd7eD!HScgnoytIGtrAhpd0VPvIW{b)5^0Nhn?HG= z4k8pX`qMu@>#d$zw{f2e9d&KK&^I{Z>$Q-Lx%(;S@|1@VHxl>68k}FmJUsEd+F$6y z*=HVKpFg}2kl)@J&DN1#`@5(%x{S&q4D__2hSaCRcfNIoK%JGVcB5zTc#Z_Ua~+VN z%aLQm!teKSh_$N_j@d?Ez4A_ZL`RMUq#RPrbl}`;HIdBW7&`24c0>9X7NF#C2qO~{ zoO@wG=WPyCMjt`4R~2@(20P@xBo+XZEgZLDlg46B$cFM98KeQ}TYqzLF`5$e)>GvIU!;_ZZ zhdW@e!Xl9i>OS$_RW{NzDl)!qyxQv7dcU2nn1goM{gFC6g}>*>-1lKsAYA>i8Q?7fZs%sCj;7y=xRs zFObYotXAm=iCQ|8Sc-i2_MKBH9b8(8*?1+FhHfiVMbrAB*obNDRhEpu7EpMk1>~x^ zPA7h3OQUTSHdd7^nx)#_@t`+^53D;iN07?lB)ws*CD<)tnOEn!E5v))fIpk?@mN6` ztxB5X<|_B)0tl{LAT3YXY1-;_xHlZCX9JJ_!K-nVXKL7(fal9@4U!Qu&FEiG_LAE+ zVvh|zl;Tg!B>V~q@KaJ{R_UT*m@=Y@rWgAbY{bvRlakFn!Jp9scD6}HTuf$?0bU0a zFVD?j{q;jhMBPa2A_d{EM*bg+1n`-;_t#}a3e)_oW%FuiJ$Rh%7DAJrOHJ5NrYe0k z+ru^33i+KEc@PQ1gG+iy+?FJ(wnS*8%29jdFHR2Y35VarS8lSc+9b*g-$rcth@@OK z;0ox%#M6|sgl$$4tJ=8<(oy!Xaw~&i$qzw&<%GklXOtk7fb^Q9wQ1PJjcM0rNlD4} zL{Yfg-PJ+2UGkXCck+P?cTB(${gE^uZOAB$?jFEKy*iR@-rl=pK5eKWy z9X4K+s+qNS*fm6XzOAT4xOhZ{NeObRjThqfPIn$ zK|GFu>r_JL&67jtElFxJ{O%BZW#_W+?LQ~PnRNpIVf3mW6f-o^!l2=M(tFZdjZ)?H zt+701iI;~TUPp8Z_NqU}hM|saqNv$-889pKQX{u`zE9!7T z;d2A)(pBRa^y`+g`SsfofFgPlTKzw^-a0O;plcTfkrEM+6eLC92BbTs>!w@j4k_s_ z>F(}s=?3ZU?vn0q`1XxH&w1bToj=$pzrAPAthMG^v#vd}7Q{G!gL;nBmNpK-HH?XV z{@9WGDY0Aek%c$Mtj(fb%HO`39KYfjF|JyblqOe~$)}q5Jh_6HLt!LZV(rCXpS_*b zBw2#-Mh#Pl=!5V=$>!)?QFhHT{bG`u*kauB#ZJo-`5nchoi33fKT!;| z2O)<-G{oBLqr{QO^t?3%O*b*w&}BmAg51;1w~Na2M)6qZrv~SBxZ7>~-7XcGfX-1;(}5^>FEHa9a^108OV8 z(XH~q4ii`X##7s4$c%}pJ1c}NMW$pfw)~1G>vm6?hwzD#=!u2xWYhF(wd7>e>fc#s!tK1( zOYPY4CgAPVcX47z1%o>9xSZNrpQjWl!H54@-q_Y*8-Y zt!-?otdV$Vv0rlyrcFpUM+}~E+8_aWF&)48G&4v{-{{TzQUW}c+KNFehX`**Xo^eSS1EpYsFy%e zA&Ayd8QeRD;UaQ3KmKr`Tb5cIY5BTZZy8id!oNUQIgs^>$KHQulne2ZtK(^%qkeLF z+MD2Kb;-|RHM#^L=^6)zNW&vzcFNS~uQh6PbWQbar8BCFPTN09S9w+K?WKKk^nO+o zwWOudX!UuGCzIl#eefEa*32$YxY^ah`$Q-N7Pu3#)*`!|18=sy!L{eNjq~FRa8AZE z(urPMGRipfM+Q<#0v!d;(~5k(+1a@`6C?qp<8H0JxLA^#YndE%fIV1jLzytP(UKYc z%(9%eZ~W1TNpQTp&i;J=^JHDDazQ(PtP{$Kmce7@@o^emmlqlR=F9bj>T2eIL!Yvg zPC3<%j8CLxXHn6qxY)>$ypE7vH^5Gik^8;4%Vhq;JtP6(m5DLmCV1xz?+XlomDpQO5cyeN&)Vh*e2 zx}<_;$=$csUkDzs>n}cuqY``?!JJ8P84;eF?SO zQ}V})YSMKPuT|~cn5-W;siF#!*(Q=Uz*%Oi=8+M-%sH7jdDGA2GYZX-8OoN)gK0W0 z0g~9Th~_DU;1yF)5Gq|bMqCFa5k2Y?<9nZdlH<3;Hw~O%9}fj$Ks11WfHOK#zVpb1 zm#p}4gv6qVi%v9BWn9ct*Au)EXcJQtiu)X_rPfok?dVqi;|Dy}+dVlFZXb!0C8w>D zOowMFKZ#{W)FY2qW}AGYXZ&oGu(`4sW$YxQIMvhD1^3Q=A4k~C)-jW3?6%wj&v9t< zDxSNr;CvGA6^`z|bpg-~5l7dWyG`dOi)25NPuAP}RCe5s4j7EHx zo7sgsD>S|1d|D1Qa--!a%=cwE)ufG)*=6ZO_A)5?%!v)_(G!REpBQF2ed_b=&3Sp# zX~A`-l4UKwbTxip(!DAyvXq=WtOd)Nt#RmVf+Uz9>t1nnLXxKgVgL*iRTC>~^P>wB zX*+pv$8U#wpDl`_VF|48te@sZ!=;#&@d0d_HS;WHGcyYdoNhyUO6m$i7v{2l z+%;8{ds0t#Yg2CK^9t(t2lM;en4C`D^&lWPNYNTFpuR;(Q3@j9ac%o~GCkN6uj=TX zV28H%il>P=f4e7TVKP;fPQ5HsUM{7tCi$b3ii+0pEt{Bb5FILw6dNT8c#6f~`xuAB z2|;=L8smyj@A7QjuR@~#Xz<*P`%m)@vAI#gb}u(H+VV}}D5z#Xng-beIH(tl%go5c zq%_T}5fv%PwSQ!O(_%XRsP;{c-(_m!jL9yu^scndYHKKk-Fzr|w}iC7H3FwU4{M0C z?ANi!Mn8VuV&Yw&@29{>4T*KBWF{$4snWwuS_~Z1KawAipH9S8Rf_|YCHP#I;3!&u z{v+1=r!8!Ni*>2~{+O3t7r$P2?DcVSk~%h!B$3;ti>V@Kf!3T<;V~+Kn=n1ZrP2xY zYSLUNSp6{*m$c=Q3w(4A5&$_%}2EaAYG_{we6Zbj+pbVsYHEE zNNsa07Kf<+<3yQmRzY9EgHvJA@~aQ5$2Sh8A{e1fe+npRT287PjQXvO=Xtj@?pvEQdu%$}UrQA_JeR zBQNeCxu2g83rc1@EZE4sIU$aS>XGQC6a>}S=JV{NbwGa<(j823xxnK|l4`*6jfk3| zaFypY5!MMbb#mEz{cvdkBqS`p2TSoo|APr2>J4D`HFEH_>3oSQD)z@mCwCh2tJ#CB znpy5kXy9};iyDLEe7V2zvU-2Dd)8o+@$nPwAQ%CP~iqK@X*m#*Bj{!9zDlh=3lgf^AhhL?_$zF%pIK&*`Dd3-s{D$jv*hOt; zkoN)a&ImtDR_lCEDN84v&){%Lld0S1`k0vx_kYe!1%dku=9XHLSRwN0O3mK4=juLf z`YM$P4Sg;G0z%bIn9>b5+wH z+IzaC5RI23{6^W0i&V({-JX$>N5T33^Jz)e&(P`# zi}kiS9`*PxOBQ^W9$RVi>pdO|t5XEwM5d#oUF{eJ3HU7=M>G#yKk5Aa-T~zKxt-o~ z{v=xRjX{S5ROY&ko4c!=XjFIca_jZTmlux6-|oU}R9%$>bqs`aKa-IOPf*mEeG44a ze`=S+L$>@ErvZd43D0?UX~&2{Q7q$vZ(NPMm7|(a-)A!aQ7GsS!X^Y|F(DVIncuD2 zr{>1j$*2iYWySY)L);omga1S48gpwap=q?Eo}362F{hbue|KjRaHhIR=Qllyc}rHZ zgI0GnBwu3=mQo+fVO`C&=Wj5uH(tqxFCOo{;mcPN`XP6qPB|XDQu+RmS&pk{vSPEt zynmzwBQ_nTuP7ZIes9B9&lqh(O(|7vfBBj4W}Ii9@5>XQF2|1B0rE14-Z<12 z)nj6u-5MNYW7ptwf-Z``8ut$#qkUX+JS_>|bTkl;CbGd{iji3cS?k76%$#ajS)qA9 zJ8Zz70CT>bmb?`^FG-ZkW_00;MF#sdoZ{XfY{pYaX~KbD4ka;EF3Eb3CqIH*^qba8uy zy64=xGQ1g~Zh@*gGd3;dx}w_LGm4gJF>$xq*Cw@;hfT*h$Z6bXQfW$kA$Wo|*ql`J z_;}b&V4lMpKxcUr+2J;gNEf47*co$;fxCmnG+H98P?#GdGjq$@& zD9g8E#a)2Z)bS^f6o(}D1F#mI3BNx>=fFJVRo01TkpQ@HtzKevcr+o_{yH+~&&zgS zSVb`T%eQ(YSA`hiDpXqCe4ocQA+9K6m!AjXQuCj=~4Oe*Ix)95^PMS%@^x0 zu20s_ZJ?kyk*QoGAZ#3vMm-_)y!FFLw}^fp%|eWK)q(jR+K*%dVjV%?l-8=~{K2a` z`39L%1CmhCx=V{oTTJW*mZH$D4SO&S_q)I-b{A z;Q8i@)YN?yHI=&3f`SM*rmi?YC6yvJjWMOj%LG02)O7t*H=FJK4mYNsAU>ccz%tI= zIJCb!ula#SB}-fT1^Q}uWt~TLjl+05xFu!u29D>te{(8w@besEju%odF&FV^V zLekaLS-~xyGCOdMp35144B8TQO?etkohyys`9xZD+3`k^G_)Wt z>6?rK&#IT(K4HLp{()1mxtsmS`pL-1Z)FV)Rr+Q)*i_!?SmO+{C=vHXetuK_VA^N5 z{TtZCG}!WClOvHs{XMBRrC23_<0kF!WGkmP%Y6!&(Mrj@TIlC0O8#Dvw^(n)Zn27@ z{>aDZD*1SoHn{3IUdbUtL;3w(y8yUhxNJkh^Cg)k!5Zo*mMb0UD5??dcA?6-fgF=vZQ zQE@Yk_@N(gT(d-}GB3=%UMlZ{HA#MP-XD5o#oagonJ7=9vi7e~Nk|_M6!BG}&!_Z1 zBXfZl?wB9V&3BG)W1!5HcJPMS;1POxB9bjdSi3l++|HKkE25Uc0hbytN{bYo??v;nI#yz zEZq6nh;^uNB6(5Mv?p`4Iv5yngPAe}=aUUPRZ=0DvcK+(4(a2o2WIfXDrmTbsj*Q; z_`eQ#!kOgCa82V(^ta0EF%#~fchX~L)Pk8UXM({q@!a9!*F7A)ZjMrgt9niP!6_zN zGP{TsSuYP8r){p9BqhkJH;6^WNEA?gKxG`<;diNzeb-%gUvb*7b?D}tPn#LB{R#O~ zMSi87Pl`~S`)f~&t9;-|@zjQ_ydlE4%2ATpI#MmZG@wTn&K9_F0IKs@Qv9H?bUgZD zG`+3RDv}+UnLZdbfVsT)niRy2yzb;%!_6B(%q+z=IzZzvc0S9E(fjhB^8~((r!B2Y z)eSA)M2tDT7ZsN!Ps$>9GJ1RLqhtu@Ja(RHHw4{FcGYt*$?lFI0wMRl>eTt3$R)g zAbyj4z$BOhPu6%o&@$0__=7@Y%Bt|<&zg@&zHp{q&GOb)>(Fss?ErE&u3SQG&t@rs z)Hj)n$0?T{-N6&c*pb(#x+HIxRdmW5IWy5%Qps2E1jfpKrb>0lxdAeQq$~_;{0Z8P zb1`ZO5kvJs1rvc~+Ykf8%k4_r>IXnGEM>1W!w)Uc?mL2Zn+a5qobgiXCqczzAm)hqmWH+9%mqt!2 z1UTmLQ+FYx>GNC+rB?$fM|HbjLp}X+5vcVe-fjGeA_wvf^pZY4>g7oFD=-QON?gX( zvcTwU-5sEJbE6`MbBEuTLdQVCxUc;^p$14S?J=lEwRFe1_ki-kS37Fvz1Y4fXe%RW zZ*<_|n38pvv^W>MH=Cc)VcDzK9FN3Vfmu7K-zDDjk~PH-@l9H=Pv+@j!5snP6bm^5 z6yf1t`WEo>f?TQe8s<)28>;(kCXU2GO4nApchv*Pn~iut(4fAx?6YrTj|GR+;8os@GhZSVM5y8;3;z71Znkm8;eQr zzbNYW+@^|aEN$&LLhJ0(-9{57eE1f<1cjr^;BFrQ*%%0*jJtjyh5amn72D%H22;da zGx3|-r@nCeUFR0& z{yByQ>)(B0Y9+S)?(0MOW4^&_#^3*vPC@ATh?F;`GL|=8cSF@|g7$s;vz8?O6bxbX z%Us`^zwx3sI+HnQWVX%c9W$P$z+p$on1~*tB-zF-r@gt6-1F(gz`EoZI9>{g5nkWT>&3NvG*uBo9g7Wq zGm)Av+niZWW5piB-f|KwSe@;6{q>VBb;5u8sZn~YAKMz zi1}K&Qk3c(zNI-NsFoX;7N#3Ww;03k4b!w>uK0fmxGo0}mZ>|clf9yw&6%VH6?U~i zqd(maKULU05y>Xmedums;9y{B*t(d@@sE=eEBo~2V-}{=9TK0Dc3M80=@a;gB1<8U z5Vi~?EoKBg(L$mi@$xix#8D|wpfHDY%8TP0vu;V7m&@qKJDx$QC+)A? zSzUJ$$J?7xJ?5_S4K7ZS^|;SREx!zQ=UMAC^46aO7_r&&4N5K>zHXW~sL2CA?Yr(Y zFq~R4Hj{Mj_?!#i|5*7lJXKtGw$(JFB!Ohg8M&1P7wOxYQnVT9@Ic0dOnC782?sF6 z;~|ICM;SK<2euY!4M(F*OGJdqc>9f&)|6z9a+wBUS;YT#lHlsD`>tPuW@8$okJ5mI zu5!G9OBe;d!S+vAm$MNXR1btRWJ=xCFq1tK9lPN$wnmUSTM31I(BZxLmfcqGd^7*a zHlNXk{8VEpSUYN8BNTpOXf2>e9&H3!-pj%4M@HC<^rW&|QNpr?ryx*_R^<=lQp3pQxj0u{WYgt*1$U?5LksBN2qQ{fE0zqR~F zZDZ1nu?qX(P0QU@*Mk+>(k$ZBWfWyH;=hjS9G?}ZSd7|xRZe=b?PqqU%SrAe6V~Sl z0?E5x$F%EhES!p&{i5Qc=#@*cq?WwK>S{tld%Qt(%UMB)kXMrqHhGc9n3eF`!Nhw0 zM9HYuv`n~x2C}dNNJPU{P&1amv}t^_n&Kf|QqwIQWvhWPafWF?YmRx{Q_?Xh*?r*p zV`pSD3F_Zvg>6pOB};ZfZK!?Gc-*j~2hJyaZ$zGYv!0^;SjyF{LN1sp3oRxN>3-^> z%?utMxj?ROb#{YIR-H{&Y*u#xPJ2H#r!cO%vIpFT9N&@URR$HTl85ME8Z}xKon6i` z=BQn)md&|;iKY1C^r=r^I?DQ=-yN~#IuY_?1TPc{S+`#xx zbkCI1zeC#3(X=tQjj3DQn-Na(X&nr{34I)gdw~j1hZM`^SyiX&(_=-3?$1LUM}}#rDj5AGkO%670tq7NO+#zJVa{a}w8D z;3a?*|6THKEWU>&dw-aFkB2nTDxaE%l5v6`t3#ViMWxFgFi1dh1HWJxM20+@iH8X} zE(}E0&*t`zJbqr9FcDyu%b!`gT=4b&T=T|pvElL;EMAijlm&@ZZ3}3a=+$$kEuQs& zQ@p;$G59N!XDDvESKspP(?r#?#Mtsd`U3PU;UP&sHAc~b%Vx`;2Pitw0aCSl#gZlW zFST47`fntYx|_9)@7c^!h0A-JrDECS)zE+0Gz71g4f(YNH(JXUZSonC0jJU&meizEdL5f>mm%{?ErE5&2gEwKwllgk8wglCC&B| zLsZ&r4O7i6L+0(xIMf{K&??-RR2dr^XqI_Xj*=EZY;3VF4kQXv@$=rLSA-m_2W-IN z0S=ZRQ7<)~LzYsU0Sd~()aP&e(llV8Tx@oE7RrC{HGNa3KBLQN-eyksfR`bCX5w1^ zuvZ5<;m|-%A>IoI8To7n{i%-A=CdoK={Vn|(eBjP%jd=tP*%Jb2FT$4gF)_K^4{=X zF*4}Cv)Y)u()@MEn8>EkZI`Ol*S33osAxuG*Ly?CoR}Lv#asF^u7nN6kWRCF(!+-= zHH`mTsqWM2ca|C&%a0FkNl8gjWL5SjYpbhF?C2_mlj%ACVVgn!7HGXNfdLwNOwnfpH0lBe&wBqJX7y4CSqcP7OG!3^o zQnt8mQ<_{K9M1_^%$KqzBDo84GN~Sr39Cljz(a1%)k`V`+P>9HkQoZtKWj*Z!ElN} zD&E_0m%GQepGb}7XvgN~@49eX0-O7EKCu1xb206v9vZd@2f@PUS%g8UC?G0Uru@3y zVzMB%eD*tcYV3qR^CDP6qW9^g$5R`UhCg3SdV2aN@t7i2HtUOB1=06^2RGsTy~-`@V z^<7kg4LZ+nX`HqQuH@}7-ngtZw ztXF?SNB#f>%^-9u(;@ff(q@T3 z?ucNRb9rm;;K0<(OkG-9T3HzZ8CE-hFMWHe_?v=4bQ->279OYlaepigjavOgi5dqP z85s=?jg?j4!a^Y%8yiBx!$U15JUo0-nUmcakn1awOlo3cqH+!~Tj%qi>CbiG8U+reRGCoeYl`P!8bZnV}29>RLoFs zn&3{y6y85KN{=9v(HqZ^cq{iukTYYWznw;-nTjUl0~C=yDVADN!>s%0EL% z$jEr?En1`q63cYD=jP|l=PG9h2EJb%E_%KSffb$+<5QuYshGS}87mXFZukHKTNM!5BCBw!cRS>L16;l@A$fk629 zDex%x9V5~rA_(a3%gl_8cXxMh&v(Y%-HikV1-6DWh*jR2~{<;&&LwRxR&b#|tc!(WVy zc+=4>YrjMsdz}K~>v(Pt_#zJ!qJZT3{ufxd)@~K`E?aw=u5!Y4b&k$-mAP>cJ-%g^ zL%3<@;{GM`Whacn?r3SSzaN*~dOHf_I&<_f4>{+nV)55cN^jFriZojAQ(>Z^BWY+I zd+u;?anatr`-5RfXLpFM)3co!s%oK-@e{g6M5ITRoFh9s`*idCoRMnTV`6P(B`+rq z&~9jOu*45a| z>POqd1rQc#m`ui;+wHlLABfhyC(y>m=5rLf^w?`37ssnd>4PRgH#$0_Y*vR;o`OP? z6m|em&lCFC0W7oJjim`oi=mv7!HCDnuX7=%zk#oa!SVM#*6`H=}6{ z)>^DO18PJX7q+%$+$ZW$Z?Zc|NrR;=NMf~op&bw`p<#1tzEDd<+E$Ix{{p(Ft?k9K zUu)%<4Bm)1*{1iGuf|L&Il`g%v?*!s#r$ic3LWSR z-(e`==(pPzdBf@}-HfnjUxu}s$ByG~G&~ZBW!`eg8h`#Pq>*^Oo=PLR&dC;pWCaXf zT3)Z($e%w6ULNEX6m+2KqXk=$r0KncgBt{9$;xjJX}3ygTbytEQ+1PIEiKgQOK==* zYj-!<$B+ERznlL!oNYQdxxIiDwjUh`?tq4q@OMIb_#gS@JZjsO*}sBEM?0`DMkL+XwTUK@I9 zmN1Zn!q~e&bu6=cIqZ#zIS-V$X~!sTa9LFqTIM&{U<3pN_Xtle4i69L`1tr!ID%BV zBLT37*pICtJU`G{wx`)*z0M#*R1^&S8>+9B(vQyi198xFoxkv&?k^N=*gzM@n-fpc z;Jra1&hS^(zkZ5n$cfxyJ@<%j8%)%(yey^qGYA~1i2L^A7*IeDe47W#vEH4Ksq#}H z(pW|u)E3K6Lv8m#Za>+dtx(SVSSoG=n$ywO_c=zlj2um58fK1(iBTqrN=kb9NpK_U z=>79VgvgdW=dEWFI#z7@+FDArQsXNm7{aWjXqLbJ0mI+*Fs5g{Lg-?YkL0GmLBj9j(BRzj>Ec0@vR$!Ij_f!9+VX#c;6 z*QnG0%QDA8X~VXec@@mpcQqeFkD<+LX(_|Y%R8?vhSPyALiARkyu7?ayRhFZi@@dS z0i{3YbM!enJiM<$VY4?$cw%B;a<`|@pRKL+?bX$t-Cc@!IbhjUu0M!V1jbzQ3Bi-l z;tN+wd%V8Ag@c7%B?gut@!^)>A|B`KU-h@JJ}%r(_kr2s?HvtWXzjZM-fMCS3O!K1 z{eI;)sqDc=^Wq9inlm&CKt@M@5VB^DWd20pU)16=c(ocNl`z6wW4=sHMb+^FkDMkV z?#JOmT|9#UDVezlSk+fP9LUEf_7IBCHP`IIQT`R_m6?)!6ySZ}WntHJQaK&oy?>u? z8E~-F#50`Cfkoh5=>6-*!PgRR@9c&Kj@{8w86dsAe?Y(+6ph0bTHw@GnVz`uH{{wL zKfjH*JyfI_3}9i!Y$z%s!mBN=zv+E@yZn|5i34nLe9qsMkaZiz52s)MonG214e(M#Med>03s3}s4?lKyXoRb?ggOCpe<8U z{`jOKG$hK+yZOD-yy(m`qFDk1A@Y8ka@(jSQo)oI-vlxF^y3lCjo;Ghy&s^?DsCMS zWv-1Noo+%dxlfK(q{1C?D}h7{KS36(T)J7Uj8NE+gi^qNook0$8(r=$ftpUh_8*b4 zlGl*%8K~vr{F;*U>lUBt;k|vWTk00gx2oZG!>#T?3Mz9~m$MrUTz_g5(VWHP-EQe8 zCK)cDc$QqUZ$OqWfJR$zGn8sEsiFCrzP=c%wf_PV76Yxmu{%0#AFhHiOoV|%*g$q& zW4OpAgQ6CNReKHPOSXk3^Uy11C^h^D&rR`-p}L-z4R*cQo6Gw2w!rN`dgFa7`+G7P z*SX50GyAIzaNkMu0m{F&L#}*VT+N5w2F_yVKZu-gfSw~?rc@A$h#jX6^U>Wo%F|){ z>gurV9aYWnT%^Ilr9;Dw5ZmI-Ovu&2xdkhC<$C+m;URCbui-NK_ksk5A0_qC>5a$IRuOn8p4 zZ5}GA=tmXFfEyuE7Z6K7i}raethUWP>`Zwfy$d{Q_#$xT969Uxipyt(II<6>Be%$8 zFh{PCy&Did0V2Fe5ZZlR>B?|A;QxfSt`SLa4>9t&n?9&odsaBVw+DchocK<;}c zYW~wdfMYSC;&?ilE>hzaoB#9~UqrS=La*^b^=|VA=)JJ*bS1&E3_j{9jMmU+l&*W01)`H)PB$ znPpJ?w7p2sBEe|$&^~|9E6c9)(4OeF3s&nY3H#15Y@{QVe6R8>hi97b`K3UM(q}h9 zgMR2q$+r6o6ZvK6sx%60HaK7ALIN^!O7OnEIRK7dLL_1!m^%7jSt7^*rRJzHM{&sA zi0-;`v@`e9jB3}1V*8grg6p2c<7X-W@+lgkfrQXaJ{6^G%`lUqS`G=)y#7fh_uW#C z_jgw499zVc;J~^3=dpqRGC=?5v9a#3;#UUygT`Bg-7UJgS=#Hn#GTp2SymC7pW&0A zn+Dj6=SuE>xdvlyjmUCbM$+1!h7p0TF|CKcQo=H>Ebe2q!aZZt#f5f17tEk*#ASC* zX?c(4Pytp_Yx;M5|MZZy$zZ%p7XL*1MC`LT3YC!YCZ}`iX<}3XL{Qx@vwOu3=n8@h z5KDv*HIT=cQW1UB)WS#hrA6}Hh7B5Zg zMee`mVb~ezyEh<@(UP)ZHG7oPcg1%K?_+5ZQ-suTO#&K+*vEhSBqwc4e!bCIKIOjr zp}3Ss*^fJ46`?`yf$#OSNd?D6?@ORpf9J;E2O$zCt? z-;7}uUk}*i-lp{2dwW)PI>Xl7UO7KLZW2#leU9)Sh#_bqlyHJO0;{5-kSC-nG;mr3xIA3h=;8Qb;IF)I@3XwxwhFfcwAhXBW_5zw9HTkhxZ>n%4rX4|1Ik(iH#+28J7{F^&}0r|B8C2d>?2M1vEwsk5ayJhhp|mx5Ge?0q`&X+lLw|ocG^{niX?7 zX%}-f80S{uGXTa4c_S;+KSzcF0OT2l5$l&jZ@SNB0Vi#7n5iHC$J-zSc-D%rl@{Ml z3f~y54K?5CZ9D{8|0jq+(2t3L>qR!vK<%up-0LN)|5qah!sj0sj69_XVnS87P9b}N zAZYflDTow|xpxumg~xthdSVIv|J@&OEG8I1wd>`j)m;9?-zo$U8-~35`E6dd?=mU>wW(cULoV_mlhwm+r58Q@}^IeDLO;flyKLZr1IH(POj880^#e@5%O`xGB0;? zwVB^;)pi^c1e8-0GEc1^qL;nm@6>8pbZfRVsBz)guio9|Rm(%NNoIvw(Jr%BrY7L` z(Wl3iFmIRAtZ;l(ZpkZzw@Ig-uqlUfXUsw;;xC#noNfBFffbZo&ttMJr0;x%4qb3d6QQW*K2SG$OHquzTp6F6a z(`+zMI$|nSL@-%DfhMG}UXv3+(dKkGexUy%CIT6e$4}td7hO@Rkfx}g|BVjZ3H%KT zKP?ytB!Sj|fx&J?zlFk=Uu-}!xl({#tbojwyP|e^bD8F+$!f{e+UIxnXR6N<)+3*ING;gI` zS#(V&hg)r0>Zfwp9qJe)Rw%FD`y8cmy4Y^sXNxP9w75Qymg$fJjJ~sxZ-gMy+`P-x z{YJl>0rh?$&uw?Ul@LdkgpOL3c03RZ#^acFr(Cxj@{G92)qYuWc4%g}xVb~Y?>u0O z3JcR@Ln9Q4KP?1KEJu-l9Z8pNW^E))#|>yoLT6h&MSbml$|d7O ze>eT{XCP(cu<1@;o~i;eam{hHF>z&qE%?0OmQtLrCH-W>;rBbb^DK-U4;FNoF*Pn)}l|L4H zPP7tWV8ZSiK4_ucD~EuQXR=&M7vV#;Ta3mtJcPSra=1};RQG(TX?}uH{|UFR8`hxu zgVjz;ZxV=$*c1GI93KAlnz-)Bgu`Y~JM_M1zQ@hk9JkY@^T8(T`HLR8-Ko6ui|PCY z)r4?KyFC_9Dn6^nu2o#J?^#o%QKTryom2sgbw*Sut24B#JeWk{s9~axS37H?`JZmu zi#gm5LV0fIPa*+ZVN(FbQVLhU6GWm)VlHsS9TrGF#{?O+P&t2}8hDTLU`s4qO~qEO z=PC>zvE(2p^e#-dj=WfV{Zg&YwnD5^Ho8B0AdLH9#P!fmq76%6n*kL00A&glKzTlRy$wLZDeXF|6BQ@iLZt%EUOkh|6* z>O%IDVo>!?xpqxdx(sZ|%*)KgT<*w(=l`j;P9XUAQjLYNX8OHD3XfYEwLUz%#N36k zqCWW$+ziX2Bl4u*7p-Mw9wL=DPi9GG%zre-TudZjI>#>(?Ot=J);m6|BQe85X24s7 zpWs#HBAaRG1f9u+<&As2G-0o=3XTmmA4R{AJuO-Kpd9k9Io|9dV_osOC2{AfM^r`p ztgXInwp_%aEHIs`wW)E9wKi(W<_#GgHSvqLisV+q!SRS}o0P^x;*Gr=ZbNqwNV6`n1PtWClRZ_i+|O5nPb}h2$zogdtcEfiYsgk&PXYt z&#%*CpWF|JJ~#9Zd_9$&DiC)Y>{n&0xVbo5%2G#uH=P|m3jc;cysbyzD_Lv~EyxP9 z6rb})gqTaXfZlKd8#6JLRnF@oSK6LmY`5DyUYt17C{4T;FmZ4b{~=NIG2r_$&aJ2PwwiEKi>Wk&#dMWl0=wqCR1k^ z%D2J_eQ*$R=r%5*9LoTUUJ{)BL}VZS+CfzixWPBlBq!c+&@mjPA}lYDo5bwzN5 z%c`QoFj~Ybkpy}*v-QX!C30qZ{n8Em-tsto`fQ74(30I9J`1%;k8Ia*5T3PBK|Kg0 zmoqZME*ZzHkvX;&YLZbicFnFQ_9^JLobWd-pV8j_!4D&9Il=_53x504N8X{NZWj2j z9fUE}yrtwCx(`bX*-Xt@wIR_BR;32^UdxcjU{^r|9Rp>zL8;2Ol_^lBH|D! zzpJg(=?YU}l&>P`QcumrviVZAud+9E)H9OMQJdp6!D*bk5)4{+No_T^bBn;`En36+25v*%+#N` zcNr=wrB5YU{*q`t@a)>LiY3%%^fkiK7uaaZ`7*}$!}G3dd~28~wT9e}d5P4387Fs0 zowgy8xt`tt94aPw<;p)G`9vp&wvmpF*AQq8TjMFF7kI|U)AegO4Hd2CD*nBC1Y3n( zIu13mm^t}YEDe%p0fso`L9a)9cQD+yDXRC8%=Ejt)t_<3u9+Musrzs7u0K9fWv!JI zw@ja}Z6a7o+BWHRy9OphLBo~R#{b$BC|S-}5-3q%aWOVwCowe;u<&j8jJ#il`rC*p zaW}5DF*&@Ys#f6UJ<1 zl&9y-RVJ7}S1$5?91XvLj!8A9mP~Gnn`w^g*G>}w<)w`{pie%AA^v81zc=d}nmT}e zB&WzOWtErV-Mb(%8G9(AxvjR*iL&Oh@YMzQ^cd6QAa9KY zRfLZV<^Bvqt(EbX5|$?D@Ey2QAMu^jXT$f`7D_OptN6)E} zoqdOmf@>Sc>HWmYRWRtn2n+Af;!h_M$&Mf5vNdxGyhCQz(EQ zmM*hsJV_CoF5w@LJDjp>r~J4lgwFskEV8yUg_C_g$|JdsrzsyFWUbe^PuSu?9U_Hy6ZOtd z@dvYs*uMf3%fh1xo1pk<6UbuAH)MNq%9QFJHXBIH#Nc|k7L94ws5KoyVxRVEzR;qJv=vJ$c~2Y}%D=u7r^qhm$NxX^t&jyzW8!&*Hr=>h;dpP~tmUp7SGkC3G-x z&(9P1gC#csKEAP1Cky-jShhEs@W`otJ)L<5>S^Ys8-*-W52K6K0*h@S5)F@>`(x32 zM&rt%r+blPHNiPTuXi_`9ZW%Tz&>0}P;QKM{>lO-3$pxc=WgWlgPYlnL0}0xmbmLa zJe1!geH~yQiVlYPXiHH5g>BR+Z4I)ZaLb~+!iQL}YBxORu@rzGt zr8$|#k%JUZb8CV~m4>Rqvr%8E1jc_(Q{C?XPWxh+Kj~BBh3rjOYdV_{%E5thGfB-4 zIF!_^!%b}Uv-BnA>F(XC-IRtbtMonz*WClV$2J9KUR!Wg&dzTaB|v_k%W))%e=5$_`iOys&rIDOvu(i9kG`;xD}|*Tq0*hwmWeyW`RIf?#%6zdjgQ z9gCwO)hI%@V`KzgM00tpV2*WzRsV>?LFcj}@EqXXlkI(&4G==Wv7pAk=ye2=LQ8@XF|fwiJqFn zrM<~cJZz0kj1$w!mOHy5LoU0g=U z>wP~_Zc`Gvs`Dn!VC0ptU5m1)!hP_O!NX)v zTQ?@MY_M3IYUk18Rz%BJJuV9x<&eYa!rZquAoRof;f6p#B7`qHT)k#A5uRpV$TXWx zKp;(#@J$Siw0v+!+yqbMqvp)EApB4#Z>9{*=|}#)k9c&Zy)9^BtC)61V?H?{Y?@@` zJ+-P>(@Zf*X#a`HN&Du>?FuEmrv$Hr9X>6gpXxt05K@m|uYX-^j9l9cx)DnOYnocW zA05^=;C{HjkQeHfHN0ft?}#lLf+NO(ZO!p54!Q^iiSc=$BdwXJ% zv=bIswSC#e#qz&g@Cau3!fJagGqdj4+lPyppjsns9izw*ZLYZ(M(GbZiKd_CjDHuO zK9t+yMhOf0Y*;3z@@7u=s~zg^7Yo#kjTW9)=OZWEvob zvj7Sp7XvZIZ_NyzX8%S6&`GwN+p3DomF)%JF4qNJ7UW{1re0I(&+1OuL4;o1JQV4T zM2)9KKdFDf!YMK>4%B1UQK0d?69y!5 zQb9R1aSjZopWW(#y+qKgt%XCe6AcbluPt>znk+Yq3?Rb*^(p)Hn?PbxxIdNLms}bt zR1Xci={mj}O%pGi0!&4=yc&wJf%1HD(=9E-fNBK_WDQHM3>3c$ zc|Z2iA?vm_*D*{h3$%4SWd^g__<7wi2p}P&ff4tu1pavS_#TAes55ziK)Z;J#^rtI zjbd}%ZR+El+C7q&q#O7N0iv>C2Az_(`Tpjt&hm!xVkYAVV5U^{ z;~@Uwb6!a@>u?m+mD`I`laocGQy1tgepgh{kU;9xILjL0ZN!U9fN-l?1jZc(!hMVc zLwp6`DrK(akmVQ*#OhvzO#peb@7c|b z>e?Z-?FlYP?6XcYsW3RwCIMCTLy9dXo`&h!)`)&>rc)sY9$XsmPQAho#d+dM(LXtX zT#MxI|7*<5vrICg@Qh{$*&-i=X?xBJ(~F6QxCX?1bGi62ERk?9cE|JUUSwa?#dAW# z8IA*~NOq9C>u5~nWri;uK zs6+KniyKkyLEcj3q~plcema}WjRm*SE#2Xf3e@R{ni@#`s-UJz$e#Deej$GJbPupN!?IWr0-p=D>)!5FouRU7-92b8w{=!cwJ z^EGU~FJN>doI5Fch{VnMuFmtNiSYXbkN*C2J`8+re)H{{eB1FqxvbpeNRTgEZpNe$ zmMdy3FhC;pZgpWGD%XEH+jXakeS|aFpjm@0uFzQ|b^r@X<@BIfS*K*8F_NdpJWEth zDL}Ku{bf}9&gQjCEi%^E9E+RCmr2M`z?fW15IdT@1Mza*${WRDgH8)Wnr>~HvrDZ1;cEt$GEBmw74NZX5 zWt}DziKOzK}sP=!-VLZt5AlaiH|BT@YF%RHQlRDv{F zZq|uPj)F1GA4j*EIZEY{Ul<45?sM>2Q)1y9tQO$=L<(7AZ=Kc8$#_C7IS}t7(~8{% zyr~0Cmq$b4aBu6gJAbxC>js5}(y_F!_xnW( z4mqaLXjJ{^K?wyK8x3)CVnU|7%YoEL?WiNel8Zn6s*LmPyP$=8SXxv+=VE3!X*7GC z+PpDdErT3RNE))+1G7JSO_6X=_he%AZ3|#w7pxm;|c+uuQk7 zD`uX7l>WtUZ%H5qL>ha9`0EYF`r*N&J*Um71{FX!#%gyO%{J)-PT6R;BZ%v9IqYJ9 z@a9v|eA8Fw^~0V{8{+r`@Wc91+nd2CAvgBm=jDm4(nf&jdm}kn`lI0tLRYvy=Gvn05{j{ofwgZe2Kt;CrAZ;`&Quu zRU##*ixD8x<>!~nN7!wsJ^^Qgp3r(tof6LB6i?C<_XEk2o{m8AZRLzRXL?bs>hd8)Qa8~_{nHE%wE^u|iFPoC<=QT!DB zi!Jhbpn!sekz>feqWn*Eu{ZW)ms=4e>KA}Y9{PMH1_w5Oaje!_%_sG~vBiTaDgl27 z9%n27)DuR?v!1H0fQZjPejCmqF7q7@1xU-o$aQaaNcj9M78T#mfB+kSHjnX6BiT&@ zph>E}E5p3Qt0fQb;3&80EA!hqjq*r?ZiK=YjDPoW2m^?~Nz)itmnd}VxO1m!HxAx4OPSGq`PomP7xeW@*kyF~ z`w7X;eF30*428++cbXTQznT<3>E5C0cQ6&0CJ6xifP54HrT+&)4FeE-5Df>XPOOH9G_d z8%!(uyc@8X3WOjaR=SwrC{ioEwL141qH91%@KaIYf33Jq-!s7LP?}IL1iY?`-%Ej^jf9%Bt(y`_)t=%dnOPKO`<{dQdhXhRRd*R}A{skB2OG<;c@*L4$ z16@r!1DADR?*<&ql_HfEtR0(3ANAzzFrlAR#xCx)zDmvpg_?~}>?i4)mOCF5_^sn#nFE8f_aZD)sG zJV%t~fO5CW?fi zjJ|`Tj|j?J)@kkTH{((AUTrS=N^9cjEoMEF?t#*5)p?Rhm$PYZ%D-2Z8{eSUDyppf z26rR?N2G%-LH}}lK)OeZ70r#B`gK;@>m~1#*yyopi88f1LAktZp#6^vmNCt+Q0~X6 z6hCmobc2EDD5HbpDWn*RCofLZ%dwc5?Yc)Y&IXUM$V0F2^;ibCB0UJ0`?a^5Bc3?S zN%y!W$D0uFK$%pR`+XzYk+N7_=1$?^jM2RFH`R3Wl#zZZ=;YXI!<)>QVYAfsX z+Z{>Co@rCe z02O1IpQ|>EX?ooGmT|M21|<>!*M7Ioazl79nHQe8X>FV!o|Ls#L07_ZqU6e0tjRP# zCXwWgZtXB<)z0HmT>9|?vVqq8KF&fFQu#tlbNLuXoU`ptKeN^LPgm+S8;Z>4r1RU8 zDkk+l>F{ljLhi3lzmKD`KGcy97dwn_AadGXhE3)O9QD35)hZe_I1{%?4{3RMHtL1^ zYino>Nq49wx0`)l_seV5h&j3Wc}8o6Zw30ZK=}l9WTI?)IFyJNWPFnUMyD!+@wURr zbDp_dPUQF5CIxbB0C7!+#of9(?zysTE*qsMKpjrtFmnv@SY&j!Y1e45T|Xa4pwZs? zK(5?m0vTvO-Ic@tXHTfC`m6DC;O)Um9@E8zd^-;J4=hXn)U1|`Zs&#Li<>1^f)Y$~ z$E_DA2aB2enWH%%SzaWc6a9$F^WwhITh=@n)H2FeDcI_9r=Tee8mGg!sEn9MG%$8_f!p+uld)TY~;%X-6CSctS_zQFzw)Xc1n%pW}f?# zs5V^#pEsT2AUe+%FK#bPk8Sz%q7W|jy06B`+pNTqwpzt#+pCOy0rO z(%e`JAc6BCfn)N1yS6dsqiXfAzFsDi68j(osCa-`a`N?55#tEC7#4+6qw;$}?moBG*-vu)eBqjtgBLMk2wA!QB>1H} zDy_!v2@FBz>P{k4>p8f%O9fIT+M+bjaQtE}&rdPZ9wH_TKe@4Skf`H^vQDW~+E&FQ z93j4b;)WC6IG-SsXDkdK)eA+|d0Lfmp0$CpH(T-3dA@#l^gyA}Y75b*`fLu84YPvz z{HR-&62G3sT=QpA?e2xB{i+|`mp4ah>XBN_H!?q~#zam;0urushQAN32ce{ zkV{uu)RTO0SnaQ4y{lWzn~i)Q?f+QjUh9Z{VD>)Sd(lZ{SR~j6id&3Fo@-BE$2~R4 zq*MZO`VEjHzg$3-*eq41{= z80QeMTWBhCYv@|n9bwj!#4EtzPG4E{Sd#Ucg|Q1taXjR)0*ZP9BT5h(J&#Uh>@R-l zKwK6hq6~Y3OXTCJrc*5viMwqN{l{Q_Y%woI-g^O%wqfPmuzf#L&lytrF*{>LVkD>$ zo}K0F@G&x=lql4FQa;KBxBn1PMfvlhjc>}gau`QhJH_&wi%ti+7{`+15ufSwXQRa2 zBvNh|FWq-xzfrX+*QwvLrvezJrIh;o_dZH1fydm=Ve=gpYm2qiozaRZwTkjeK0kjI zv&mXmT86V^$%3`BR2<8@j#mqqNnPVFw+RX4LHXL|535;l`hjN6ZcD&Sn)iBl&r3RQ zhoZ=Xj|5iTwnBW;hUs#}=-pOpZ=&zX?I7gVTKOa3e$=2qKmNKe(Q_ndK>)?zJg-sr zb*gG-EluY?T^4JLQ$>Mjl#c-CP2+TlYSKE8U4-P(wpzlLQk2gTXB&Nq#k+@KudxuU zuv^nLPgx<8^{?Uz%xqSNi~mCb*PnV|E+wEV7$GN^0m%@n#PfW6?zA$(lg@p_VgXdZ zvBdV+T!u*noGmoO4&FPsre0y!oyyPpWhO-@Rw>lVVcrnlm@DNEeH=_^Abo826n@O}ML6xPY5OKq4-)fad_3I`7~#)9M0q>1ooYDrk5J6g{9$^}^2K73$s2Q9wfNPz{&VGiBGh|* zo%plXp}|}Q+;Cxc02WUg6wLK@-*u%0=>;j3B(PFxwrU_9w@@IP$4}nw%~eO462()F zQbN2(sg+lXs5`%3gwt9Tc5ok2xMN2`%leZLeUP(Z9}y>_)BEz}8J{vq`j2vnRwLyH zcvi7W`FQ3$tCJLD8|t!!&!tcwUL>Z5G>+zEUH4DNv#y?dB=SVMH<=2?wD_6SD?J0N zKK<#)Q6=hIz$SGxY+9(&lN05SsD{A!KWKlB z_-R;F~ddRJ&(%Q=UF)?PnP_{r_^*oFU(x{@qa zGTpAmbyEY1I%RR}DoL-mWk=)C`e$D^c>XXZ}k-xR*RY5G;zcyM$`Uzo^;Nm`6jXTbI&?v=0zg3q0f7ISWe1g z-vPu(`ER3z-jo!Z+=0joL1T2e_i&m$AFil7Vb;sV~AojB!>PcyO52#6! zint!YWY+sSVKNW2Q~3;?u%=I5nyUCd%vD&{L9^eozR&GoH!;YnIi2uibE9L~U{v_@ zdW&yjbHcC14CrRGy{s&d&Xi7p?AgM@DVZ&{-BoB)cSp?-LEd(^=&VatR!bz&`F5Ru zIQ@WPtYkIKrd;G|cvJ(I{;4xj{cB3(zkZI((MDl&Om^-T|qcoW2RY1#w;^Jl= zu)N$JooKcz;UgmXr6!$ssBE$RncE4IMr&CPa6~T>igW*QC-_py??WDfN0!-mgy4F9 zK8ld5SBW|Y;iEzO-|Y`nhrgLgyB0vY=+{T6wfYMc08th&Z*`rq!7ALEz20G^l|=7x z*)XPE+o0Cm+}{p;tHoWQ1*{;RTq|)QBlS*B-SyUFY%!l(z*k{&qm+qp9_ozUJW}A# zE^&`Y29M0|cQ=djSyzDn4~Jo#XaOS7tL0+U`$3nOkMP3siSfyN&yWDT(S;`gEvd*b z`U57FDqDslKdY6-v0japLW|kj@f~m3paY%hUs_VjE%u1xUdXh%N~anNs#ZOBr7PP% z%vS2pRw3`Ndop|wznG1DHpGRlAa+ z{4=;ZJMtwrERoLXA&ZEvLC1t{E1>Qwk&SPAc-N3&-{$)Ec+pMt*Qqy9UtM(>#&Y;) z2m3z2L5pXao$`Atd%a#Oi&C@41gXL&!uJ@^hd>YZn*^D}uwuah?qrb{>ZtWzV0iDa zI}lH#`+_Br>sZv`A5SE88H-~%QXHLDBL*FHc8Ofa+#O6prU_v?nbktOH&9aS>VZmU zMnb^}Rt{d}c3@?brCVNN$0^vl3jK_>-Ky zvzJqD6JYMB8Wa3MR1-3d!Jv#W%{JQ`$mXU{w86-)Wo}PVrWQC1EX7HyDx3v7;-6pc zg2?|`NHirf>`tBC9ETsqGv*ZR8K{E)9Yz+*BQxRSV)~ZeZjm-17XnX-Gc}|8c8c!9 zn=m^+-sql>`g<*wCTV_avu7>xg}oJw2+s`x*8TC=UmTRocZs=Nro&lZYQ0*`zyfyA z+Pg)1IBs3of3k-mS4M<1vu=mIay+0HDgFl$)K{5&k}(ZbMi*&4DH%x!{=SJtYTMoZ zVoCzQwU<9r?m^d`FLOA)LssdN&*L}sNnNO>KU-es?-{q0QVRaSpxNK=4d&(~7;%A( z^10HvR{U!Vb1j!r!~%WJEIAyj%i}Rn1^``SI;hS0pHaBRR4HQwy|l7pA%fJu^TQyj z1zV4~$J6KwU^Kdg*zSi4CH)c_Sk+Yb=V=;nHr#58(1ZEnfz*Mu2?6hno-PiRqb*Tb zjQA*WeMnn5bwJQw6e?+!NdVm!pi_v6jOyU-qDNv}wo`dKoi0gSCmB&G%51U=rw zM@kb+B4?<0at{?9trq7SYf;+2qn@B7@;TA?A6$0F#IiC=6~S1i4pfqDat0F!yW7&F zq_E5|-ca3-fy{AAs+geih?OvjOJ>8I`k>5a>WK_5%S%!?T;09B0S~O6`d#}Xz=Rng zrf@uPAe}LpkEp`n<0varfG8%1PbY*enIbA{(be~Gb2!hm!7H|@=0OkK-6bKL(T3%$q5z%U* z;no@v-|6~%sp@E)R7x&PlO@Sn>ZloMeD-nsfWbGo@%oaCh&C6se^0Q37vIqT-$yxQ zpGa~m=i5@Wc-(+XXIpwK{91TM=k<7G#@egxYn9R=t@*4$&M%`g2AjzBhVJ&|}LzhIf0xI7axR-7&7wSmWJW!iB zd+%LNR8dS*mkI;leYyr4Vy!jHzRAjw?+hw`_~}a!bvr_QTeK4>^}4Ce!IA|18X~fY=?o zQPnDy4<{o?4gCQl8RoRz94<%1x6?lA2RyRypV^z| z!Begj!ls{sS5PDsbccdZUkf{1EAv`hUtCpm_TyIqD~OVYF_*<2BV1pKXCg46Lb_HB zwy}4eHQoFNgtJa@ldmX!AsMs!29Za#g0|9rjj^H2L9jR;b38xR9^hqot}KOPE$&(J z^Y^uRLPd7($9FRL2eN#m&OHTdYqnuqHSn4El4XwjCDcr@B3M3kTb5UWf3lEzd;W-D@zdQK=`)se^ZB#wc( zB_ZTvkzy7Iuy6TTZSeRQ*dNU8Ew4YOIeBVlOY7JTqGk0=#9_M258}eYe-;B80r%PJeG?aZcb}v**<*P+=STd03>UWZ|F{jD*2gKote_C@I+i zufl!Q4@ddd9(7M5uJ`OKRVwQH- zly?kae{^Lf`3g;|^)*IOFc}d}7aG6twyKumpFo68C=+uJw)W`Ei<8T3BjmB5(eo-o z!p><7?*vFR1-~r-@OVn{D1R_LwFR}Y#~=mr5bxG)I#3((Teb<~O8#_$o7S6>ZS`<3Qnt0SERqj zrL;~_=_hYBMn*)u!eLISvHBZ`Qz<@Cuk>Ed+@~2G?Nze+sL z43DEuTNRL%qCR}1vv8-BucFO22}$~zHvH#+ZuNt3VTDXA8qZtR4S2g2>$&v^H8=fr z?6f1Gs`H*zWh(=JLv~$Q2>n4{Dp>W6T#G;m4xsRTCVnh^4D6td<4|^7TP}9%$<6)5$DIuO55E}VP%P9+l6YQ z!L+G~QRO)a|H&uzE4V0KOwz|Yw&&52BvuApT3d|h zyQAmV`}8$$!lbL`3oiWhv#T=3nVlD4nkgjt9B%%!<>G+BZHzWt#S$eO>1Gji0qSNI-z7@hhMgQp;*~l&SOoouTSSt_AwfqQ75(3Ar;6#c;p}FnzVh zY#;^}q{i=kgUqI%6bis5Ncr<+@jj(7mn9$&s;0p15>Vb(@;WGLy{|0%llswogSb)p zXS|fIH2cgB_&+<3!+oFeO7Z3%0)j@CQmpuQlMk`*?@Q~lTaL_3A!~GMEDEVf-}mKx zSd8^9N z@AjZ5#?}XH0B*_aiyEVlXa#L6w@G7+M?Ne~GdfneL@DRb2gf_a8_Fla57$K}_U}eB ziek~gOkik*ZI-`0@gTg$0B`L3>z_Hh|NBp!_%)w_xv@E#`D1(oPLk>jU?05QR@L(% zm*IV81J&wOwxIz0$~`f`_32ZcC=%N2jWc|vY-o(=-< zr*HpFvGx&|3ripgQ@kd~`Ht=~E4<$<;GiY<2YA5u(Wm`7aSE>Y>%0DJfSXFv{*DLl zP8{xvQ?R@*b${{c5daqH*a0haLl$^MDc-SSw6%BsOScpCaFn4j|2xEOJ*Qx>U&leP?WmaWUVNnP9BljYIoao+4AZGN=q|o9{+B zu>6AD60Tr39Z%_InS6bl9IQxp7VXyilk66H*_&2YZjNSb9bdcZcaoR(LLlc?n; z=_5(Go(12k)c6&d#V$am6GL*bICs5zO8DqWx(e?(c)#+TYgDM^l%KN;A?q z^OYq$AL@@<@R%=vNl2{DKI|uUi z7jrBLEjOzLJAKrcNyaq}LK&Q8AC;V zEp6}dUzXUc{d?9rAY~D7FbJ@c_WR&P>IF)zO|>YI8K~u1tyE74cu(6U)($KCw@dc9 zp@D2s>B^G?MlZW3Ks6y0PjXt|xg2joqhtTOeZ#>t6M8WCS}P6)5$2)|7t*$D3jW0%eoI3nXJ zzn(xh@9A8^r<{ZQrM#-P>3%6%kcX;X))ZtmAvic$&CfoYD{M19Si9EVYS5?v^w+@4 zW>`ez7w5}8hJG0p#$x9WugIsG6aL?@=Jw5P2NQX|qh!cYEzdqhG*n15NJ#5vMBGiR z86H{JJLzvYxXh=RFN8e<^HIJf%6BWRZ|B|u!JE8L-KDS8N*WW$EyQ)7E^g3B1t71j zu#jCZY*!`9-=-2{YIAsbd1>OvNF`JWsK2u6#(L^r zt*I7wIpqO;B=7>d$*I7lvqQ^LmU7qjA6<|m9PkvDbt?7lQFyk&7HjR@R_JF)n6skR zOPFlA87o#9&F5T}h*9R4+zr+z$xPRJnKwMgNRbEbs#22I{Mp;FAAgLO8yn+Jl@OV> ztF%@+)tlg&Edd?SVy)S}E?MiH@kHRank69$y1y6MFd`xkqq!f>%szZ$vk8Msx#`>( zsTHALyU^XLMTg7c`H;>^PECz>Gk>j(({ynI@O?*pk*H*yc(P9=Z3Nt$PGsN5*UbQZ zBCttaVs*#kc=HJ!9#<1D%~Fl#0Z}c^i9G!ypkuSY=qz}9Z7dYUxjvrcYfqZTZ5Ba1 zd)u^&JW^}twNyTsKE?B>88IG?O~UF7iitwx8b4{f{e8+diqHMJppAnLi||q7VFNS` zj!1gd{Vv9u#WF4h?(Zf17M@K3U|iAYE=6v5>}f>UkD}8oJ3t=5qdT}qPGiI%x{A?$ zoSbTN5K$eaJ6M?WF(^j*fp0dZ@ z>D#k;j~RK1sX-w%DA?;!xmQwf}bDlPzKm)X})vF`q|%w~2|x*jm2)IQ!FsABrM{ z0tkBMQR1pz4#Qo^WU!G+OTTPy?ihcYlk#%eh^tx{@%3op=Dhdh(#~(Zyl;d%hfpH8n9c znmT<#m5SY``=XkOePW8&oVojCp+>_u5>qDJ>+yl5JG@zqIo!&vUKs67?V^@+?sUID zactE?(fV*AAORUAox_e=VsLVSEV+7rbG&j37-enQi)v0~V!R6QC$pqt?Gi7i7OprP zagoOMKV$%t%8o=eFW$Y0ci+M!`tsEWy{9u4CMUPFuNPrX1YL~WR5)=H-j-Tp8L8QB z|L3af#jkWQTQ+sUa1ZBS>6+~Esl`x^oQ=ef)jj2AsM$FNB0StgQ;G#)BT9OdZCdE+ za&nuT9>VR!FW_5N2ZQe%D}dh-3miGz%{IQ$bLWB70ij=>LHnEKy0gn8%Hrcips8Ir zOD~z~*^hJ4ssQpVcx(lRW(4``K>TN3#I4d_Khz3vEYD1DF0x$~6H(glGCfJLW`n*x z67Ro^!ynEKwc3kmkW*01`p=lf4F2O2{)uJSs|t71bsyPvdb|DOEg-gLwP=JBNS7pe z*7CTwJ$*gNvYLOhLS-KnBd#7tp)8(x9u4)7X-8Db+7Vatn+T zGI9&8XRRlM=AzK8_b4He2X4m9x$ZUi znQ!5>t%mB)T1DM|Z!Qo5K<49dcwQ!4gtRpl3B$Ya;yc}~3@5$)z8!67L3UXtLR2hK zvNV2fBN8JOY-~RjQMa|f=)RA748o@K^(?O^;8;F=4#0z(T#CAxAgAb)XE+Tv%c2{c zaSN4saXD4*y~q&%rz86lt29F7KpP@V8gUUaH~I<;WV&xz-FMuNX6nz}PVLfr2zQ5@ zIW)7evGY6i`A%RrSnLNk=otqKc^)>hnF!@*Q9~{e63V5X`V(^c^JNKtui!r}eo!C3 znj`vhg}kWL7p%1j0>KpdYYId7+}w8$T{ZZJZ7A@Gm7BQ3zF_$|WfQ@#}~gMh3vR{Hz)57p57SEPIuDwj<{V0i`b3m}HG zszo(Oi-iS(4Ir>cw)&lG3-&(jq3s%|z|n}kcQCvx3jS;da%jMRiP@K91wnzp!j1so z#QvbFe_t)P zJ54t+fmI}nTUfeyqyJIC$oSBt14sDC3ciuXW@tY7@wuvh{G7!X^NG8N`F?;C(L$v94A zfC6_2`aSx3H)Vx7BoHYf-227ZHNE#K>n)L|NqMM%xozO8MrWgK-*+~gGm}=WzzvxD zaIT!ka4=T2+KB6MKW7v3{_)2v3h)b^y^p-ZwrPL5x|Zs#Y0`rMlJJ26WSVL~F5$;k zuVT5D=G>1TKL8qE6vU2W{I7p|=6N>t%j)+-#jdux(Wq7la-yK1RC_!*Hb#8`SfB+2 zWKvn9l9I^rOaNxu?qC#vP!}Nil|!a`Rmwv~Lqq%Y$rj+sKR&i7|0kw<#r_1MJ9scv zz+y2E^bd2tS1R>IG%Z$J7R+ixe3zFs8aqj3(m5>`YXJW8(F`7KPFm-4otS~x=;$h) zPS7HIBVg*naWU`=Bj-E6H%5SAi$GGu@xUX01`v^w7GSlDHZ&F$9YhmL`1tzL`4zg|ol4>WY~?tR60Bgb^Boxo+EiEt zn`dW;cSt4hLDXuveUW&R{NK}&|H(iC@6)^TA~gWfF*`dJ7hiS{Addh@609~mMWO?G z626is#>RUEG8z2HWPmoy7e9Z0Hda=R7H8xtXntd4I8ZTv8mSi$Zb3moUU?m+N`NC@ zI*t7Tv?h3~FY?NiyCG%$_-7&Vr%zv&KNCRo0=(n2v=vQFYGju*lT%Y&o|2S40ArIO zgphtu2pUFxm?8~LIh}ql?^6fZW)U`%nctTVDNZgf1t|9Y#KZu4WiH3##PPNopdD*DIXU%VI{(-kOWnL@YbrjBz8p6r+9smXO`qvm zO$taUKJYo74s@exEQpxQ2}{YS=bWpGnYoh0=qx>=&FfUixOH9{N+ZTp*t-xpCbVkO*;yG&MCnCc@!zMa8D=3q-uwc#_HBrXnN1@9pmw zB_nSj{fb6Lw6L&X;|~rF&fCIkVHqwZj6|+;#|uj{{R>b=VL(<;RAjMQ4q5|f@_W*> zTV4HAN;Mik4GwMggz8Ru7t>p>a;ARx0(Xjpg5oQRP6FzeHB+LzW#O#C>Uyr8K&|;F z^1k={+-Bni6%}<|6_|b!TFy(z#+JmRsiYKNxFyVrRsx2_Y;nHix`w;)$^d{4Dz~cD z?ym=6>N;yWOcPm_C16VeKJK4mLA# z8S%7eA{zGETFeV4^qc8UK~x=zi&HF}{THJL;JyANP>2t!@HJz+yxvFPSg;`#IvqJ5 z<{)Ds3ej?e!+kbDZ!Rxw`-y*n9UmV9f)}7gpgoQ!i)Dbs!57eEUw}s3SKQN+8_S@= z{`Oe)`uKRU_NaQwUFV_$ZG?lJogFA1#$&O7A+B(ke$7M3#NkQ@aJXIjGR%43d)V9ti>u2JF_vK!j0F7_0)8%Wy zgR`647QBwxWro-5z#&LqWmlj|QXekc4mytN|H!=O zUD4U>=mI@31_ps>aadM|!&no65kSGEv6qmPG!SY}92N=|SrC&F69Xg25hLpY$`w{w z4m|TFHa2#>W}iV%dl;y4CtM(a2nbcJ9hDT}u*dYbity$Jo$F2aC9OK;5~Pu#0n;Rj zIJ$-zXCi3EVT5!E_O>)VQG2q zc#8}h5)wnBRfJ*;`}aBi+fC}h@{17&bnt&M$b`;JOh^a>i2^7jLS{Dv-US|_qdzSg zWE!p`09^F}hQ3e<)9m5cEW(+TRtPj7W7f|%x>WdWsV)tP(O_3q<%tmSICVC^l8|h| zZVdp^D*j)}&xr}?m7t><-R`JSpuFkFQ=C7-KXLyY0slaD?i2)>nXAzhP+|mCDR6k? zn1052A?BHt@aB4NoeSd{T3o!UG0^{sg4}e@1~NRtG7(yw3Uxf^`u0TK>1+n_qM7Gc?QMQ)9c#bf97Z`dM9{t?6bHjyiw``ski@A}Nou%aZ9-OIVkI9UdlZj&E ziXwKEM~vWWRbyF&1b0nUmkU?u{KV*_24^#hu|n#<+_{Wu<;*dw$ae>CC~tr0G-2u( zE!Wjz{lCq0P;vvyZwqQ(gqH#q1uC2Y+E^%r;!zc;E@WL%$=Jlq%+?Ls9);w?;j$+v zmG6r=xLx>m{dDZ%q79%d6O`U#LB#!O|0y>+`><=@Ty-KltTe2mTXmNimyIZD;)lsE z-He?2?{`5=5(=?#&bqVPmHT+&Z8kjvBg)gXpDZIKM`HSk1I$Sk2@s!w8Hw@jl}LCc_soD)$o8BLJ93_kMrx*L-CWMyqQ5$CtK zDW^H!1O)4{bXl=uM0ADz;(7IaiXUr^vR`Hhl2Gl$G;g5iW;W z>Q$_>Y(~t&C%sr#vcHzB!2N{l7G{kQ9`*x+^^c7Bvq2P*0YX7KpLlh@%gXX*hs5@v0#09x_w}{?fQrWSf|Ia~AfrMg z{5SLwn~GXSmQ{2#KLp&c;{lK8$eE6v-~Ea(j}9V6pH~TwmMd}d-%7PkL%wk{{KyZh z?mWvjhU&5xd=U>SOWSDED=xV^dy0S)lB8j-OyA!`yH}+VQyBI}&oi{kU!ZZy@Ft5t z=cSRbLm3w3j&jB;iD>^Ac(Svd6srkkW0zl=yH9I0KS*r1l1j>Nr#tEQ7k>R)3qrRu zo+V&tXt=-N-RT!hL__1XJB-P~!ctQc1nK|$`gGQ>zEWifm+Y%!(V1(|Pp7M^>%iC! zDG-D_3hMu-r^jFH=l*An7{KMPu1-STAg^|2c>5)dl z5u`ntfmhzgiWdGl%)sq>N%OnHol52^)bqzBnaiu~sKV3B(rh>;9nx=1sJ$Lp-5SP4 zwjAN<*S(pitHt*w={_(!VlN7)6r zjr1+@c`=;?w0`jUqUwsxd88m!4Dv2f{>PN{Y*WTz%LO(2>%uw(wUZOI*CxG)ISP9) zU0Lb%OP4p7XzQ*0;;AL0YlHee7YK9rWwoL@)YGZDIIQ0bjWVj1z8|>qeQ{mkaabmG z)6*ABQvXk1UlkC?vPDa92oT&gxO;Gy;BE;XJh%mScemi~7F;L6-GjTkyUlCPx$oZh z_4U?jnb$;pTb@sno(0#L9>>w;*0fq~GW@ZR3u<3heAUvK5tS?&?VM*ypVladk! zQt9hg{IO3yub#MKq(`LSB9uXn2 z$B`}IflZQ^pMODDLs24X09dQbh{lG7e-4oX3yfF1LY2@3A8@N}ynKuObsW$BqQy95 zt*=C~N*vA(HsrbrS!QT}*3%2P4tj&NgR} zk?Wn}6|xo6n=SF@S2e37imu#vBww;GnTXU#RAhATS{Kr1?E`1c|Cv@4Me9PhO498e z9kMbqs9BIu@Ny)fUO_w1;6Y{vhV3Q5)>=38yE6uo@&*tMKay4lay|WS%B!wso^d&z zf0vZ|>zB$a6f!=C!5U&DdtYDQ-gtHhNK97tJy|s>LzP|!;+dSeIZXnu(vR~zRDp=% z*~v)}LgtSaWY;-3v^+cu!^8B{)Noyh7-Zbssdw}jO@5fThG9nxuJw+4W2_deCd&hz zLU)mht*AsEiJGpWLqYXNU0I3smaf913MbG$@=2J~2e>yAj%nY)qiTDqbIb`( z`^EdOlH7ud07KE$h(@Q=6Ym$=6BTKNFkP$H(v~QN+k50`Pc7Z4_Ak>$ZC!lz z7A8X77{qvbNMCC0+D~FFuDqf%q&BfQUMYy8zvq(Le)2?r^mV;hkDkc(ICz4Q2>yIx zy7`}0h)N6bHfIokkPJP8XXJw<}8L?{3e0hhd8ds2C3ihl1gl zf{`}bS%j5TgiUq`bWDs*#MOy|-LVb{gFg|5(grX-3CopUjN#Vm(6_F}KPY_7Togk_ zOT^ru_{GNdkuPoJgHU_9_ucMUQw7ui-oGWIA*8!}ipN#O4SVZhLg?`lM~at-c7OjK zQm9?mU!)0mebsP4^Gg6-@NUrQtxd)28 z#&PkD1H&y8z2HBlR2d^CZ579}{($QxCE+rm3xLsb=uiHd5hb&0u~h#{04zo4u#)5g>n*uhocH(iR)U%r^j4h7W_3D$V4Mg6f#S+1hL@9iB&li57tDF>_?kVM_d=PyF^-o!*6 za`iYP-CWS*3AtH1;cGAbr}{>ps)l5E+L`I#F(QBrVLT5Cy?HCsMJP?qq-=MmzptD3 zxOm`RrbD`+KWLM9TxTryQ`IZHDei@qIHU3+!=#TRS&zfNVAxr{(rh+$NEJ~2*Afc- zIwnU3PZE=sx!B})?R(KJ2RSb+DgR>$e{+l90_io!VNawnq1-mewZ_JDR^UBB@!!=d z4KI1trc_0lKIEVe#f4ThSKdHmN<4X?(}SxB@c{12IVw7rkZ$$&A8!l)cY`>9k#WzF{Z&*_5;h@tsY%@@@rr`)&cfOGhFXUr z)-*8*bDG^oB-9XnrdqSwL$i|a?pJQ{%;`KW)(-Yhq9qaOmM0cCl`(Lw3!IQOwgF*( zc4xbM?!*Mlq?L$9-O4~w7##m;5nPAGGkvq$?(U$^(AJL$+)3+hwjo2gs zz-d&W=8L=qH^w~fsrVlXnE|Nv+*(jr9EEl(nzqIP9FSMhD+Pecb`wX>_uQs9xT@i} zACShP-w;10vz$=ruD8e$CbQqE{%=eKI%5DK!DB~0E&y`^Y+*)-NKYLJx9smCf#TFB zEA1IukOp#oHfEqTfyiNCpBtkKpGTY?R3xq4^Ec`~K;*v41RygAsLS{1JO&Wg?aYih z2OUI~qIHw(l(sd!_OrL0))_bstAnh-ss8M#qTGV`EY98#X|Vk#+pSu`1V~)foTY`M zWB%8hhv`#h4-k<{aDd(jF9gIu8&~yOyWbT$&|L66iJ9N%=x5e{;8ighG^00!_y5nN z(Dx56Jk*RL(V$VuIrLB%kqz9Rq(62O1Q|s7&(|KGyS)`lz=)zSxq0(JyP2A~pEjwG z$D)~t@2}VQQk@~xK5>xeyLSMZ0y!z_xdd}b4&-~+Yr8`v_Vmnr)2W$KHnvTyIh^N@V zz9Ff@#95RGIoDrF`FN9{QrlMOzS-ev_;O`DqU~<{h^wiffQ{~f{t{=W`l-O-X?8Kd z(--15wW=qniM!pD_w32>`x_N_tibSZF!Mn2P$Z*J9vn7Ck7S z{Ln*R!5Hnp?Jwb6J;Z;mHkE!Sc*v~yU0QmSM(7y)5U&iezU29OOHD@wA&Et!_D|#9 zkMdb6gPp3dFm4uf=t;;)sF(o0h0^Nf%Gnen2h#T=DAlxTsC1&b*=b7lP>ENvA0kZU z{5&H)Q>6e&fbj3u_6=AGunUH>u8hD%FAjS~0>cSN?;@U0vr-`+aDAd^fnsG1l5+5o zRmvs*v}5=|^2n2{NLWzPxf2Zm!e|Kk&KXxXUkQy49;>&AjgHlx3ycdK_4^=3Nu*@` zBMi|03O+y`V2ltU`VxW8b@HwF&$)_mApY(D@PC4(0K9i;X$er+WL<$6at#uClPV~S z=0qfst-(3Qsx`2!y$gmx!)hx*YoqClMt&bIA|Yax^D!KkK(dz!y9ZlIxQ8kHn=P&f z^3OX)(~dTqQ$PCotTQ%`l_btI_6!f#G%g_P0o)-kkEl*(R&?s~vTH4OT&eAH>T`dt zEX^}jAU$29&_K9QH|70wyRsofz6yS6a@T4Y+Cjt_Dc+axd=3FpTT7qllNr_9+$j@2 z!bCY5gFL{KQA;j&Fd_V}N2T#UNezv!Re9<*)#(xq1wEhlwkq2`d{oefkK(?wziCH zS37=eeM%5{U`V9XtJgU|&m;1FeC~j=f9&5IPALDHC?*ew|9Lf_9}6ld@R9j4?Y*YWa;xmt)$5~XwaV+Bj7eJU^FwrW3mUQW z>vLhMIGovNe2enR;lUJTDUP7`<+Z+Imc?Bnt;N??wc3I{kn6*vlvGcD3`r6RE_zW> z5#WrF+Fb{9a0R@dwWMKic^r(x&?$jcCm{Y?{j4u6Dk>UJi@u9&*(OIErxr-G+;i#1!S%CoX`OF-|@RFRYZ~p+&x^{*Q z4E5G}?ZxB#ADpNtrmZ^X@PDMrO!;nUb6bj*7u^sGcz+XGrMzLGb&$y9bQ4$6Tx<8@ zvkl9hD~qDl%|DzgYjxN_qDtDwWf`(~S~U>Z3b{VuH920tKA5s_srpL7vS3m>)=o4F zYBRYC4%g%NxfrTwOd|b;goPeUO3$AWjya;}aWw`d)<60j30{|Yk7H*~xSD5AbOIV1 zxphu=0q>r^Y%e%wUi5}zZ_9FG01VL`!AG%M0=(L69SQh858th>6arQ|z`1kU2#$`9 zwzjrKIu5X0<`e7p7q+syqK1IqMF&un09+w5GGuStASMEeEDw0{bTEOBdg?=C>3dx& zOs3fJ(P8Em8NY0A|AYONO2)Q+BWm)6Q@U(O?X~%I7j)H8%qo@K>kuCjR{OEDE!NBc z=0$ij|LDwi_Y>2VpX&HCRmlR{$*f}T#mZA7U+Sl7)}AQmDS4yuxeIJH;W_8SYX%(6 zn8b0IQb860KdoR>KOH5H*;pHa7edN&JmB6p;Tb8X2u}qCW{2@RUhEC+0B8KkNIzY{ z1*L;iIaQahb;Ma-@x^}k)05r>ZYiFF#AtedvuIj;8k=bbn`x|c9OPEUaso(HWYBFi za|BQD^C{syJJgMB*yn{ChnlltK}rh#!}+iQ0Bt1S6r=b%*T-uVjD(_a`aP3$Dhc3J zq@_z_Vf3Ha6{E{3raV-u9$9lwEC@Yd`1yA{k#+ir%Qi8QG{ru8O+a@-@ek3Npz9me zZiOHA=ppNghl_POSCc(BPIYSwT!=Rq&w~-cLos|%7v{L8m<^gZ7>r}2kBXR_I~9@> zue3Wleev;j{Sw}j$>##}O!115m6cAp1|vS8G3o>M@N>W14!ewE1zcqpTm5|8+#~5+ ziqfnAeSlc6F4 zEoA%=-Wr7ycfgqx_&) zEp~uSo47V;D8&~+WyQb+3$0R?PXU#=)M%G3_(`=0shrCyWCmq%voc%G?+MrBPIj^0 zVwdUGS-(|shL7QEu2z#xaO7~2(NVx>#S(Q!ZvvMZD>^!18Hes}vW@U>>(}+-pXnV_ zn+waiE^r8W=kva-Ft+(8CK@bi@ugxSPFTUlfb4iA0eSK)>*Z=Mxa&iB>d-8w&+BLs z?{x~%(42FNS9*+PvVkl)Nu#X2Ln6PBB(S2?vApjO#W!b3KtP(`N}G$%^?uwX7zF{? zEQIO**z;1dbFdk+eE$kEb-2wwCNosYF|`WeIYoZ__=Rd`*0Xe;5!_bNX7v+#;o*_d z!>R01v+BJ8zl5X`OZbK;WaGE{-^3iTq*2)$xuXRT(PADS909Hu9#!*WCGDNM^TV6; zv6nvA>IEyVSv)Fk2c6g8YWX+9b5jA81YLnA$w%pDM?e12hvobVB~hT7buYv#n%noNd8 zFpRBGF1OfiUHzyLuW?2Za?;09+CDg^0DuC8WGiEqc)$K^P*l&Y}eS<$#kJS zm!;phoXgp^tDj@`kIJ7D=WEy{x9dprM9@THrTDE-lz74-PzhuD@5u644WyJ{B;!yT z*ySdHX?_~NMN9U*(E0{D{{VWx-#Qc(w7hLOc3ku65sRRv3$Qf+&1CCXm%-c>5J$! zT9m0-y{3jE;bJl8-*@J7oEND5q_UnXwAA=r1z?D{X5%f&Qm0SekV*#L=`_Lb!+{+ownFHLGPWW=wD-UrQ^)q@FgH8hwDP7wDw>?0H4J47 zgys1MUf+*o&|*Y|*!x)Ak?%WZe!@?|h?hC0e(rOiA1Rps+39u{O*gRp{_%XTuXbm= zX8N$4qO3>2d&n1Hq_FVx)WLartXOg1S(I-GV8fE+n7u#4%xZu|3PZ6Tv9MZjRvN(w zbe5ASPma3Bs31P(wN~45=QQW{d2kr_Gg>SY7CgMDsJEN`iQ=3#jv+7-nnfb*6-%R0 zk(!-N!YQ(tNv)8v|F<0Hwiet_;r@Y#&1rw4&E-s^T;l|Yhgb~2VbU?Tv?RdC2VQfk z_o0>AO{U-E6@vFbwu@x(#v^vNULd-M~U@!1AI*pgEW2(yeZs^60}!lT8V}rQ)wRe4bcY+acYmE(mm@e9UjgH zG+Ozx!|9HW`TgL`&K$=E>+(Lza4bXp@UR%5^Ptt)MLp2HAN|KhFk7%6E_eMMCa*mn z9;wr%(fT&}+S}?djd;sD!x?r4r_FeeK4IZ8bd{9Mv{`OtEFd_EjpyNVP_Tsdjzasp zF8Pc)L833K@P6f-Ym3br8!n`P%7_k~PD!2DZskm02pri?EBfq%jIUW|JlGS5;4D^l z0gDnAjzur(|GPk3LLv%}9k#On@NfBAyPIm;kCWI~)T-~2(F6dwSc~Jnhyho!RSBlb5efKF;n>{K;kRs`a zfJHq>Lg~H2J1a&z>1}nrz3E zDrmE!P#(^CwI+Lh$6xADS6c?nRvXS&`duE-di^7c<6FtXEU@W|?Zwa$(Z!q@3Jsz@ zfbm2={*6x}=rn#9>fhDyoAb_8<6sEK6*FjIhCqJu`!; zAu1&Sm^241K43wY{mK0PLfI5th$mqJK;fNEIspHprR5P29Fb>zuuw;>6BZF6n?NNG zi-b!WNcIz*z?J0mgNv9O%1`_$f7F8W-#`f1A7dQT5P$L=)tn-WfmpIO~aA!#bFsYIsq;TVO;*e}n;gu@Gnl6@TGLIoS*^)HO71 z)0I}*2SMH~yur`X#OH^^vunZKj%wu|0irV$`LR{c8|)thgJ|L6LW;3ShIf&vxtS;2 zrUA8u_t19$2d{_IufP@4n)^5){rj@E(dxUU(8Fy9T=*Xm$X!T!RJJ7LOj5eZYt`PZ zogE3*_nJT;o6Gh9+N96nOeqjRH3F>JfxslEF21)opfQ*{=qd3BNF_G0?$Obc`C6mJ zml+Zf?c+5N7+Bdm!S95w`!?FHRpCiaJp*w`r4zZSp=^YyIrsOj0+{IEurZlx$g~@+ zCYdt%?ACt=B4FG*=QuQj6tXya_V1!3fB4+z_@EMYc6vd!eo2e9#7EsJWV0=buzB`|c zPdeghEF`XUY{Ncb{He0cYVQiJ8Mrcx+e=h~IEeE1X>29gn_FF3j4$!>C4aPq*fskV zl8XfXJpK{s#_@ar!O+}fKK3VVyXlwF#uJ_bBTb|<(FPoei0sm2^aE4X&w0D~bY^*1 zkP0ShHaTA`xD^R^>wXLaQiaVx5wc?CrIIy?=|3?R;=)&-#PSG@x*O&F*{1k zn{`N8?dcCuQ|)e!GbA;PGjR5Bm^5lT1p`d->Z!@dB-r?=l_k}ADwFk&yCZ{F1~I?P z#))qR-j-E*?YP6**KGJrIGiOPzE9-5`+%eyzc1e$CmL5 zv2e`jj5uN#kw~=dw&s8L_^9IzcnnudH2gdEe|#zrD{_8xmD`&ScaI|S`!l~5-z3^B z96{yW=2CO6&+0P%$0(q01a-C`7%mJ5N};yC%tjd!d-+uD`3^qn`*LS?&UsB1Wp$a? zQ4v#gH-I^Dx)14`T=~>(QXS_i_ZqQqe4Daj3Vl}i&b2J?0?EZ_lB_^DncZV@L1p$k z)>pNBvI|y2bLj}~S4d_>hM4Yr|JFtl4= zK{g?uy-Yv(kEKRk`x3Jw zfYCIFwpRNC?TDwvFQ9*9f}tLe^vU9O6v_2}I7gr2XBo5^vl(W`HNl-}Q;q0z#-FXk zcH)p@Wsv%f1Mm2S8|~(=x!NHpqQ6!M~{Sby@sC9mKHs~eKKqDWsviaB;pTngFW zdu(|1V63c^54+X#6JyT!7_Kzi<(B(_lrnvhl6G9SNiK))Dh?5J#`WDhTQqU#k$km` zV7hJQWB1KX6h?8r#z25zcvD_q`Lfc4(PGn6*34X;W55k-=Y9eJ@CcCRs|XI+(Rj|h zNU2rnwGEa_1+f*{9rf62&o)4F-k^V$4Tz)BLHIH;JqTV01 z3P@mpCu7Q2!{0Mx1;H(3EBHp@xYCoElz#tbY-l?x>G|Iyt}yI-twwXH050|hKN@rh z?1OYTIr6mns6D) zWc(6$h+TtJL#$SlThqX_NYLjk?O0kCDz%K#YQ!c?RyoCUnYkZ~78Nf>O#@k622v~^ zj{a821o-#3bC&OW8mMrT4$?yM3Z_Vt0|H8eWudXgZbQ?fH0u(cG6DE{y9Mz`f!TsS zM`K?2CZpl>uy6=82+BI_6c!9$>!p$T!=cIOc^5V_U! zvbe+)vEDiz-Vu_2WlV06@|Sm)2Ac!*I^;?>d5QBz1PH%lFtU0)oeC%_d_nCpqQnyW zc=&8Gnd80*v|A2Tk;YE2H;_!D{P9`PcD1FL;vL(h!`{#!$1PyX;__0Qr$~s3rpYO` zAsl{4iu7+RDr1!p8IIVKJ6_5cp#GS+T(w%G`@n#@Ahty=mF4>$VdQAe76vUJmykmI z3oGEcR2emAU-6a2Zx0l;-!O(B6Mhb_8Qo7?H5W>2IaOS2`^Y5)ZlmK9FGmRMyiH8_>ZRZojhxg&+qaCYmae z7th1OX#hb3MU|3yDid0jM0frPZD+CQocq1PeEKmL77Z*rV9* z=0mZGOK%nB8c{nj-hPsc`7-!zM+QezjmGdRh5XO@n9ZznWffKm4vL)f-1}D2`lsc> z@`^$YMuPI{*-G`GFHw#39LI7~5jC91*gm;>tX5L+e-V&c)wD&UxOEE5X8P?j_9N<`1bC6~FVYzJU9GFX zNy)k*=`|`!KIi0qA|xwG4bIFY^6LkHaq1{73QC_(-;9iU!=&>3dVX4&3bV1YB}d}1 z$D36UOZPbfrhlz{i~Uw`Jjc1VNCxCPilGQ6i0*GzRwGx>p~m5WHAkUJA%$-mMO9hz z*=$(ch?d(|rIQ!{Hg$5Xq-lPvAuq-MLJy5@A&Y+DxltjANakRap?eqgesXR=TNzji zYyTi_$0ZW`8oqR0yYS0Yp-<&bn{e}3qJ|($q-e~Uj`eh{b6#NP1STqb?g|N- zv!9egkqLn3eV=TT51D0Q2gp5e`YTJZ(MO>ep(lK{n8NmqT4u zh`VJ1m1pP?gwl;O>Pi%e%jz%te5%Ah6ax!DC(=bycI|ZnEUA6wZL4`dzCv}Obl+7A zxj5A+EC@Ymi*j+2T&Pyu5bj#?_7bGxd$o4Q6h!cQ&|Z#EQX-(T)H~=xL;>96iujxm ze!et8J6VkBGk|Y`n*i24k&>PZ_gq2Pe{@yO#rAbj+hZab>si&qd#dHH?YsW70?`t@ z-zDqv)KGKoBZMiElW?BUG(YSzKZP?O$oG10Kt5QtH8>J-#?nSH4i{NJIXn1ZS86Hd zYnqkUidpC0LPvFt=$r~-A;Rin#bnBu7DY;$R!+YPTWd8~Z66#;9n(YYdOWiFHQ=?@ zg>Zd_efV%>D;DwtHuhFtORATc%?OtK#M|05&2yo-8I&3w%7&>$c%tJW_^8!AnyaL_FtZ# z@j1U6TU%%HIGW0XfyWR4eqW~7!TZ3sDG?O6FXR2NgCi3gDvixCG%f^2%4Ij7AZ7Y<_PpfA z2;?FiZ*N0K%oNJv5T~z6U2B%94X&&ZvB5N&EH~Q^#k@hyX}jbbr!7Ugthrj z?(|Pg+E92x6W6A9D1Y7we!oeuM+tYqgh$Col5~IO%-nT18A^R;5+2}1^5ne|mg3(? z&ZZITG7}f|bF&P^VzrsG#(T7kMz!x{(WRo}q<8=5-fMTznxV_rl==J*`4?%JScAz3 zgr%ezbwnD^S?j;27AhdqBIx9_V{2F@Xx=%#} z>dN8cW+blJWPMi7`}EoJT(WP!Oommu>T5!01zWo{r6EJJ2s4&Bw42 z*>1S&CJSJ$80hcBzHrAyAdvP3cah*mv73!Koi5|ChT<-?I$H+PsV!U|Ov6!$CM5E^ zp0A&up92t*m{@a5i^Zwu`ML=2hCU$?(SboK2n5RV&j(U|ew&>0-`?ITD=RPjG7)2j z3hCm?)0$K}Rr2b_adUOG6Pw4Fir5e=)2O72v}ze_aojVv6oS1Fh^5lg(^D;yBl8Yb zD4=&eVgdkVA4n$MR&jsDD11(6IOqg)JiOB9)c;`iJE>Ydv=rp%cKdrEv4olc&yT!8U(^r z^(Y@o#=*ViNjANx)^Dc?>7QZ-6ZQ_FrSqC<1~xgkAufD)ZqelKFVj}nGj4zSyHB26 zs&!T)>r~}^erJ)+^>%v37)*2X zn2D9tc?q~3GPFE{?|rEzxs27D)O3}D?r8UhLmVj*uU3kx2@ zu0X@?V0Af&t9K3?K)7&KRn-R)LCo%wUucU7fWuEL6HvHXN#%7u1Q6T}d4GR@4vroG zj%20ui~i#uhynyfOEBl~G_$Lu08}U1T3QnrI5_>t532xBc@lU1mQxm;qgASu>mQq) z!Rs8pNb=}xGaT?|n6x(6E(~a!(6OxV>VXvqkVL z^A^^^p;rk#@S@y>&BOj9I)rzGp|AYF%0l|m62iaNRGQBLScB~B`Qdv&=H?I>1xN?2 zR4GIh{B_Qj=fF-2C~ySD@$=LVm{H@Y?)1pV{yul!S4{-Nyy2*%Dk^eC+f3@z){jU- zA0QCIVlK{z^^ub>)I}B45DOY$_jz1imLiM&tD=6RTV;5PDIX4whQb7oG9lXz<7QDo>iz%{@z(_>vmzh@s z!(*zncsk!(QW3Ff~lt$DCk?kSC z=@DmAABKUO)9aV0CE0hIw+Whc8tl190E~6YtN0yT`jV_k)eOY2xF^N|&ahe6Evt6w z!cX-*`K+w_fvkwWxGKS9MdE`_%B|+2-)du%0GugzszHRpSjOfKT9`x4%9A1ibyDF(mv? z9{2ydTg8wY6EnF$h91RJhHk1bX|QXPg{_tEYh9W^CZl|28dP>wbaJfN+j;)i>cakU z#!O!3ZLy-;Ub&Uv*tdLW2-X6MZe^(?4UG}q`09A{Bn1IZ6x4qBd_v&2^|8b$T1R?C zH$`_*=Yeu=VM1~g3`s`d7h$=u5D}T;(f9e1gr?V7if{GrNko{&3Dg+|13`-r5QE^k zs5+mSryt|{=%2#_f|UPBR8~bq1o(cc4b{Q}dM~>6-wq3GtNON)c_F(xHc9ZMQ75L(t8qdIh@(>5U0KP!PZ^*xKF#O;96OK6jes_!) z93ZQqb2WG*csJ>|dJ~ONB!~FovA3l7!`VzUQp-;Rik)6- zMusDA70QsK%~o>1&~FzKT)td^vu)n$T#cXF+mT;dy(>N9vR+Z|y*rvBSYDOpYR?G@KI);ILvhcG(|)@lZDU&qD9a$$s-LOLO= z+NaL#rzY=<=EEWV&g;iQ{TBN46C@)9pa!Hx3_$pyXY<))15{v4an_4Ee@46l7K5&X z`@a5jS0;h;KvJ0rmxeViHnGVS|@%0xiJb+43;-9z$6Q=+~~) zw|a!qCSeSBDXGa3lAy2~9oCk2{`i7KTivdgu29^0u``~iNQ3$Lm<6v%hPkYZA8jg-!v1K*Tr+JEl}DwUgayNQL52Ua;t8xrh0D= zOU~gClzvI+3LeVz%8KLF5S(W9!x#CLvFg=_7`V%!?5qdBI_x0Nx51H^Xc$e)=W=Fk zZ5i{yhh^)YC1;^SfBu)3Cie)rkoRuY6Um1T;`={}*`B)({>E&2xem{@{ejPKU747; z5ko5=AW&_+f=04Co`(0RnW`|(WAW9~wX*0`s$cs@Z~$*xYt_rr+1Wx{by=QOZ$q{W z6$Y6Pa4?XMEfZ|$d>3HpF50{vU?zV6CLQc0@d2~$n6%x!{3Ml+OWRL|mota^gmm|a(d#!GeDQ4F%KCiQR39wj zdLMF><@zXu0YED_K2En`s$wW_^_CeL=u50RJ=N~KW4=INs2k@$8T7Cb+^TOmP!ri# z*ZcJP>q_W6KwUp9&cxCBMIfev=o#d;VtseK*x}+SeL*xVq^*{U^6=IQ8d_yKq$WcpKo)l{p3CgDjBWnB4B%^xdCJZ}(S6usFeB5@zU5l-7^ZK*hZzrk2|eqffV>`qD=7bYybdxktDz__t;xCZ=Ivl(LAg5LLd-0OnEhpqD$a zJL|R#Au}N-P(WB3uW`=vSDFA>hy%}Cv~^Aal8@Yhl?5VCV%y8)Ty_Zu9Au*OOcavz43Q__&Z_Qvc3wl$6D+ltWf)&3kh~ zV^2?l_P*Sbgj7fXcO_7{ZSJD$Oht>u=1+Q0Kl=&Hwjg+T65dWvL+iy#i54x|e(QX9 z6~hU7tdm=*UP?a%=DhCEFtF=0+67!M3678Xm`%ppOp}MEpoF~LbS0H3BKZR~I;zCg)T#2K-M<)$ z{112Dm2WemZ~WBss;7>`mq_Z17Um)jE-ve}PUqc`gl>_yO327)ejYB^G#;U1%_2ls z&3k;bCL%hSF3!eue>*b`Emmpt?d@!;yr`3u9MAnPMY^OccC*equeXD3@8pQ*umWVx z-V1u6D|63m4^9`!@fcq1YP#F1yV1WjW|hdVIZ~QPo6_czP53RBihvew!!`uq03y@sd3KdOEoFi!q3%3aH4}oy)&G(`gXEcFX z=euv?i-uM}H?ve+Q)FL;QdDH0fthj4_?GL_?1%GCg^!3(bWBX8B_(up_;XLR(H{&e qfFmI8*Dxq903ZAO;vzciwNTOOL@>4%^;>!agtUZ$c$KJu|Nj9X_kBJ9 literal 115993 zcmaI-bCf1g&n^ykPusR_bK16T+qN-n+qP}np0;gUznNdSFM#@8%g#~ zC09~max$VYkeHAF001!JVnPZ403d@ue*o}bKa`{SVNU?S!5wiSekC`Ziw$3?L}%>Y z+iRQ+9M{}m;eOz4GAuOqvGIB1nFi|9A8B=yO8J>-8l>sP>KcfDWF(i0(@&j}lNYQo z(+`zyTV6fMi_-&=`TFhcM(n-q)JF%Sk=D4jYph$iJC5ClqmFYtw~ybKz@1ee1v37^ zMboFBy`X=3MUr;u)Nq0PtOo$VZ_0$K85Knns!AASxkl%M>AkX@%2pYqY8u_Z1_^O% zWBDLMRmp(P`Ctv3wPHxcL(u&nYd^oPmXs~Y3bvOFuk#S@#eN0k3`Ytkvhx(i4&}|U zEHXub^r2Kuk#!tn_vF2ge8fk+|MUX5VZ-?4 zscJW1p$LcjpC|p=MZDGcvZzvKOmQS!IWEe7Rh9S3(XlgLz4qn~t1sW-t;GM6DF6Vz zzR+E$5TZB9X z*33(F9nIHPGqel^I#*Q|{TqkpJ?q`FZ2+_Go~Oy5rJ zzY<+5!UeNLMwoXwKgUt2)>LSEjEtlc2|Q!-G`-J?J4*)owFkaCHLOdfR7uiN*O#9O z%IS649?QZ)KuVz})PJiL-ywfypRE+y-&6;ZF-B*qWLG$L{JkX1F#UR4AI5tg*LO3U z{Udz+dh*!yr^ol`!&n*VANPC#p%2Iqgr^;_%TK{RpxU`xWseN$R?&=y>1h$m<({N$ zZ7J~vv*-C5X<*N%>FJs5_Ah*CDPd-da-T6rvN*pTKd2hWx;@@q_hHAxKRH`GD;2=e zGge$5Vz+!q@sz!9m@ra`J1HJ59vVTil@td3aI+5l!=h}8xHLA9styf#mM_JlC|xPu zpY!%jPo1_ZOh`gNK}ysAqndaD;?PmQu)(;qS~DGa8}S*sJ5N>-Iwg|gxKFPS@(K#oS`(YKG=klRbZSlJ$Not3EC*;` zRa6S?jWJ41wktuabdhPdZ;S5bta(Mhxpr4uDC_g#R!M%&`a3=xO|D|V)84eupGES1p){l2G#?GHUvNif-ywLj06Pi z2M}fiK}62~6~qXD2$T=_vo7#BWPe5i!EdIl!^-{a_N4H~nx>rZ>JFLpt1a&*p8J}| zF7NI4vPYf=Nf}Bq;Yab;LIcRwl5UL=>)Vm{Y1peRR^9B(mMdXuwFYfs(7W&jD_&Y3 z>-9z{ai%~BcZb8;W{VU0+=W8@O@=RO-SLesE;osG&^1VzOB)oSYuMx?56g|f`w^$<80AJ2~#v%R6+%^#2*Bh$6K4+VX1 z%dNm7yGym%m#LFq3zy`|Jbc6@7wmR-+bw^*JJh8XIF{;7C&pRcXREXu!Uo#wqK0{M zx~!Et#&>M2SFK&@4KN~v*lNF)lYVQl^gvWBt|?R9(DZ|g#N<|Z0E;aDt=E^9aS?lN zrsgA-o)dUobxDci7G3#>tgwWfsn9*fd$GGpzo5wr?AT9ca8}VkGgL6#UiOgs*41FE zk~WErTO_!On{vgNEAUOhJNsK2#MCIpP*^mJoh2H3rH4`NBSDN9CPWw~MvSO_T~Ko+ zj-@^*%5S*iw;2+4COocpw=Fsy9#iCf@6lNU{=!LXzP`*YIf zzQK@pGo*P6Z^LS9L+y1QO56;*>)USDttJ7@796HX5?OQC1`p}I{)>(%>pNl$%W~ba z!>vZA(*-OTYfn%P%p?j+P7SGx$@KKCcWkRdzB|6^)_ks(lu<(OMm*1ONa}sLX4`Ao z8#;a3kG5)Qz~D=3dy2{X{Z{9= zW=llQ?9Q5{5n}n(^613|m7*Zj+~*o1Fm||6ln+ax`8gVkZYKNs#> z(rUSrmQed9EJBcM3QmnadrLh1BMvKY*mJuLuWZ?gYj~BlLRH!iOCweH^39 z1@9?GIT_d`yJMS!(P{L5wf#@&k315;W2)Q9s!|K{25v?22NPvc@t`0Oj)bM#S}{Cy z(GTCONx`jg0nI^dV7=wUpYVEi4t7P2LctMH5riu{jOT)WVFrUtBvnO_HNSUXHJtb+ zzhC1r8J>0~Y1V8(QW@(QRX1F!9!eJ5j%;V~(1yo5ydG&kgtDwZ^@MthV|SZOt1)5* zfqpq%Gq+kkRq9^wxUKbBpVp2+seCrYl(t$oa7g_nZHNU#IN(Gf3HH_Qv>}^&kAL(p zypR2^cN?ewQpUOgqjlsL-vy@VNk3?UY&t)zSO%WYIO3dR6ek8vms#LYel-&KT%BOe z>UK&fNTYvX3-Ql_Hi?I39#v8+ z1Wnd05{4MjHKe+Aq!P8>O6-_nR8oV})GBJ+n0B+3bJ_O(I^n2h-N!FD z4UK}`b`M^`RLEe(#agjisZL$;vn*0@guj>{_%@w>CDZt1H2o1Oad7rVEL-GB5kbyE znSyts4zGlo`6l*4ivxrNBsi3;Sw;RCJEsI@StWR8LP$v|A0Ncpi2cEa{%<-5M;2qA3%i@Q5mtX~G zA|*VRE9O~wq!l!mShau2{SMB%ESL9}Km{^B2fnpagJTCCLJ>3~)imaMj6_tL;rlnU zp20>28zDFP#--@E)Uc-c=E(lztBS`}7G-Wukl*aD=7rD?UB2 zIVQ0v^X@N=4Jm?)$JXiE*R;H>&2=_yR{K|AG1=t%#uBM{e)zf2uIe{W+FV3hiUCem zpH?pG)wZI@FrYBgP~Nrbu3+^ciOC0M4CmY<1o&%TYoM3IdOlM%<9Ts$A4SmkeNL9! zR&OUf#E=c~C|413G3z;y@SM16NdQ zg5<$38`CJ%RLQ4F{lR1)VP*^sJXboZw%TQJ2-q)f9Ub#IH>~9q8bL54V}H+YPSbQH zBG(JR+q}@eo0rG`3aE!YGj6{6ErUBX%7c^0uT%(`d?e9XKJ|<6Ht)W+3|YdiYIyww z9JuajAvw3u%vL{j!V6OQjl(0ikgrYQNZZ${UneTN8JI-4gC=ndzaUnJA2MwEKp-;b zy(GV|)R-mW@0Hsd*Pn}2pRCHoTY^nrLIXH(j{4sxp|gJDf@s9~x7(hL3rB$`^3;-7 z_hw-)h1hJxle$&qD7{e$cnG)%!fQ~@Y8E6?-_t7Cv}}iH@J4b8_153^sDbKIU!83a zaF;g}Q06^Zlq4Xoh?W(gRuP{MB$d(~8V-)dlbTFBF>QN-zXD||Bp_q48wu#u#G~dk zzB4=R3Y_su5EM}wD6%JaIW|EkKaJae`u>zEz{a(PrLk(rKcoC2GTOUQTCS4+eTXwYKv>{LXQ9F8 zMfra{h_HkE2#O#Jn=uFyateFfFBJ1!z3xsz7WlD>C!}!3`EFyNs)Zn2FSR&&Ir0~% zwr-Th<8YdoY%a7@p{z3$$HP${UdFSJCb>MIxuECbZ50*<26O;uN)h8t@yaCFC1L#gaz4{lQABcUEhNw zv=*uru_O&)`CrVH>S<7nXj`Y{y}trr9mz=|P)L1PZ5qnG+z>S&^946PN6Fq2)`s># zhA0l}jmGjz&0%_8C2CWbsmVoMr>^LnV&3A{kiKz*1Su-~ydODPFo zg#j|;x(K&D-*pCAHW9eoQ3c(jJ%A}aI1wLUN$*=Ve9W#U^zAu3;*z*oskCAd$v$m_ zxFZfrd#x5L;lG0#0e0k7{ zW4xBoxLbVg8_HO!%hCV1Z(&0DD$i!`?_Sf4cuC5dPx0_^N>GT9;y*7^)xxOSP|>ms zyjQjJd5JXWxyP?0rsj*^9w&>3RBYFKQhAa-6yux*fMtM$ffSnanXXDPFE6eFBbT+{ zgkFCWNF*DW2jGwm7a;ydj##WE90cw;*ZjRp+ez3wqgwX z(^rWdC9L>cuDSnqyKfSLd{a#l_|2q{p@HI668L6sD21L}*w%N3dIuvw6lrdhi3N1F zIYR7+D1haU)otNu9!z9G@x!p`YH3eJq}HeYIN#@J2}GJIMx-j1=K3y2cQknfSKGcw z&h35EBHJR6d64gj)p5LttgH%!fHKK%q$){NVSi6c)}g z3fF;DG`v1048oW07uT0U%qiWMu}w54Tb_eQ5pEAk#4v3@djn>uGjkBxzR_3TP`D&d z#+8@vkifsJ=v2R(;5@;XRsmC1gM>1Cx9c{b|9D^*m5QFojf^o6F!uNy^DJ97Ux|9; zVg}1Jb|$_>H>ld~LhtpQ!$Y8HFp$vFz7prokN+}2((R21y4Ct!pN2w#{)F$2aSp-p zOH`2_F95^JT;z3lhp|fRq$QM zFoJ=KIv#A3I9h@vkbgQLm!bnlD?Nj|XoP_jdYU zBDP!JeBaAwN#nC2!o+~uo|Gw6eZt@nICue3r5N(HH6{np2TP2R5zoLV-5GLceRH|v5-ISlA`T(@My&uph6JRP%+alk3$CD$oLi3lR3?hF?a!T%Rv{zpbe&M~l{3q@Na zLWN*2o$bElSvN$1gju;$FxT9^HZnwNalp+zP5fcGaZ_(uAwu(dOAPwckd)qb7G|4; z&6uD_i%HCM3Pqhovw5LHr@~??Bytr&0kIuuXhoseaz^G0jE^CXtZ)E+5{MQt?(Z1-o6=fDK}#)}DNHdO{` zh{KAuyQ&dI0r+R4uS3L4%EjMa7_|uU;IAAr~umw3+PMlb0-a)YAE`J`)MnJZ)1o1z! zHI&Dnn{CYLF>(+{R*Ivl83jDo)*%dpGOjJKq=q4!I%W=Td%lZTT#B;SMRD_r^^4gaFESsRw&d$>1H>*3O< z=-OB7`h2{@OWT}_IP&g8n3|_!W!6WtNkBne?BQhT8NfpBT(T$*zDVR6%`r4W2Pflr z!tlgFLUfm#s<^I;Fl)v0V58v#9k$4MVePF6N9&xYb9sh?pHg_3aAoACZsqx`LoQ6V zq68S1OZrZJif*EuGW!WaeSf#taM+Mq0U%8@X(G836Y) zt%W&frJ6Dq)^eL)gZ_^eN=HmH+FeC!-P7v0e2ii#tkOPacTpS-YP$hjNFho!O6e)L z)Bu$NL9jgV56L8oXx01u#zr#;th`ihtb;34=gVrW-pB}FQ?oaRUmY00?US@QJQmRl zy>p+HOPVGmE50g}hWtlEhZ(L(ea8%Sjdn-*KX?!3;+urwqlVk*VqEJMVQ{DvwMcB| zfD|Ih2F}}_rDSH!LnwdnZpWz9Z|~FM?o3EUp%cR=T( za6j&iU!1JVW2h}kB8jv^sh!lR%#9YW3fQ{!!P@?PB`-;=;;otaBvG}evj^+tX9h2X z%kr!scSlE8i<^Pc9nraox5U($T#+CZSZYNA6wSdx+1F=EXs5tDr1vT|`v$!=RajP*lM#o^WL ztb5$bX4{CuO{9%hz#g0FKs0JP(GO9I7_vO|6LwSkb7(urSXjw@y5i`x(4@*RPZURS z)%!H(WN6=5v@mIQ&a%gXf^v9bUZ-Pw-4R}!AuYSU=WdoR33fUhPs$j8?@MT^7@>&bLAh{jVvN>%W)j_=rcg43A%ik6^lG z8f|H%BZ}Q>vUyLR1uaeMZ8=lgv7d7SdxPW-_qs46u$@U~22L<|M!Ai0v2{2d-{Zw2 zN}xG$c3xYynI$6#52~c@G)U%ShR$6K3%{D?$edihOG4&1L5YP@f#m{6-! z=PRo(v>N+2eUc4*SseqHTlH!0#hnDuIyxQaBImpZ$@IUmdFd+DDoHtgI2 z;||vS<^pZhs6dX>$4~Lv-qgPf#a#@;$Iuh6Fp|P2&yA$kYS7LB6~N00k(c$oUX3BoBbLC{tm53%5K+UnnT%n$w~f^V^x?*DTm<-Pgme68M3Jsafo%aA2slZs~iQWiQ>Rcn=B&g#u!_7A0*%f!GofhGVEt7J>PMi3s{2Y~f1b(X zUjKn{Bx%aW&D>->qO!;4>|zdSBJTHa%i;}>kE=E$W{C3qw*+w~*qkjkQ;)e+Wt@Wp zGKoG4e^M?{lVtP%511tp-rP=>-%Q>P=fIisr9!U9=|!9c2LcBw=GvK8DO5k>0Z!+(`(oJ z4nnw}7-l??9mJ5C2!u^kFPOsR-Ok)X?5rIlND!=0=4Axg&|r6^CAU_cF#-Ls!{L0C z+@UCuT)Gh58CRP_c9#QG9)BU|10$Do>-lwi)ZCWv%WhJQZjbqzH8f0QA}5V*`^!?S zrxP-wo)gf7dV0g|arK4(0z5|87x+BvsVXs>Ix!C&+D)@f4_ zcTbdp-q$gCAC|Sw&cj8SB9fokaj(4&e}cezC*tM z5?nxy|E{2B|59quv;VTwp%nip2LBS`eyRTmd`JWTTIsW<4bXq?00c#0egE$8ivO;I z|H%e2{3{^fllu4E|4aV=+xVY80RKOF{y$_rM3()SE>5?wD(HRoFOPI4QSO2w21=HF z8s$WBMd416Pl8N!Z}TaK_DaP7Wf2V#JzrwFV-4Gi zV@%Gxw(j@bHggqJJ)ie*{JY5A5&coJE_v zGjqEDCDs!&G0y}BxX>X0UW_1Xm?yNqLnuA{Te3n*M0qRL?YE)Y`eGgryy{%i@9m$Nq)awi%K2k&S8y?5+q zbpV2v?)OT6W9dN;fiGd?*8UtX>Y|nHka_e208AwE;nTCTld}~R6gVh_drZyE%`Gl2 zK0P&`x1Uypw{rpj7|~tdziKTjrya6Kb1gSpKb`>aQNElG9qVSp`4G`mFhc)GZnS;S zJ~J>daB520S(-8l6CFKNh%hTF%OPkS3vhg5B8S_Pm6tb1jJUm{txc1`q zc8%=y`FX-;otl=aDr*-PR@|89hlkOWGg90b(YwjX$;!$~DH)mO=4OuQf_hv4;K07P zID}KFq9kK=NlD3(k&!twC1VwGWDYK_jqU9eUA411z+O_4xNcs&4-LR&9kNkfi#j!G z#IS?I!!j_iTB+BJu6MuW^6tL?J^+S-iPZ*lveaQBVStP9D0Kw#$d-%vl*weF`F7OJ|CCQW8;wrM(}(iY14RpeE@sxuG)_C^{!g?VFx@u=(^soI!a`+ zC@ts|dmS$7Mz(yvKcA*-ea9(EUQQMjWv6@wA=TU`8Ah;OR`e6dWV;XIC9Uk%ty{cb zc0)?CTr~7VoP2JdhOulLhjC870|+VD*}sPDYk9G3JL}GuF-7cn?RNOyd7f4bGpy>x z`<|@V8r^?Zb?GoCjQ02g`lVY|yFaa(mPn=5??-czHbwr;u>Hsw@NwQ%FVW(-dDxHP zD7DV$r4<$u5+cUoaMA-}=!~476UB=%qqXp4>6!;U|Ep>Hc&e~i_;9)2;(EPB&8ost zMy=!XYD@vBT;aOuta^Vml@M;26W!sodYy5D5C%V$-!c7vi*QCc}AKs@u4(AN8k#jAYI%>JMwf zZP9U-%}7=A3Ugz14LczrVFDvLl~|tatw!2zwlf}r*Y^8$&viP*Lsi>tTSZO6DZz>J<3bs1+TWuurl8R64r`)0#8i|&OrD&gw>Mj_7h94abxvn< zV`Xu>T&xfSak;r^x1({n-ROyEGEg)bD%f*9%nI&}<_U%T(Y+1? z7`(<0Te7x4uJ!S5?~be{<@hqxc-A=Pcs(8NQR}?364kWT?w;|E+R1p_HWA5kyx-Fu zktY4@8zTjW-jYf#hZ*A6YX|xb$ATzU#LRC?f_Py;;F)Avh9@ndQ z);RG4zs!>0{LSqB>hw6e8m9L{vXhaogwW{bs>hXq+#hu(}xOqTIS zI1I+1N|8(193JnLbeSxU%jR{P)r}ggOdfBJUq672$Aj63?RGbJ5@h;O+i`XUib^Ga zAXW|6cd1R*`EpGNHwNQ{EYY{ej44#$BDbqG@7bHAijI85HxVIW?Ld%2Dsm;TM}SC7 zEv)*@X-Q6g3`s8eJ0!E8)jmHMjuHbXfowNZ>aNPt54F5X;D&jYc3w?VTNrF4x9cwh~T%|DGM9#;|A5mcQ2D{;TO5?*FukL zjH3)^v}8+1pqAS!9^U7Bp)DFUzE!8uj=79dt>nFl^i}IEqttlj3{TH}x9H64XYIL2 zw2$RkPwgvuax{)l_Cf9Q73a3grJmGyV#~JRL#FrKlFLE%TGQ%NG#0J!6fw$=GApmf z3cf<26=q-YA|t-VMQ%S;hhln!{)5(IcpZi?ppT+>I=^ns4#pC7&S>=<9^Vx zd7IrO1 zESp}01~CE6NEcQxl6heUs0`$0uE#}1eeMc$=(YJ@M>t(R+uqOIccb%_$2n}axLcW7 zB`#a0Io^~+vA^#Vug)8-d`33m=+tgDk20)ckp+)saA3LhY^5Zw8)$JozYX<`yLcBl zn~rN{I38D+zMk3kNxANa6U)S%SH8$JpLo8&t6!g0x9lDteIYi|K<3enrsTn{pHG6s z;dq{m;`z4|qQ6dKG-py-N;G4-N8^AIK^l15zwZ1Yga2gvn!J0*yq#6NVhA5os_HD- zCMm1mS~m067a zK}-$(hU}_c#~L+)pU> z_Gm=G|J*uT750~dov z^J|85rX4FG{!!O0c7%*aRA%0~M@Afj-K$Adj$L?>)`KlNIZ<+0P~6@8!dnqs7kAT5Xnebh-|g3LV8pZWFL=0eC; z%B5lpF5!>H@S#bb^c^fnmzIS#$K%2$`&-+0J=8z2c)z`mE+}(m)@T~>_1EQb-F0&V zh6R?Val-iH<7XLNdboY{^&hz5ESnW>PPO5shx&Mjvdwg|l8X+b);US1S2iyN)B8Vy65#k2T@29u5-phZ00%dg5{ zNI}qLKY=Y-HxfvAf8L0X!}GSm%|&Jvw!x)OMVciAMrse-#Lj&U6^HsyQ1hVWidCw) zXH~<&F2EMIot}FQPR_g8@Xwr=jf!(^nbS#|o2$QZ3Vv5OXtKJLdKF%R^URNt=o+7P zdmR9xZiF@i6>>v5$Se8qpGyGZa|D za|~D!e@r5AAexR_pBET|s{+oToq#5? zh(97cu(ChkZJfguAEJNDTW9FevW6wilvA*Wxf`4H8gtk}u836KRr`56r*&<;Jy!en zNlSoEr(P5ukcVxU&F^T9OU{mk==D=xhXHHXq?O9e==C%I;5j$zJ5F&}q--Dmkv=)A z1&@XloeTvH5JRS4!zDSsRbo2&ck7NkpPj-Dq`ceu8PB{Q?3K*XBS;w!{g%2Y75U%q zOmvtF3qB*4N}udjC-EJU>z6cAZfC*7A+Ps6K39j!oS*fd)tuiKc_S&+^gpha%JZUE zYY7iUQHUpMrO~dk+|&6o-MgT+TP02V-yjgFAP-qWG~Ve$AO+EcmP%TyfA7TtUi<0OQ#dVynp-ae*Dh`k-#{f=-<`pm3yvI2I&6k)TyHb^NeK zX?ifj{ve3e8gU2JWVUrLK3wD3T>i2g;SKUdiJn}~>#pU11Vp?scTG^Ocm`i}pO6lF zG=o32(vUNmCreDb&vC}+)zWO6i?W@)Vc>9HbiWWkqP3#IGGNHuG+nI+%O?bz3I#Oa zMi^*_CpZZ68HM}lgO>f5slkl!jZsU$4Hu= z%Tx4U{;H7w*q_`^kSu+#7&Fo`1YED1KKb84kW&F;HhTi$h$FI4bttADDq2vXFMfDWEVrZq&QKeXL< zr*mFQQj6RdMKb;{zQf;OR5WXC{2(7&4waOtx27cZN&lcf}{1pAY&n~O(G(?|^? zK0C$ue7(&}0jiRo>HjC#`q&`AnvGcL*#7}7$R7?{arDlCFWH}H^o;p3LYzRrHM3f~ zV^2a0bo=(lQB_T%&-EqN>np_HAfN!P8lMv>=D+t_eGKq@kjRCD-1EhRFAO`M10qlk zbFkRDQ&07N=#q(cgXz}v9d;7Eh@UW9D2^gJ_H4N~o1|bQ(Kgpj17%JaP`FCn4q427 zm>ZkB7k5MqdfK9#fSe2_a+@G4hV9=MnAKB|12`!c4&t+7O#QdFnpsxVZ z=&IalNYKYn7Y)##uUmh^Cx&njopy>_}UTJwk?RoKNJhpfwU#~&nJ0@6*g4jG&SN2?!LLd~I1}wzw)+jQo&d(ANpi zK&z~rJ~Si)9xo!~AQxC%yWJ@ciE55MxHgzmEM(!BU}b(#DA61PSgjS8TY8cVF*RS~ zmp5GmiM^?DYP9TnCMAjSlbIAe_gh}!C8F_sP#&W>NQom_zLDpc*H3i7QigMaS#f=_ z3CDx*rjx`M5o|PG`Fp6R`?~wv<|%VVj)}%v1=1g*%(Sphg;Y6?NYkoWo?V-70yQ~~ zBGV0FGX3+^b=y~#Wg*`k30r#no%*BnWGO%Bs4ycbFf??u(CxF^IR&Khu&{zkceCMT zfcp_mbulo{WUQ^Q7O|pVw(N0-I(7|&7p!)-Tyin;2B)vtknrO|R0m#h$3S2Nz-21{ zU2_gbnBb@=cRi87o|rflm9FpLw_s)aW$e z!^)phHJBmqx_9W~fQ&azN1C_ZSggBviTg~gsG>?Xgd?OJyGdyW0mPbf4-Oy{a_juf6$m( zE22goAJgydFy2f?6mcGuJ`hP;{6MXlgRumt!mK-_7o2If$9@Bd5&qm(7Fix|t<)OM z>&}G%Aprf2e*0woADJLLE+N0)(; z_q($oAGjSdLdbSCZWt6|4n2hbj&NvRFwPiL*oP$DvF*zW zc+5UD8z_*jd;*f-koX5bG2lneUYZ_#gxDK$5eLoZaFU&qkSc4C!&dV2Hf2Frb~)%I zSej`vU#%3Ei&lzr6pJO|zuIkT><`8kYSmKKJ40UGFRUDCQI4gXKBt21Flq^*Y-IiG zn_#1h^AFj$6Kju@&66#Q7B5rfNl^F!IDq}YfBgw~)(>iU{6dEzlvou_kNZ`Ii4_di zS7>C-=`5ZL7k}6Lh;RQ>D@am*CC(&T<(L&f9uGAkON7!=Vd!x`#U@!o?7lLqE47$) zXt1KD{5zQhv3@d|CX0DVubmi6aSE7}M0f|b5W|k)R3c3SEf9~wOr#hL2Cv-KBb{1a z<}i&4c|2O7i4M_pZ!j#op{5`++GH(uY($T37Q)b=r~QB< z#5s2!sPUelja)@!=7V^6Dsl;w8cv=f@mHA30NcI0__e+rN7*IUr||Qy%J-y}CD!Q2 z_Lq#RExRURZkd64*RsAM+L2HZ&l5)DMom^*1++*PorL&!B6l7V-AzRx(xP7j+_!Y$ zinXt=0x|~T0{yYkQzgXrxCB;GV`@BU0r9=~2S_clJg>wwg|hcoVHQNOJWB!lf}wWN z<{z?=F%wsC{N~9f}4fVU!M%k%7^A zI)dj&t~eaNhi%Xq!SiHgSHvM+HCi=@Oz!$+6GU<-!g3u4+KypyE8XBNT5?I$q!3F@ zAd4tZBbcs1vf|0kLW7Jsy-<2c@}Pexf^6{fNOSgNOk!rET_kzvQNqHs;tIf34N(7v zZ@vHE9>Tee*6S)BND1S$3N9oV$V>he;|)beThmlFuq3ykvKl<5QfC10A_otxxH#p^ zUItl;k5QaWe%8{Izq+8o9aWz9&21CYI-~lHX9n<)Yi=A zoT{j%uhMj{(;HGgCnpq#xk>sGZS|CBvpF~^a3jC$99E1>p0Q?BJ(wWG6lj!;X)HA)pZTF)Dp>b; z5n*1^c0j(KRnB#PRPJl*Y%;F_Zd-5C^e}2(H@qxyCt+NPL!HVSqB28{&J^@Hfvu(2 z!9a{6%IS}rrEG`7`y#NrUX_uH&cMynw0Bn0m5w(cJKL4Qvjvwe+i^$c+y@HJRe;j+ z2z#*y2j3tZW4)y0-(M@Uj!~(JX=1n5Qp5O{;6srJ-%gO@w1?QuiKJ8#Sw~bv{Cv@p z9(Y8en|=^+1E7uKE@R$p zcu8BagQO{D0B+;B{(eSp(dKPJyQjm=tv(Z<@YmGa=6wxX8u)!vd=Q7u%u1nx5(8~>$R4ZOE#$%-D-rWC(hAu4$DTmuH9)4n?@`t-2KN699iOfp1DPG zaMk=@1M7&c+8&9NTV#n&!AOS)9w&r~7PfyWscp0HhzQgAE$~^$TS&;9dxt#&*wJUk zjY_QtbWyEhz~q0Kdi(^Qb^L+S+WDtS{7@uzY5{f}Xu~9&fI8+}Wt$H*(!tE*A z{S&8;-eyNANgY2EL79%{YOgRm}x+}9ZxB_IMbxb2Eibk#Fe%j2 zTt)BgmM!$?FLgb<8Hr$(La9Ru$Ur88*m$sL#|oSFCbyH8)op*0>+a*dT$mdf<@XMp zR<+~HkAT&;bA3K-lg#33;4jOr9dx5f7OYZ8JjFQG8i>a8K!;5@H@){u6OqP#y6CTmQ=< zg9XvB(3bP;qqlN_$59<~g%JU-oCA4d~O?AauwNls#gjucUY!jxvUWUa{+quI@LYp@zMOeduUp@dH}Yz}_vZZG>qmp8fA zEf9gB z>AZFV++F-wYp03$Qe3s`az0Q@0gkTTo01hxtrfc4SOXQ4w1xjmS8(ZPGM+9iWc^hccVcpd8C6uDo0?gd~{gTxrU*SDDI z-%E-EnAvv-WBP}ZJ6|V@uc<}h+9_~YlC3kY=aqZ=ozqW3GyM@^I2+Q zT9ajgjR7I*n0Y+L0e_DUbw5-AzRWY z{3pDPYGS~#jhoXc_2Qa$)#XNOnk6amezbn|=`*>+TrOC1&0_0$QW(#@{!TUh@3gWO z&n3Y>p1=V`oN?}6;qowKgml+1hm2%o$)VH*ud` z5wdPs9SU;%lYW~>=@{OnXcuo@{`mN@FH;Z$jxww;w!ZuU;j4wB)}DD?Nqq{uWN*Wag#CeG%4Q&RTolIP(wgGyXu)axNCs@hOU30bbx2)V4$ zproTX=2QSHWe{kt`SIfiaDb{1$1Nx-v|`=uEP}su7t(n70XUtQgCVGA0uX+dTD_(2 z7b=dc_Oafv6pUT9rWd^PilbMr!=09BX>Z8PeYI@$O`Tc>taxZ;>5OOL+l+hj?-?B*ljVTv07aQA$+S((~U_5mv$l(?zR--2i7n(!@aN5k>>0 zv-esWCd@)c1B$e)D|&qjEokB*g>eapX5EQ&1<)c;%=^tg*4priG4`2`Ssf_q!bU-m zdG3YZ1$th zkyMTX#h0rfqu-DB$xTn&FUkrh4c@?k5PuF0S^=Frd&C7ENr?F;zh&gR!X?Z#3MGMb zRXE{$oy}t*MRIvhQwD>6mcn6aNn||Sjl8dLU#r@i77pY>Ljx7Q8q?;=BYORz+h2(_ z_$D|(;K#P^%qG63CZV%o7QJd%8S5+DjCAZ!Q|6N=Kl8BHlA(#qoIUk zy49wuJe^#8nF9~e)6Iwqu8Zp=-Z>y10dz(Y>qokIe_R7gK2ceV7iRMQK(^CbhPSiu zgHI25ycG9%zD&Bu(|zs|czNa`tg!!P!TFDo2{irCAf3lTp)&}m6O*mJs19!0=N#-( z$uH{92+bVUIJs{Lt3Xk&))Ro#bSbnh%ay5r#Ci)PyinO7D4o?e-I?amR(5Av3P*R&;K2V9mZVUrT zj@cklu{1{%VZh9)3e113)p4Xv5lI{}d$-%SP@cPJ$mnwi=brGRl1ytE` zJ{@=TDzF{-MC22?53n~lWuYpD6cIuy%M$d3m+y@7Hm;toI#n~Uw4K*AtCmh)c)H@b zbbQZ$|K;kNVE=vT%nT|yvOw?EN8=w7;4j`Av)8#-JGc=qi&B$3_ksa2$8{!Q%QySm zv(I-kj5n0_a40A~{t@uPuJZ@!^3D|GeD$EeU-#G3zC4wrb@pIeK$3@E=m*GAzpvsU zHGK&p53BnoX#smfEVH(@a(%ow`v`-eZRy`bh|lRDxg6~uu`Z87=w!#`QRS3z-sOG- z93_T}VR+B?vsuW&ZbG<`{gmU2O1L0Z`B`fHZj23T(8r-eNzTlaQ4i9N>kMn&t!HmM z+u7*Y{EzKQgxORUE`o5L>&;0ktdvqpe4OvB9iEn8BEG&`_ivXg98QN4_YNKW0q08U zZ~+u%^SKKB{G+^GsfQo5L_>OCf*s9w&#bc8S>_QlCZuG`ssWC*DBR+yn+kz;wYs2P*ol& z_xZ7S-l-mD8`cmw1&fS341!heCZ_xZdtx( zaO@T>8s+aFJI?XDxbyz~y$^)$2ira)#%-Gp##o*on6A=KS1)E=%W&B_01zeYT6q^U zzzn)uPy1O!Wz;}MK0-ieQj{hF&I$sKHVE_ z+=dMw@;ff9fOU-B;4|%ktj^yseq!e2+^Of=US5h?|2(N=c#EK18!FK_ZyBL77jPHd zI>x~aOI53@zW-ea^AK6{He zeSQO8viMln0lYDKRldmQ|0Jdzx}(m9op^a>G`)8z#F{8tA>R zdntaK@}`q{QWDf3gx3B9Amukxh~(>)dtHSCd(Me-tW9Uyo<9X0jhb@%Qt8Ocpfux( z*V{($RkBNwj7IL9H_qUDSn*6*>HA4GD@RqeOpM8z?}1;*^pOPlsyZ)nZvm zSyT*{nLrpy45m@uCLakv>lt7ZPe&=o@4x84^!>5hcuL|7$^UctIG6TR=YIX<;W-0z z-IBEVp1^n2&-9QFMsE?jo51dmiN!Bl8yXs$%O zzu^t^T+MtTm(0PK$X2EtmW{x$W;4LQK>3IqP20iA@0OboxL$!NPOJP1UKTvX26*)LE zGV>GaXl3}_>-~`yScnv$BMmS<1Nb6paazfr@o@}(41UYF+LMNpAD$dKR-nLqK?Q|H zXXu0#4B&hA(-LNgUzEO|+?kZKd&OmT`z~{IoFG=1aC)ZdG-$kQMOsG!gH@0{p(~+& zS;j*e3n`kNmL9aDDle*;Q;osQF!;Y8X#U?1T$}xTQt-m6tzqcp&zMJ`vYYttxYCPs zbLC)O41Vj@xoI0uJKA2Fa=!~YuA&Jq@s=iSS?649yoe&|r{eKjr7K(^%@;Fb*8mx_Icphuc#$re81dRvbS$Dg;VYT{Zk#l1dYJ_+)%vl|2LJ zVC5yXcz0<2?65v}0}eJu0Wwi^xW+^f*h60AszlB3hL;85Tv$ar=9W)uU(z%wA} zAow8Kpw&|eBbQYPV5TtPx#G<|6PZdSD$&0 za;(R3(?rH17QFY>C56CL2j=Y}19Pr`c{v^29}>k$Vk1 zGyH$|tczs+Ez1{#Ke}&3yjJmVwO|cNY&OcrY%^IkV6=bmKD>PpId2bLAJLl?W{jx@ z+wQfJ07%16K>diJN4(b8{q`2D0f*P6F56Fi59?nyIli~7{@439jNk6?08df`z1;$7cBfI3h zn>xyUvs9#1eA4#vTwK;PpsJ{=yOABila!XG$tju0>3DK5UHsX64jBzi@58^Dkd)YC zb2=OWsbLGHYM+bEdc*O7l+oGGt8RMXHWyoB?#jSRMMbTE%<1WNGeSs6XeQ~6Hhczv zSK3u&FbISoAjv@@;dstKwjhDW?RvbH$9z0afigKWb2X5^w}UMa_o;Cw$;=;#&|{+u z4k32Ys_kh~hBuYVxvJLoZ3(i8Om;hx_J0!+n_PE>5;xDCBwPI7f2?viR&_TtFbKnA zpE!0%hE!BkG+$|%=STX_hb9UY@|`btYE34E?KF*s6683=qL!AH&Nq7rxm^@}w6W;a z3(CKnO;_rP{9COrsM=^$*-_@}*A6rpE*(!{1%At>{k;9j{Lv)V!wBBzGp*D4>inJ( zb?5EaXPV!xH_A6s$L&Hd+yMSGV4+bdoALp&O*!HR4G_ly=LhNA9#5K2HCx^8x`NO) zH#SPZ&(F_YehB=rnU4AaobJ$TG%4`gq<8WbIGY znyD0TmsF34OG~%D=0CQa3p3*~Xt#eW520)7Q~k%%#+PDZV&nM~IR%bAIA?|N)2u+Q zUA(4?$<4_!PEi&a|BW13CQcjyux2Ht#CagBUm8?5pk5MpbaZ4gnYUkGLYL}L0_o!R z)9-d?(3CI8a99=0kLkXu$nbz1=XjxZa-8>O*)ct@{g$2pz>SbUR$UHhiw*Y1GLulU z%gFsmPg@_#_**pQym`-K+(U4j)1JML?(k^pq`ogZErG| z$eEa`RLV6C>#SCJ%!+`GDta&2DD3R)EG8D@3?!k0a%54@&wB}Z&)Ok_nOW?G`UA^o zW@UfKmrsjoDg4Sp7jDZvr9pOndAd<4`Iec}<2~8x{$PJNvp=;}RmC)&Aeq3R^Za<1 zlvyku9UA(+oBP@by|v)oPLv42I9l8Dy_p}WxaW%7rIaUk$jSBfqLrET5U^jmhOXB~ z-w$&Y3#Q5cDKpu`tR6fq6cG=PR@NXFQy@Q_fE*>=^Z5=)26!~W(6Z^q01~fdN5hL$ zh7SF(G#hR=LSnzZ$NO>?&UxZ=P?#^-V)^@OM(2+|s7}^#v?mPLY&K#~1R>nx=Kio; zMPUMf&{rm!2O1MNX>J!{!+vr<#dLIZej5^ERI9t}=d!Z08s?Ro^qo+qyJfu>^^9rq z9V%&P_`=FMJ@lI3tf5j-<#euVmiiyc=4!Qh?Bq69&yeAOvUHKjNr7$xH8z^oloUKI@eRV#tPe>wH7v{ucnb6!a-Snl(6+EOrZW=~i&SHBrcN+as||nJ1q^<%8OVu~{GX6n+amGvvPd29hGfte8af^rPO9k&zkulu2`dmcT5Q@azTv z;*k06*}8IkPvKrfRTKK&t*JxFzxJYh$q{YGYxv;Pcr6+|JL&O20)9Ja_D(I+CTA{Eg-wWY;SKA*dOYzFh2PTGLGm@~g&zp|ho3TA%v?_$ffBLSAD2ozy?^4qL zZ%m!wB8Jw76Ha`iY@JH0mUDmBwa3fR`kpVREthe$YI$S^+XJz{P8De!X4-ik_<@R? z&ud?doq{P6zC}L*g$}J3anpc*!NT}9UOU(VbC;vwd5y`WG_$SJE}oj+`;Say6coZD z_=ox%E*i{N{uP1ZD(Kz?9EOj#=a_u6w6G61r?cPrZES4B^^b=B$M}8x_z~F9X}Dhc z*4r#pWQ2^Qs9plsAskXBCi!%W#X9>&b>(>IF6cTO_p50YLpGhxcTd67tfo^1L;-DX z=iOMToQ}$_QDV!t!iQS*mdotBDSE^IW3)_7O?O68T@R)}_Nzxgg5yvEBT&Hb`FuhM zpRHsAC6&YctHNPquoU`OPHygIdJ*uLjnxkU>20<@bQq+qF9_=KR+g2mFdW8VZoXM@W$nJMoz-*&GW#ws zF8*%53N?`swPn5Q#a91LE~!(R=d&|85faaBhJW7yQKnQfn@L=7XZ+peu9~%C;;RHt z*L~7zRc}j9Oe{>^`##Eb8EP8|zt+oX;P!cI0`j7Kixw$0PSjT{LfHu zNC*Z7Xnx%2@*xvI9V|8*U2}8am@jF0kl$ux938_#&FLBfMN4iKwUV@5sf{E_2F!9>c^ zk~%B$_ky>9!Jt549~5*SUIqc&HzKQ`vHq-+?Dr2rzPdG}O6i}fk*&9M|J@DyR`vL* zmhk?eB;-6rrI;i=W5yl*w>!KTa`TEh72MkK_y)&xhyE*OG0h0Y1D^GmxlqO*cijGS ztI&W4Z3VLR+V|;>H%oQidHaU2I7Q zfPUKqSk~@XNv}-oLTl+(%mFBv3n28^J9ip-#k{_NjU!@Yv^koqe8J~*+X_UfJC!Rg zWU>)jiK^1pPCpq?sH&>ExV)4CZhnKMzoMge^K!d{2(uuVZ%uzz46-a z){S%80DF3_zWen}n4n>V=EmDF{vG@vTEW$*xt6=|F3hY`QF9NM$d}cq-Fp5D{O`EX z%jwec9%iW1S!Io}rlnsdOUnqSpUnE>j?FM{R$fy1Z}I)EYB2HUgA=^uy9}Rs0UEuM zRlP;)DVu|FCx3Xy!ZKI+VR0jsm%vo(G6qg3W!T-|nF`pmest+PYbL*FP>Lj@^wA+t zgF$J)iX-fFIsScH$t4Ird3bo)NhDM4)q_fT{dGm7-kfINq`d90vo4b-8@s46%AwH4 zUk9$S2@td!DDp*CT3;x^C6x>0#L{F<82)2p^kY>gI^@Kkxg z=XC)$GDDzrQmYi<^gJStux3TaLz)SSKGYY38PQ06nCrY;LyhxkJI4m??f%ylk_XCg zk9h%4J2~B`K89xuqx?3Q! z4*xaog$X>b;G70nn%t+CNf(n|%auEwMbD#duOyUu-cr|&&rl+|JP9l&^8LTa0Z?~X zQt}B6phU*21Es2b2Uv#gb=XiyS;<1hLiy@h!1P4L#l`*l^(#5qjEl`+Af~Uc573b5 z7yx;Qb_9lc)!36VEchgbdb^>F`3^nMLuLj?4m<7#@2O?9rpFRWKRTZMO$3=Bt1h3SHAkU*8zYF92(Qz~`v3T;|~5 zaOn=la6DO>Z*^}5oJ+pfLTWGF0dFV-MKR~|jc&jnP%cw9p#^Hk9)91fDDdwBj>Ho1 z-|fM8pc=}e1$YGVW#t?f?9MGOKmQQrx4cM(VakjRxJ+IQ33E*(IuzpbT_3K9K`6Lj zkZHt3o2RG7dqN&Jz?$tio~-=lwAm2Emo}L#Th0;+S*WuxTd{c^5#X_m1SXwMUsQ5& zeM3V_z?J#}qN3VctUt~8>VrwIX`V7wq(nnUM+abqd{yZ*u0pp+oSEk!({Q$+u(0Xs z5-H222A!0GnCvLNd#@{4_uZZ0hljHOUqS8Hf+aFS{p zAO)v+72v%99;@d?KV>|fhPb4pq6Aj0%Q$bVem^Q&%Hu#7aGLh+ zfoGU%7MqHmuKX@mEChgb5uj5&0sO*YsH-l|E?h{0?>b)lA;CDBL@4<0{-g*-^wyTX zK?ndIcGP1EWivYg*Bpn%IOFMbx%uX3o_Uk`KlCQr0TS}Wv77n^2AC`l0h9x{Y#2Qs zzgTOFiw^)KswwcHUT(5it2PV^CIadckAY&rPzesztox9icZk>w+Q5`%8WRBb$>C(l z8MxDQ^J4h(syuU5##I8 zp=ol~dH>bn>@0RhBC`?Tm90=$p^L8*xeME;Ve zR5(R)pGF<+u4XBAHko6omG04=baC0tZ*R{xr=}D)^??Y(!OsbxUQ@^eaIg$lvH(%K z4_(Oqwv7!_l>bS+p+YWOnAL3N#~yvN`8-pblKksN1O7YTkjSvXFyUXIc&*6hC|e`~ zUTU7I?h=@0U!Uk~cZ|!`L7`DFkMq;L{ia~AK1=NPVfB;{&~r)7e`PRup;r~FNPP|l zwHXrw!@$oaRD5&W&m*-skoh<1Y??;>nSmA$D#ffN*Uh-<}^}4CAUbujT>54lz)bY4^R z&ppt#P(F7cmS%UUPWjMaFmC!TM$7YQx+RsZa$(HWh}0TuJ-K5VQoe z_{TIH*a9kLZRy~12lPQt=Z`NUm2UW#=P#Zjx~}n*bd1Bjc14*0KrAj`ykfniz~`N> zGLQhm1WBK*ETuroYV(jVAATH6iIE0VN+xJ~h?L6rgnrCMkm6M4?rurFp8_;lGvI%a z3Ws8|+vW(gizM&urg6KLu+f2m$-Y`lq8&88nAPu9`eOY2oiQMVgPBrJk4Gn+bh zyb9Dmb@;#(rw;fbVBKFGs>sS}+XtjF>Io;aSqO*W>0NwI z4%xON`t|-@k|DvNs3?HR>?gW#6oDuYA+Ou*SnJQ8zmmXXfn?`bxCR8=02vI%qAx3> zY04!DRK{~j1)LiXpb#AJNoAOIsF7GoT8(A{1qB7vajax18I<5+Y)&)0mA$qDVymZ>-o>czbpVd;4tt&%lBk5EBB_ zuI=q3U_~Y-Cjs~3>MkJx+l=aBJX=HwQ!civMJ$=7ZZcN_tJ_b}q0*|#?d}3_#`lbX z6O!5pdw(IC1wkkrKy+Wh3ji*D9s$C7z=}&iK!Aafi%AWr0z2-+FSN9@Y;4M@xs?WwLWJ|?){hPR zp66e5WrPQ}M7$K12*enuOA<{DI)tCfK{RDy^n%s~moz?QV~$z-^klR*mj{{k@=<~b zoCCTu97H=jPzABeG`3QM$Iv6uXt{OR)*h4=Fb(yC5=l z_SH)XA8;@xLNG8Sg{1Pt$HSW(!jXc*kK9UPj0gw_+4b5J4B%cvl*pX#=`MptS3mOa zF!x039<+%PrtdJf&t*PvAMnTo-Uyzzm-fn=pW#cbOGBb;jJYOm5QK^CC~)aqGeChw z0-i-5;G85Pfq4xX0pbUw^Uk{rxyfsjNtGwcWZ>-mK$cJad~G+(U8E(mg`Ti8xp|aK zkazbtVb1rufbtx+vdx#wMdZ(^0X#0F$HiIRe+Ja&0S1Ht;{$YQpPM{AoS2)F_+7yL zs9RR5C}pBdcBg^LT(&g_im{Rlf?bbil8j|O<-r({O08R6xI&3=nU)FSH)GrHFCb+e zcV@!3afkpFrq8n?X`<+Kn7Kho04agx&YZhiPcRUWwPA%6yDACS$|LK%f6>m%cjy-- z9PZZq8S#AaHz@yEFs^J@X?VC&b5%0x?mY&WHVf0+^!~ei8Pc2dyHDd!y;AXackLJW zS64QZ9iCWqFX+Y9;>GelV;2)jW5?Isj%-NO#QUxf@UzOH$gYFmY;jb%lr)>lV<3 zk$`qOueAK{(yMi+lL~{TqtT3{q)QTUo)Ql>w~`SkSHE13r1xTBMi5G{=UajkU5bs1 zF`f&6U?#laO9!fq7`IFlW!S;@+#&{Cma_SRS$`cK%WQ%1Mh}(Vl)#~kag!}F{Ri|w~Gz5{!NMJVL08>bgm^+QKAM#cn*W%Wv zN#g5H57g{?cS~XAoH}n_%1A$U#M5~k@6}_4w?xWI3qbzcYP+5JUCEK5=b<|*9=FBu z>d}Ehl~4l1ryiIHpaX%(^Zt=k_AZE0lQ&5k2A~v#Q3wUuV3NB6`z738CJReJ=QF`+ zZq=fD0#@7Oue?!Vd$ti9Nc6-1<46O@HA2x*-5x|D5K|c9e&{CG#84g#4LkH2XT<>%fs_|00OD~^}Ll9k>Y>hCb^JSxmigwb< zxJ7J0szbo_S6%q-aIrda(JGbe9(o|l=X?#6xeRhd_9KKZaYzjdTs1eFm2HeXApQfl zqkS%rdg??xxUceP*votQospgU;oPDe@s1PZl0HuP)AJ^zS_G?g@zRs^KRi@CBUzd( z{#ld#Z+q{J@u@orz8Z7wZO=;%!T;=0N!n7yv!H4eboe-%`{8y!Jdy&ibw56X@)U2j zf)i!5m&AyGw8_Yrn}Uq$ucF(T*ym}+iTi@=-9^cfTe1!41<)=DJ7|x*0!wEiSV{=; z10dzxjqLhl+H!|uW%Aa#b1{L!haic3=N)F7nJojX-?b!&_}La5w^#jB%x`2{k^IhF zdpxmeayI8+^1MlzH!%nKD)J1^R(a|G!?8F4zc;!L?Dt~;dCSLBR~$sMHHy|P3Xp*6 zAUt4JhgZb#;|`uBXRRxL9^R48zv~+GyVvvC04cX9eOz_woauc`8LAtYuuk+uzT z$-CD`;za+l=~JEw2&CsI7({IRR)UH(g6#IBsw%3Ttw0eC%PLj{T^4HXOvzMo0Ve;A zZ~m|D2~#J(6!Rkt7GcEbN$oO(>^?1!Gl(#i3NCyRsPxZj5%C+!=NCtVLxhk}!A### za0j|5hLX1e-yp^52TeSU%RhyOd-5%1{aN-Yh<{rvM8ts>kXMDl|LEU;>hhnO3sWb) z1m%E7DU;6-#hex_ki{&C>rQG~qolV*Z$heXd=>x9{7d&xfvu(T>p2Ti{46C=6VJB5 zHYa>aDT7&weC*_AMP}#x2Ct&XGJ0{;p+1j~C*a2ZM?d|e77{=_lP&l}ncvFfDq^B5 zQIPn88Qp#{4e^ZHo1j;K#Ee^XC4MCgMIL&YC2*mD; zpj)!d5pAg#D#1yr2gl%_E0d?`Ua7TiQFlm_&H}1Ix)KKilPhDuEBRJE?fFm2?KQ2E z!G`=3L4LLg53uF`!vQUcsGi+=`zAE*SZuQo>Skw~dENF@OLA*h(Hqx7n!IrC&Gi}E z^#L1%aN%v5C@=cvXQh$30rxLp7GI4YHqxuZ$^__I?XcnxTdt5brtc4BS6Xj5(PLN1 z`$0J^?iLyjKa>v3{{RUy5vd93s;ST$bLT&R15m(Kr$j`4vnTB*%gsoS+fDPk<*T=w zg^r_7t}g5DNJp*LO+dgh#SVw)ZMGGg3x@=r=EtAYmulTNbq_|fN2OEF5gr`PSEG6$ zfE@v)(K=9Ja0D99M?oga6jh0^*Vc+e#Zg{!4=xSN2ZNM}8}_cFE6;;z)8=XxincgP z#ZPLKg33+r44bL7HaIn|}4X1WUL!;%c`{k#NSAPoa zJ^!lg^X5Bx?o_)@CcG|o_#BU2jYx(nt#s@H{X?wCb(a;}*u_-2m2P(GhJ*^2nsbtj zU-Gbp(I<8$M~X;F#e|*eQ1RlD2V%~j$%sqZCi@&^(KwAWWT|Jy`L@3>c^BJzp@o>z z2}(rwL#gw*bKm5{%aJMlhRf;dTJcZm;v}ie>BHV|dH9N_m>%NWVp6!AFT#@@pJ$eS zhGFHnl&z%Dx$So>dOl3M-%LLMkYI>+LK0B!gYD2;((k_^U@4UaCi z*=tFBusQ{uMy1fo*1BD4zPWtP);LLK%{+6DbOjAczJw2bQX^H7H8{vh3*KDo%={?= z5nf$Q+nu8*QuP4<>fuWd{ThxIPXkj)iix}3rmQ%Eb8e+ln2Qgg|>#m^-; zEkH)EVFOX{BvH1C6fz9wS96ME943pVvJ7#;AIaGn%cA-DJBpM-ar(*rc;5>RbzNZU zhrXJfxitRx_?VVIVJ#_@2Y8A(>kx0&$mo@SUrB!UhTZ$za2mtFKeJnlbSPOU;@~O{ zxtSu~n{0iflwZjXS4~?Q!MEogrnHw|jiD*M#b_taU-*x0I2_-dijI?U%mPYkpE*@k%)s$K0sw9;E9QL;#U5>IEX+TSg-s_zMV#; zNf|rog=gVBaEN>=XzP?D^ZhBUpz=|?*p2kujLOQa1&o?TOJEHGupek`{_Vo=_~WmE zHNKc<+!xBt5Bz_C_(!xapoBs(V-^b@FsUW#E(zl}`gT7PK^J_(#O@bE z&q+WREAhvBVbTrYwvQYC&r07WAd_)qykCXecqzunE)SGZr^Ln4(d+d|vm+L)qCxd^ z2)bqga}bzxlP}}$&hrRXQl{Rg#^O8wD*6;pe;kS!OitD~NX!YCvN5+>f+OEJI)JxT zrNiFbf&jIh7nZPWr?Ao6@+hUJp|EHg`Q2CLOLV!un&z4J%nXgBP!#|YXzAnXDRw!R zu@wLM3a%sX`rKA5(jsMsji}g#ocx*GPCo7OabXl$-4o(Y4I6y6<&4J<^oL;nb&pep z#*2>C=KuVE+@}Baa~k78GoJ_VGWnMkhTI?ynRlXudEARpRSxL|=yF+x)0KLmS#7P& zWw~&IW9ivx1#%+A-4W-50yNG!5q&iv%IYp?82-e& zwtORX_4l0KNxur z8l(15|7yEuKLgZ$)|hM0oGa?_q-y;^qmcYMP`k|2-=6(_yEf0YSe56)!lwMIqVhF zdtT;lYgCxGD5B*Ny9yseN`Gdb!u1KDn91ms+O4ps5Nw1&Kjgp>Z-otr^Sq3d z>5hqQlp?;Rg4R0)Z-t{O1+LrbFJtXS6Q}~TE^~?`U95KNDt&JBu@vSKvl0!L3Y>pQ z-_og9Vs00WWkhi|u*nj%P&qhXhg^94z**-Nc#imx?fy19V1@F!jNK?wwyc}q z?M4p<8P-dW-uVzw`$v!U-_FE~co>wjJb(Ko4YHPR^Zr%!Q0kxme70CD!cx#Imx@a> zw==1O`I#0I4$2Uw0Re?Ute3-4SWXNa%kM-U1bt=hhc)l`v0~J!>V+yLVOyy+VZvGR z{t83>xjg7~eK9MDa;zfug4iUR$lcERn4gxQP&gDi^-rb;ghpHaEFZZ_A?kNKQ)mejPM zw*AiQKV(GhF3m!@qth$1EvT}YA9~J}MrAeBTpzh8g=9^hyDuPB4mU_^N)BykJ*~8nLPcN!aFJ8$s9K<;+ zBB3oBv=v`D3Jsyu-2%Hx0gvVPP*fo>@Lc^%Ge=uO(}UzsnRU$shXRg6S{aFZP6;t0 z=L4o@9I&j+gb7z-v0v|;Oi@pTQGRG|Xn0|Sy|b)|5U!&U#Ho_|T8uDda|D6>cVR8; z8=8$7)rd}xA)_A~;j0iGm!9I`(Jd(Nn8G{nYQMnO-Mx*-pBxd0Nhv8Rs%*yR1Gn;R z$yomr-yEdzZ(J1cR8a1maTiVRT5&8JA(}68FbHb54~F()Yo}L6DD8lR52rYS>jwirz zJ;&&_VG=8An$Eo8%f+Zs-ZT(ZUSJ?j41$1oU?X=IwgpXGboUqadA7MZbama&@ZXy> z6s1&y#$ojBz`o-Pqbt1fkz@_q?`r$CTS_*EWe=tJ7__aRFeUoaLQ9JUy5IP~s5N&v z1;Q0~Uqa4>oI=VIxVq3Q5gB@5OoeN^Bc0^UH-kX-P#TEa$>zeugw#C^m=ETpf$$9r zDT%ha3vTZ*G4|Bn6N;f(utkOlCn3ITH9xy7q~b;SLfVv0RhBPCBO%%0G7$bS8?ISj zt2ew9&UF4Tw#@8qa>W}?1LFLrb2>+2LK!RLz!uDL;9KR^rn?*M-|NscuuU22S~1wD z#O0KeRZEik?_hb+D(2q(iIB#(6<=W^^wjZ1UT|}X%oa&Xc4TXF(jS7lNqf%j53m~M zjED=W_DhNJZqBdWuZ0g6e`OFxKiy#cqy?X4j=7v@RxdWF{B~%ZK!_#atwIj3C`(Sq zyM7jFi^LjKKUFb4vS3$IOa)cdaIV|-2sMY%@_l*({z19Bm8CnN(QSUht1@YMim54qWUN&0{Jl~U4 zbTCQAlT(~sTU@$*yLw!jdQ6+eq6TnaH+ts?I(DqL5%nh8qYGk6;d`w1DGV*e(#rF$ z6)RmS4LEG=P&L)6c~9#D_z7{Axt(aHr>5_tA8?u;3KJE2eu#UNcs3v8G$~EyOTSsx zyX;g8LX2lo7Qlf1+aRxT_Bk^jEU$CE3hsB!PG>{-WRu#*f0D{{4?o+;Sa(q7L=2`R z{-V3Yuu{{$exUlcwwY8oYk7B+htXEje0M4J3x;L|+hILr8jV8oO%=b|e}GTgO|EfL z6l!-Zc*K7Fpvfb%6cBIXi?&os$XOIz%;D^fq!Mo*Vmn~`Vy|)bRh$HudtNoI|=3bO%!|MUh-!qn(jK!^9zcwMf{~hzUJm=NQbZu=d zMEgu1I#gOmf+j}THYLB{6${KG~$FvceF0h-ASH|t7&Mz|EHv4LS<2M1I(zhWf?iMJr8D;s>MAUGSL9)iDB zHa%*$p8e17)bq{kQ}yF&Tn^i7VgiQANNmXS`F903d>NhCAKA?3Ix&(@8gozbP|SM6 zA`8q{Dce>YkF&3ei;IeKZunjY|3}+*DVVB0JBF80k_NlPd3;iW+%OQOU6DN`D<(Wb zAS`NKOAW?%V_93Okq~B6j$E3!qd&h~%q*GcD(QeZM2LP}_$J@B6Ru{YW$(0ILoZG? zV-Xv6Tpc$%D*;>R;(yFFCry>vlVY6?J139gk%bQBnl+ojo@8)j5HM;2U(VI~Zytbg zvxISh(Q)$C@qA9f*JE3O?z%%CQPwUI=hLA2`%9D{^$w-w~!A#x&J(j3jPTZORAws%{ zE58)|v=ET57&0tkeHfez31yVmK3ZuZvc9{9=L5g#huVYzV6^?oV?&o8km%;#?ZcnEijaJ8syKrNnhF_YV%5cEg+4wNyDRW4iPfRJL1E zX-=EA$Fc_}!Bk)M!+-c;%w&DO2EGiM)i)TV3HJv%NLQS#1CgQ?EtHmaBYB5(O`i+r zqcO?ZyK*rYm@)r!qUEi&1XY!GIOubz)KAoyNl8g@bSaJ2_XwR01eCBid7gvSQe6Z0q+%F{}=%FJ&Q$gO-m@4CZ(j<5nrY2k{DTySlu{{OotSo81 zi*7)J{@ItJll;$Y;xcjyv`EVp2IG3sc^*dgKo@*PYTq${rmwug=tm}|i=i+Po0P-v z|J_fl-Fo}vy!_?|fU!k||0pOXVX6|$lPZx@!9GQ2M*ls`zX!83ovzGP^V!qVAX;kTd|lV6AF zt_J={iSg?1?S>vJb9UL@i#CdAR=qG7_}o<2#;!L!c{SO_Eg=nPk>P;Xy#gGlZuDj= zp-6?E-+iQ$YrYGcPWm`YOF!jRD*QL7aAe&&G12>n($u zA{YYMZgv!-_V+Bk z7$?lSa32n$_#=l!#sdv*NJ`SEQeYGnH-r4=+yE35j9w78WP|YV*>$(-6X!474!qhX zqs*5V#r_fzJGeyUZ#j&=WwkMp4x7ru$uEUw4t$9rNKsHMcwXC3B#;DyCfy475+yn2 z)}3Yqi54&~42X*`2L$qp=|T+pa+nc5LK?)s`iEMhJ=&HjmKW#Q`^as8S2 z0Ds~$9h5ojUmmB`o!3svS;Hd9qh5PxwueJ#4CnfcI)kxaC@?7^DIhq#o?zrvid1ln zdkPKmw%igJ_UW-SgA{O|uOce3P{#j#Jb|Rw=2L9QreI@V{;p-Jo(U0$Eznml(NG&E z>lbU`0RuHzANt{sxUBxpB}Gtuzt7HH2pp-%SP8n%j?ZwuV&X-)4HOALytlbf2Hl1Z z=dU&uR4z)^P%C`72P!}#ArLaDqtA_M?M5ie5f2ffkG!Rv4avP@5!g1jfcDX}WK&hc zM#Rp1Pp}5rin~}{F>P%b@}bLUl^uiCE4LHN8;{@5WZTH4vT3Ew>PH4I{J|v_=ofhd z)0Z7#cCdlxk}SC80ESi!s@c9L%Us{2DY2|(!TFN|H1h`*YX2W|7bEO#ZI=L%r1d^l zT(X)X^w4DZ|9@P4by!qk^EQZzfHVTq4U2?yFNh$uNS7c;NrN=VQXe!3AOv!^6QO2GYTL`j45^5)7#h+A(rnc1U3ita;1<=6GfBHc2wo z*uO#mZpNwlrsvcG95%4{n2pv*)P;gk!Owg7U{i%phnqm}x#TVi9qE&lzStM`AKwv% zs@Z(jO9`M?HSK}w>EP-fVGIQNC})XT+dOm)%h+3q^?TunHfwRz^X9g5qnQa~Oy|^% z9KFH(5~xe!U)IC#?jJ}lv1cj>KWKDfP|jp={@(IRqfiicM|hTgoyOcyetRoTk5;ZA zm$^1{4F9d^&)5RLUNm4+x{m%M0w9*s>(1~S-I7>MHv^|6)G|ss=K^i7AcHrpK|yRd z-blu4vD=t>mEJg}or1Q%LPLu3nF)ZvQVb7}A|OY(;0X)xC%u_fj$cQ(E0XyZ^0Sm# zfdn(x9uwbOMX;RXLvk|vIXSY&c7)k1euj54$&HTTA0t0&;2VMLUove<|6Z@^Giq~u zJoq>UtmhN$!xLy$_-1D=954yX>#ZN*q(UAS_3brJBII@L=O`c?ykr9zUyG6r|jBCkgK2y@9xH#oJ+WJcNb&1nS800huM?Nj{cfGptt@Y z5?oEWoRy!N4rjdrfB^h06Mr7Ds%WAjRurW6PBEZ$=?}}BvLuFP2^Tp5sM#q z>KG}71To9!6&&h8KiidzgPt}iL5Ew87)P@*af8-Z2F_3YD3eu|DZiW{k@-nUFY zR=GSTRTOitcDqfSw8O$}lyJRA8bEVNIuZ4{^Va%bv6Wi0sFUpFF?($bO9V&fnWzVQ zzE~Oh9rZxgUzmDgDS-r?u{UD5g^dMq4oWc{Wf(`Zi<$E55Ap#bXo&=6XfYXlaoTZr z=@0W>hqZ$PJ_^Aw*A1X!ecAFK9$b3NBQwfpS-*T3X#wU-Y7=zl5111osd|7r%}tJt z-3~OD0YuS?d}0Bdr=QvoI^e4{O2k2 zkWWYziKiEji4nw)D1aw)s$;+X)xMD9_J{-oJ?TO_!)I>$B6FFlU6!hYBF?`L2;EKd}q{Vd6KUkrB zsY^v+pZP5EP*zVGGX681JY#A-dp?ey#eV*{DDk0%v%N$r;m^)O^r}F-%z?&uRBNC_S4PJfIg2c+>4tOM82|@p7AHjX4*Ch{(8nfytZ2( zXu6Y7m`0B2+FC8Z*4hr=ZIt^hC#;WqeB8mzvz1yr&BM~Jyv--O zYkkz#jRy~n!J#e8AhQtQCiJB+j*2@epl=hT>`Wz>?+k1WV-TcFam!#&wK{?)b>m@| zvfp`8U{l!)@jf$-d<{SsqfVjl{+e_aF8h+0Ho4#(X|w?m_tGeb(&~%8%K^v!c&&_< zPY8u~dkE}-@zKz#k}yLy2jJA&BbLNobY;^gT6Yj4e=Rf*HL+Qs4(@l|U#~pn6mj8K z34ZL9D8k2B0eRy-NPs+e+9yoR#NFrh0OP@$O#hU5X{y|)u*|w#BR2J$a6kjRsL{j zZe1TTyLGC@K+Bi5o0Jq3xk^Cq?o8(nN7^GyZhm^Bi&GcPI4A5h;4v+>MIsH+V~LK=xKx?!=Zz= z6OI=92i^BCp7%t|mZDMtYZqr-#sw`i5z}TTNmGurl&U}3d}_*YZ3(7s68L{i?sp5X z4&fg2GF>;DF{LA3o*6BD9VQws8w#$`6a&d2YARz*sF#e*u-T4V9-4JadK=z@0@M0-pic?d13>+ID%D4oQ6-U z_2ytm8*(oOzm83RlU%3Rt->t@k^9XnDd^k3fS%rG#L0>Mge7-8Wceo)rp0T;JD?*r zmgL6-e^MDQT4murKbT5@I?XiBDj1YLCP@Nx7=;Ya}6g_ zUo9+ap2TG~wJVHDi`XJ|QLpJwqB`;xMWj8fy!?Sqt`>{)$l8`}^L@I0%1DWu{2bh- zxt(rZ%Die)>!ViPLdpy?w{-}*|GicL&cTKR*%y@m0H&{qh_72j%h6w2K<;OV)BWn5 zRLUSn&{`oXt0tDmYCOc20;NWqDgv^{-sMY={;h-kvMO&+knulzeYXt28-Dhb(sbl4 zr5J4TIG_!VCbV8#paMv{(e68##i<%nqfI9vBnozM$_WnvHGbwgyyD zpfX%bb3%GC{(Y@5G z(KLy@h9D9QV35+E6~$X`W@T?g2RRE$yzR8DQ4(&6lcZgvZ32YV_Nbh?2980@@+Bll zHY-&<=G$)t#d;)?ifS&o`5vT@i(Tf~9lf;E0IMAayXO0=`GfQfr8OZ7k`ZuUX0^q1 zxLL__A1@j87~|1~(UAUwCc2g-z?5$VZrGacgmf2!_=D17*h|*>hYLCChN><@SYoX8 zMv;td^T&9}`(i;(cCD*Q(eGp2F#w7D4U>A8s;8jg>(kJSd86i-VR=p>z3yL}QEWjx zd4k=fzBUbHT4no)jjNb>E*6r(CSo(gLu*$jcGfT&$+Vr74Nb}Kf|ZqA z12-Qbu4^*0A4+Sp?Zf_hg0Mn8X`xl-~!a0;9 zZO^!qO&-rcck=<`zmEffwb+n!);7I-Lo)h&tzZ`E$Pn#uS{kJMZH|yl16Aj8xF|Jc zL0s3$SWVQIy!O*%xUDsj%&r=GpJ63!v~V-~%KXyV>p!amNO5x)hK^Mnq4!>SF1xpq zs11rQXi&T+4};S%P61}}alzyI)sk42=Wff0UubO0P!%;1cXG_zhLTom$dXh!`^u1> zv{>#QWU6H3EU)BMxDZi^`-Wu@nTXEbieI00(xhdnpEd0e|H=f`y~a{gWPMs@M2={q zD3*Pl<#`omtUS_7MH=n1OpYfa;7-en&90QPPs4iT;3*ZDf$xfwQMe3r_*(2jthg6h$5I2@g37-t0^M)u*5D^-!hAN+SgIKoBvhLk5}&o8?Wr%r61-$Y=s$!O1); zewp*xs>5Zy5Wb;v)XWztg(d7Q9D7Cov+@3*z44F?8->C{^(Xi#Ee}_FSY*`n@XD+d zVm`PJ-j<&tu2jHC!+k{;Q6$C=F>GbUsCBr1-n0rp^X_QNzXZSmwFQ`d0Z-gkoz`Wi@;0Z?DH@d}=?f-<&2&Snpe^`FPCRMeHAU$%NC|;hFVgQ43H{xaF%Qu<#-`VaTlwuRd zQ|gF+#(=%2jbcD_h}>SrK$pYt7%I{p9u+2sL>q$8<%KI(Gbcw&Oq=qZ29q%&NANrF z?fak~_>EJocnffl*#vL!k{Be_02jkn2)_=AW0 zTEh!jGMeu76CfaB+n3~E@@IX#eCpshYK1pT>!Muf%Fd9FE$6gPN#l?bw_L#sgAgh-4`BVpO5(9sV-?L6UX7dhYMrSh&O~XzxhC&b) zEy1?W`@bvCX>k7Njc77vpXr+{gsp|EhU?P=f9gZCBE&3(i?tGIn3us`6MsgqCWzmx zJi^8ja+J!y#Snb(ptx_p^326KeA0CXd_{V3k7NK(tOcI5?Pyb6TTT@vP*8r<*6kQVhRIUddO zHv;SpGy`p_;<9-d`EuXqXApPW_#5hAyza;Ae1(Z9HE_Lz-_djOTwcfrVV7ktx+-R^ zlQ#0g00+1a170YVxT9C~=U#Z>Gd|_4NzLk!dG_tdaLa_rtJ|W(_f;1Crvne;@R2D0 z4nZ8xSkTeeI!&W#+~DuVGszl2YUPd+`TE|`suDxG6w0?M-d(N~kMQGJUOP*&20dze z+=%y+hxO6mV`FkYL?Xi1A5nR>VuRnv3_Zy^_BXmy^Ls-9Ao*=zk2xUQO>$2^bUjqf zk3?v;qL~})+kqxb^e5B=QANw5lYICN91aH_3OO;cq8RCX#8G2Wn=Q+i7GQ-Z&a!FI zX+(W^V|ABwER__mhMD_TEQoh;oYMO!Ys}m8eyB2K9S|?%1=W-OWy{;xO8B22Dyt8+ zh~`KKYHZ{X`gU}+Xm6lak9MKZyCt``sIw$g2>yhCjL93l)A90b?t;7JiMyE{0D&+d z=`4-l{#g2Qk5*h$2Bcfj~rbM`nOBAEyP_oM>|f8g4uZ-EVP?m*Zqq$_pmkomT25e}yz8jjIdm z_o%^NU%kWp5qZ^J^<#T&Qlg<;@`c?Vl+~WqvkY#Lqw_t@SRBMX6f$%tF|qGXV;wEK z@gB5^dsv^Cou)b**Ik@F1R4cP^yl=BaGSmUdE#q5R06T(p@=Y0P4me`F&S`t3U%8J;k}R*Kh&rBDJFWCb**;GU8zKolSgrokyiLZKaHud_@v zVUKzBQqbj3IBcZRlz%LbC{-HLdW(S(2rwlk6(e5-eml3R2PF6>H2`E}t%35gpYaTI zGb@z_OqulwVe_>k&_qa3#6n|R3-t2GsfbiRdbpr!aB8L>^DkCOKh{b(gtZnZMpwRN zJ9*3RdM4Wp!w?P#X7iyxX%uvo!^I`vKP*`@Ykl>-%JMFI3}9dd{L?NdT3IA*lTq1_ z2^F%MpR^-5kJw`YE?!!0uQNrC6W~ZrT#BRcD!Kh zg>~F@Dy=X<)s~0xb^t0430@dnEgN~K48c-2FR_clakA=D4O0>&IKd)@;=T1;_nwqlYvO@MAF?1lICk{O&+ZCR@lyE zz^LDgQ-kJee?_br7-<Obc#}puyl*n~%~z>c!^yat*Q~+X*s4h zKJv?-<*{$>ZQPAFK5p`ybIZGY{PsfLi})sJ5!==ILY4Y&yibiupybr@OTdf7wpw)b zCwc9AROdV2_)p%FZ3yt+s`eiwa>eyqCJzg;BNJUc3U`_l4ngxr#B@R@>N1$EB(LtEOnfzj%L_B|ZGn4yT4! zq+sBoi^ba|9HFdG#C-nPy_RpH_;Rze^7vtj^IcZ#4^*~Ctflm2hYBkWKtOzYdGDks zr>kOO6}gs_Ih5iG!`8|_+$`o*)i<}V@<4CUoXzc#a*T;Cp$PH8b2Jp;>3U6^c*o1cYxbeZ zLMN2M;9~yGj_%kYgq7INUE#{&DOyP;9XF8?wO6C{5 zIC)e#zvAM5jP|~ywY}(x&v9K6>Ad#29}N|^8b!o;C*jcMlT?Iq%$rxzl!7Y(NC3b2 zCoJydZ~O?Cf~ITNI$r!FYa=~v*!}7YZX#A6Q%a0+nv45!M;1C7 zIXLkoc5rDq`J;Q>m(Q0P`+3()m_p6NSp^CkwY*Ip4zei6l*;Rd z!wz7Ha`v%?L^9c800vz9N(Q=L)ev7R-#I-taW=!iNfu{w7EE2&we1~>;Oecj*s8o^ zlsG6q{ZcJQMk~w1M2A<>7|(2tmO3lm;A6u>4$9BDxGDZ(@hnA_NMxsBxJ3H?LmEup zIOP~#72TS#esxpYC38VJEkf^ZHED{VOzPm}5t&w{o0|tfVq_4nEd)os(w)afOYqk4 zvROO8i%r<}9=AFg!6?)HaZ59Kqyu(Z>dgoFM{!EIRx%Rx;c1jHB; z?qo1*G5RN8^FnEv7XwR)cj_yFjkKhY_OkNf@1oxH7svaeMHaEe%9=l$B{2>~xeb^X z-yNdFgw&|hS>^z`@~4o|jyI(c`{fZfYrHl0Xo&JxGObDHT$1J-hHpwA_{?de&~(>C z`+AP)O=uhTga0Sm8{t_6j_f#gxygQ&VLqhuRz%}Q{gGN*65bK+6;vOl!9^(ASxLa=C>F~5xKoIey6+Zp;b7yf&W5bN-}L{+&YDccDgNKC_0!l%-yzo89VW_d;R*&yBuE9@24<(r0@Fx4H&Mxe!IfwosuF zx@S$8#5lUi#LIb*XP6@-_?>qp>Un%gX6U@^$ed$#+1;u+E*IDkgYJIrCI3qdBiit6 zwn}0YnP2ivl?*9n7cPDOHrq$viN@^z6S?h#nu*f-W4B_kXM-2e_TbaP(Tjie%DZBn z^n22Ef|yY1ZbDHHskRN_w&2P|n2GVUd^{!&VshPZ8FI7WUbzWROznti zMB95+>Y5#g7qYi&_01OY=GS1Rzp3!BCEkyIUw62fUhE&%6@*G07b~+?=e`hQ8d*NH zP|KTw=6MTdR6XRm#ZbTT05s=c-8ro9-wNnc%kklNn{@;1L&S#IcegLXe;;UbLW zYqVaxocykNdAuARyDHgT2=Fi344{24u$p%tMe2z{}++DgE{ z`SM#{Y}9FlvOye86uT@A*QFc|tqLufVk}O37j|i3*W1}870=93bdLd&u?w%LO z&3(@wUUAxna+8Yy@KXW*>C=vP>fy2fLqM6eqwe*{7rRObE}AT=rHtr+A}kKSUxqg| z%1q^h50I(z|C7hRQ#ZVDNnZJMtJ^7^qwu3Huhw})2V>g!5+ff)d)q!vn4?E5%E`6v zl3ccKt3(mqZnV6N|J%cB`2@+y4}I0Kl*sQzW!O4Kfh z!?-+zj!`DOkNoQKKa7tAc{9LB=BY;@0)h@}3E%j8)VHB@PsdckNp$E_IY(g(5fy?{ z|49zqr7*u|k3>DovGY!sI|OYfEN!2eJbCk5JS}l+gJaf>utD&VnsP4hmm|aU=L5CP zKrZAT@u4Yg2MVMZ@p3pr)$n855TTZbKau-z`J%k#%pd>Q_sb-SN}KoZl{KI7i`4mG zNz=CD8Rx3Fh!_caH9)`|Ro5SF9OFeK^E-R~H`Eh{{xesT=!AcJTTQivynFTV+0@ya zz`6T8YkbHoO_s-C$*aQ{I{gMoA?20!U_J{qym}}3pX+!rpH#)gQun`(!8}L)zuK>P z?8ZdMD6R_MBZrXXA4~DwpHPRYD$OIDED~hi_5c3*jztonR%^uvz)0770p6YP=v?Ip zvb)%8(@UN-I^qjPYQrN$X3I>$&?1_6z~5gq9Qpk~_Jnt5uVKl>CXUHL3yFG6gc_PO%5QcKgrYYhCcWL z$<5VZ9=&+XW`)EWCCEm+wNt~M=7tUk*->>QP}W=UQzkkN3RCKk@Df1scu|LDCXJ_T zRbYuVMO|;NgFLdFQHva{W>VPgW!`TE#oRD9wO#|6l>5^%(@>)BN0#jp&`D(FjoOj2 zP4jat3IzLip$-pINV${UgUP-A5~{oSZA$s^u$*$^c${4!!e}vhEcpq#7)OwFay}J3 z(kjRNU0Llf;%_+Srw$^!wMEAkU)#?6`h~{~qd<0QWzDqGj32QRf3qir8jZ-s-3u}TOScW5}vz# z;}7}@2*&s*%tBAP-Ark~bZ!}G`hA^ZFL^GpuliW`Lia>}DXD3XmEjTm>>M5IKWG(G zrc$z={Z&hISdsC4s+aaC(-FV20Ms!Vbb&eh+lV-p^`?)o2QTwhLW?ji?%zm|+ zUhjb!ZJS8p&P8$BllLJ_KYr9-Fq0T_Q#lcmW{pf}bdi7YPho&6%{!9|(T=N}qLxo8 zGAtPqCo-!a#9d6gI9)0Im?ah5+miFg@JPgBXMkJ#w;n3&(pM1B*E~*T!l-xeT*}CX zCSty~mrEr8fPFqBO$$;fK(O}uAD6iNlMaBWQQ*miV9}Qe%?dMmq+Q zxX34z>TSPocHz;^pAndm$oV(P@_&bUbcq{bSpn&SIu2tmz9&tL zgZ2mq>EmjKX%)*+cJlY(z6E#~PsygFG;~DWQvIi?j2R`NX;l|zkT)V4uAuez zmPc|=QVjoAvG1**NE%fYcXD!=HEN1!*K zfvSVL4zZZOFS?$z4(`HdACl%3>4K`!0KM>Yl{KA81SuPqB zjETkeE0N@u&CZIeZ}ZFMH%Y17NQ9Q1&9?!h{BsNolOU!9IiuL`*l~C3iB1Ve zjGZa7{bru@(>ffw>``+dQE(sd1G%^usE(m@@OJa-LK~p^Vbk37$txJPlsM2XFwfi> zSe_k82W}|mCO?+6wsu9)_AxX*Og)A;TrkfILKeI!$!7G*^^l}lw6abq4n^QrQ>sv#E5ILHm z;YxAtz3H)yNy6X#)Hwn`_b)(jGLWHsYSYwoV;?KRnF6!a!#AuOhAh8CosB#dvvyq9;8c*oq5Y@~yqF zJ?L5ebZfg4Eg16~MTbbFhZR?W&HQ1nn5zW<#SZiP)J?B-Z9o)oKaAWPQt)QFpPc16 ztnTmm5cSw$Y3>b8lkl7uq}PX`O@>)ImP+o?O`cD5QA`kYutZ+`Pd10~LbQ{(<)Y;c zJZ1MiW8}=Ju#67n;-2aNWFb5jJt&l%?jPO(+(8`;+4Jd-4KgR6J|IhGc5KGx|AW?C z_!TIl7%Q^rmn-WSEB0dE^C+wFWsP~$Bi#oVa_KLOn%T15eHcIn*00@TZ%9vhYNl?n zPX#=js;~3^MPjv55MBMA8`p-TELWV-W}>vNiMxF8f82+3<9^02wCf;v_xoeorr~SF zCprRW@YzVzV!6$ySOS{V0YJX>j~i)fe{7BcJY4b!dyHgirV@vH>DVwjCS)Bsaa7St zgw1mg<-6+0OI*xC$bzFUf`0$EC?Osq#qVNWQF7cFS{G%AuP^6#&VZYox888G#R|Cd zA%MJ=d4s>uD8!BrN=wG%@4A|Du+{=p(1; z%uJRO(`dIx(sQO2a-AFQxNaIv#J2|Ru}JX7V?|Ym*o(;-P0nU`^~lxdagt6f z&NEC2&MWznsKC&DueT9_e6DK!LLDSG;1eZo&Wl{qQEQXP_3Jmp!tWD>zuhYpIU<{( z*UO_K)q-u*RKQ7NI zBL}o|n}uJ1uikl*l^ zM%4|KnyCRwY_x7Mjr2rENNE;T)!WY-z25v7p0run1~V|ah`oLu7(!@!;o6P#ZDC0J zg=*}%@agVuds#jN=^U)`K09yQQX%4{DHb8NJoZzz9WepI^wJ>fb=4mNR_zGdpfA6k zAd-CacrKzalzbQ-x`8%Bg%FTD(}ZO_+_A zmGDAS0zLqY)9`vG*5Bk@nWNwT8aY?8nCK8iKyK2K!I$i|I&>>}@L<=Tb8a2Sqq~O7ld<9R zi$k|~L5^9+z#+c~s5NzRdxg&Q@J@9R(^h{(i+KKd)Ku+cb9L{oYq4x&^-fgh>ghDo zZ|!hN%K}EZ7#H3OH$-Lxk3>-K$8w>sAiw^%Hs22SPdScTVH`EsDB{MK9ANamGS}qRR(!xhxc~tQqwTa35h&K%8`e{T3cYe&R zBBs`!A%lPgbR;$fd&5V#lH1HPNjF?_;G<8K4!hbvjKoHDMkO=4XAM#KGcv4k$ux3g z3d0Z6=`Q7&1H{y5M-cMBnuR{5G#6r(9|JVs_xeHE=!G@)lx=p$Fc3uge4sIkBr5JL z&^$%U?q#DM@`aXPVQs}+nXl4Sfq{|tih_#moe1`WQgY}z_s6A*TrQ`*G=d@IxNcY4 z>7w50@>h^4xY5_VZ;ei&a#cy_lA#mT&t&UPKB9W&p9LU`{4`n2fttVKBo@G4fpPLes$wv_K-Z%YH*(;SNw+4h9-_YQ$n^$55|IOz|!V`?_Oel{}!y&D4rC=_crSMp*Gq>sLOMc|Yc0+Ms`h_135 zHR*;#vCVF83V!*#0mwy$O(9cnB;eh%_zSKmC^3um<+O6>bV#=kpt+`Chpc5yM))V) z^Uly@hv$}JE+a+!f38ji>2iE=A@%MdDqKDFW=8A?wq`Z>qlO7qbl=cC2Y#IPrqAds z#9|;vP}9B3F+emX{PqNF+J*>!O*E+>Zt8bRsmw}5GHf#e^?w#~sFZ(A?-HIF0?p3d zqz}R|iyX*d!a2(sx20tyuuVIFDFQ~D0}p+o#Qr|yRQDC@wBzv%vPh%xCq#m}RU`mJ zfX4K`zG5VyJxtRQNbqP^PX0c^3-29qhavC$e-LvRdSMj7`z?IRT$PeF=h$J`Qx<1V zWFY4ny*t3GmV>sAP+fFpAN@G*=Shl_wwZn6nzACa>9T8uNt z1P|Y0h8!h3R#G>Pd@{ofz*t1Ube<>;gI;g#ZE(kM3uSJFCaK0exNDowl?(-Cx%Fj3 zsZ{!N2)alpade_`8Pf7C!=gW>>PeY_6`V$C$c!89)M#~HO*7kgCpHF{6LTi>kJshF z7ZvfcinL1or0L_!rxEXDfU5L)XgLw*k|oN$T|I6j!44hK6nuv>y3$}vV|>(#HOaW` ztXK!BJ75**Tl+YawyH!H$)BF!ODG>q;SSPVO|RsjO_RQ)gS*CF>A#G3!hh5DVlz){ zrwI~797d>^UlY;ndbipqO8A)Alo*7+@t<0Em3;**VcaeBE9ePO&BK_nB^rf(1^sa` z8|@x|Wins@A#GP{WhKXoB=C^T&Fe}6`#9D@FtKu!dx;vjp)J#FWCb)22CPpcrp(D{ ziTLJ~8|Ao0+=-QY>lQHydCFZUJHW91z)-IDH}xZsT$;q|n#}-vI&5!bQ6L?ZzAAHF zr&K;JwOCT#Iaop&sly=5C~>bJcUpjeAfWWEO1?f3#I1!@wDKj%cGk{347z6QwkGby zGl&0;b23~0c4N-$ynC`uNSbN+Q3Wt?>=DBEe!vagnGW#3{Eew+3_#M-jmCg`VuNnrCyX55nR>{YALHTSXVx?g#w^; zC$@jzxkrJJxDcv0`A6!02OTFfJjKl>H)|^8Jfumt*Db=@Jaq>{zLC*4y|>pqw><_c zn9-^O1GidQ&NnTaaANnQX6`tL0EiNk9$`p|c1|cKFEv^&U}&b`@2mX+GUa2_vz{SH_8jH<)#`EAep_wW&`4kPzUhtt}FDWgs~ z2PEV_n2QhBd^%)F?Mj2M*k)`ynm$P)7t+TO(U+(nKcerWcb&yNc_NA@gVh`d#amcz4zftF4E_a&!y0_9HuvO z%!q&@c?@ma`(!y5%7zpU+yZT)r<+F%QpWYJ6JajDxN6thm!J+ixkepw3BBS2Kcg@P*Zl?2Zt#v!^R} zm_dQ(vn&DM?fp@Qv8w>SCwiVWr{k0SV;0Hp8c##gEn`W8)3b}*E^yU^U2g`9$>(^l z*H<^@Nj?`{y8P7>ijT!jRo4ewn)DJQ^_MIIKlWG6tl+&X1HyLjqh`X}W0&}B@+n?d z>lM*^>B}D}9OW|M+l6f`-y{~wY0vP(4+RX<1yXk7eqASR%wg)BPe^dzZZ-4V9`#;e zR=8~X`KCIs5eZxQ=-{aky_Cb*~)SgLf88x|{$A=W(s@Jcn`nA|n zFuIpzUyBB4QkuNeQY6YF7u0dz00vHQk;lB9cR4vUqK92d@T~Er2ipW5+;q8~z1_W> z50Y35>mKM3-iadm16r>F50hS~fd!_3M=4IC)^Ay08G&`be5!<@x2HbM%?Cdl&TSp8 z#QsWAibPZQ=Do1E9`*H2z@r_5Z7r9XOc|XRbN33&M?~f_pkf@fN|}|mQzY^!x8L=| zw@2g>H`ll0{U&D(?AYE$;C;*dxDJU-HAdpk z(5GQlUY)qZhcj8-KOO$?(YfAdop2i>h1?;dNFV)BlSWjW9Fv3IKEdg(Ab;M)Hq!Y~ zJuKFsjJ51>&?g`9S6^^svE-|&tsGr7D^<30)0(8sT%U;vT3B3~ZmCw7R%TxKSE*W< zjny5GPMbb@7MW=%^MJ-&V-gliQ*Jfj!WPW4@w9e!Hg-Y#+^t_ILFS_%$8*7>&NIP* zy_u!=!%LcCUnJAy*f2R0!d(>>PNt??hbjFS;5cGcZL$hbNsR*b5H#8F65n4k*KElI z;JuU9;_HHaqaOb#-TJjjw$cuQ=$k!$+@erpVTnucvgW60;E((BKYK6PFMu&_I+$%w zl){1D*$8w>2%-x|^^J~VX-bmALQ+%=)Gbx6*tDDqEV)7kW@uB>NL8o5!>k;Z)p5_kI)@i4qrbDpuz=0UfP} zsFfk!sM@1(buF=t2&HIMT8&O z={-P7o|}jTwo+E6YyJ8;lT1dcNoT!Y`?*4G{&}a>+6~f-P~8kPrmsG~g=m1yWGLiI z`MW87sB?FL3+Hq)_cYLD5&^;HCn`c6RQTW#K?b3uf+lp7&|`^6AG8>go~7P@DzaOz zdpRAdFFRTl-Mb*tkFoMO+D$@ir4(B_!{*I$!{%V`Ncz%o77^7+=7-@6TdmO($l8e9 zv#X2Cc3}$Gy%d3q0KQ-EKxytK*@wCk>1jp|4~~K$I&xOYGRkTrj?E2d`#iL_aHPtr z^61$LRhr*I9U2nB0IIeY5v$NC^+)kx9a_@Y522NN#JJSBmW=O5P0grZ+_9az1*jh> zl*W!jhdK2J=uMoZ862AE&yAVDUow=N{UvYAx)<)D{bOK6!8cu}N}pIpYPL<7<$`Z*C3p?ESlRT!kW}?tD^Uo0 zdH+e&c66M{$cd}jemn=W)c~r1tigw8J<2Yefp>8Wpfq|^2se`xf(t&MTd^10xV|U& ziQ&mvf6f~uQ>Jt%2q1ed?(CiU+JN2Oek1`7cWuG5cyXkyQdD*x%I6tyL+xa3U$Z)5 z{@VCGY7mWh<6`o!5MOlH)TIq^+WBkOn;rw{KTHY%0S1~9CWx{pA2Ncn8}7$2711|k z&^P&Jh#_F@!Lpz1XzP?=cPgsEpKQK9l)vFPXi(ET{cP)IhYK{(*Ak*73u&%5HRL3s z6`CDRB|y88tJMngOGeR#`rQ(DUxe_Emqr-O-ulze3s2gw<(6Xi_>-mMJ{?J6Gtv!i z{rU7!{D=ycd5=6rR82uIbICDz7SnCBU`PDb8 z1(jdK{ERo z;`hRN^y?)pX!Dcwp@{$f8Xi+M0So=mYdTeJ1vZ!dSzwN3(_wbBPXfC^Ad}fw(7P{G z`(g`T1J49+WcSd~plE2d6zyL^{QcDB!qa}~;GECqmg@3E-lX(Zg_NP)Q&C`bee}2n_0u;MGjX1z289bFEPOWCvndZ#~&5IZg zBW-8r_C5ErhRzWXO=)H6D-@+=wnJb<0|V*jj3S?a-Anr)OVUX;jgGo3Q(S4dJ2bR# z$!R6+b*JN~Ve;D_Z~|%8`-=gWY{BzLnvHj{iO!OQ;6X4Ks`;%F6JPuwi`xwBz~GsaBJmhms6y0<7US zcI`B*loIpV%{L~@drE!34_y7-aUln;pYl?*mczvzLunxt=GE5- z_NUfZH%g8ocw1NAonpd32;eoIdNlV8F8{Qpm(#yw6cXI!ba$x@KxNx`g@tD3#^)bS zOr>zz{N>#8cV;49uGn_tj=rQV9OoN#dj!`eY#JcHwOG-ns@qhjO;r4~Zj_GdouSiU+K`^Jx_i z?mQuIA@!rKK`a4cLJFQq#2S-Q?rEmZ}pAAko@1+FD2a$)u9mkCMRf zP@DCFi7i)P>MPBV!24DvUv&loTwH~bs*BR4e%_POk$0M!4EmcpK{~9>oBZ=U&GU`C zJ~RO44Wwf_TVnYCHgn$7baU);ipMm9jtriY1))^I?DT}1!|3|W4MY4-guOVlMI#p4 zN&2^0Fq2dMK@LTX@PN>=bz(XLk+XEtPH{V*!7J%-MJ=tX;Q-bPSFjWo$`7W8 zXjC6@Q1yly8Y%Ifc9#`=13ft>@w3yzRtLDH6hW zgF9dYVx1>^Ms^rFuLMPS(;Ve#wOgtQ20095fuWRS8XEAk=G&d$p043EzT$e1A)#2k z5a@D}xAAn7VN0x%YT>)*A)TOBht=)cy6vnN*E)^$X1PH$`tjh+K3JkmQBKX4YbQQC z>tC^ZaFIVVa%xIMFMua7nPKX#jrMmxquJgZ{Zh)IWZsY+*u0cdiGTexdptqF^6D#> z8F;!jT|-jzC2lS)xK}jv{G6UieS7lPOB0)*Z5u9&`L`MeGgk!FtDHiMa0M0fj8qz0 z>*UvqUHzJC(YFSTjec(*^g^b2kC?g53syRvhA?4d{H9)<2PsKy=DFQu{rief$*XTj zD}Z?O9)GEvjU1BdzGSA2(so{WMb1vTWFG8IItgoxoFCw3y)85`H=nJr_M-8X3SC!Q zJnC`=F~vN>-ZPuuv3C4vquPR32@FVS&(=E2x%3%nRq|WxP;>L2Jjl3=deOaS^3x+!iz zMiv&;O&3A3fxO5Uw0^#ReD;2Fd%6{Vs)VKGwE&EE{4DB==T_u?Ny`MPE$*v2t4exg z0u0e$R&8o=U0Qpw`_%tQVogjg=G(o~APs-Fn8#k|4LnFYCXY)}yU8pP#)XLJmekMm zJ8q5Sb%8h?m^c{wU{K{`#Q5gn^^nx2L=TjQ|21p4p;UMV4G6<$X{Y*@Ea)5UkU&ri zNCq0iXKn6})%!lt2V>hT(oh3ksce0r#ps=d3;6-lGqJii3W~o1LxO9HFT3MqlJqtK zp8;SOFsJS1qwhRdM7M)7m1!`20ZZ<2#c}&|>awT;^V5Xd*FNZ)wTGl3Gt4M@Y2aEv z(f4pZ8@VqJ&q&`-jcMud9F;$N_KcL2G{T;hZ{DY)OvOBAoWcJzJ^G<3&y=HZ z>@6lgFsJn|a&*V^J86ILdE*bT!=rOnN z>V_c;Zd6N?kXxyQ-aF$5#7?n2x3o(uWg8%I=~J5;x#Ck?a$#`V<_F8m&o-Z_s?0U1 zr$Nzsgt8f=to|~$R%$WH7uPdjy>CJEmHSqaMcK9@YUBp*l+{*E!IjH^^4UpG$gKcwPTjD^0j zJ9m8_&BOv1QtCkb8;=yga~wC+kJ#8XlXm+twvIwBvfM{k-ud~Rrl0PwmLaL3ooZfq zF|roRZ@SD{o=tO+ql)VV(AQq6W5M)1PRMZz>o=*xSZlP3@N`j)il4Qzewm2)b=z?1 zVkG{SM}7C`M5K?-rDp_A9$IN^rCRdK?fKFS4`ct~Y0v>a@zNa2^j_cjuI4*;jp5KB zH>&HHz+KMgod%MDkiY7V1&ST!I?!G^5#sx?TGoh`p-!(6+qwYI78Z_T&H8UKfZ=)9 zwU0Dk#oRQuWe;2obcURPYYinDnUX6_$D}`IEoCchYRK1ZJD=?ot$(792a7=+&%}wh z3yHTa><$s{XcF)OlyQg)ev^@|Xoviw>XVDc%vhBqu|`682E&R#zFPC%+2z*=2H{<` zMQTnNsJgG%!&Wh%7l4=G0*QtL7Z1;n^_ZnVL(1`wwON@r?cL~6m$UP(L++|qU;I%1 z%O0PBSn$`9OlP$k9>$peuBI2Cp|CqYUfT*^vje!aXNGlyfcQ**q4Basx-5@Qk(jeU} z-5^M((v2{{&`8G+l0)+yyx04F{L(*j&e?m#v!3}Pf;r!f9V?v{#o-HW{@L~VJhGE+BaQ?1duVLxcl&MKhD=b-Y zDgod-2kH!y=1wtm=6_~)dcwVU9A-eWp*W^E>%Bls#cq_{8B36OoSUgXx61!ZWS(Wv zvlF-Av(CC@OWTQ-())@@tS_L2XDgD6+q_BFDP;lNZJZIl$i>g`Z%o~zkX5r^4CF zmf^8^&rU({BD8&~CD>%hSR}44)E8^BXOPplksB~DSl+^dHl;J`m`6zWH;l-~`IMG^ z2g-t$ej&ZNfgomfdHCpm)_xG*4MHIqlO5+#w%;ttSwKXe(#y)p%Ju_u;ySXUK_LNK zIDsMY)FO`}D~hN^d?;W30G-tmqo|qAOwKe0fmio)U?o%uoSi18WN%6rna-wK4Hr91 zG5#?2z{l8#yz-vwvGFhK7q$0&|Gr$n=*wLZF8wT|dXEQf$bb{wcB*V9At9HLXveEb zDE4F0=?ljrg~O>i+m8#Tj%qg6Jxa-6f$4#7f-z8KpY8i$qn1*O z+zdp;No!#vKofvs@Psa0i7MI0Yo~Oy{ry^B3^1FI8aizU*VesWaT;B}jKe)OkEz^1 zwlI;?dheGvCH~vd7rW~wCch9Ao`8p){6x@pJLE_psqCZJHx+|ovZ3M_H&}> z{??}ec;Q+sT)uF_v#`zTqs%cgY2Eau9kWh9Qpb%T6Tm2ES@hg=JWog&w=^|dFPr%$ zOo|vG5d@7{1Oz(U2z567?xAM;m8Yfb&rd=1yC1Si+ z^IK`x(wlXA{G{@Bh@xb4+hd)Ut={vwsXMG!hJ?nC=6G#)@+t64thUbY`Q=0g>jU#y(BYL>qIQ(Ypd z$P^B##027C@J8K=*3wo(UG$#7pA|;z2Ik+r9e?<*v9YDZek2(8^fMibhOz5_)Wl>bGNvw?R;mHO~69AP$BYB!) zch+>rJihR69mJZ!dW}%yQg(;7wRMj!O)|qL;w3hRll(PU1EpG1=FBrb;^N`hC+agv%##JihAYeYhYl&_L4l#gTK<( z`nC`G_M0m$edv{5i$$?fnCpZ8_>d0{JGC)blhz_RoJv^B`yH20`&O*sJAl7VP0cQ> z?=y=l;sriqe~T;L6*`0SW5_2H>;o7!wFp>(=`us#tD$_k=5TYcy0p!gGiCHq-~j|X zS$QZ@3`8%XPeR|^Bf8&zz2~y>=pPR5zD6nZ8>ZzZy(Sj4SPrZa6+keDQnvP3#1b-3jaA@-4MUy7~&V{#rXm-thGPZ^HQ_IqCc|J(^E1JJ^Vj$vk4=`;afJSo?U8hnY2gA)jKE z5%eS}=yQ8Czfwv*xLmBNia@UNCCNQ>xHr1*I))Jmk?K^~z^K5^G&DjoT1LV066>C! zl!XPz6=E+z!WdmzI#kK&qU!C&+L4K)EiH`HzEsp_yk&fxBdS+n11Jtf+X;zz`8iDA z_5O_fybi(U+lv~(ucrGSR{O2g8Vho)xityAR4&=Xwbz!?)HE;q3&(hDGWOS@N=I(35;cdd7ObC=>U17mbty%Us6LSs<-YuB$ zXGEClKrM#KN(63x{dn||O0w@$=uyK-vx|#cUpR(gli~MM zi|lNnqARcDzPK^qCEc6XJBaOVyIX8F)f_~m?_>sz6BUnvvrb>|v+h!Mu{d9})#{O<<+pW75J>G1Z!??yebSu%%14Ri6Lcj%z>E zsirA}7Ed79dIbeAv8AZ~=w9gYb%#kx3^ zUp7Imh!pu-72O&Mi3t13GKZHunh`@Q??=e3V{Isrp&i|&Bnyv`-X8t6<8uoG+1S*m zEdkE%Vi5fyo6gU`Z{}K>kvm5XR58(@Vlx3k0AomN1yo>@8Dqx_RLc4;OqJ`QJr3e{fa0={EX9gnW8&4Z%UY1;X>GG~MChbDEqqhK!@b(k5Cc7?3vuwP)v>>K zfb`7wVV~eqo4?o%u-W&$s42v-ij2wv;FiYwiqeipt=V1F_4lvK%gfoFuos|R zCjXGML6?Tcu3+7y^A~e^S~6TB!TJ5QcJkfs(Jx<`a1Cyk z4l5%oqXbCb*g(jbEp8bcfeAkkiTqU}glxmii zG7hF%N>E?`&_hBESNv=Wued8XR7PdRq?M(TQK_Q5d)FU#Y@uQ{Lb7)#oC)Y=b&I&j^DIH`};X{(NDt<+=-In8~PS`GZ7 zfw?l^Pmsj(7tl8<&*6q3;a>DN zI>ibZ?FgH9mlxC{1c(slKche2*oPU_+i@?pzy96se^)DZ>-W^(69ae=AX>*GNY+1s zUac9M%N^ZhK??w&m6fGL6IWU~7$HI`)&&H~1C3hk;)JDSPZ`gg*I z-M2gT1SXboVCli)xGFPLU?5={(2u?UNV?IX7#bPA%#@t+McFp@Pds?eN&{Qd$^7(z z=x=Z)e9=M^DqWZkDX>*>$9+`YUg3J$k=jsXn1Q zDwQ6Ty;uJHam}9_t98xNJw$$-Pgjza+S&P4GHXZA`3BTt4>HMf71MgN-;8cG8I-Gk z_Ef;JtB;<(=?bIkl2A~Q8APd;V8YEG+@pc1UX8C$riEX0Ebh&@>o*?pBWq-wORSV; zRoJ?w=Dj%7r#>VLon9IsJq0)ygZ*@oEF6`Vk=7P3#Gw!tCp~a7dDRdSnFXw67E$bE zaXs+a_l+&PE0bC~NkM8y4u3#@u{6F>wRzZ^m7Y=zvv$obu-a3Ca^;|l4Vwwf>#uYU zHxx(6o^(rfHT^CT*KEWMUdHtF=_OJ6W4@v?_ zOC{p~6Q4Ln86J=kI36hK*iTZiagEm#*BjfZs+MUn~Z$-_U7(0LHBS=~chY zd&;OpYCp4@>&;R3ZoCP#Ne09r%1ajBJzZoY9tm3GAYrt$(kn2LrWok2&6G=8cg-JP zpB?;?Y!oBi5h)g?|L z4$6=~np8>@jT8*_D*b|77SY5bLIi*yTnFn3>J(by6$_IR6Cbv4t{Y_R7*P_?`fLo0 z8B$Lb12VLKU}5A%Uju8ew|?aoi90u#f3~1k+O=mh7baOQ{?UD{ano&gI@?NodY#b; zM3F=`NG)=9NM_|!Zj2Vn)tIOb=Sb15WF*zCzuxQk-X-6ib2}zoNMFS8$uMf+&SE`2 zTrn#bj~{Gwms+`YrOX>i?BqU5>(=_KN5d6K|Aab74_xZd^)k(;qJE8E9)m0a~@XJg2lXg0aSg3Dv6ADz=iNY3tVC5J!@KbC`DA_x-x=MzVea=(4!Q}h5-Uei3bmmECIRWAJleQ zg+e7LL$k@LW=z(u_@mB73(%xt26HhJV_?0CFfb|x_eP56agf-vK#g2Oqk!YOJ{6Fa zP9mnmm}5fKcorP3cF4<_!yE6mcgGvNxazbf@)91DZnN8*mcJz+&G;NL=>M+um*L(X zAO4NiisW3(S`l!o${e=>VM^WrsbnU0<9YK>UM>3XM}Zyl*DVEBW7+}JD1~o3#igBD}SN8_1$vgn&DFc5%lmPq=6-6T| zin#i*kDeT53=nZKgF8dt$M@~`I%*YAIZ$k?hDJqCx#~6P8&)n})M!sE`E2gJb-!df zC^yfbp_k}USGe~1jD+M#_{Uh^T7;ErwPQ8wsQ8BFvyLg%uGphC@~`3u`^5S~mg0sn zXmA`AaJquhI7mFuWDx)j%0@qxaFps6X9IV~S8F~4gB1;0Jrh3d)K2fTLuBQ%Lp?!z zE|YD-$WL+D8F|c3-cQ$k_UE^qyQ+bt6e#iI9dglDVbM|C`J z3Vr%Xu0mR$0_!Ii82@&UfCl(%1$|gRC!Ovz zWjX+}Ebi(ZQON7B1pv^riVVCZC=aE2I8HgaQNe(U8(o%KL{+g1f!|4`gcp8!alA=a zG!itJuRF9o6#wi{Jz^w~e(Qf0DqH*jvHQFoQ4;d&P73T>lu#f`MbO%}%xDps{Lp+b zkr^0~J7&ohr-()5mV!|q0h*u|nKyPS&jH@SMmLG2lomGNG5myfqr!J&eXeQWFu5bo zg+>47fuz7^X)AYOlJ9K!d*lTBlRi>Dr7BDO3+2<5+q5FrQoaQ9UvrgCFL;fsk*|3< zifI2e&GLz&BS#+2ZdA30G#QF_YCfZHd2+2x5vYRUpf!~09a%aIxg?D^8JPb=!Y=q#te`NbA%bxf zPaZ^neB7&A?81_S9{i>&s9xq%N)ZH?QX$$^t*I|KSnbv!_Ioa^ZoIH=QIb&{ohD= z`q=q<(*7xW0rXJ20L`QC86HpylcV-N5Ytth(JeQHy)#_8WV_pr?x^R-~hqu zij+&5jI+tGhs40j=W`})nhdHt;>nv{RwM;tSvH!wo*B|w1ji~z}~zxJ%D z#ifAlvNa|qMz7vpg**cdcBT~?LgIS4TjHET1P4BUj9hlJY z-o45ie1NKUw(H?-ZcY;?Yr*-7vQwk->w7v>EB;2!*`{$iXw}u{G+Nj!eAYu@wKJbC zAix;X=rSX6OI7aWS0!?}V60N#iHk8m9e<-S+%226t$X7Fk`U{dKYcaLv5C-gtFE~$ z*csHCA8S;~jI49wcuR`bQ6ub|+xluEn zDLU=f5}o1-q`%>E7XPhofhBfyh`eZ+S2?I7>hnuijIr#ZjzHs>_#wA-nY32_j?b8e zzTebo@LXF4n*3Y?WJFmQ6QEv@n|yDuiS-qHbca~RopCm`3Ed@*`m8&K`e<*HI}CM= zOz3Rj@VF7*kEeC_= zCg0@_WYlD{2tp&&Qun9Rn7&+qH~gbO0WJq{9F4lD>E1`9pN5a89V9C;C}4Yl%8AP? z8Rgfqe6G$!54&(KynWx(Ne?Nsb_^Vuz=z#H6lFI2v6ddGQmOiL+FA|TNjhQTYXuYl zO6+ki_1uE=_`gna!}K+h!$^><>El>BetJzHrHNssVbfKh1Zf`*=VWdGY?7 zOU(C|OB20tOensIWo<3q(HqJbKDXWEi-VDjIc@-plMcFcJsEI`&mXj#!V4QWtBkWL z=XFolFP&^d(Q2FU!BA@$p2p2x$1jYu@LRj?_8Xd?%w#zUqIsxg!82IjcpL>nFo}etV#F87k z>96FnKabpt2g^=^HP(E^n^JDE;F*1mmM6I7KsBzFim#B4?cYPml;9+p!R4Y z#qt{No_w?t&I$ks1=jrm({YLUJ_Y^6?Ps*qA_L>=JaIPtDh5>Z2`uvP0e%%@AIHEp zH70!CH_16HC$dCOgvb9&veG@iWXF!D*iSE$qY&1n+(w8iOP{P14ne`IX_F`gMKCU^ zhxwaGP|FGGpq|#QEFBY%b`z6AbS=y^8uko(_p6JJuGUCsM~{>3O-n_R@A2)G2U_RQ~|!n0;MG^&Qx9;XIR;hi<9 zZdXHAp!Ahk62QM&E8=nN-Y=|Oio3nDhEJ6n`d&~YOBEYXa1eK)-;x1H`yaIrU?_ES zs=H`n=(=zjNt2zV!$Sa095?FQm#9+nmU!I!y4!T zcgH(w3O!nZh!{+`(t{5Pa9$}Q#GYZF1V8&1F9DTI^3}q|s-I!dUDvpeVh@opJxA{P z@iJQ0+2(|VKt|>t8o`S+ZHlWp=K+A_5e7#5vF|hsR(;9!qsHdcWx*C>bTqX~lJ-bgc!lwLAFCj4-0A~(=jl!1{see63G zplE}*>8w!U^2eO?zM^BTcrK#Dv_rt^EA=CqvMxLa7q7q0jG~Vf=>yL{3gL(3iAf>a zJ7AUF%H@;ShOzNUy*)jMeIKE;{ue|6hDt|+rd?|YjTng&W#h8t zmouFPUnQ77Zo#uo47I+tuPCw1HlO~gl>pzp;37Ug6lY?_avYQnJ{aB3yAC5batg)} zR%1wTJIEv?Vt#SlLxti*DqFLIXYQug1Rjx33YBTCXmZh6co$#7v^($0M;cXGS@|@3 zlZsgzRc(SOFEQZD2P5NdgRtzKF7!5@T7l{>QO}0_shV@$C`kRA z@d0={CbYkwz`%QqNt{PIpN*)*z(_DQ+AENZrPin!EFA{IjkDR4$uTo{qmVyX;+iJ! z9Wu*>J_9dF3t9`J;-{rloT%*GF(f!uRqnuz*n2Md;#G;W`RA1X#}6qT1~^e17%JUZ z0T{{FR#bqr_18x}oknq3DY;YQ{PlGeKv2Hjkfn$>6PSokqYL_0GUyV}%Rw?qEds=2 zC-7%zP%e0V==^-JQGXwx&TkVxJre|53CXywN!yn2key9juiL17zRV}}o23!Pee=U! ze+3x3BHi-<<=-Ojt%cmT5jAx_Ve)-eHLbu-Bj+t!nz$5UHXM}Tl+R~C+z0&tC2jKo zaR-3$^4=5qgNigT0Xx2dDSU_VL7=t=&cX$GC(zI+3@EHY7=W(LFaQevy7p`CYDxhs zwG)#()$FT5Naew+SFb#*&tCJS8S$h`VjoFhN5upnu*pXA2Rj_Mt38eFKOYoYXKhp8 zb;ifz7Sy!ygfswmko@iW+llaPbS_vPTXh`eZc`VN%v>P^0X&c)=sq$u^f@XDiwL~N z68pj}B{E5-!z(oEg`!;2Ub+l>GTe28fE?<+P$UtPa*@b0(qjAIu7W9#PC>yLITpsOSyzCT+E{@8IM1H=sAxnFebL`a0HF6SoD1>NuAK;PM3+!aDt%#;g(%86pVQ)~tCo##TyA7dP1N~Ot`iL*&) z?Ko1__JYt?W*5v$u(Q`g3;HrRJ2X@cBXt%#)jdrszSpE z67ND(muw)r6Ob%NDra6?b|#y_ldeVSneFfCh9tQ zmx3Y40F9}O=3{_5wp6%I)>NdY713@CctjjylX*aF@_Ecg`ArVz&P6zTn!LAT4eto{ zF(%6mTg@`ZMNHYZf(nqUhYN`ogy-|G@EplRhVKk{&iw?^XAUE=3Lf9bPoVVuJ>x9l zS}2Peqms5Lmf5{}=vQ*U@3f|)FfGhYI^Y6$|DwEi*OMu{@g^4eB)v*_xr>g0`%gZy zWoihloUNM-noX$EEKHvvp7<^M#RH@h%0B@1`$+r~B{KNUqsgodMirJ|R)Z3}die)o zlm=BDxMv1SoP2!e*Ur-8#kf^tLMVah08ObU)1VV^z>)7P#9P|C(s@DrA7$1RUm#OkrOosV~Q1*GJCj)=gn;!2;46rY#G zo(PobXgUKx9ssKcL+8sGd5O6z1&x{Jp9!lm?SzM;O}Kj&kwUdSdZc}i%J6CK9w52> zOB;Td&C-f&65L)D0Q0i}5F=Cgskylvb;gXP#G`W0KoSXT7O%kaBwQ7lW&i=^*Lk30 zRaxo*?Sr)(mlR?iVwJ(5+~Cf0@|Cc)&uC$4d9cjJy zA3A0{?#~Xr%o|;5ksVP`P!PU3$zEu7C*%7K`j)+mpcVtdD-8{6YX>teOm`ccHg4tx zX|yUT21|d16i{tSWWdZedLGa4z)OfCcU{+ma*Yh8n_NZGh8LUNlP+xp^a*s~TY1Oi z3C@(@ADvxcF-0On-_N%9_ekVLA7AfBKxG#X&4CLlfIooQI^RX6G@**65zper>T-$laaaT~zk`@DW+p+SikeDU|WIr0lmzq3SE}yhskR8EItO5_B@~A|g z^GkooYLM>%fr*~~s-G}~juBb`wKWTZUz*|}(r@0srP1IAuzQ{fp~H(z^2sLa>_yrxTO~9y+ z=7N^$JtaT+D1WOtoQOqSgoj7u_GpkbDk2h_C`?9N1?YByb~#AuV*;AGdZANzuG>yz zK$q9*@F5w@wKdCV09-bE_8HTiFwcpHZBF%`&j)@TDBoWc=z~fG6;wkSyj{%wjRv5> z7zuJ}qoRm;^<981=2iJ5LT=^YsrZ3p|Xiz++9!o7Kl#@IN$;BAL)?JI4rOXu%1Ls}<(G{9+R zcQ->HF3_!!T#^0jq_49_B^fCl=OgufjJG%(V$3-oHCsDqDD8#QHO3g363&aJ5}b1qd;R*XpwX@N^+b_U-7`g1suQQT0rYcJ zr>&v7gIf|8YdTaCVt?rypti}jfz=ASM`YKO#)(|T-t8R}NBsDa&d-pqOxJQWfC$`r zA={VF1IoiDlLS#9P@7qxRmZ6t%pirB08dD4B#A6sPT`HP10SWw!r{-9>f4jF!o?!Z z(g_o3HN5dzlFe-{nRR=Jz85ag9r>>Uufntw@tM^d8HZPhPTh3`ZP^@D+>NzBL4kdA zqX$YgC~6(cUsLj)V_@9=I%hnzjPF-IMNN~z3gim`;dbgkB(NvwW1|7)U9kfAW_uoD zyZ6TX_y#SM7%0m!`@tCSn3yoy(Z3b5#Y^~H3}TfC1%ByN}kD-Sz(KPM~Ggt zjfW38z|)Lp;VrkJT<_B7MVH=5ml0-nr*mP3TuH%B4T9-aemJL~#-wqI(3Y|T%__ye z$Tp|4Rmrp{4)eo8;5brw!1wHwdu|t>`1@tbM0mMn_6cfMY~7)J`Q?se zHR1e^vw!7Z=S`rB`s3~#hz7h@Y&t{e^lEBqr=B(smt6+LxyNH4?LbrIp-`1?J zDXiPrv>&|y&HO7z>|m5B8kPS=_UBIDrA%q;MOqjKicuw_e&YE_^>W{HHO0#I9C$uy zAbsWMc-c4{oKvZU@Pwa*32di?aW>$xhDkcj%g&Z1)u>wxh#l@qX6@uVhy9ytOE;u` zKDNKCXGrVqvh|@Ci-6CRfe+6q!Cm;ylUl7}^$NqgS#RYx0vWz^1{Csic_}er7cWUh zHiDsn%eL0!)=B5*FJxUToS5lpHqL793r1Ynz#L^bqv!Ts{ZfuS8p`EiBKOtjs5qhZ z(@8qDw-5f~tjNI^aR<@w=C@7OR%|Lo;?4)_`$MS*6Bx7NB%w9gPj}w~i8jWJ{1p*N zKYkF@ypF^ARxlpbN)?n1uvddFeJUxDzqJc;=?=HwY*#leTY9-Hhkq1~i<_%7XcdQ; z(a`&|Yfd6+_*cIX=1c!QU|nfWQ*AZMoxgs)T?PO2_X93e#f}J& z3Rb(wHeLQfrP4>eqM`ugvxxX?U_S3DJ~n)Kd`%aicDlRti|_Ztw6fHmZ-GeExjF91QTZ-QXqXG|3`+(hD?6h^r~Q}4oz7-O$|dzDTB6P zvC*c{Wt&omGN$imw6IfmiyR2sw7*t79RsKi zY5Su_s8fr+WPUEiDLZVH5(#PA{n{nX0?`D*xz&O%7jcAirRke`7s!ETvMP8o%7NbD zYnyZ%pNFU%_(AVbMP&55QHJjgPu&#}2ES6yd??uil`4m6dquoi8vpU>DPBmXw zLATZtETq@p{|XSI&o9#8qTU0NfWoJ=awPBeSX8LkHcAosIgDOv}+PNuIBN(*-=nI`BDqR|rY`dEa{PTCvNFftC!l z$UcC>U7cg}a>q)WD*Rk#ZIXe0$IQz)Ghs#ZpKa~99-F=aJdfNKcP5wKgtRtqSKTx% z;aOF;0g@1>DF5*XYb1z#yKsMkzX85$)DPlgEr`O;qGDLq+GGf{6!+TJkJblGQ3Ay^ z%Zz&&`%Pn+wgc!)$%KwxF_>C$F$YZXpjxGp&zZx+0KtJmMZyu`Sf5RR`dL|Wvdz7_ zF!T`EueB$QZaOTsY}13sVDxK67&C2rj#MKxKza0+b$`85xukdd?cmkwD?p%R<8^E! zI+Jxi7-i*L0)z81PrMDH$LwY_|0SO2ErnaH-e-w?O!>w?)Uy!i>MB)G!^hMMI!S0@ z0O?A#&OPNNP$thjW!KW^+Nomoc6kq&ow(DK#I{bW2KNfKQH(sBRx&Vz-d=j93n3x> ze0J|Iz1~f&!Sh~*L4}nh??Vk+z8!r_5?rGp0q&YQv%OA2Gj5fN3eXrNF!UBf2N)*A zYJx3~JZ69~7UA&AKyYhx#U}Jrynqm`9w?XiP$an<>wwOk=_btpc9xVuZgMhXtg)TN z%6zPWCnCeWFX4U2-9j8=*x0wd!B{`ibC6`4#god3&;9u4(8$I1#yd%wwPO_WeK!&e z&eNx&0)KJ~Kt8-1-&{z@8pdhl-!}P7qj`IhGLdzcN~+?{M8IkQk_TZ|eFFR@w0G6? z6__y4r98SAZ+ud`Bvwfy`LS!VKD@$ZMl3WcR7O`TQ(sSxMPE@#2@KY6c8f^Af<7uW zc@zW)ds_Go%>dGqN9!*z6E|E6zdNdj`(1;unnTnzeFbpt$g+Y&TNV6}fB@q9H-J#Y zO5~yVMwjO?=2~%T(-VxZyVP_n0R2;-J1eMIkwK{aes#pLRow}z0E{{5d%0%q%pK() zZfFqh*IE(7i-bgYUwr^7kj_m+(Q=0gyYz2rrIz~J-YeodsyPBiQ7?uoT0d#O7s;v2 ztgIt`3z`B}P1L)0 zc5cO~Ami#G3|8U+Kk`lPoETWh#`t>iT8f6xT`}(>+jIZ)bA(cP?35>In5@qJak!1+ zth%w_bzBVn)M9RB{GUyOl3`a?2rew8hY8<>M}U8uT`kuiW@|~7UwuX zw0FLCQhQ>~;hZ8JbU=%E&O4NGk+mEvy6Js!bL)3B=L$AhV5S8Q&Hu9`W^$;vO7w9x zT_#Ry?K-QesY=A*_J_Fe>i%NJB)D6vNV}+GxIAB?`@pG~;?X@2gWOo@ zFw#bI0A;_td8AcA5)BJUV<9LZLO!@zUWQQcM1Pk2VS zt?_=G;9((dQ7wvphE{lc^_?aTmtF?P<1^=t#aHMEb&Ue{$_UcQUxA`mv{lNY=j(Gn91mjN3r$*Nh?ZbXeJ^FWt z)0Ccv)lj$il?@!^*NZvE*KonDiR&X>lh!5bpEE-T%j9XdNGtOtjA9M5h|3-@4-Ze! zb~?On$$@REd_R>`vqb0$P}PSJp3tM z0aKb4v{dIO2c18<<{5EZ`CKLGCm+KhrH-K&2=1@>?d>7m?>6E^s)D=oe9u4zcL^~k z541lQ7`qw#)0`Z@McOMF^;}FQGm53moZe?l^m9}{9ib2?{37__k`6a)e0G1k9X6lpyRvt;pR%F#qNKsM~XvFEMvMJCJgmq6nu`Yf;?{!b%a z(`3CU93Qf?X2cW}ZGSDFiM{e2)R-x$V4AG5ovh;eF<$?Yx%bu)x_)@+qZf7i-7p=S z68tJ*+h^aC^U#=HF3)42d5Q6EzT`{8LqM8*pT2k!siw5-pr2a4TUE&slis?@pvUB<<2! zJ3EFOO;c}{C8H5(&KUKCRM2C@#|dhd(V-hV^_GF9qGD0f;_y0RgNvI;-KC?C_1sh= zBT?nZB)foa+Zii-58os-3!Z1#X?%6detwKX@q|S6Fa$>pRek>aLl}32=^W)K8c;>8h)#C_-h+5aHiY&L&luq0?DU-`L`^}Hi7BBoLRZAyn zVS4?1wI^~ZV4B zU4PsBY}2otS)x)7dw`_=cbE2d2(QY!+w@(5O*${z>yrZ@jmTy+S4BwYX| zO!7L^okcw67c4d$iz;%tkk)YE;^8^ds;+sWOy>XNCqd;onKOD&6)yQEq??-hhtWWW zbFYW|;LiAQs7m{#)SUX{hcN-p-ZNB zrqz{9)p$y+{jnJP^p-X)%W4%$b~y-scz#Jq$*N56bz{;_!@0j(31$_m74W`2!?nRjk;RpKW(Ga zzv^Gd3Cw`TPo{Wlg$S(&>Xt(XRa8xkHiz<3GV&a(X=?R2*-2i1#>&@ys;sV!&9%{G z#>V6FZ(v9La5?NiQg5);#KpLi;iE0!;OYDMux_CWjq6K(V`ItA9uojzB)ee8IM zMfEnb!Ky2Ccu3W+2w%;;akl%b&;H#W>5kW*CHmX#e!j4`P}$`xTYUfBS6XEYM1AsY zin?>%3p{{`$_DDWw#y@V0a#B|`&Nlg(t^__=gT z3mZ_LOAoI~Yw2&FVll$INkt{tZQdKjE`l0q&txiOy>l&@XiK~9&?s-D(wF{u2@d4f z&6o05d&pgqF?k`h!f?5WdN16plb=5ycwAh3+Z<}V+rLnE&PvV;(DXWJC>3>pbNS6W z*%oX-Z-oNcbx%`*kdQ`#|0*+|4ddS&5kgD)RY3GBD7~IM@h=a~r3~z{DIUtn%Q<8S zicL0#rcOc0Q`)jqy(|pxfXiHJhQU`g?Y)I7J`m@LIf{R-C`AH#ns4XGBhjl8aZibs znrZXr4j7)3d46}+Y_BTgA1V@X z-L0g_M%o==99N2m@n8AFS^W<#vM4+Eo|>U6%GtBo=TWlqN>>c$X9|R=!$uAzo2GiO zq)rc6=S&BepzH!mNJtF-wmp!jZUBELgWzibS>gS$St}gvxjPq1xxJibwCGU%3aRkA zbyvPB<+uh!o2_-fc^Rk1E6HJuT?#Ofe@Jp*3CJUZKps9(Z7Yn>ZzgY^(ivr)#`k%_ zFnQ+}hupp5z9v28aA`vy^c0-Qi~Y1~*%_bl$_!0UzmsesR&$9#{%D_6*0~_0+cQ)4 z=HsWThM`AHPsl(&9;sY^1rY`o<~;_iu?YM?*}>8+DSzf*2t6e);7$P{;V~Mdze=)~ zJ}lk;n2X_TP8y6OE$^L+`xj1tdQL~Z^fg>v#SpEz(7(?`Bz4D66L+h0`=^% z0om=p84^~Q`9{(EzSrnTB^D_%_cSV%rL|Atv(!u7dR~$&K92{I6wbQN$JutS5D?y9 zSeJ(RMDBrof!|v5zG%GU+%{EabA>YTosZk)ipjMRD>dPo`Q;Q7_i*wr5f4A7@hGl6 z9(GsK!T}2Dr;;FN&(kTAy*+6_#Cb~_+-h>UsnQ`52Xbi(JC<*)v-Sf$01;b!tdwxd{>Wv>w7XGccC z`9KZ7_Xn(Vbp;Y?O6UA)m$(!@b4kYylg}O*k;lB2z3=Y=oA#aGCn)e~qyzCfbG*C^ zupWcCat;r+$?8;EzVDzOx9e|iu}Y$hsBI|CUeDGUxi2_nWbY!+9&@7Fb=mEAT*2C} zn?z;VQ@}2SNdL=v*<)7P=QoG-(wEimDQSFUb+0l$)xXZTjrJEEqD%bs$*7H+QGv6t zL8(-hpIKXlaqm_r|Hyqto?uu@zt*47AZLmJHIY3*F7dp2v*KR{so#;)7~mZHcu26{S~6ihqJAvjSfxGi4-*I^jSGUalR#!+hxNF0*&-z@ z)?@t3$acmp2AP|ts1fdlEBszo#-WEwYedttI6J0JWBdJq%{FNRhF+~k*IO!TG|YLv zpE0=iD?Mwg+k8hCTfOXfhys!SUp_jYNc2_mI!NQk7WC=D$xO+jg%Qhng#|ovQGD$f zt4O z)SW@83B*#xQ{UpHqZE$GRL>42OeV!|i6Py_Q?Vk-`j=+LzsFX_6L5MLRTEuAm7W_7 z`~s})J}Sl^=$=}0v|55#Ts~m;e|z{%s9WE;p@tTDMoUN%gM|>Tqhpl8y&x$f;Q0H8 zhn)Hk)Ld#-ARPkW^Pl5JMyMaGa}$dDugeSuQt5vzHJMlKm)q}ga_ohphCRM^soJZ` zpHgE-g(cV8mLpY78C`pF2Jt(eEcj=@n+qUnj!_ulcY;yXj+)8I}Hzt+xQmYgyJn zaSIwCSa5fDcXto&9^Bm}Sg_y(x8Uv$!QI`1yW3mr>~rsV?_H`=m0JH=Gd(>$GcD6! zKa<(?JRQzh9De6Bi_qxi=2q$e59_msl6&U&x=0`a+zZg)z#id^JzoFtLbUIB*El4?Y5$mG?|yjR!c)vtQo@p zHSAl+mWfl=wxS3ZdHf3)pCQ%LlhxJy;u#96S#5TC@6O~+t!tyF9B3OUtc=cZ$svmy zN}{g}`4n3Z@>!E@H~zrP12!G-x8$W{5|0}$y4N+{>(kq7goabnpeaEG2xA0t|+ zB9%0+UAbmeBLq{xt3xG zD-P{d1{MUPpw4Xm>%$g$^IQ*DKK#47|2{S<<$wWPhqs25xM6p=vXRD4n=d`wU-KzG zWuX5!{nG=9kG-d5nG1a^lpiuzGB`H*Aee9Z!PokZoYDgqbyn~8Aa4$A-NP;asF=43}xVM$W3 zh=Iw->3P@WG+bga8V}IcD@T^=rliZ{xihLvYs{|xS92{VP~fOznOb&ENr}n<_GV?0 znmWbqr|7hOSpPW%0UgvkI_Q(>V;#Lr+((k4F7g>csDApY!K8&o`ki)JsXJ3r?jhw8 z2GfUf&5h?Se(vFdMt36r?vLM;p>ChTx@j{nrw5#EZIl#&)9OFkghU0De7mzjpQYXP zr9}24?l?ZtG&P-`uATRg0L>pp0ujv!jc@jF{C%`(TWW9JTQA$;5`9gglERCLR~a=J z92M#NXkl(2?G54+YRb}yxEwR5e1d$l8~|uhm0lA17~WoPzg}viH-kRCkJkp< ztVG{b2h5-6M`bs92^1pU^#nl2qTt()VyBX|`g|(gW*GUAOBhx!>0^XfrZVezv2V1a zjT+~3@sJ!R0xpKEt6o#5`wduVSEm~s)4fD6xgjCOPu#HFL+ppGP-ogQFw)Qet8)2G zbYtz8j*c&!+%@&CkQfId;S&kNX2GX-;v}19>oiQ9uF^NYme{?@o)&%gTymG! z{Lwfd>nxwSOGR}9H#t|%O!ReQTmREf1UH+&$0~FSmOK!U^LItbk1cCvWfLswBhjjn z<*r(aNq!IW5>7owFmcDZB%Ju>pmbY_Qj1+j2Kgl(@@L=pWw6kzp90~IT@+`3EKVnZ zZseR}C~hxB3`2+rC1G{t2;4xI{MUUN^lo?PYqj2TB))f)MjHB8OZvdhRahhexDn<`=vdnr53p-ySeh|HXYQmR|iwFBfZ zo|D!#v9HBRX)lXI>`Z&v$y+7~{Bz1YvpU$n6_~EP$fr^La|RHqIY{jwJoA;V04PT( zV2}LIHY>2CY}q&9Ff5g37Pl|cN7+?6l8GfAM{((O_%LR0l_5khX@|eJY7*AEZv^64pF-(fhR?gaT)akrekibeG>Rw`P@pBP-)1d^&bw-j#qWUw0Ci#&fmP!7gsf> z2|j^K<|k-Cd;v{7g{mTu4H8g96{c@Hh$8VTQ?Qv{tA+G0S<{d~75V9kZuwD=aaN=* zWTscGlT8dkN*LYTa3*{6jp2^}OE@3G@HnVr1=W3)g5UD=qvxTk( ze?UTsg2Z4xAFs*>_oHC-Sh&WZBA8;ZjOK(ON({(%JoHiav|-=-#MU$Bc9Yf`ABT2` zpxRis-9=vTWnXru^I4k5kAD%|{g3sNDo&%^^O)w^@|7=Oa$W1bshuo7d07qA-}-}V zs^I*GQgl^-+(h=gj2viV=<4In%TrhG?X-cyITx8?nmX{nl}V$@PMvY0{>-J?d zQfWxOx7xgSQD?>fooTyEy_#mqCNpB49EsCnU+i%raC>~}U+9Y9<5BWyAM{_AEfs&+ zz7u|ku$3F=5C5&4I6G~!I;>3XBDS~C62*Uly-?$M-sXy3rSQ)hV$HfVJ09+v6yssw zxk}q~Qf_E6$Z5A{O3MErAzX5He6ZB613rF&_k8sF>z)pYGI6?{vIA7*HIZf3Hc#!% zH-th!)6={+7z~gX|62Z|y`wdg#r{F-9uXsN^2fec-ZBgqq|;T_%7sPTzjcm4m7H7= zcdVWxQsyKYSh-jkmTXogqVo5CuUE4pnT8^j}4acai&LA@VA3RmF{Z1FSHRFAWT z@i&8J(3j3!Icy0~V$H(DtGB7ew-W47N-FZM)wj3V$7SkiwzxylpNGf>tP(v|@)8~^ zy_H`FQ>15k+Dbb@U-@U1-GSp5Xm`rZW@}fioiExkqv**yQl&x^t1qk*$|`Oj9*M|RBrC{mVuFKl^j`WCRG63i}*DHZpv9@M)R zQl4zOSYz|n-Bay`1)nCvir#EliS}M4U%Bk7Uk{#crtw)W=Xtm|S#f^KI9p0TcHmQ5 ztgGBA(Aq5*AIG!P-rHhKqM-sh5>Nz0rjYGcmssyR_`lTtvp3Ip<%Er1>t0Dtx=!>t zq>cCSe^W|aX795ucyuL6e6ukxe=W*@1N;wvrTU+P|6T91n zKx@ibX5VGcgEJ3I^4iFQ%kq%~B607rb zN`5~&J0pM`@kw&GBQ=m(dH^%Uui#?W-C#)P`yFeh!Fl`nY;^?0Q2AU0873;#3}Mw zBy_yw4sMOL>>pI#MM=KR1(|%lq*jHB9_}Sx7FF*NcVMV~9)FK6piiKXOZau4%6JZ^ z+gH}h`RpQgBgGM!z8NN|5hl`7s3VDb%Wq%tagSe3y06jC|oP=r{VCCTz(E zsm)_>+`8|d5MXkm@f!6OU1WYCP%=Ww^fKWhbLI7u;&75y+a~kmy~0qkWE0a+T!-_W zwd%eyh(1|6a0(;~d5S*Z++Sz?;|CBJ1IGS#1h3b%PvYcOVkn4qSCzWHg8FR1>3{#!}}{i-Dr(RS6d<24FCj&3Bc zwLe~{N*>r7)lw_hcHjA})fPmluIGK@efM&Gf3Y3Id%JLb?WAp7re<}r^ws&a zHyrf=<8o3QUv!-?zt$}@E@IRRKjF>orcBDM{;!A&h?J>$K3@!|mwiD;k>`8ZNuo`A zvd}knb8}m+w|TG{F*;jo(`tCNmJ4D*dXIbnyZ_uT&3JN3R8-W!z`&#V3VdvAY#f|# z?eR#f={)XCujIqkz-Z}^H0R2+Tu!G%S=Mo7$fZO7t1Y}4oXP3u@LVzYbwDP}vEmU#grh^K2ncM$!cz$Ga@2w)8RqG9 zMU{#+z-1g#5rLN62xzMC9C{2$%%UGgh_inZMQNQ-m5ce==(q1+P6ao{w<%2)k7aI# zwH>*ACC!x5>J$H9D$&0)_;!AAQB_$ufwHbh~gFDgC(rRgJ z{K-r~Pe0z<3o+i-+Dc1HTdqyn>UII*4SoCgdYb%~yer|rgfmPh) z{(O@uMZHiCUsYvgbevyLO^s|V==eC7SS(UN60td*r6W|A4t-gLL=_@Eq06v*um)6$SscH7rCdYvRGa0e|7(6_@p%1b2UN1L#(Vsb|)c73E zHwYoHhT?~YhBRwTb=tioY3(!{?Iw>GYq*^c^LRvZfRt_&j0On8=u=e7i%$y&xk+*Q zIYWSm1Le)o?;~~`9`dCzg5hk>CM_&1G~ii0YS~YjX^tJm#l^i`05nkV#O7F`>>q7Z zA?w!$_f|_z9#$_mELb;V>>-puVN{^{F;QJM;p^*bm?9H1k~Fznzz&$QN*;r#XOX0& zl$227(Bq9oV&a{R^~ zd#VWmlEho&e<#DxB!V!Z$h`fxz}fn_Y%&9=GnRU}wi+FjSYeFzD{YB1@;4w?5~gf% zVIeuiId+gIEj2YS9@sm|w0=Y+?4;T5q@<)+l9K$Uv?F!-BLRJIfMde6m@WMF2S|ia znUNu3nrdoldZ;w$!RPfr!^T$9)uqEN*KOk>BLix>b&MsWG}#+HzC0yvy_s2BM&u-q z^L?nPt1Bxlm6nrJvKh3uw->FLjzFijBUjR>F&oG8>Q^rG5&VF(=Gqsr3#PKc!{zbS z(2(d$Eb^$tI`~1MluY(znuT#kdUJ=i0S6@RV>#ZGFXM2Fre3jI$3OCCXaa{4hv?Ku zAfTA}Q)_>z&gzd{3X=)k?GsE{b`lApL5gL7-(e&$Y~Xrf9{g__fVq?^EbQzRI%z~I z>D;b}8BFF(*i6PSr&19N3bY@wIKc4uY zaQJg9$!Je(mD2N^HacTqM82-ksE;z*%L)pDN~tJh5wUETCeiEpT>s&RL_xtaNfrX6 z3|=`*!QFqb0)`b?1_u4lwP5nc&!4MJhCiKfn=_cJIl3H|X|bb7!sGE^wm8gmqm58l zn42rKyv`IW!ze3&5UBubT!>cEf0-89^7`PaY63AQUJZ#{K2uvyFVqsFZV4+g4IP_- z2*Utwi_l~x*9ia(8>vP=gC-*SE9GqXyeerpYdfN&o(dApm3^k=^W7veRFeS=9>T%?v%(veSb9pNOf&33nOD9)HD@-9SRoK z!__!>FkGY)EL>`kAi4Q?RZY#ehzOzDnM320OkPi)`%Q6<&N;#ZS=Ju3k%~Up0U2zV z;BE=ujB9=!RRj1pSwEqyyPr?eH;&){cYwb{N|C7zRT8q46ip6=VMvBw5V%~xPAJ4K zpY_^ck`rOmGRwVx;qHb>y{c0y84xKb8rar!d>S|bO1 z9-4}hF!<8~CI+t0N*fvF0+Q_sCL`Ms8vN@;aAQjgbq`u(9)RNTtbHpssuT*X81-z_Z2o(nI$&~0PjV>Wa#Yc+XcE2f(FV{ z(B^7Ui?qmOaG^`WK@G@dU`N2#2?b}ZliQNW2ue8|&Cz-pbGP^?UCG^@uA-vG$L4+J zaU@`(?@KuSwipMw!Os3TQ(86OR*DpTf-zpsBvm`V||4|?)VUaiK>45-D9 zLaiKTAXQllgXN5s!-`29@R@{#MP--)vc)Kg9h>1q3C&Q`hk4*=e9^WyqoS|gWO zg`zP3PdRXr6*H5_hDqovQJMScWG?h4*!=vmf$+z)cH6Kpjg)_7n}Hni$s@0iH^=$D zaAaX&L7qP&E;+eqR9iI<2}Vz0i%=yJdp8=7$m!2#?xfXIHhiAcl;ZsuOeJcBx>;N* zJ-aK8Mvt2krvT6fOqXvCn99nib>A|o&@_?4!Y~Vf?G2@BRJ`IFIRyy`gn=M{@+>JC zZVvA9*xTDn0N16q{rv2;3$H#2MM6eNX=P+&l;9o_AFnJV1eS^RNw;fkd+tpsVtp}B zMO!6EJVl1h`VWJNz0?mnop!yZ>Z*(USo3*sv$e_AD}1ifIs!-tD8pvjb_BGI&%=CV zI?q{8cUD$bv@|rPqmPaw0gzJ>_}ZvwXgmA+X*B%H-sQD>I@t&5~bQ!tm~&qwk27)E)!TxD+EPY&O`3YGawa zPt{eEv!e*R3|XPUC*!|6b+fB4FK0{DY*w39kx{C=&)6#GdQ&UqfFE95-(|~7`OQr4Y zuiwJL@^*~%^z?pwLO?(ukH&waHrG+9Az#oa)!i;0Tp<8yC6r)9?GM%M_(+Bezi)co7 z;X-V-7TFe~p3Ep|=xw3Jcby`d0&!z}PIrv4J^;;MNzi9TqF5;H?fbLf0g>fYBavjV z3=Jt5V&M3lhR`My=V!Lg%l+VgMIB zO7NmLGB`L$AA|Se?UIx-iSmys&zSXCQDy#UJ1om{YCf!cOc8>cRT2tLmJCj zOk6aWHVXG+W|BKDXH|4~Gz74OxRf<`Vipxg5OZ_B^*s$QAb|V`v^Fz&**Y-V1P+gDm{Mx(}_v}rD9nI+*sTCe~fz$k09kNIZxZ)T)fC=T{nd>EGmeK)Lu zK7_cPQmo5Gcb~H`5pP522iDJgZ_TPuO227j#_%_J@xJ=J4P*-Tr&e#s92q#|y*`^Z zrLQ$C%+-_SlKub%`NLGook*Gb`XO8w{W>`o$19+?Jm`4^$9}I+Y}o3Z#{cQQ zI}n;*bp0PXFt3W&nKCj)n4VvE*rnCm#mm^Iw0cG;Ob^IvLNa5l4u*94_rX9gfjUY5 z_VFIz$Gl=>o#^aH-h)ZC2v^Tqd@1-vnJ$kWDR&%BRhPxGZ=gA zdQjgz%CLA7t-uMm_zhf*c;~`jlNzKmSzefTL9^z?GXnQa^P!x);d0TUO4G(U6LA)S z3AG^tfHwNKQtta#@4V^vIvgssn#j`A`~r=$DQrNTF2|NLMEdJ5tpYCqW8PnTceX@6 zu*`~_7{aYB8pIrb=e2xjtP#JJ07mlu<2wbyDu1t*L_SNabfA2bY%v%JBlwpIYfG6_3s;T}L6~HX{sm?$E{GE(S$7-R~sb{Q`|2Z~_%Bhk1 zBS{B%^X~%tFPRhnaWi22;#ozx>uUV~oQZLtIRDQe0HE&o_ujjYe9$HaN7#Yu zuXa<845*^v6aPOO!*$V)Pf~c$Y+m(2`8YH_^?xpaKVtn8v0M#HKucWji4Z_b?@Q_3 zt^D2{z=y$+=Du)w{Q8*mz1#o030v_fW4~=k$al2h_vQFLrT@0knH*DZbIwF&mx=mA z5$=CR@V_JeV+#G53hP~<{y$$b?1Agk!N0%wUnKn%{U)q`=zHgHr>?OV9f;q{z43ea z>AmB>()9PMf5`YJYZ!R^{wjmTa;RF7+8ve9jbSm>NTCVL;NgKyP z&UHv2n1Kl|6bzp~ueaajlI&tfzG>oqGp0$L1KLvMl6af6DCqos?g+AB!zb?Q3qwJX2CIqzGz2*W1&IE( zGLBiOUSFy{OdET0!gFar)wl@9oD}lI`L+^GWc?OxAs9-VS6|2YJ_{N`LuE8o>-XL2gGTW_I#nIsxb&CndulMfh`W2i5utGib0 zL~I$8Dv^C3^cB8|#n(-Ey0Wp^#1sp{pgb2z zarGJ~u3Ph?YzfUAv*(3Q6t3U(?7XHgrugRR!lD8C#4(L0)OFMg6v7%;+D!I$A}`L# zC}@{=h`fx*ugn+AIITC2S!xo%>Yop1EnjRknu;V%{Q$0?$Q>HfzQ$V zTxY#A)#R+b4a+reF?nUHXLFr?se~KbJAF;QKoT+ znuO;B&(S>B8EDNztX_Yrs?+Q+h8syhHKW_B97*kAlI97t_fQ|p2ov1e?XHDG;P%)* zeW61COqEER!YJHqFYGDEcA>djh%b4O$&#Zw-CRqY`?^Q;gWpP>T5qHr1SLIqy1)K_ zQJa$?Ag?;nWHj!(PT0i40wHgigBkuFAMs>-4Bmpb!1!(BoXA!sLhE!1x^$v*<#-b5 zw8~ue2*3^k?r;X}Vah207L&ciN}M zfB%E?v>$IaE}!|(^C$f|zJUB?AReV(dFCZFWZPC$%=><;77bVe?<)bez>aor#wfXf z*)}sBkNA=0myU^k1)`5X;mU}w176Jca*pym#o80bt*N>W6>{C9BG6Ut28*Re-J4O+ z7CW7l^hy-^H=oy1 z8FJ)b=Gsh5M%}5crX3qi$poA9WPcNFK!91EE=h-`)x4fa6Y1y@acS+CWx4?8ZUBXtZH6dP^sbtW0Z@&@mCuxHKoYTMmUn+g;RI-TiYkI=g#Fsovp zQK|Hr<-prFR{{D;Das7Aeg(aH#E93*H zUYohd?5264?BCH|yx_7_(>6;w1sK!CQTFh+q|=ZkC)*ACkqKxgJH)xMbsdwU&d=v! z@<2{axo@0%*kX||mj)ISU`y4hYU~eKh$#JA?zZ(?-5ws}cf6pVx>?Q*>Q7g4ze8NE zR^kQ{^997`9`U>1B5B)Ka1J|vM+>gOsDL=*7b5O({`yB<(uxqGf%r@|qagsYkEBG6 zBC%1jGN<3>DGr0pW6Ii_w7BRANri~y87+tWvEpa+cN$Fxc#8u|{uc>2jV7PvVf6|N z4zvbo)BX!b8RCKh8K zP;fSRD)$ajPoS&z;nyT&(QWlY$M#7G5vwMD{tevvoOw&JXA$*V`6$V?Go~-3B}D(Z<=$uE(5jp>j7FB zqAYbL9~6`%vlIH2MEyDy3^iZ+lVFB%m~>%NNAz4cD-q|U>x?mz6I7#nU$UA(goW!F zchF{%BSpd9BzVTHlfyU9onB4lEhdV>pZZV7eZ$M&@8Y){!b1MU6e~|pz|ekg^k0D; z;xmH2GxSCc{h~UAqSn85-f;62c!vuB0E>PasvJQkPVHD%WddhP@DN@gHE9sBmxRjlNF3TEH4&5`5$8$Gq(R4e3+AfP<9nL zj}S4-lYH=!OuI-u0YHlMr3i&t=YrVBKa88AF`W#M_FhNI{jzXex0Bn>T4UICE$?B&&D(nb{{U!kXMS^9qa#UD`~> z%w`o#_>c909$SK?u%tWMuV{FNZ3OEy(S=Uqtjf51U?^8+yz;hQR)6n#dBY_#FEjP#(CkU`*Y{ z<-+F4(E)5~E$p;&eKw0WMd)>; z487(XNd1D_F5cpZe?l-FqlQeJU!D;0j#y{5Cyi>xej`6WE8EdgUze)i`ibUL72z}Y%bCv zll9hqo~NC=baG&^nB28bl$A|n$`+?-X8}-IweHYFH78TYWFRw;_v>o7)Q`Dtt7n20 z2iYjhg<;4`EyaS`bqe{=)H3&cub0~*i`H-9loqx}oCO_meJ>%%#$(BZ<)E`vNg;xo3}3j!94Icqs!HX1Nrl@30NZh0Jyrv3R{1dV3bRrH4#ycQrwIj7a3_;DDp%i%6(NU>1RNDbg@z{^ngV7V1^((0JMrywzXeV z6|}mw3g7yj6J?4Qg*ar*M?dnfN=3)uJ@bc1r=pq}^r3#}{;azVrAB$yDGK==rFJlq ztn-y=h*kft9ZHVQU3!jQyBJH+l%$Olf;ZLYO{%bjnptT0@LK8XRLSAYrw(mcD`=RRNpmymN4z3uZ z2;Q<;x5eKmo9ei8ubJCw-hLIG(O!9%rMIz}Oa9ssDz?_rVUo|@ihj8Fk!2DE=2Yxu zw9w3Dm_}ON*8Tjv>eK^^6@l)NtHGkb4EM9OD&@A8pdB?6l^p$63tG@1ONJgL|F^tM z(dquZk<3wg1`az{OjulFRb-7~tv17_q$mjlt+Nit^G1u*V|YJSt{KaG4&}Piq|k<7 zr|tri>8I%HUXD>0P>5r7lf(L8yFC1HQXlaxaGc4*;7o@3fZNY9*Uu3JL4_q8t|O5) zEH(;857tOA2jBK+bqWoh6$2tb^H3BOQb>raMJp*FtRB3{qE6?Fo#W|V2B=6W9Roou zNvJVh?t}J_^Trb8h1T<`<^ zMYfJx*P@@1y19bBDCNuCumJ%-exJw4M|!csE%iQ5!hmgsk<(=;WeE1KtF!q!=GrJp z1tL*NZ@*y+IZ&!!kG`WlI@t%r`R#xTB4-3ftsspz@t2qDG3D18y`Ue}XL7kxmP=01 zEYy16?fGqxGWTyYdqy5RZv2tVXSU2l?&LQtyLo%`l;A*e{`NgvG#OU%1M3E}puXJb z^Ts4~hN6>X>K)YPHo-!qS)`=r?3- zgKfwA>}_TN)#`~6n2H%7HH~pk=JcgViTKgEPv3C8V;|9PA#~CJ@JXCw-e$G9-2fgH zm*7i`I@+ITqfR$tK7bPMRN|-e)LienBSNS8>-RiD!M{6q7|2Pm^t=m1==4S!Qk>V8 zh(t3(%6l3X zxZy#HzpFZc@(rwDObZ3LMnx`T=qt2Nx*D<)fk^ACB$ac|5UvHTTiA7z5kCJr5(s0} z!S#9pXHBIsm3y{vRrdwFGCE`X$FMvF(X=$JL;PujKci5gxGxfW6_ydVpw~%&F<^m4 zr=NkQE@JoiObQwDkW>ZMuC9qv^|fg!ltRcy%YNpT%CtomH7kWNcZ)1094|8kMG1kVKt2 zDfB{uem>7NStz7mrqTQ9cSRsTbfs&tXUjNz7KW)?@M(h-xYGEZH-xht*C|IosH0>KLYj|3&PD8@GwRSk6uAR> zK6*2;6|3WNi-A2XUSVL;zdB0R79n)w8}k=Uq6`roPR9+=TnwHFE?g2EcSdw zbSOOe)_ux{b--QZ14@WNuSa}m@EDYBG5riQEQ-I_kv8dSFlpqL)dUjuK_C8}WOa$1 z{j);%+9&rfCi=)0DhrT0gWg&{7B%)60sru>JVk4#8K>ukl#({0;PM{ABJ)J(j0qI$5SUhrxV(G>dMB zyXWo_Ld_Qt&=t}M{OR+;X!*|yZ!^CXWk)4@zx0gGz>lSJ5|b0x`BO};<{ZTW&ctm! z5Nn6bA8Bt=wshny;MTt!G3dX<_Ah==mq?kp>9K`dYju;rHzOuDTD3gSWB85gzy$Y? zmoQd;r~~{ScRAp83Uj6j)9B7(ElohUxhhu8|J9B@+0^IyX^JYLh#_)1W3A=Fe8Tc9 zyeIyp!7jHyDUMXWAb{X8gNq0qj0>k8YO+Xs%|BHEGueTe0Y^f~d?j6tJSz zpiIAWIY&vED2&|P-I)3r@-r5QObRV%)j+W(Qtd$U7&C_?h|OWbK7B0Z^o`Kkbs)uJ zFlw*xxgfKg&RjloWpWZ;|7x@SW4a$zEZ*~kh+d_fkrP)B_WQQ(7_9}W$ug>s3V$+_ z=OA_*Dd7hhEdC<7IyjN6MA6MVIm}6MSdEbzO&r-_S=cvO@4@(G`srFIXx=hlM zIT3spHr+7u+g(Rv!4HJUrQ?!|8_ZGhM}Spvq9fK-$Kt_sXd7dvMD>H(Kls;O%9k7o zLLGiWQ#mnsSi)P%tEA~%d>O)M=1TP^!1W@mIC-PY4)@&%TR|2{EIsFPHNP>Y92^PS0q)tICq1np7mv>;;1Ykzqw#Z|1w1yh$#Aqn zR88+dj`WW?7R>YcQEuDZbwxYSxNmSEU15^VeQK|-M(b~J&Y;>854vCPp{Oo4$8lVd z3+je40&fBebPG-7twZib9ryhKhqh8ht>qWn(~8`1&(2WSqLVyob;Y?ZKD*JvqfD9G z+Y8-Vsr`Nh{pB^e1MJw~3qMS~i=1FIEIe|T#-~VDpN^xoy85A}k!9g1@>o~uOT4+F zT-uEfoG#b|b0%Y2n$JcO6Mt4*;jf8wkkAL9uFb`_0h0=FoO~0{3_ljiN;#c4RoO+O zfU=;aVZdYs(QNp;${}w=H&(eir@F4p`M-poosj)yPLn9p3Jn zU=IajI{q#iUOn}+pI_cCd@ z;twUI&6Ev4|D9$(fgx8G2()a!bg+X!uIP50`lDKDL|kr!8eII1^Y9vA-)fvdSZ+o< znJ#wEU2-Gi85&0T5JRwMSoGDeVVlpnYchTx>h@Hl+MKY2;|MPLV?oMEjTmFrC`7{+uB}ICi>1*>*IbpPuDt7`KS1;8RxF$kv{+ZhcmjFStt;FneZgN zuizxc)8#6D^!Vf}#NSP7) zi{^6cc5h%mW_SL1zk0!DKD#drv=`nGo-rI#4ERP3<8UZV^S#*{Xb`Bx^W*m@sx}bl z1_3C2hk#@F=l=i`fWJuKY6Ni60*J~3{QD=BSknzL<7>q-j_FIy0FbLBq*b+C-hebb zU{=$HjJ5?QspXy1G@ffT|YbD<7&{FntY$H2H3{O+X3$!0C4UFKuC4xY6YA=Au^V|hI-G+J> z33YH#KgRs&lYFl_6!KBVY~dM5#?1fvWf>>r|2_Y4H{(KJVX(zOS9Gz+|42(5-2Y#4 zpv`M^B|sPn|M_K93I^ce!8`l@veG!)+o#GEZ-doD2Oob5vj2~u$XQ0LKp0+Id=YE_ zOjxWy-hl)%R5ybME;4wI7zT-0DDrAALG#8F@7UeietSbNkHdiIQEu_1WCEb}%hHP^ePyS=M7RYfiPh zTFEbcLdtM^*^AQkp|)AFyxnyZUgqH(9UZk@YxjKkvUSTF>SjQkrQt7}U!5hDBAd#R ztl1AgSId~l3mtv*IY!lJxtKo#z!=8ApC?z=Pa{`fj1x6KuXrm}ued>|ku%%X?#b-$ zGP0LM!pCyhkL!b5`avJ%pOT!{=C=D-+(FmdpE1ihwK5W!mX>nxLf;qO=-6<&Hh;0? z(aHb&G}$P^9vcS&eF$wlgPJ?)zUD_c`Pyr1TIDBI8m%0!1H<6UeOXEOZ~nM3+>U$C z=ox|207mO(H{#9eN#~?8(wqCQHRal$I^TsJuA}6A9=+DH7hKQQyk8bk{xw3G^5vqa zjKmQe-w2AGM&}-Vm6MY3@kEFLq^hhJf@fs<7{oPRu1inFHvZS+zHC7Q@~v?Ty>8nH zg*Tsc)<=M~>|d9jXK@;E_7mVr)M!vSYLUvrN(NSM$j`^O$pgh@BbKVx719{laVDk_ zY9!4}iq~T5?t_E2uMb<{)Qx9i*SwqS`=YXer~))=nzLv8uO7V{2ix^V-O-u#P07m| zq1&jp8%lHy%`X(C3hZZ!S}upIgnZ_`Zg2Ay>j}RmFLUpLrV#MP7jF0hyjve=z#gM*veac(aVbXwdCJ>-e^EeT&=uA@5c2#QUoPoF&vtZQiX zZ<6_GDO|^nYaa@{Lqod3eW`$xh0|2z?NLs35naK#pw+v@`Xq@_dH-Uy4y)()xqvb% zsyDm!T=CoHg4+$=JR6;NbKNxiYxizYbD3wG2g1X>x6of7N-QN9n9MU&U~}(jWSC^t{^!9n;}<5rG*Su`6XfmcYnFW4_afb@k)b zAZ#=e`}kn74&emwk8!yjqpiTP1$u(Z)>z-(L!CU4tTmU8E=D0?{+V0a-7Qszj_IE* z*4)iS;&Oj{j!JW_efqGlOGt8f($dh+Pztuv{XzZXLa41$$6nUt(~W!mbK(_HT9pQ) zczt4O%CM`JU|?u>t=;?1%t_`sgzadGXhCsWgV;oRJ|_e5+`+_!oA*u_ z+gtYo;4GO(bzZ3e{E5;7L8mXxHk#5$TpS~Jk;myqC!ZLi8e8Iwtp70F+5mH8~0ORgMpoj$J9>T%~2 zcYEKv?7HqdZ$SBeGXohWNCrivLaAN8;g*BeAJuGBt6DCc3lSkrYkY8XUXu8tN*w9p zc#U7+<)dE3R|ZP!t-1rgrdBB(&xnZ-%_gY?5x5^;qjThCx@cmteBPe*nTP7>;-LV z))?b47MYz95@MfTL{|AA(+$dJxjzOOKkSx8P9o!y>#GHyINWyI`cYkI|1Z@VyoJ(w%>d!jLNO|`cl+AQJ zpRg?TQ<&^Bd91$21wG{o{uO?h2{@0&y6zuq&D3Ijc_7cmJ4k5mU{7%QiMVW#$73i} zLfgjAoCp<9f>ZpyBBInv*o)PsY$dSB0%i%+I+6X|i8!n-2M;U4V)C#VTn#!gg!m>( zexX{_%F6KwTCSzZXdq4XCT8%kd_!}LV>QjhZ3H|pWV>17^8XKGZy8lb)2t0cAZQ30 z+#$HTyGw9)3-0cL;O_43?(XjH?hpv>@D2CFKGisjjN-zAlb? zoND{cZQ5iILi{vrik(R+&FXHk17#559t?79p704!xU}~~pquECf9D$Yhr0fU~K z&nK?PlR9l_jV?IP?@=VTX(cnce@;n?g($z=ImY7J4?BbTBo~{`KK)=?5Eg!~HbM(W zrLm#R1j$h!Vlx26V7KCs3UM=m{(|EUBD7(u(4NerI@&9$8k)^6oXp_W1fKd5@t@S{ z5DI9HTQB6DZs4C>qGxzKUMe<^r#2%@373~mIPY_1&97K_Z*O483dq9yh@NmS#^Z1& zf83ktq*s_w4}K0X;eBEY@{s6xUyg*em5DE<3k(Y@ zEV*p+YPBzEM60wVUYQ+QCmc#fcunPl2zI_5HlNm3SO~IzGvynQPNEO5!HC82b;gPy zV{2)dD0iZAuunfnB3S2CWJ)7QG)9b9Gbxd7SQspN(UF(3m`KptTHbUJF@>O!gXHI= z*Meyn|D_asB}*js7QLn_QY!yvS~*JdZZN^b4FOl#H>@stlZDkb?vDnOpRb%_j^J8f z`R3B!p(9UG<^i2f*TcPISfDnVEJ|ZYhJ9!`a)?;+k?))FXA%ES#1JTe*OG?!Kt_Cl z&6DLnAzF@jwtRH!Bd>$Zx+fZnxj6VW{^M+6?mgSIMse>veUTd;cL?&#y-^!jT z(x@%*Nvw0Q%|Ky8SGL;Q(#Etyik4i6M#%Go4hwcNUaAaLv0j@V@T7|wfZ%b{@9SAu zhrH;sUyv9#xh5F$zC0Nh$zLa1YO;((^6}j*(SrVrmlV)5Swc6qiZh#nPFG|w8gTLo zwJ-H}>uiZAn*)8Wulr=@6xRj%J6(%rdP^q^&lifx#`x zy3>kr;BmfON$K}95pBFG=?bO;ot%5I`l!JKZ;cQ1KPe*w2H_}j1-FTUf&z;j&pYW8 zZzv1btG!|os?najw_Xya4i@uJUPjO7zXz1%6+xIqZm}`XE2yvc>*@Dm zEY!T^FxhL2=>L)*@lyaRox~#*(<5Uu-Qck7k5AxwHxts0dkpK2+Y-W04TocrhaTZH zY(~Rj2~&N&Lr3K6DfXFFV${DHCL`JjTxGd+Qee=v>OnwA=c1?-z;I5^e8ukiyHPq! zT&RsmBKYJ$r4aN`q=#l|vW9wm6Zm2@GJrzEz9! z{T8@L$>A-bL+gG9e;OCg`T`=2 zM5;_i&}|SQ8hH#El-CZyYN4z#&8nWNHt18#uis3fe&xRPL`mq@8=7<_qSuM_jO&f3ghV@}>B36fnc*BwCpFneyApK)09n z^y$GmTm7G*hWt56ky6!XWx7sf<%EY8l)92*S=;luUrpSlmHltcmh%<@)p3NE0}!CW zr3=S1a^A0QJC^y7%P2{nff7d3LW{UE577K%vM#C4XN-GqbIha3+_2#$)L_q#ycRf8 zOx23dth9Yy`Yg1BXa3*fLDi>Km2JFbGI%5b7x9%g1d1E$dNAHVE;Uia<=*Cd%0a43 z!hfbW6|V{E{E*m-&+?8*6b@()A7T!X4D?e;(MrhdQOjqRzzdMuzr=W=O2LX;{1VJZ z2u=w=8n*UB*ix*!V6V#*4a8KUlub?o<0XDO%P+!tp5ka00X=(%XjZpe^Ze53UDzOP zVP|O2_>NU=O2;e=v!dq}s%*j3bNmfId2hBbR5JZU@OMFuS(!sYZH;1&IE&S+CdM5$ z+koEI`1J7I@ofASBKC@rd4oy09|l|QLY>`)5K4`!+e)1&RQfJ{g5Fu(kQ%IVNn|4( zV{KiP%i~FXtU*6LQ5&>tSB37WZ0Zgl)v_lah#Ij7bGxr{_$l8GWlJqzu>~C7AgTFu z5zDP7(*UD9h6NPeoEI(*J)L}D!#PKuLPgS4{Csq*o(zh4_7Yd1TyZsD&&YJTLl`Wi9Q}_W%wMf-QM1OgYO~%3 zz|lo;{gJIkfq}PHlSFIv_PM5e9CLw?13EREFhCyZs5IAo5cPo+Ttw?flb)YFrR)eU zGFHx>1R0+@dda5($H9!r${jx~ITQ>ul!QK7VogpOas?6d39pUwxmdG>;!dsp0O1m* zd?^M$C}nOBK6q_$B8L8%87|2KsotknNU5a#sUrK0np=uFQ#=ME8iqz$I)&e!vubJ> zlWQ`Jeu7dt+;g`z@c2?T z%sjeT$;HF0X|(^De+ib-!%E`G!8Z#;S-GS-8B{~v5ncId_PB> z#gG?m=IUrJm{BU1r!Vhrz*&8O|ATr`>cx_~%Ua#z=FTkINM@r`{RmUhV3`m%Isx%4 zBr|f`h+94OS8VQlB&qE-gGqvZ{#Pys)5W+J-lh2)S4qbKOe!@T;h5?1)%yjjjpCS* zjrw;oHC)IXFH%$6I2qay@Zy13Z$vsT<&L)I1C z1LoQmH=>s7xHjfbVu)S?v3u;LkHzzYG zR~vpSe^$KDG{zJEVvJ^si#}j63KTLJ+X%mJEh@Cl3XvkcX7eMrctK8HWdCq zsJFHSnB~e&3ULtzU-JTyMd9zxW>7PPqdA$?p&pt7BL;23Ws;GPR#QLa_>El8W@gB= zz>5n;Z~ItG?)>cP-|F)UZOkWR2!n4HYZd=OuOIZ~&lXSmDLXs3v~Qs2q#fvBiAx)u zMdsmAOKStv?Y%Pg%H=HD5w;T>ONLM~43JKqWkA%1ypd~XgTZ{TM3|>g~Ef<)o zh<`i`GyFuMRKfc#FPor;!AZy)iH&4Z9fiwvq7Z^R8>Wxa7SR;V)$WjPFUGHLm6Rtg zgf?QS+?J0IcmzlGP4vR z_gQ}}>I=hn^8)R03K-r|j$c>w(fFB&3GS9g5r0tIVc)^dZtMdD%numJD5K&GMzyS^{)r;{kpb`M`(jfIrB z>iZ-(y0Bv+@Po(eL|)(jy`x`^v$gi8f9VCs$Ht1jvm4%^pj_R+iHOCNZ)>vGWb0*@ z;u>-ovY{xc8>E7OmIV4iAC;PgWzfS>n02y_{d{U^%tT>Ynwtan#D1rr9TI&OsSHOJ z&Vig;OGtnIb_+@rI5g!omF~Eu+1WsJI9c@9pJU*L{;f=sij5ocGhy7d+;5==g?lv`&|Cu>!@Zo_;m*g$~b)Z5(yTWmPK8FDC1G72Dlb z#B~iOdoRv2yy;I5wGAFjVj)S==>dy%9mwEJrsXm*nPy}MIe3+x(4gf0uq!ic-os>Y zQvZ{t=!wi47^Gzv;N7@$#B`fSMCjSnvEU{4yhRvAKKV0H7Ed=g0y!%2ZaN zw+P(ib5mSu!jH{5Ly_~e<$6f`4in4thykGMnZ!_g7V9ksymcc%@Ftz(l%e4=ne4Y& zmHf(o>SXLO+NId<_LDoIdfY*xSe{i z*qn79ok*I?6f4sV(&;>^za9deMh9_M9j!(RzfMG+v!5d4K&`hpXo?1tl4eSFlNca- z#3cQZ$r$j6l0_AYOBa6oq{hB4Gp=Q3E+m9xRw$ipG#cXyWL`XuuvjnZobLGrxo^>Q zG1A!e`ksWhlk0^>U{?0Y6>6$#>`JT!6sa^mQ9A*lQ#}z=YF3{4&jEQS!nd;N@%n$w zBVyJ!sz*mE%qZfYi{`tJf2Vg9MV{Oo@#b2Qv&p;&W)Xt>!l!Y6Lyo@D8LtfPPRPq- z7YOzz&^T6TN*by}QSKhQD?tsK%)PqCW?k-(49_I zH$jTOlYtdcZm;)#Ljylv{yq4kxEg&QNCwG-_dRo_+8yQiaO?6pm1o zC0gwaWt%CR1;EhF;X;Lbt$><8wY>q3LdW28WpO(iirPRaPo@)`^ZBpipx^ke8U^z{ zC^zRc8b2~6d9|C?odDFA~{;V^_OXx-|o%<(xvj*brK6}jZ6PC`_Ed~~dbs7x@YyWFuTIut)1Dyx~J zOxhzB_lY44*94yo>q5(4c1c`rapmZhbq=ONpP{&#B}}w`Z8uahVHcb-%l=3LMGYrO zy&LG+!}gD=dMwnF(QNy%*G@bRrjxRxX@S;VVJA;y`C#DC5acayajN=+p5#YF z{9j}fCI=@)QiT!EDvUQ2`i=L(Og0A)fpU>pD+(T?+ZXY>ZxZH*3Vnana=pa+IdEL) z!=+6RPwtq=!hPJY+s7IVpT3-|C;Ki-c;b2Xe#H0FboBJ3q+-GaNl7Vj6xw3Y>W}vQ zRGy3S93%6yGD>-<-Dkp#g0Op|wN`o$f862EH=eN|lRhJuLDVLrSN|1yVGm~=%eY08 zz^BabuKd2?c6a7)d7ichqqmy0GqpwMU-r02fWNVqJFK5K*3}x#-@C|#gjGpJ2*)dS z*A+kKRF+4mz+hNKqnoG^ExyqyUWovoY}DbSp!7X_8Zi|}GJ_simKw*>LLi5~F71s) zfCBr>7`!Z7x8GMhg5)FNAF(5po&tg7$AfXc+R# zE&QP(5|^}A@@3xIi~G{492Hj^0Q_?>>!-;~FNaHqne+{1a8l39k=FH#iULjis@>kw zP^?)&{6y}Ofh{p5u@~crc%5iIx5FQUYW3NAd+PGR`!s!hg{44@dQEdK;&pRcQBoQY zrf7FiUmeKE&g52R(AUx4EN9bh zy}3M5U+=(HQViV#Ir;DPgrQ~?iq^;5FI(O^(DBg#&^EawC4 z7%+o8tMdTBScY@l;LJ2q)=*gx+e`(^&b{?kb4B2z{h8t-%%I~{6?UR3N-w)X?!8*A zf!nrEe94q^{5Qo3Zd#&P?6^0C+e|UO``8=P=)LiDPvW<-)_8*M zV=hSGdWyRZ^87wx5sj=?R?7?C$Bx&Z>@s&T0>z*BB!f;q&3`U|ZE}zV$TkoPe$n;I z{QCFM$5)_eRtuja=*JFfgo35A&j5s60>Z}$ifFRoz+4%y+ct1qJMKr%^K!KzE+VVEq)Z@QU!xG@;_rHGp1E>d^y%V*A|M~E->Gvv-2KcF+gJH(4{jLg}i@#H)@#nk$fI%%Pn}u?7A8-i; zfNo4eqDGPb4+J8?Huat+P)s&1T{|t6Adw0n|Kbl(E9sJ_EYlWp$BX@Ff}fx0$)z~F zoZT?6d-WNCEBgaqtM$5GReq8v;0bFnzKu@d@igq4>M? zc8GvOHcg*CdQP5FvE*a>v=Xl-P~AU5`S|nip6ZWJ=zQkT3HBdF0xADzBR0@;r~qu> z$E%AFO+GbYe6=BDt_a9=&75UQ2>cto7LzJdBB6$DhN0al=xHHcQ zZUNWZ)L+n7w-D!I_t~c};s972TJ*tqg@^ZnVe#B=87a+bxAe=~CAs_ih(A=?hS-nP zwj*QAr>vo&r@5DTJOx3zCY(=5xmffRFhKq5u3oj=6<3Z}3{@#1I^fa~=Vg|>9m&OAx zP1m!SWJf6tmA=??_q)tnb4#bzJIG_v+l4El{|bHuE_>1AEAB*HVtn%eWwK~we4J{3 zD4s&YyXV^I4p!>;+gKiJm`5KPZ<&m%+m3^+9!u(~&aBg29kg5X&yxqzSQd+Vb;*AJ zpM>y{=~Pw_cNdQKnyldjELLy*kMhW|izE@##QVGXlt?-$#r!crQtr+^+N)}RC*poe zV|HG>AbSS#0I+FKxmxVB-+6wrq}GZ4?2e(`*X+WAinGjm7)LUKN&Glh2 zo3$|*WJKpFa{hBwgn~Nrc>y6N4su%OhJ3coxKLiT__}G6OjC?yMUSjqMUKYEg=Yy^ zUaj*d71zVp?<*izCmPrF$U+qA)n@7AR%p>j4RZ3)8{eL`$`hGf)SqteYd3TV=OO>8 zUh{eykys|YW%6Ogq*WT@p2jZ)v+URKXF7v!=btQ0}pX{c--CT>dWPCns4ct8o2># zkXdKz-b;`2q+w;7b<%OGBYVIz88nnL*};+`?J-@t3dd2aEOdi3r6#B$64@pxR4}ft zYr$3`^3ToTOHJQo+dAOwp5_@{r)s;pu3G(0TSuitoop%4DlPia7|)J9kQ(Z>Nm}Bn zu-?FU7V-8qaCaZW-kX*z&OR7#uF`9rqXpe$AD%a4@fE}d>3OnF>QLGX`yc~?g3vBqVshsSz^$1)|T=;EuRb-`v~iE>WQO!?9gDe`*VDxp(IIW#j$nba`$>YVzIA zk{@2M`kHOU>J+4GqVi#&H`rESA~?JrvH# zHltf)h_EihC(PK*!H0v-G~40oURvulRA{GVo+s(yxtYCET(ZG*^f|Okr3nEE!|E)Y zGnvmk>@HWHIFeerOrIm&Kh|(y3EQJ0PCv^+!sFSt7T=5~iC)Za=1C5kul2@lMr7W+ zV&$WGuvo8_6FK&K|Dy_gNjc&pYpmK#$$h3bg1z}UqUVu|qC$81`B-`(yhGfMPpx_3 zErDWa@WiFp5)#auvo}?&rWiRz8Ls6 zCLp|$9C;lLgkxrK{COmei;D{h8vmKt1@_N1^+u|Z*$1?f@I#I6ZZ12|HY(qb(@;21 zfn~6~ae8f+f`2M4&5?%3IJAG#BFg|{WbDSsw5s892wtANEvr+;{XwYXsh`*N>(lgP zhrC09P#b5QtzvH+|LbnT%e}@uc`e78vQQnG$jiUiqZ|F4g=J<2@%h!Q3j%t;4MRha=*J1L0VYngWx!+QYC4JTG(e6|t~q zJwvl5af3PJ>lgXx3K5or2dj+^jZf(R+>*t0bBlCb(DCAHV7hgMS-G|0WdB!%IwO%q z#?VkV#~!Qmb#AXM>6F_etE|RDYE&7w&823g(4Q==uetAK2p7QEdb{!7o~59&@w_$5 zYD_x=C4y(G;8D=WP9V&B#pSVyfFsXo{N(4K=~YkhSQvv;8gbQbz?bRg9(W{SU}>$^ zX_^X7_fK1(6dZI*h84H%LIx-Y3JjZz0^mD@@vNV%3Zyb~d-b`kgmCF!Du33hH6G@j zbK;LYx8RMN3++`$J0BBi_ieoWvf@WQbUF;4-OOZHYa}|&RZ#qjJ<`V0Qa(UN7?a?& z_o%YLQt=KBINQMM!%ITW-{oaWvhmz)tmXZ2khaocez7K;>23}(4ZqobeM?w#RJ-rg zD)?s6f>m_C2ZLzkuW0!%UX|aV-d&><4w2J}vn}Z{i1+ zN0ul#x2*Ur;J)jH*e;XOdagch+ymdXoFZ{^ZpgmR1EHWFxrq13@fZ#Vo3sZ|TUVbN zH;>Y01`rNFDSY8zqh_^K@KQFj{UKtiSJ7+5yaANqixhF=n=y#NO}H6CfkHM0E-EFJ z_VDlX{0j)*c>Y!U4*;ouUjMJ}R6r!lPR2&YHYQIw=Q5U{3vB|N|Et%kJ`n{oa$J3@ zN-aMH^nXIhYsfh(1Vp3_ffD+UdnMy+|BwhA2xeZ={tIY=y523}N^IUo0|>UG-B=co zYZO0$Fe>l~O1ut5@YkRI(>O$KtW#*U$>AMDz&KCE?rcPTNSAMN7#E1XfF8;YR}ZoN zsN=^dAds%lnFsM}=O6J95Pcc{wEYA`PYw8|7SzRnz(BgV)VbLuw?O%lW{Tu;r*lPR z(%3G2AaM~k@yU{&Ge4>!H3rd-zDB{oST0r|y_5#lcv@LiJS4N*AB3UP=lmQ`A*=yV zcZEYy;}a7{n}IM=(c|MZ8@mS7gCJzUT6TCoPo%O=8ZrdK>l+xbS}ftC$tx-<0^rO5 zFKca0O&{cV3bUk`7=V|lRi*0_bW{nGNBo_GgM*990{}*4XJ_~Nm*(}wS`6rX(MVja zdb9YZBArez0OV815ICD9Ra$dze}DM%-4IbEF$qZo7ONEi!nyOC|2IVP_(5G=9R}l1 zaBabnJn`6_-QB=17&R8l3_U2FA1wu97e8{!cTvrovt>qhb~SgU0C>EN^mOVsX-^{fcD-ftU&i2*A|gyDp20LV*6)kRcSj@VXmg$0Q60J`*U0b0Nys9z_z!yr_$p+0VyCTLxq6H4TJlux^2qyq6`56fwYQ( zf-(?^cX4{!YPHT0HkK8e&%%kmCar3@R7HR*Dk%875`Zh!uLlIJDzBhm@9aECB$LUb zB_|gh-1U14(bw1a?Ci|!nb6Cgh$we|G!YU4g3wP)Mn*-_5K`U60b_D_$^0b;w zRU(Wi4+nrM#-n9Pr*XR{6h3~zU;ux1HHH*|b1CL4M*IPVhK?Tn@ciuk_L3Y7;CUa< zm!h><(C^f_-I|ec$};^0wH;N}YOq)afSI#Bw?OYNHaDAW4U|7yeF{WdYUNW22@CV{ z2`wut+oJs~T6FFR0I~bJH<-;!6e%hz;{vGJk>teRw~1eD*(jCEwj5PdRLGdyT(-J= z0J!wrq|8ij0P|OwHwo@pTt}sJKazK2bU6I&_2iT_zYO*1=46_``1O_d+RpAe8D)C$ zkE#BWidwO!m3Fs3rEODfi01qE z?^6mC6coU^R9N~QfLc&kSXdQ6ukAOEN=i~qEk8S+EvzPBWQ+}b`1_hjtAiSc;z1-I zo1M3n5v76r9Nn75Z(b-AFE!9w z1Gz;{xVAuARobKMN}YzbQrGe8|7a>We>%A=LYAnm2gD~B6rZarI~17=u3%g^#i>~z zB}vIq;1S7wdwX>s`W^*FB9noQgX0Mh1fu|ux6KVTE|)uLY1oPYXuOqyL9d1+UF~m6 z9qDWSP{@iY62Lsg#Kh1!5G82QdGBp)fiN2ah`7cRY5b92;0G}{986qX*yiwr_OLmf z!h*MO$cDTIpy0oTr4a=yg~5iS(-YFrM4lAzkF+@#GZDC;nSh&x>$9RK7#y`)g z+1B2E34yN&fEGv0gVh#BU>TTUJD%iNZ%ynhT9ZWJpzfXGHkl0<6@Q%<4vzwvJQz>m zpn-SMCT@Rt?P#ypL>-fmo>V`^~PGYf#{c>v-Q?S%hd*1ZTytE*fp@|PdX?F2sSSdwq)cziWB*giCIZoj2seK zR$^-)+*EYj>;USr+Z7yTjG26{5KI{ooA1Vck-0w>+Rx?_BT`d(dmP%jkQ+DQKV0`iOJ=lABDK$7ze^<|qVR%hZ|8R=`)v|0r zZ#BTOvs#_Zst`aC z3xz?>E?vj@Lm-oZ=324blLz}Vl!Ah%AkPRkzNwG1$*jm3RmP@1-Z~uPJNj(bm;Lo; zc&VrVdn0_mw^~3H06Qj6G2~z&oGhHw`^x7lmlsWDTjm3=t`aLH{UrG3eK^S)21`*yqC665j*7~vOhtvvL|DM; zv5vm7;%3c=C(pD0cQ6BYEwF*pcluU_x)nkw*S+-xTYf7i#1%EE+|EAAEk~o#s*M}G z1-caCgwE+3N~Slo%;%CH;T_FEpaK*KVLuT9zeu9owaY6j%YE~trlc7e8iA5bi>Kjr zz9?y7%iT=l@ z9;Wfwf1OIjsRKFVTl<5eT5vF~;gfD$09rI9)lDG?GSO@EEOnIs8CqSaXSn#TRAa^M zuchd;1lRq6KZ%tRVNbU#EGL{}OCSgJETPIUD+*Z6%1u3AggcmdJc3pFzf<7E&nt3t zoeLeAb$^3nez8jDAgi!*CiA@a3ceS4LzxM{hw}Z;FTHTpS9%tffO`NHopXuxcSM-$ z^+7wpqLRxRw8Fm!XXx*CCFLX5ZE3PvZw?8ccyd=~W6ggEMd*@*g28NtlH;G1x1}T` zEKHABmGoHA7dJ988C>if{&akDztH0P{FPSV?csEmG{c!_v8MlkS(2dXrN)8UA*7O{ z%7wN%Fa`-7v%~9@r^4Zk(*fz4El6WPXfjOP;0}+wmlg6NEQxuZ>v*EwFYe2oa8-6@ zhLbnrt^Gb1Br%M~VNUckA_V*gx0rq$x0H~*_=rp3|xh)o_<0pbh#uf*82 zG|hEXG{?JiM3ff1MQZazf`!33!>(y=tay|af||muVf(q)_O!TftCnA&B`3$a8_rKk z?rKRPUD&rnCXpIz>KkNDNF$SR&d_9dyBiiZ#;q%2orUJqvV&Z)1#6Q-xnAh|dpm~C zgLdi6)Yv9R%!fTxt1YhY>6qz-M6Mo7ZB^5`EwNX$X*9zH*Wg(ityh08pj_9FqP4P( z`5pA+LH{3392t%!MRa9!IFe;b5~BjJ%liigTAG@4^Mox>0JMBBSJy`!@7Hg={3aG^ z)%u@LOnz)HP&j@S2-2JQS!OgVr*V6neyfSGR2#tz0)Eq^mF)orm9)RNjdIAgffZ{b1E(PqXsi-DhbGUL-9> zMjWnb^4#L=28(KHf%Is4KbllfPj{`bm1f)c(*31vtIjd@25V&85`wo-3CRD^VkqCf zIKa{5cE7{-Vk0E{1l=c~B`!|R#f6Q`hfE@6vQU;Rdw+Y&3@mVTzQ_Qe`K_gvIy^b+ zzVt2gY5O$cBjS#|F+ZT<;o*_-0AxXc1IpTwzUb)S0J!h?1$>sa&d$a&->IqLRKRfo zUKr?p0MoxxrxUlbbfB<{U5%PAQy>9BKltwoAf}yr%9Maz>4DXhN!7XWt~I0mfU7GQ zwxK6?_KtViyV08ZDRP838aq644C6+d=ip$pZv3Z6)r(($T;E+o zM%t1SY)FGSaC*y?5KirP#*7rVq$#E79M7|cdYx6dL1IzTh-v7htFpB$jNxeGbEoNz z^((SB}$YepFEiW*y8})?o9|yUBB-YwOi9xYfasG{^hrR-C)oC zeH$>5T;r7MtwmdIl=NCl$cZkHi&k1nwiX*Y3ZNLm>gYG~Y9^f2td(8Ho@5;<&&`VI zbka+)(YMBcYhRKchsA6r_6g*_#^dt0PxqFepDGEC%O?Nf1VB$_Qoq&AzDbZI)?))l zC7G4i=6)aXS}7+h+l3_v`U%;P=q~bCm9I!V5ZZdD(XIcO^?Su(YHgdUOzSeLU z)n^I)uMOA{zQa3vCkN-}6N_#rBzgLJRjRv5kIoPh8EL!;=dbi~db1^eO$@|Q$DC7Y=LSJM zm^lP~@nR0~&lNQc3_0mi2Z4ALyeeKVr^u!7|Eoxt*91_;fyth3w`?9eFBgdwp{Ft# z-*!sMmz9c+Zn})`9!#@k*=Fw%-Jzt*c7&(J=ySRpCA5(n{ogoCABLY##I_+*?e8A@l`V0CRpDCy zH!uc)ep$Rsxk-c+*$M5oh$WmNVyw3G)#1hiVIa5#91I```GJG-ZME^66?(%w?RJmP zDm~+ZqxRYZkR8H}DYNh6b)GU<^x)+~K1GiUpyi`&u0eYLt)Jp6hD zUa~Ssw74T}nHrk%E1i)Inxm+ya2pu5H;Q3Z%S%PH6(b>kp6*U;FC!nUYa^pCuQmPX z4(5GYXBh2?m18dqH^js+OtKmorzl^B$hB~!TQL%XP+`{5hEK5n&!~Xn``4*fMdeSO z=LI6J7gcv)jU6o3;d-hEwnf1fJjK2J^#S`++-qaCmB_+xJyfSR?H@#e=*Opbd*Z`G zj1kS4Xipl%$hL>)p1EG_(S2cKICqw+5zOhjEPEmXCl!Cml;O~8*qSPr>sHqFZ)9Ki z_mymixr{UC!YuB}|7(8{;tm86xW)@3qN1buXR1;p0!1HXu3$04tX{BzL}*K_SZOsI!8Ke@x6Z9iIHed$sdmn`OKndVo$Q_GmRS zWkm>o`OHGmdJan7SG_X`Fe#`@${KoOgI!Vj1~4d}7G^UfiU7<~=<0NItU!4GpHKu0 z3C^6Z)}j}pH?U&osQ!Lt zANvr1M7ZIE?0u8d1ajhHi*}v0c*CE8g6k@+^aMcWlNxLQ1w9@2{kE3}NX)jx&emhA zd#;O@4y1$3KwTS|b}oOlD%(kc_IT`Cw1kto$-n?@@G|bK zecnqkgJ54-~q z1s{L^e;5wG=z-<}fENFxi*err$~4JUP9CpL9%T|#^v&pS;Y148uQRu|T)42IZt?hw z@D))sEZ4k{&A5M>I=Fefb&&@0_UC|Q)z#lV9M4u7z|^NYtE5~Egz;ClFJ7F{x)nj) zZb;OAQvmCfle1`UX?U7=y)EJ%!;DNwDX%rd%})xKOZyZ5qvNss_4VPe(M@ZmQ{Zc^ zsw_wz!S_(|JfBY6``7n_G~f82l(z{b8b`8-W|RdGUb#6-@r~-N=N4X?Ew@H*8;8s7 zkjC}P)a2s0`8P<%{xhSV@-2=Ao{ZdlAY19=8&M3rNA)^D4+*p*34G4ZS+cXF9>>rL zXT^*bMf_@TBYbyu8_D}cCSvCk$VPGu?P4fLA%1-#49ZD70tM8!{)!II_uOrDIZ26` zpqJilJUj*%kQdfWN$;E-5F0HY0pb5hMmut)P_mqC&RDXXQ%({d8IU_o2VS+NM(7S7 z1)U?=oa8^%9gLR3*NTvbG$u38^a_3YMgN^r-X^+n>;+7-^09&9`RrVS(!+gv3m6fxI5haIV zeIESi)*s$}Fan=}O{N1VHc!805h(yYperzR{eA$Jhv^?8%U?w1|y z?d|QgwYAmNh}UrVk*p8t?X|y37)*B`mMTJml7fQtE7r{$^$m0>o%D!MA@m_be6)^M z)Z_vdIgEPWwssfy@2&i*t;?LM? zl_)`HQ$^6~b4I((wp|dNNXXvsNFqfFK7XCpb|`f!*rTa*U!Ic>Q%$i(IH>b5(# zkjMLt%1aLgV3X?)`G#Cj4M-~}2nrDr;B0LC{kwO*R0T+VEtDbrnpLF!7MZ*YS=dm+bj0hveF7qL zk+ns+vuaZ&AK;CX%#g>39%cWs@HF-qi&GGU%hX|N0Lh_Db4|7*9_eEcAM?pf#Pj;@ zkKtxMfMg!=2V1E?DBFKlq~M1UIVZ;rM)myruOQrlh3wZ>Vu>ieqiUD^f@}k0%&^rA zoK(id5OmkK9i=;@dVjTCK5)IT;bW`U2JZDW(a@z>c7=dy-1ZWs2f_D7#$3<-Cme%< zG4HFh<{+3s0$2|&WCq#XY_HP|QLsrE?iALF`F2ZWL^zd-KxNaV+7OB+Qw7WI!FBX!^*-r=PQ2dyJdQ~msYCq~xv$0U zd4fBeAhn%ZBvr4Ls3_lQXMZM)>Qp|TcA^$!NvCIqScI3nP!S; zy{SBFdA-u}MlW1j#c=HFfZ^NCV`!FrqCb7zQ>fA2L#M;-z-u6)fS_tqozCkF+4)90 zz_m?#Q&m$#A(sOl{_EE-csbG{fTl4BPYRd_gb-pdogqflK!NcW2quSFpd9Cbr$fN6 zF>JWRT*3hp%cLo1vDkE<_46c`7@U(k4?j^fdSCYJLSGOs2qLFku-0j_tDV|nwP(^F znT|E~$EC(xh>-|6>|3rytxQNqmtVT6Na2lM%GpTQpwa2XNf%C{4f#=y)$C+45VT*l zZoh;5D$I*Cz;&^$sWOJ4)9QZ;;6w|b=^g2p>&t$|=9tc&{Hs#AfBo5ay+v37W`A^l zrDJ)8x)o{-0aI4vKn9~5HVwL?hnMnLF4DXRqu!L%`S%Zv)SHa+^%HhwLxbS;i@D{{ z9&pUXa+dy5UWL-l66&B8P^^PNs)8)f8$f})UR;7a0fLj*%dd>6P=zV+as#QXWzF|f zm(<>W(B#w_ex7EYHo(GB9{xaZ?eod?=~hOuA&a&cOOfCIE8`DGgIN&Cke&W&#czQ~#pvp;zMm`?46;cg6q2wNu^x#-qOZI; z50psRqK{Ym@Yrm8xj@nl9*+m*{eleW5edH1EjG`ZO1yAlaU>NAjh?tyQg^X9l)Pk? z3S95c9tvUH+Y9j{D59Hcb^5VWxi)W_Gfop$efTAroXhM8hJN5gV`!hvKF46dQHg=I zdwhwIVgG9R=fuaa8^O-mlCmQNV6$O!KH~LWegeja<2V%dzfsmE#Lv{^t;)Fx$(Mr9 zS@&Ad(2mFSiiLS(D9$~2RbYk@G35Dv&~=vD-X}?*V_l4>W#~*RqNiljGg&{ro%uY! ze@)|1p&&=9HZ|_bQA#yoa%C}=f)D`yB>nNjP^H9*T`9V&{%}Q z#RTgs9EPlGoxZJmZXKmIUO3z*QNrZ7|BYp2@I)(*_yI}W6krpOz55fB3e31CEx`}dSPWw$y3F0`%$_M>CP9t zWveBJs+ph1q5_bw(%tzFE2oBHiBhu}^rH#orCf2b=!NfzY!QWf{Gg$sPFCtmBO~GW zttH|q;@NEVvmw1593093y5sY;X8(XVa)l3$@=joq*s{iO*lez7xSaHz=?yof4AL z-QB!{-{1e7mvcDm4*Sf`6BD01_j7MO*hl|}2szl_XVh!rvr7*|B_m5j#Uc%PA)Js1 zEIi|w)ah~EMsk$_*T92=qZHseudHX{Y{J<)Fz?WSt7HX@YKG)BM^7Y8zmtBc| zGUCv28jIUmO~Egz8pu_(aX=huhkWLPX<-}gGSq~IEsUfS`90qw@geUtmxYQmG^>X8 z?3)96S<_!7INUT0eH~FY2wgB$y{!7q)X>1!8BSz#y7+2a&KK3M=OBK&_OF?c`*ry3 zdi&7p?#k36jS-J|VqI>gnj9P8>|SA4x#2HzXdhj^($&o)feiKP#8n=)kbl;ltHAKm z5V45jXUG!#O@1GEe5f$2Rkm5y?7YWK&IWj=-k$eQ(mEZDKJx02Wm z-4Rp}=@4SC3K};Yc>w~ zhs)iL$;Pn<$H(NN(56<1%LNcscHI8+Fvqpn0l^K=%e=OpwtkFbd>=Dl)`6< zj4!i{GJF*6bg-VT@rRm{88k#uPv`}NC6AAv(-tE+3cqKw8iHHyU0u=Ip~*=zX|ZIq z4O@d}H7rTUVy>6fL}t)9ItB4IS|-71CPywA{YTFPT?<_>1J+@B(kS`FM6oKB_A~9% z?B(Y;qy*?0pR&%DjfYS&X?S#}MkT^06~^OAvcyO&SjKl1J7|*$NrxntOJ3=cTIjBI zuuv(sol%I4@0Ju7u`;dKz~ufEsYX!7TGBs(Cpzs$3n$j}%BB`1$Wrt_{Pn0THDWea zTGlKMK_Ag3Mq-H6*#vu&a{YQ7Nz(cA?rVDjvq$Unj7+A3DUSB`?OO|LYi9r)j-pd| z!EZ+kxDyS6v$L~+kaFNdnY3B@mM22UhT|gCFFZ zd|-|(7t&E&l~^zM%dQr7pxMDhO;Dbq!67u%7UCkcWbM~E<%ZKQc(F%wbQ^6CLw`N@ zw9%JmdKPa$$DZkHPR}ypVSH!lDGMgX_36+5`-<_x!?=KSRON%`q`25q&1`M$@ z((=6Yf&M2;QlExgP8YK|EePOic$7|;GjzJMTq8MY#q*;55$vHu^E_wD}ELdVuRm*s?6~dWWliN3`jQgZ%A*S z9Z1YwZ;r7L5fPOP3=BL$ulPMT2L*k$@0XSo7-l5~fnFf&zb>aT-iE_%ynsBf3Cv;9tf(F7|Lz!}`V_cB~b#IfKz#cPiR)~F`<1A;)( zTAypb7fICAeX7tnNugl5g6D*vvtL>7o$9s_F+7M~TQhsK0_H^9H+OVge(|Di?*vmI zoXMQd1cwuOEhw-)5y^O5{;g%LFEt3$fYCOYIMn)vNd7pqFU7Znws14^7o%&{81y`* z+{-wJUFIFR@5bzWq~GvpnQPH1hyA+QzUYk&wQzg7Js`?_K|>d`ytJfIs5boq(%we# zq!OZ63f-1GNrXDdvCcX^rn_x_aoJb4Z* zql@Sm#VJIgA>tAe`3nMH7A3biFVpSa0K-AZg>wY&wdHKXiHF96j?(m=w*yb}dfSzn*~c&$3!Tyr9-Z=!oH2LDsP_9h@N->Bj$~G(sXS|j z#5yK<-)zk_IXVxveq4O~>-?I%w%TSGX~PsqfW(#g)@KT-FDIDrPLo#~oFaDIFsO4z zOT6O_*+hP$wO;|9!@#3Bs?6LkuP~2bieg)@x*Qh{L(g>v^qW2zMkZYaoa`8-c6o$tlHb{(JgC3Ev|py>rjDCsn$Vb&u^m6s?7j~&49xBxE2_r*8)hteSTkAt~IKIvJ-$=T&2OtKyv^LnHnXNQ-;8B;Y=>jQbU>Q zL98KD7U8xZemp(!cUQ+wH%{zSuASU1tzMS8?he_|dCx|Fqt|`z?^w3ID90@5S<;v!JhSv4 z1?{_J4)5Jq{o=ARZBE*pP{xjsiYBgEmxRPOSFfQy~a_;>^Yl%Ky|zd zXo`-Tqf`~EfB^}=6>>XObVoL$;6?*v+Q7ib?a9jMl-MfPkvt=$Qt`GahmDaA)*Q+h zN-9I$de@_zp>H}8S$O$|=j_N_D7Z4$FF~A!r%ter%P#D{>E!=&&F;w`&eSNn^H1-` z15;&#rK@HAWtM9&OU6f9>ha~#>6fi{GrKX;c)AC*8+Ntk>yryj7qHm1E6R!n%%F_c zezU)&UZx$BXzVj-bie;`zB8KgR!!@IeO}t|XKR4tUEhHZ6UHWmt)%FYAH?`#zl%1^ zt@^HI^|F{uwCJ^3nu$CH!85~M#vyyG+rWX|fG@!X5RLZ!T!JprynLkJ0KGw2J%k>u_ORpEZp>8lj$7j+#JtTX+R#p#%)I{g zD=Vs|5!pJzXi>A<(*nxUz-kqDqCLt0Q1uv;+_!o-wQDTt3kU%(I%f4JH-B3F)M+w9Z-+JROuVXdE!Nhj(8@;hWyUf! z!3_uJeJ4uiKGEVtp@bz3xFngzFjU$_&YU=$r>AW|<7Wol1JO!Z| z5J(5#=<*>qav_Osd-QNn=hvVFjdNI0+qR0VoY4{@bT%X47fE_Lh|0LRtfJ}Pbg8qn zV2xDA-L~mhS<5+hpSgB%fD52v6l4^&hesZ@tqII)PkC+-MLT-UP@g#J5b-1wDJ%2s zKW*kn@7p;-G!m$N-PrfyCMVz-4C>xd$A7(Uc8 z(EABhXlKRGVKmn22N>*{B(|%Tltu!tm3$Ugpje(aFywv+#5G1F9yTe0x9C%g6wiREvocm_As$g2A#QyYRK~7{pogN2Z3qEtjyk19w1T5;x^=~?3;WsAh@t73@jRus zhNMrN6h4J~Rw+CL;5u95)47(bA)>8WZu-!a+KEu|_JuPF;qVVhWa1Hwyy*`h@=qTN zl5#sX$ES-)i@)mn!J&_die=S?t2Q|Dt4gAEX>Bl7Jyh$R{OxB62xJ8oIP48aJCUaU z4l6GQy3!i&A2&kk-Y^JTQ{XBl#}DS;CXm@qRQ+hOo8JhY!OSA) zpqmo~#j|+zge%xNUKp)v4WOpV~;agJRkt*wo881qn0;sp2gF=&caLNR=Tmo8)nI3i#E^ z`n|GIw6LPLLY}wo-aec)n9l`b7Q`8Go)I2Y16H?qETi>Mn%9un$qI=1BGG^FPGRl! zvD7y-e9oreuD8t&I|RgGquYk>ESh#8j1;c^m=a(+`_oetFZ_J$zpV<}rpgXa(a;v% z>8?NK&j|!EXPUXshrarhd7jozi=wA&iTy=^v88Lrwlk?yy@Ch>DKM z7S#7qbN(#J=gQ$1C8ef|Q03PSwI%;k`6#}FfN%-`eMQ-Yyw4C@%5*~3e7AGO%RXNq zDzY(CJA58Pj7VT$*KE*^kMe7Xw>%g{L;DPkBsa*G8&RWd15) znvYZz%{D2lA1qHEZ5(M1nT^#c0aRVqO-dkl#@xQ4x)LIw*Z?d z-O7sM+GzH{w)%ycu_yOl*i)@s!nJg^ZnoeGXXM8^BG>6{n*E(2{R&m#s`9dPLkUH* zTVI4;(l}?nQbM)lx0E=eL?%coI~#DX4w916zeQ+BA*%x+M^oR)^g6IuX!FBhB5VS{ zh}}xh#wEzhsI#1`KqqA8=S zS@C6fBAhps8ESD4HN5|noSdxc2c{CbtE06+QIj5m9-+({Ok&P?qOlf2biabt%>7f{ z54B&V1{Ctsd4TDSt|#!;iIA1G*GhNb@~FHlaK-Qbz>pz<=vQ~s+z|NV_pIoTmZTpr z>AUGF3Yca}q|PwCKK7GBUk1Kol#NeCM$a+Pn$@f2kOP-201j$_0ZzN_l^I7F>b8|}Dh;$zR%gL1JPnG%|(JQ-C z7A(XWl zk4)l((dnDXyaXj*;)s>oUP!0Q_;GirW|*tEB^s4CKr!j(^mac4f8X<^QjJ+pX?NP@ zr=2#IvEJD{SSu=5j50kfHZ;9j-4RsuT<4~Z+2J?7jyG8H!7*u4i(jmuw$tenNVhG} zecwaJm71?p|3V(hNbT&Pgx@J|lBLu2ZMb7pON(~!Q>t9-xJaEzc_1oSqocYgU7Y=- z3l#)=bwj4KC~?lk$@UCMI8_X+#FpGyG>ah@?tl37>7CL$uT8e%Roxsd=bAm#F$;ve z95iC6quS8hhW61~RzJAuaeeBG1F1bmO05BQOn3!&jwzeaRkHh@R<|Oh-KGpNJ{~Xf83o+m2?U2NGkyKVQrG^%{KnH zqpnJstTbK9JUBVY#@|BU^CACD6$SW#nZ*Pw?-W0aF*1pZi!V+{0+60d>I)q$fjxR5 zBRY9S+b3+MtM?kXlKtLSfAZ}Y-2gvvmvH8hei2*|2KTqRQi~h!9|Hzt$K(0x2a()8 zu(Tr@hJJpJ-Kaoh#yqHQwdxwz3tH&Yun(+`I%jEv^2Bcir zJhiyd*yLyqJ3~dk_W1LL^0)q0@ffs)bQE3rp^hf8-^i$nkPr#r(F$tubNdYF9}){9 zPkaw-XDp*v{Sp#w&+Ci&7{;KSYMNB$6>~La^T7+`wuhE0R*u z(~`*|!FM9>2xJ%BZ?yw%3GC_B(oWN$+oKn`13K%|)vvhZ`}zbv&My)sdaT}WleU`^D#SeSk{x;)i)A*zL}MQ zb*-7bk4MEo;z0cJ*E;A@jYBXTX@rbKc>q;k9Q`Gi9t{BG7JWGL?9qFO;?8}tuz$6L z-F9^sM+*G?oW}f;%j}W7P95IibFAq)Rp{V%ESN7G|F1JnfmgvZBp`$dm!odMS1jx6 zdz3TVe8;)`DQ-Ni0HswRxEQPb>O&WsBAZ&82947~r~BeW8l=r&?&xuc>eS@qN>?PZ zX(#A9@VhVqCN+ats&Lj*91=x>=YU(irZh+Xez}l^1iupwDf_kWc&-k=dkEH`VzRL6 zIHTm7@N=~2Kz$^?#iLGV+==jfuL2e1x9Ru4(%Yr1IoG+(jo4ugE%zF>kL&62AQvlR`5@+%I$Ebhv37sanA9(gjv!JM{Kk@z!PV z>`a093lcoWm^keext$IPG9w)vB!v~x+=7RcT$Sx z*t+SzE?*!canYqt69qlGocq6ntVGL7c_Xpf#mH%%;!}fq2i)0z*U=G>8IxKp@xHhM zbr)m#Jie9&1at_?-Rv;2zZajsk1mpteE9h6^kFeux(lVX!Pagujge}3p7!G}s;xE} zmX_N@gAThp=pAR4YkFKLVv4TGH;udj-aaj_hcb**TQiJ4R=PQl`i(aVeuk)aJg5m6 z=TihtzWDh%^Vt@h13tU8^VIl%CpP=j{%CN7%?;wl7qTJ_jzbCB{fW>Uv@O{juS3Qgomz zhD2FzyRe8w_5xcb-Dqz`Tq63f_T7qhr+B>F+F05j=9yz)BojHqs)*qbKbMbZ_vI1GPJz43n$Q%QABWQeSLa9Kl#F@!tM3!9^V1N zv&D1_d-rMS4_R6f&(;bSksH&C(=bB)#_GySmXLdSuy4^!nIjuyEyq6!f3{G^#sK}D znY;C97MsScanFnHOMTH)i{4b|=8Vi<(=BrFs-KsZ)3~|*bzwNdzvA;rgPZ56B=LQI zJQ9O?tmoo3#_e$tvBvtM4p-`6_b-Y{vHDjx?k&P0wD;E|uEMfA;~cu?5nfZh z0^xY!c37KOyCa(`AB(^~V~5VKma>bQoQCX_^6I&CgqH5uV9}s~<0y0B*IX;-ON$+M zqvHW0R#O!;ErW4i-7{*-qhN*X{AZ9o&%rs5c#M)dE|D(Ykb5PIZ*kl=R`}|4bO(zo z#ijlt%}vdM_qTsE#(q%mIzIePRa@k4vhe5GOir=k@_&zH0(N|6-woRHGd@N96*zFl+IH?AJV*?D9U#i{MUMNf>gOt z=gjX2QhwhGd3mXm5O9e0ma`wEfTc4)TICQmV5gD~h`od+B)n&aT;*xa-;=ECJU&^Oemx^bKoozofo z!(!|2woC7Tt(KciC*j`)59cF`vF}SQCo9Ty(J&RE`*V%LqiavQ%=H9W37&^Erb@{= z&%b^-V7TR*dtBwVXR-A{hvHWB1nbSjZF~4)N7U~*j|F}TOqBa?W@Lg8tM5W>Z*tfi zSAZwC4%BdReZGkRJP`3|=m>(W%1%n~-xsZRIO&EvwWlXu&4iVQm{iQ5fP)jhWJJek9C?sjbNcAtY?yV`B9g;NY$=ycfw`%b2h z%hO|S>EF3QEX+5G-2`>-2t4oaub3RJ`oHm9z6S>p6IL^>ILl+G)iWwg z)`Uzd8~ggzOE3!(b03I?>*M}pgz8?8 zcng1d20pH$)*vvo-$WoUcqy)4ZAiP*8(6p|Ay$u;f5nAq6*1)VGt`Hz-u z@6|X!%ZA4V@>xkL4BC0q4GEx@_*T*j|&5ylBH@M)GI1FZKqA_LpD-lPb5^u*xTSi0t4bm;-_pT-;j^-QdkkX3t7t;4aFyHbKRy5e From d096d5eb3ce6a4a459c6f7f8f836b745ab89a930 Mon Sep 17 00:00:00 2001 From: tildearrow Date: Fri, 14 Jul 2023 19:24:57 -0500 Subject: [PATCH 069/163] GUI: fix scrolling when stepping rows --- src/engine/engine.cpp | 2 ++ src/engine/playback.cpp | 12 +++++++++--- src/gui/doAction.cpp | 1 + src/gui/editControls.cpp | 10 +++++----- src/gui/gui.cpp | 2 +- src/gui/gui.h | 3 ++- src/gui/pattern.cpp | 2 +- 7 files changed, 21 insertions(+), 11 deletions(-) diff --git a/src/engine/engine.cpp b/src/engine/engine.cpp index c7b5ac9e..b7ee8ec0 100644 --- a/src/engine/engine.cpp +++ b/src/engine/engine.cpp @@ -2598,6 +2598,8 @@ void DivEngine::stepOne(int row) { } stepPlay=2; ticks=1; + prevOrder=curOrder; + prevRow=curRow; BUSY_END; } diff --git a/src/engine/playback.cpp b/src/engine/playback.cpp index 9f8a2f10..aabce5c6 100644 --- a/src/engine/playback.cpp +++ b/src/engine/playback.cpp @@ -1130,8 +1130,10 @@ void DivEngine::nextRow() { } } - prevOrder=curOrder; - prevRow=curRow; + if (!stepPlay) { + prevOrder=curOrder; + prevRow=curRow; + } for (int i=0; istepOne(cursor.y); + pendingStepUpdate=1; break; case GUI_ACTION_OCTAVE_UP: if (++curOctave>7) { diff --git a/src/gui/editControls.cpp b/src/gui/editControls.cpp index e3e84348..099ff7e2 100644 --- a/src/gui/editControls.cpp +++ b/src/gui/editControls.cpp @@ -374,7 +374,7 @@ void FurnaceGUI::drawMobileControls() { if (portrait) ImGui::SameLine(); if (ImGui::Button(ICON_FA_ARROW_DOWN "##StepOne",buttonSize)) { e->stepOne(cursor.y); - pendingStepUpdate=true; + pendingStepUpdate=1; } bool repeatPattern=e->getRepeatPattern(); @@ -730,7 +730,7 @@ void FurnaceGUI::drawEditControls() { ImGui::SameLine(); if (ImGui::Button(ICON_FA_ARROW_DOWN "##StepOne")) { e->stepOne(cursor.y); - pendingStepUpdate=true; + pendingStepUpdate=1; } if (ImGui::IsItemHovered()) { ImGui::SetTooltip("Step one row"); @@ -770,7 +770,7 @@ void FurnaceGUI::drawEditControls() { ImGui::SameLine(); if (ImGui::Button(ICON_FA_ARROW_DOWN "##StepOne")) { e->stepOne(cursor.y); - pendingStepUpdate=true; + pendingStepUpdate=1; } if (ImGui::IsItemHovered()) { ImGui::SetTooltip("Step one row"); @@ -875,7 +875,7 @@ void FurnaceGUI::drawEditControls() { } if (ImGui::Button(ICON_FA_ARROW_DOWN "##StepOne",buttonSize)) { e->stepOne(cursor.y); - pendingStepUpdate=true; + pendingStepUpdate=1; } if (ImGui::IsItemHovered()) { ImGui::SetTooltip("Step one row"); @@ -1009,7 +1009,7 @@ void FurnaceGUI::drawEditControls() { ImGui::SameLine(); if (ImGui::Button(ICON_FA_ARROW_DOWN "##StepOne")) { e->stepOne(cursor.y); - pendingStepUpdate=true; + pendingStepUpdate=1; } if (ImGui::IsItemHovered()) { ImGui::SetTooltip("Step one row"); diff --git a/src/gui/gui.cpp b/src/gui/gui.cpp index 6437ecab..71f4fecd 100644 --- a/src/gui/gui.cpp +++ b/src/gui/gui.cpp @@ -7015,7 +7015,7 @@ FurnaceGUI::FurnaceGUI(): fadeMode(false), randomMode(false), haveHitBounds(false), - pendingStepUpdate(false), + pendingStepUpdate(0), oldOrdersLen(0), sampleZoom(1.0), prevSampleZoom(1.0), diff --git a/src/gui/gui.h b/src/gui/gui.h index 83c5a380..4e564751 100644 --- a/src/gui/gui.h +++ b/src/gui/gui.h @@ -1913,7 +1913,8 @@ class FurnaceGUI { int dummyRows, demandX; int transposeAmount, randomizeMin, randomizeMax, fadeMin, fadeMax, collapseAmount; float scaleMax; - bool fadeMode, randomMode, haveHitBounds, pendingStepUpdate; + bool fadeMode, randomMode, haveHitBounds; + signed char pendingStepUpdate; int oldOrdersLen; DivOrders oldOrders; diff --git a/src/gui/pattern.cpp b/src/gui/pattern.cpp index 72d953b7..1deec9dc 100644 --- a/src/gui/pattern.cpp +++ b/src/gui/pattern.cpp @@ -446,7 +446,7 @@ void FurnaceGUI::drawPattern() { float lineHeight=(ImGui::GetTextLineHeight()+2*dpiScale); int curRow=e->getRow(); if (e->isPlaying() && followPattern && (!e->isStepping() || pendingStepUpdate)) updateScroll(curRow); - pendingStepUpdate=false; + if (--pendingStepUpdate<0) pendingStepUpdate=0; if (nextScroll>-0.5f) { ImGui::SetScrollY(nextScroll); nextScroll=-1.0f; From 99e55136f36dfa7f3336ef5dadf6da8d155609fa Mon Sep 17 00:00:00 2001 From: tildearrow Date: Sat, 15 Jul 2023 02:50:49 -0500 Subject: [PATCH 070/163] GUI: prevent lag when drawing on wave editor --- src/gui/gui.cpp | 8 +++++++- src/gui/gui.h | 2 +- 2 files changed, 8 insertions(+), 2 deletions(-) diff --git a/src/gui/gui.cpp b/src/gui/gui.cpp index 71f4fecd..d6e68911 100644 --- a/src/gui/gui.cpp +++ b/src/gui/gui.cpp @@ -2419,7 +2419,7 @@ void FurnaceGUI::processDrags(int dragX, int dragY) { if (y>waveDragMax) y=waveDragMax; if (ynotifyWaveChange(curWave); + notifyWaveChange=true; MARK_MODIFIED; } } @@ -3746,6 +3746,11 @@ bool FurnaceGUI::loop() { midiLock.unlock(); } + if (notifyWaveChange) { + notifyWaveChange=false; + e->notifyWaveChange(curWave); + } + eventTimeEnd=SDL_GetPerformanceCounter(); if (SDL_GetWindowFlags(sdlWin)&SDL_WINDOW_MINIMIZED) { @@ -6718,6 +6723,7 @@ FurnaceGUI::FurnaceGUI(): preserveChanPos(false), wantScrollList(false), noteInputPoly(true), + notifyWaveChange(false), displayPendingIns(false), pendingInsSingle(false), displayPendingRawSample(false), diff --git a/src/gui/gui.h b/src/gui/gui.h index 4e564751..c87b60a1 100644 --- a/src/gui/gui.h +++ b/src/gui/gui.h @@ -1310,7 +1310,7 @@ class FurnaceGUI { bool vgmExportDirectStream, displayInsTypeList; bool portrait, injectBackUp, mobileMenuOpen, warnColorPushed; bool wantCaptureKeyboard, oldWantCaptureKeyboard, displayMacroMenu; - bool displayNew, fullScreen, preserveChanPos, wantScrollList, noteInputPoly; + bool displayNew, fullScreen, preserveChanPos, wantScrollList, noteInputPoly, notifyWaveChange; bool displayPendingIns, pendingInsSingle, displayPendingRawSample, snesFilterHex, modTableHex, displayEditString; bool mobileEdit; bool killGraphics; From c3d6d9c440d1e799a47530b5faf792c7680baf20 Mon Sep 17 00:00:00 2001 From: tildearrow Date: Sat, 15 Jul 2023 02:59:06 -0500 Subject: [PATCH 071/163] don't start engine on autoNoteOff it's unnecessary. issue #1187 --- src/engine/engine.cpp | 8 ++------ 1 file changed, 2 insertions(+), 6 deletions(-) diff --git a/src/engine/engine.cpp b/src/engine/engine.cpp index b7ee8ec0..c32b5311 100644 --- a/src/engine/engine.cpp +++ b/src/engine/engine.cpp @@ -4322,9 +4322,7 @@ void DivEngine::autoNoteOn(int ch, int ins, int note, int vol) { void DivEngine::autoNoteOff(int ch, int note, int vol) { if (!playing) { - reset(); - freelance=true; - playing=true; + return; } //if (ch<0 || ch>=chans) return; for (int i=0; i Date: Sat, 15 Jul 2023 21:48:11 +0200 Subject: [PATCH 072/163] Update msm6258.md --- doc/7-systems/msm6258.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/doc/7-systems/msm6258.md b/doc/7-systems/msm6258.md index b70f7239..a7b8a21f 100644 --- a/doc/7-systems/msm6258.md +++ b/doc/7-systems/msm6258.md @@ -12,7 +12,7 @@ Furnace's implementation is MSM6258V, a CPU driven variant that is unlimited by MSM6258 is an extremely basic ADPCM sound codec. it has no variable frequency rate; it depends on clock rate of a chip itself. Furnace supports following rates: -| Clock rate | Sampling rate | +| clock rate | sampling rate | |--------------------|---------------| | 4 MHz | 7812 Hz | | 4.096 MHz | 8000 Hz | From 22ceb9329701a33700ead5f4068d9217d7dfae5f Mon Sep 17 00:00:00 2001 From: freq-mod <32672779+freq-mod@users.noreply.github.com> Date: Sat, 15 Jul 2023 21:48:33 +0200 Subject: [PATCH 073/163] Update msm6295.md --- doc/7-systems/msm6295.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/doc/7-systems/msm6295.md b/doc/7-systems/msm6295.md index a3787d14..c130854e 100644 --- a/doc/7-systems/msm6295.md +++ b/doc/7-systems/msm6295.md @@ -10,7 +10,7 @@ an upgrade from 6258 - it provides 4 ADPCM channels, at max 32 KHz (still no var ## chip clock rates like MSM6258, MSM295 is an extremely basic ADPCM sound codec. it has no variable frequency rate, it depends on clock rate of a chip itself. Furnace supports following rates: -| Clock rate | Sampling rate | +| clock rate | sampling rate | |--------------------|---------------| | 1 MHz | 7576 Hz | | 1.02 MHz | 7727 Hz | From 1c567224bfdd192ddb28115a5c6a98d2d1560fc9 Mon Sep 17 00:00:00 2001 From: tildearrow Date: Sat, 15 Jul 2023 17:43:14 -0500 Subject: [PATCH 074/163] update contributing guidelines --- CONTRIBUTING.md | 4 ++++ 1 file changed, 4 insertions(+) diff --git a/CONTRIBUTING.md b/CONTRIBUTING.md index a3973f40..f8a9d74c 100644 --- a/CONTRIBUTING.md +++ b/CONTRIBUTING.md @@ -81,6 +81,10 @@ additional guidelines: - on a switch block, **always** put `default` last and not in any other position. - I have fear of some C/C++ compilers ignoring the rest of cases upon hitting default. +## Do NOT Force-Push after submitting Pull Request + +if you do so, your pull request will be closed. + ## Demo Songs just put your demo song in `demos/`! be noted there are some guidelines: From d6a24cd32a523eed6f69ff1b0a534fc6fffe43bb Mon Sep 17 00:00:00 2001 From: June Date: Sat, 15 Jul 2023 16:23:52 -0700 Subject: [PATCH 075/163] add chip description tooltip for systems in new song dialog (#1242) * add chip description tooltip for systems in new song dialog * give BeginTooltip() for chip descriptions its own line in code --- src/gui/newSong.cpp | 28 ++++++++++++++++++++++++++++ 1 file changed, 28 insertions(+) diff --git a/src/gui/newSong.cpp b/src/gui/newSong.cpp index fd7eace7..1b8e57de 100644 --- a/src/gui/newSong.cpp +++ b/src/gui/newSong.cpp @@ -113,7 +113,35 @@ void FurnaceGUI::drawNewSong() { nextDescName=i.name; accepted=true; } + if (ImGui::IsItemHovered()) { + if (ImGui::BeginTooltip()) { + std::map chipCounts; + std::vector chips; + for (FurnaceGUISysDefChip chip: i.orig) { + if (chipCounts.find(chip.sys) == chipCounts.end()) { + chipCounts[chip.sys] = 1; + chips.push_back(chip.sys); + } + else { + chipCounts[chip.sys] += 1; + } + } + int num_chips = chips.size(); + for (int chipIndex = 0; chipIndex < num_chips; chipIndex++) { + DivSystem chip = chips[chipIndex]; + const DivSysDef* sysDef = e->getSystemDef(chip); + ImGui::Text("%s (x%d): ", sysDef->name, chipCounts[chip]); + ImGui::TextWrapped("%s", sysDef->description); + if (chipIndex + 1 < num_chips) { + ImGui::Separator(); + } + } + + ImGui::EndTooltip(); + } + } } + ImGui::EndTable(); } From 7cb1504215a366262f6a9b680066171495c6f39d Mon Sep 17 00:00:00 2001 From: tildearrow Date: Sat, 15 Jul 2023 18:49:28 -0500 Subject: [PATCH 076/163] GUI: update newSong.cpp --- src/gui/newSong.cpp | 24 +++++++++++------------- 1 file changed, 11 insertions(+), 13 deletions(-) diff --git a/src/gui/newSong.cpp b/src/gui/newSong.cpp index 1b8e57de..170abfa3 100644 --- a/src/gui/newSong.cpp +++ b/src/gui/newSong.cpp @@ -115,24 +115,22 @@ void FurnaceGUI::drawNewSong() { } if (ImGui::IsItemHovered()) { if (ImGui::BeginTooltip()) { - std::map chipCounts; + std::map chipCounts; std::vector chips; for (FurnaceGUISysDefChip chip: i.orig) { - if (chipCounts.find(chip.sys) == chipCounts.end()) { - chipCounts[chip.sys] = 1; + if (chipCounts.find(chip.sys)==chipCounts.end()) { + chipCounts[chip.sys]=1; chips.push_back(chip.sys); - } - else { - chipCounts[chip.sys] += 1; + } else { + chipCounts[chip.sys]+=1; } } - int num_chips = chips.size(); - for (int chipIndex = 0; chipIndex < num_chips; chipIndex++) { - DivSystem chip = chips[chipIndex]; - const DivSysDef* sysDef = e->getSystemDef(chip); - ImGui::Text("%s (x%d): ", sysDef->name, chipCounts[chip]); - ImGui::TextWrapped("%s", sysDef->description); - if (chipIndex + 1 < num_chips) { + for (size_t chipIndex=0; chipIndexgetSystemDef(chip); + ImGui::Text("%s (x%d): ",sysDef->name,chipCounts[chip]); + ImGui::TextWrapped("%s",sysDef->description); + if (chipIndex+1 Date: Sat, 15 Jul 2023 20:29:49 -0500 Subject: [PATCH 077/163] does not work --- src/engine/platform/genesisext.cpp | 25 +++++++++++++++++++++++++ 1 file changed, 25 insertions(+) diff --git a/src/engine/platform/genesisext.cpp b/src/engine/platform/genesisext.cpp index e0a3e4a6..d4ac2760 100644 --- a/src/engine/platform/genesisext.cpp +++ b/src/engine/platform/genesisext.cpp @@ -452,6 +452,9 @@ static int opChanOffsH[4]={ }; void DivPlatformGenesisExt::tick(bool sysTick) { + int hardResetElapsed=0; + bool mustHardReset=false; + if (extMode) { bool writeSomething=false; unsigned char writeMask=2; @@ -462,6 +465,12 @@ void DivPlatformGenesisExt::tick(bool sysTick) { writeMask&=~(1<<(4+i)); opChan[i].keyOff=false; } + if (opChan[i].hardReset && opChan[i].keyOn) { + mustHardReset=true; + unsigned short baseAddr=chanOffs[extChanOffs]|opOffs[i]; + immWrite(baseAddr+ADDR_SL_RR,0x0f); + hardResetElapsed++; + } } if (writeSomething) { if (chan[csmChan].active) { // CSM @@ -630,6 +639,22 @@ void DivPlatformGenesisExt::tick(bool sysTick) { (writeMask&0x80)?'4':'-' );*/ immWrite(0x28,writeMask); + + // hard reset handling + if (mustHardReset) { + for (unsigned int i=hardResetElapsed; i Date: Sat, 15 Jul 2023 21:46:16 -0700 Subject: [PATCH 078/163] Documenting find/replace. I might revisit all of this later to try and improve clarity. Suggestions welcome! --- doc/8-advanced/README.md | 10 ++++-- doc/8-advanced/find-find.png | Bin 0 -> 75137 bytes doc/8-advanced/find-replace.md | 60 ++++++++++++++++++++++++++++++++ doc/8-advanced/find-replace.png | Bin 0 -> 70985 bytes 4 files changed, 67 insertions(+), 3 deletions(-) create mode 100644 doc/8-advanced/find-find.png create mode 100644 doc/8-advanced/find-replace.md create mode 100644 doc/8-advanced/find-replace.png diff --git a/doc/8-advanced/README.md b/doc/8-advanced/README.md index b1772007..16d83afa 100644 --- a/doc/8-advanced/README.md +++ b/doc/8-advanced/README.md @@ -1,6 +1,12 @@ # advanced -advanced Furnace features, as listed in the `Window` menu. +advanced Furnace features. + +as listed in the "Edit" menu: + +- [find/replace](find-replace.md) + +as listed in the "Window" menu: - [mixer](mixer.md) - [grooves](grooves.md) @@ -10,8 +16,6 @@ advanced Furnace features, as listed in the `Window` menu. - [compatibility flags](compat-flags.md) - [song comments](comments.md) -
- - [piano](piano.md) - [oscilloscope](osc.md) - [oscilloscopes (per-channel)](chanosc.md) diff --git a/doc/8-advanced/find-find.png b/doc/8-advanced/find-find.png new file mode 100644 index 0000000000000000000000000000000000000000..64f5c0ea3c063dff88cd757ebd6a288d8892ca43 GIT binary patch literal 75137 zcmagF1#lcq&^9Jn7Be%GEoNqx#TK*0%*@Qp%*;A5Gs|LTW@eUhe!u^(B$cGHwO3m= zH?uw6JNa+{Pk(xs>q=yXO7QpHKKX(qpmi_Y58 zErFs1kiPSFuiYVjT=u4HAihnwoQgm{;A+hN<+ga4t+QIOZYD&??<9ipq{nicHv|KY zxEIliv1p3}oLk=X8P#|+KHSJu+}LBinrGgu?RvJJRx0(G9=mrsH`jcc{1Y(5badLkR6r{?U~!6MOwsJL zbJ9@xbVEpM*pAmeAQXiZ>}uUV(iXao2bo*3vj?z5Hc@vU-AGSV?Z)XR#N!-GI)T* z#J_d{U}rTZHT`D~jH9eZ2As*&D12aZOHTq^GS+9@U{T%K!+hkwDU$`<6&Ya9u#20j+#F@NNJJY&q{*ZgKL`{s>xad|14Lcj7|nj~{@Z`xR2s z-q7w<@BX!k|3J^<`usdP*Y*ky9B`e~c^4UedY*qRm10aEnw$HLO1~FoF|_jurS}hf zuRp|mXg(Am03Au>++U&BA0C|*<2&rcH;h_eaOxit3TZYW?Tgxb_n;&H${@3y67r43MK`7VeAJa=a?Ua`ziw?1>Tlf|55{M^Z{vhv?~ zim@!32x!`Ah6EEA1}Gj*)zniN(lWd7bk**$VY%0md&iA2VZ-0#9wnqDO%7xn?jY`p zqopOsmz{oS5FN%)o2%AYZLrXH6HyQc z(Wi3MJuQQ7q@rE&k2&hL-_1U(MTQVT`2){H0FyP$Cbyry5m+Q~*n-r|8U9MMLzH<^ z+HJ@9XJ}0Q?C<-RyQGYtzrQrp252XT$scld<8;*=>3I{BzaJJqOk>IZl9_V7(J0)u zdJNg0AC`tv+~Yb9%E-XE(EJ)6c(ItdJB)z-CyPh|Tlny{7>c|Db*!L)<})?rs-0Fp zt)c)%5oasb)-y9H`H9nQ@31n_g6#(#2HCod0Xn4nnz?zoi2=$WJ?rPAutzhiG!!~| z5(WlE7p!+H(RLcL?`+A{7r=JzQJmtF$>+08mB=%M|KZ_Ci~{@_*1(= zdB)(kysBb-lO>OpWj8tV@YB^M0;Jw;sb;%-{m?^>NoVKV^-o2tKx134`=KGHG%V$N zr#2T;MvK1KBD%+dS0}Ue9;D`;f#ca~&P#yU!kF4prHY$`9PYdv&I&F7tHrDsj)U(T z`yZ0wFN~gxH4XLzZOfTy)4#se+4Y~C_yfE?Zo9cCQ|se{pv?g_V7eHJSpV5)L)1UE-5~0)~L&J3>wv_Ud@@Pl)h>8j89re}#?ocF*-&*l?~GJJCKc)#=JOMuL^M zOszG>YEY??r{m@L8lTV84e1)+arVnv8^5~yr%VLiF;xsSjNZ?2!`arTqUff@gL)T* zzi7p!$9F05_okqqUa(}JucF z*%28ZCi0h*L3lJ!xawzoNeN3ls@9t?UT)WWChEKKUI*)={q8FQFn1qUB~!W{)~gP? zeNJ&%p%sTR{)|~Q-=9CWI|i=I^{Esg{+GfEI-Af03rzc!LDafhH-GK$G@4bMa;kQu z*v?zs-auJ0^)5~YH|vS~(~uS_P6-jTzR5&-d56dj3k0~o1OeBIPP=V-nj|kpRyKL( z$1&DH7j8X7aMFVlk%IwB7jh`7`28wisLHsJYP!)O8GJ$w2Pq>p+?hSSPVel{!pvME zT-th{*Q0Ny9uUEP{yE1FXP`(_0QqoS>$CT*T8HhpZqMxa%)CE+58$2Beuc1aK(yDU zh&#T0K*!-fq8JW$i?_MPc4R=1`1-!E_WmS7(uSbmc!tTPo|bW)l=R>zYxy8l!F^`+ zSWXK`@%I6G*#oZoH{97g$96MRmTNJ?e{dI@@s0VKLx$!&t2EV`(e-)%B^W( zEf;R^oFC*JrHw1;9Pe{`$n$$JzRlb^&AJUS%S{8|0|;Bgs$6gN8=GKa0XDx)oanRMe+S*R5 zeNk90iX&$MC3JU#b3^y_rlyh02Ir*v2J9Ul{VEd~@8b;Ix=&`p znLIO1;s-YTh^Dh6SlziawhilW;Jy>ij-{zAnd|JyYFSlKdN zR$6qW$#x9G^(i)~(%W?pe#osn0ya$MZ*_Cr$r0VF&9#4E@9_gyvmoVK zS{+?mI@&&rTvI>7f;BlUwlZpA{?FWq1qShdL{mBSYO`g@A8GyahJ#oceRua0 zJL^A);Ndx&uDWto57eZ;I?Rn${Ltdd zq!9BUC=jlNN4_wWMRo8Tqla5{`38X^GQR^|8y@f<-U($TftqsUSRtRSmyE_w67O73 z)ZGdQYs2p*N#@sOvnl#!BD$?`wvvD!?ZvNYq%Bn_1~4A$gN~izE(Ra=lk7@I`i9x_ z^C|`hd^2w(OOKK)RIV3mCuhwd=E8ivL~GJ5a9eM!BFBgw+!eGDpB#$Bo(5lngB|33Kjs*R8Ut%bCk<}#z2P#np`fPyn0a!KEIMX%G{?xx9- z{GGzMdn3-1e0A-$jSJ~)SKRydT={*2#j#+G#irvzAkla9f1-f@ldJ%t9V|;EwGKwW zbit7x)}TB)1$3mFFeo+UAJMGyF4_FueSN}T;`XPcG!4{dH{c8%<>lqZouggZjxk}x zJ^Yfn$ZL&NZBT_4yqITTx+>cy6&LVTNcCUshKU{aA@-MLKBg^~Ini3z^v z6&3mI!(ch*ZF8#A;6hoeq1nci9vK0&wi(1cGAtO*%q{W+IR4}dcCM;zo4U2wrzILH zGHBVpC1XfO-bbdy4n{?u*?0f?FEA7BPXhJ|88F`AI$)9qJ#Sa@KoHG*^ba80CK+#j z`rk&oq4MK7M+)Y9QT_O#R6MoOQ`^)k**To1&J;N>`SWDiYN*@KZaOrQft`_stupDB zL6~eOPXpcSKHCdZ+Gv)Mt#l9#gjDDc{!YWWj9b@{PF59V%=uhb5oaB#;TAmx)bx+! zr>ImMT-zqgcNY@g<>;$L)c@RNB*%b~53FX_$WHowqt*a(2p;Vrcvt22K&8Jo?T4b0jhA{NUmO6rPChtcOIzPg&5aYz zcuhTKEGe2H(_EG%d)~N?SAW34Tu!oQOX3sqe|9|`b7D_rwtM~0vt4mGH!N&YE~GiU zTZ#?O&6^~Q5}bbt6saXd4WwcK6}F|%YzZGGT_#SKA@T*N{fs+prnD~znd>3AK#l<2 z8Nu}Y`*HvH0lCZxOlP#^ynDvPy!tiLaZWQ+QY0ZYw+`iSg9gWPKhBy6^@Vywh)E)H zc!u+^q=~qa8tjc;e-M~-pfR}q;k)EQ(>A}5`Y(ZVqjZ&_sg4}KES5Jq0KNU;DNG{r z4W5aZ&PTd73T}>dd%dyVYH(nBaaLcy2Xej5j1qwa{5 zUbA`7Bci9LKR`xo6|Y53D?>5ldwF3Ct;(E*IYpbnakS&1H8KP*kPNCVQL zZelrz#Qb9C~xM+kjZP4vF{#gvU8u!)!EW$4YxhMw>BQtkS; zwCf=o(-1|GahIp|R4xUCPpHza(x+6h!7IM%Pt^a3(cHv75CjxnO0_f)Ba|2#?>$5^ zBp|;V)qDd3u^$6C0Zl2)I)3hOQ!m(ZdowZ9ba0GkO7_n$D82Yk8(>aLG_hD9 zZF7R@fa{2pTv?7YVM^7%X01vf9S59qaltk2Wi*yq7j&4`$I6h39}oYL)vK@w%UE_sZd^vu2yLo^ArRAXfneaol_oPZG8 z@_3||A1d8Xpu?EL;_ryDx{_UFEk9*u{Lgc9`54)UWy`Q#pZ@?YAGnttA=3v7`xxMG zyE}1RI&Le~{>8?|$V9YmgRFBS{{E+8x%RGBf{`oBl(_##q(Iy3#kKGly%MRh%$gcI z`eK%Ys+HHxt5>(Rl>uUP#QH9FoxW_J!dC+EW+?S0vYqn=8#L_;|A!DG`{mG1l#i8~ zt?AwFz5ys5YH;pHL@&0fF*7lpPIuH``itUa4s;qw5aDJ+Z~xC} zi^Byl3&=I6f&GrDobLOxMT9|TwyI~^fVb!`ZFNC=UC6!2DNn?PtYa z6_@&w!I*_v^1JtAk)|+u>x+$+woa1!4X9cd|NUgH)r6#u({C}Bi9B6zdwL$dqfw!X z&Wg#{VSo|~+M-10cKnF8ofg>&gH>&jIoF#*xrDmxtEMOv8770cuJ`4XdFNd{=nhm)>+#4O4 z=RTS3okqXeQVo~QlJ7EJ4DS}f5LkB>PHQLm)1f4ig|$4uQy*{V$g3bG%tAtotow4l8hAXHZ69fE$ZWyKUL*f359a#Yan+Bmz?7^~uE5Qqn&f46H!f zL>b@XVeuFAE10;d~49+tT`cX?V7*zByOhh%}0e95^v=fE=YC<~J0K z8C0l6dA2W5q1HS*ZC>q*KyEWE^SZnZjkPhIt%#_(t}IN5lq}Mi#aO-DxDj1muOkV84hsat5Xi-h0I8MOK_FdH!AiIR4+0{YnFge>{&y=;1Sp6A1{jDh z4aEQZu>WU=XL=A2`Eb0kkH);Azw!oce0*!Vgb^gmYF_P+=4KBEzh5_3)nYnFesvzK z+8Wsw{>J5;cj0*S&)MR$siwE7uCese_2U0+#Lfo`WaR)(N-6h;27u_`?WPts8<{(HVjmyEjP>| z5kR3b1k_^FEXP%U=NBGPS>#;aCqQn!3)pVfp&$bMjldkO4Ec_*oQDI&O1PB`PxL#; z$nNX2w8)g-`0mNFU{ThF*kBC;NYC8vqyk~i24}?Dv=y3H2XH9ez+2eUjr@f>KcmkO zEXZ~;_x~96|FjNWN?mK&&f$I>%~=>*Di(f=G7*RJFPx_qz4B5>?i7550iWBYXJl!B|DZT+G88)^8*7zTfhY3v%qBZ=g(WW#!}5z znSS$#4;MmS2hlDl65uhUPqsl!yK&Ijb;JG1Lr|O~?`T7q z>1J1XpT4_Fv-_-c-%SK~L=K)JInZx}xlY-L?pThD`@v2PkrxK?d6BlN3+eBU-YtoW z`n?yEM{ua`GiPx>dT3fisQXyP)xaYI4+A?x%iUV^l>Mz-70(av!hLV#ngZ?*4$)bM zy83jE7K;Q8bno*S_p`2^_MMmm3t^zd0csbt$L*892N{ZriVu!Xr%E@maQ|+GYyim1 zRxxskfDyelAPXvFWSuYDwN~h|^^Po=o<=gEE$dsbqQHs!{);KEs7&E+Z-cf| z$frz%YjW)XGdW}n3JT8aHZ(#|rln|9b+qik?4=hM%On13r)GwGQAC@mnR$3R(;)0Z zjmpqq7t!QUFa*aN+%Ze3MTEIg1hK6YTVC)+krBS=-AJ-r$T=Cjz- z6-%K9wI}a8q1zO*=3<4vN%Zj=fsJO*x>6|cSQd#BU3`IiZ%c}nlD_0SyT2Q8Rj>uH zJ)Y@MP*DKRDi!(grM3}r3f&&LyvK?yFs;QU+z*G^3b+$X)yTSkINm!(%1L9t9et5O z_DI0s$ulS4uWMhVNaJWV#0HddIKJL)hrwoxiiC&nc&#o}Xc(`~`Ud!6f*ro4VqyPE z2l5z?IIL%%1xNAVMZq}RJnl5(0-*?mkaZ=6%uO(GO{j_WjicLjN9@`3>Z$#_-CllFdPHJFN2t zT-Os$@9eC!jb%wZRBwLYUkMZIE&GvymQk1M+k~KY8@g48v-1he{xuAT2Bvs=s+=$d zVsTu&=*%t}D*5A$&T~w|-MJL&$n4hJ_PBZ%e9nZ#Os(c$CtlPal{B|uIMlH9q6yv{ z9tXu+eGdl4H9F0E5{{O5R4v@e(hbyV0-rK^lxzGZa1Ks1u8+TjjscQVQn;ZAR zMMg$Wd%LwTY;s9|x0L5GFfj5bdg`g)y&3hNTd73we{Um#yKiv+a;6|BR~BK*Zc$yI zv2?xys(hTN_WEQcIoFY&RZyg$WI3C?J2Z73cI8{ zUa<%16X6V%s2yjQ^d&H$Z#bts=S_EV7|6qAJ{0Z(^A|s3@toUuvkMiIY@*Mpo$W7n z7$fFKXF5sims+Gevsf}O*X1dgL1OR3JI zlTzf8oZ_TzCpk>Ls^v$M@&J=pK0fpKjIZVLPjxOCFQ_Lc&3w?(S`?@D_~6Z%XEs!@vCWJxKEF+)dq%|5)m!nc z!T+tc6)ikFH|l68pexxBw7ffxF)V&FEfzC$P{r6ierRaDr%wqJvOz91y!Nf?YlaMrz*ax{D0LJ0{+?r zn{`3^zFqjAXGOMZXHg>|RvWJVSrHqeP)73FrV&5Hl*31I z7*YBhNj+V5>qfLqpb!>(OescBU!CRV?nVt;QLcq=X)6%dsL{Hcf7lCTxX?pVq%Z;l z`2?0}RhE&R)JK^HtR1Ne79$)!Jt=U)>F<&Ys?aa@$tlSV92z??gAKOR)Qo)Z1D5NEDNWeu@EuUnX8+3i6`c;qFUWw1Hv zm7lVr?L}pL$Xm3qVE#AG*_BP45WlUa9$}^(Q-iX&Af=qlOgwD-0AUq&YmyVt+OAskJ zid?gW$M5oBE`gO!C{kEj!FvcF0pr2(~T8rMy?lIUhD=k|Ep==mEc3K&jfNc9y( zZ?R9Xa7_(90sC0YDUd7`+JMk{?KQCl_H#Z8s>@BE$UIcl&(?PHanKhA)4|r z$~55(_uJ{qk%3f~8IOCs1rP74Q&lSkc5NC2kI?2{3)AzqwE)krKJ$0s#NH*JqnYpb zl0HBGN!9v%r(xpz$+;k*+aB)W7YQL^I*Muk@%WJy5@36MxuC-SLD9+`&Z0iQAjlN2 z?h(+H9bGDz5M1R1s+1dNMDEa{U}BI&DAUtj^$DBy{6XmQwZpvL+>gc?X@<)B+*&Aj zXQ%(0iK)4>QMC9gdCK=+zymz@MyMU&cX1J@q@M_5eO6Rfw0d$fe=YmNg;#G)(wdhL z5+aAPgvAYA(aiYzga3W1xwqIFP~??Yp*bindbmVfW2p?LB+1t4@)l_pXdN8K^;egmc=bEiUwC+PBhF>(8wZVf`z0@#f&-Ne!a zfu2R0F5iD^ZAjm;Nz;dhhMaYwpEF05mhz%uyR@R}M0vb5u|Ca{GgZ1{Z`usc`+P-A zPE~yN&m2=QQbrn9^|O;HW75HtsYZ`=>+j1+8FOWU*rfaE7)EP(Od3$P4Egqk%7D0j z4*J`zs3;dIg8)S`-pVxrNCvi9J$;>FZWMENKZdb%d3Lek9Z_lEBZ{&-DvBFU|P`R>qwZu~B3~wLI2@yVsNC$YrUaqaE9bT<8<&~x~*uc|u z>Txid_d}}4sWZ7rY!9iMH2)o}4ef#L4WOXwsUYiQT|-#KY~bm(+FS%TVE>EnkM}*INE4V$DZ<#${<%*Z+wQd%h9;)@2Hr z82~AQR=o+A(P0Y~&q9Z(v;3Ixl^N%{zTEtMipOWS8IPk6H!{d0kj(hof|Rd z=gH-Iv0&r3!{Xpq_x##vA51=diKj&@rN|l7(2lQlctVaH7fWbtUJG&@0wAz^YR-!N z)!y%h4Pbirz6?`9e<)8zjypn*gIcd>#&2`{nB<1#qXXZ1yt`DdtQFp%DiZy^xBQsN z*=#mtw||F0RHd!+~;p z$``}w{B28#fzJ-*?G){}S4-_;-f^P^$*(VdR(m zf0&>~OYsl!^=baUFS}&n8l+DVrV?~1cPSc*0Itph2V&qDpuXNs`iwf$0{?CVOs56^ z?{fctzc~?H&6Ovm>|6fpDdO{S@CB_8cs1Eq`tSQn&3;|jKf42g3+um21Gy@(3_dSD zFRGQ7!GW-%rkp(@$t**C+!Q%EF&pEn(Qj#y-z)^kqtJ};>`2Ir7zuen32{aBhM>rC z#npb<)mhoCSwBQVbi=%DW$c0I!=@11)FsI`%=E>Hii(WAlEOr-nw4a((r_Y5FQy|d7hVWWb7X=?{cP z?da@0m}6jLOXdj-Ed?frz@j8MMsKs+3h0q>^b!AV#>(onKN43WoAtUALa0)yX7_Na zJjz5$TJS50Dv4fqb8_->EQ#7=DtmDJCOCd*dU|?pZf`ZlY?DDg?i(A7pY%NHcv{2e<+gS8tbFw z?+Sm<)Xw3_gHUN{;JSx1naqTSf->Fe`si@GJ6)`zR#&XjU|nD6=YU-Z>~y;`Za@Zl zO6a%U+hkfzq-fE+ly3;Im~?mye|%4aI#?|hx8Z>Ri%Qw%e1U_5L)E&zo3=NW=xF0u zsd3~@N{&dJk39~?$AclKi$TN*iHv-N@%H?j%c5B#i%(BaKk6Ti&rQJP2$jac#^&4~ z3=ceEVPH^@Bkj4pUK^sKqJD^oidwC=%xrCa0qsv>f3qu^3F>4d89>6Q6CoXWdo9QR zF<&f`(P*(WG&s0WssP3>?x$|w`uzMIZ+91k%!W9>f>;koDU3t*jNeeiJN#R5TODo= zz5d|p9Udme#%#b+y@B`n^>uY^&7f-_5}UbPsqA;1p`@XaqhKU1yDaDQ#m(L@ugBv+ zY6LF3btu#~#z|jmc!lZN*>onOUw+@flWElb4S9g3v|4NOdb?;YB{Z-HFQ$-7XMvuI zTL%WzoM^9LcmiJKTRak>s;7gBA1`v(ec0pdQnJD#1GFxC!!g4f+zq@$^J5`&zIYxLTChp%dKvHs)3Dm7kcILL_@*7p{TQkiV)ebnF4W2GBODq&xFAU z%)RM0CkqxlDQk=yuG{OddYgO$GK)-nE9zug^@h+0Ao8K1<42fgPio&=&|%i5g3$S}n{z8f8vFz~lD%w685JQ?0kp z!a;|JzqfO4Xg=92BhTJy^m6dPwHVE8EE1i2%_fC>!uc?`GKM!_Rl|&pRH{(J(XGi< z2343|m=UbPK|gc3bsxx^<>lTbe|>e24-Pdp?#9%Aodp@?3=QQ76Y^5S z#UW$BgTMR1kot`~hZ%1y*w2Ck=s{vk{uJRf#_EBz2SgEkF!9hVmJ-%%*X^pQbhzv{bvhyFLW`cbA=z`T7&zx(f#iAuiq?PS+vQo-ar)RpS&2 zypF)nm+4Q;%+0-*S|2#Byvui3iHT=yChJ5i*mmSF6&QzIz6)LZ?sTP8e+Iy5SGa>S zqwbB?r%YT6DX;$DmQl_4W1p`uig=>8-7-Xt8^rq40!+gz8!h5UcuI zDnibwb>YdD7=_<*1HNUUXm=47Y5AhKKHg>rP(D`bPM2CYaxG9_@Vjd$5$%ykE?KTH zQ?SX3$a~P8@79{VNOR*>-#uCN)Dm5pbQb zd#oe^_?s*g2s`m6Iv{pQvBE;FgLpIB?bl)nst&fc&DezrB@o1c%obyZ`-Ay>sRBnN zKKJ7MywYsHh+e~caU*y)EVwZyW=iF-K3s4=r~!3s4-*~FktoEg`{25kom#zF$?5N& ziY1%81W>&O&l8l>q=&7qYgJ?YB2AYo$n4g@0C8w`85vpy;9e;XYazS-)A^vqBLU9~ zTWx+rq$#)6Ck!pEOasoDsfCm~A^|V{?%mmAr}(C^zO94Pt@+${GuOf^tp!P(nu1Ll z^-gHrGDqm<;s*-}91;WzkL%~wj-`G|di#k_gnz`ZTBEu0pFc#WUCVQEI}<#33_%m? z>sqt@LP&^+h|;mkogU4Gy};0`;60Su#AGxk;f{fU(ef3NG>@fB>;_xinD+(B+vOtE zFADzKQtg=(@7$_t-o>BC{Z?p}+w+zN!Ik>XvpD~czP?hmBOq`4-b0XE<6S3aXtoDH6_Ev zXwQ>ba?98u)-I>B#WHVk+IzxKN?Gw6GwWt<&w@X^$FG4hD(v#|m=M=Z{rL=r##{HU$hSg>(-Mo1EMfFpvSg z41eSAU^u$)71Qs%AXv;=BOFqoB9gyk5qLbxJWqBnG}*K|%;)Mck;kIrY|drMI;E5bqY-)j4`?a?CkO>$XUrLC{+6UI${%e*&;`}?VM`|LHE|KW2HHk(a}hL z@w-`_`2*tPuv-CM|FTB470vZ(ZEmfqaoazlMZc1ar?R8W0YydR?vzL~zz`baG%b}v zryOJP1KJ>m+4}b98y!+E`9uPx91e>qgYjQ1!-L;25>6w-%Qt@IcR++7qfc)()CQ1L zmtyGh;n?onKD0meSt$K9QJsd7#KiCE{aR1{5}}1RS+B+qC@~V$^5j9F^r!o5e5y4h zVY-bfCCUfZsh`|2uB2%iu zXAs*12I2105+dxdm>BWz!{6Z|e+-csbSwaEOD@-6)kqL9T%F2ZCRjz4G?p{~My^w+ zx1}z{SSRyIz24t1sHr*5le1EPL{H9pue#67JP5e~@9{(3mi-(QAtr}Mx6!-Z5E410 zvC%?H_`%xZw>?vEUD230FhsA$ljePKsO=Q6uxu&E)_^!qzP!NwjOEW!?M8m;BRn}d z)enMfb3N$KEy7|yVn7_|UDV*k(8OS1XJza|z2tYLQMVOGj)-V$HB@m`x!MIG>Ej7c z8*h$0UlJ_x(sy_+Fu7$!0#+IO#Pi)I!@*AF(YILSJI7^r%a_FJw%4xHP=KsgD%k5YH?6OB)f>79%0TPVQ89XLZ^!-~nd{ zS3hBj!qL-sUPB0UXcef2^p9v%+;X}@Q=2Wbijxm5w|2@$m)HL~u0H3rgeX7E4d!EY zs%4!6#J^s*(p`XTfz!(>{~RTp=&dvTdF8r&2=OqfcUly!dpy3xtlC>-awM@=`}W!{ zG0F0!-R|E(QEK))YK_f^(EinZ15y%_sIepqNO1f(8jFRovzqSrgE`Xi{6;eFUud)q z`j>^=dOH%CY8QE{pJ)4j<^WCxSDzcP;uejs2vaY$v~#b|G%_;ql}Tb3>U`3I72lv% znuV`I_&rJ7@Z*BhqV~yv)wu2M8an1$*+ikzGqA$wnvY!me9Pp=U7}hlYxXiBgu3ot>TJ zqjS)*hdAOh;LI)BQjq)#pM(db{>!3%MaIOR_e4h?Wb-eS>AdH3a+`-$A^>+}&6%U!&~W>S@U%#gYQtfmkdgHDYQDWf zcpN0v5>oVV$6at9qp9NFJ5PK0;2_-D zIoR=;{OXt(K@y;au+{jRaOdpq8T*&6Lm=VOv8(fI`!?+8n?#Iv7l(x?1qcUi@d5{r z4R3VyHx7PI*)xwgVi0r2l5XXK3J_U@@iva^^tRZ_97wQ=Ye;Dr_1!^GN{_oPL0*Y_|d%r9B_}j1o+}W?!VUq zG=Tf>=D@cJO8>77pPGM7yJG334~8heyu}=XMiBlOzFwSZ9oc1nZkn-jpUfTYRJWt~IMS#NgPT&;cq@z! zRN@!mVM1Ev6uBWBHg227-+j$1F;!6M&1a4?;3R~Hf~zsw4VEIF#C@A%N7p^nbEHPF znAk)bm%EqUU8X>69S#}+VgGA*xQ28W13E8QtW(jiyFgqHt*WXT z*XU?(Z*OYCP!+0i=>lsiH}?9^EcE7fU!d17COMm}AEJ)^DY{->SM88?ow1D15uJB_ z6({d|&*QoNWD?}czLr90O8Lq?3__r6no;l+BfjZI6f6e0BTczzICZ(AGDRX3-@%hW zu}F8HvhN&RHZs~8+FW?HmfRj*A2W~E-!3}3j!qo-rk-LTUQ!SHca0~~(=sxS_V){m zip9ZlUV^ICLIREFzihc|B0c*%$ zJdo@#0+M23VPS7iS4&Gvgj|k41~+n}fXZxaY#3z%0Dv1HCGY~Bf`^U{uDsr4rCF@5 zt`1t3m6`eDLj~s$x=c~3J5KatT(vrj&qWaR+-xI14fr>MJknu8o)MqUs}3aMVOgUZ zweJ48&Nph@o&(R&w)1A2C9eH;`U;gY8vfMOc)n-UoHdtErAS!Mv#Oiy0Djy9g`&FX zcaCk>N&f>)mrKPB5aPaJo{nNFMP`j8sw${d1@)sKw> zLRr6wrY0>P7!m@ct^!#YEEX%v6ia}f@OZW~wYtjn^QR<^i=7=Ps)>n7fUnI)+u#V0 zK?*H&I+E--@>CJp^$Nbq>etvY0PRKAmBG7# zS%Ua(b!gA}ij|5*_-6ZF84~k(0|;e>P4a@9S*RLQ`8?pShJQExy@E@#2vEHPfSX@4 zHnRClj*a~usV;!mF*c?M4+;u;X9;7jR<2M{Pp90!f}gO*QS58=bQ_^eWET&Ff`(ah zdVZRt_gL83Gii~xTJzQBS}d*hxOkn2p_q&VYL8tqDSM*{*W%i+2#38K=`<-jsX#RD5;Rx1hw-=n3r)O?{x;q%5t*spu8Ht5C z+hGP~50%csAbix>3fx9j-_+UT4dHOM)ErQz!65q)iF5mz!j}{6cm?JLwyPAq;@%xH zm)oT+I4B0;^o)UETyCA==4Ie4dL)g_o7+t7KEsKc#nnJuIqh}t63j7_{J>O{drd_ap()9!0bN$PMkPsVRlQl>n8;G2 zn|32@Ct#B_A%G?dGc794hTV#zMHi7jM@~EjZ#l0DeO@&03G_~*a}EvvM-Rl-{*;)# zqeESSiWRX?_`$x8yNPEK7xM+gqn&8ja+f$KB$2xfkKbUU#iH%0AvJ%tJ4-)5gM=UA z;ZmtK;Ku=VVvV|Rjgl3{+Ir2l!Sqx5sewz9mvpm+aw0CfO~t6;jsb`CN!x*iX0w`G zNhDr7GO5@!$Lhd<;ku&UB+$2kZ1~|+j?d9#7W?AVkS9Z_5B-rczoiZ#fcdn9{C0(k z`w0*0jQJ}0x49G;bHY`38p5{Xh{ChsZHi&@h*;=Rg=R@|VL%h7{3D_C1^exte$_Yn zS2@C`o$ao=IB{@rP$}VWs-J=R3@dq^4=6r_!T}m}$nl{*s~rZxUoKCdZS+x&E_Gn; zV-(*fvq*ko1xQBYul>!whxWO;mMfw5J#mscijTUvIqK4MzD(j@=pXb;J;86^Lny{e zg8w$0LZ1pco0KCdvR9(`A<3mwEe3=hlxY=jhP!jOsj#kLS)SpG8)rtNbI3OYG9SLZ z3@d)`2<19O$+BTFxin43%myUlcGTIX0kV6$%iLX0imMk|8STZ}XYPi2ptH-V@WF;k zO3P4EH8>16S^8jF_$CN*C%!!qW^(&T?j$1Z7*tNt-!i764I@}NU-A**E>-AUm{pc@ zt?2I4G=Jm0`_1u~D3$sJ3f-#B|$YHYiFBN?Dxnnm_TXepBy z=;8g#D-HT&D%O$*2$qQ2Fch+Uo|dcYHsaA)qnETE4%5rsfmIA_m83qL^l*%N)Ght% z*xkNK{X?Dr;|~m!oU&Db*N2OzO!Ux4{qYS0leo6;Y_aO?c`wEaNUO@ol*nWZg+@ievr=!` zs-DeN-JxxX5_<8OxJh71La0PrQw#NxPn&6Msd+ z)r-3-!QCI7OX{Fgy>DSdf!^Ofa>qJi@$$Vea6$I^ln0W!)B@_x7(L5%|4hrpeTRL- z=kvbISN7G8@!m*hVM&;%RIVRN1dw9qa4>`9Kb1_ZF$RoIe(>s<2wn%;N-zsvV=-)e zahr9+iPnX@CT8-wadBVWDw77R7C*op&=Na>?qUzDcU7UUncPAxv=x!ZJ~i)?)|DP` zCWxypRgFmvdI%54Hv@PnqFE3$Q+h+@lvm?l;=`%f{adk}DCw zi{);#*W1Q>u1hz#R#)pOV^qZ2i2m3<`YI%J!D>wLnk`vDUBU6(1-dOaS|~SwK_T07 zaZ}n}U?>|i(K4UKyH{}i8dKh!f;2mcH1jWo!ua7HfzZtsCr!5B^4A=O{cJx-hzgdV*jHMXY}rkh zHUN;qq|Q9LiXUhKsP``?rGhA+S`E_zWGB~*JbBs~7`FogYbq1WQ^xfPu=>e}QNX_* zu~ln^yv2UdxPw~bLVV1pS%Oe6j%{J<5X(c{JDXk8IJW|V$~?BLeKtGA^&$C<62er~ zk?X?vx60l22qqt4#Ih&au$nF~Up#K_=lMcZA5bhriRXF*B3u z2x)3E+MOOwWc(lrC6W4hdigwBKT8*H#!I@AD-3BNfVI=$a`iKr8+2AM%U=YaI~ffF z6)7{rMN}{LHO}La@|Oahd_9UGWd-8FQ7XqlRy!^CBhyGe#LtRwP`mD;Yi#`0ro^ZQ z(@m`MZGm0Ad_jTb$>7_N?b?&4-icys?2dFv$b&uei%8>l{7REVG+K z;p216iEqSl-@Pv9S1h}#84)Cv#KNu+ZOBC`Cs4#ld0yNyGgn z1vAo$4{uqO9hK6=rn_&q1KvHe(N_BV3K$;?dhxBsthq&>vFMa4V-$ezY#bZdlK;r@ zfG16jvXYEo+rVADCld^!6}-L)lnZtS*l4mn!V-G?maYtXU1E$vu$o_3*Z>$n`VBfe zJ2&(OP$mzVedjeCK|&LX66KXL8e`+#W6`R7ZzEbTcU3qXYdx3xEu`}^?K|h`jkk+e z9YMLW9&SX7o;UkS~vfMnVzZXuBxs&XP>=yL$Q(wsJec`Re(j{ zf@`wLdVQC6WG>kJwmEIIUA!Ho3HOsaLZbcJ;gjg^=hryui8C=+479aiA#ZlbOV#2(%v*reQ;(DSi9YyeSIw!#T+6~$`Du2WIm*JzOEy5 zI(BBEl@T%Xi1A&hu1WFz!M!-&Xuto7Y41(Rj>|Y=_1k zIK{h=!vqwr%pgMJf1mVDpSD;lwQlf;^|m`Ks5Osb+olyScfEj6_>E?8UkC z?27%h$|t9*P0{;mszSLT_9zO2IB<3QQ-zvHfQhLJhh<+QMR{=rfjY9al&~-*lA?W2 zp*jM#%qRHO&n^##To%z)VsQwHm_l?Sknnw9;Uy891?%}V7B-f6Z|)Dlbv+|Ja){q| z94Ga#B`nUlskg9;bwn6bvW$B}W4pQEmCIK7hOleau?Bb1Za>l&_gl%G>RUaN)KED^ z5xLSfgeFHKkcOym=X`Ja{KYsm0z2JbGch8M=;N4Ic54zop+{js$g5uV!M_YKh!zyz z4Q&JR;z5k?Yxod0gkGt%u%G~LA@aHI?Ig-sXLxwHySqDDUs*b$Po)pNa(S-eWt$6~ zoec&J{_onoXs$1(ChkSa=X>%{0l8>6N>61C?Hbr>!o#CN#vLV>RWL9JgJQ{?tkgAc zRHXL;{gTc*h4yF5R~;X22HRgr^x!Nu)WG8S+#_)CTXTmnw0WG2_`uN+QsgLx54}&8 z?CgxDkfGw$HN8Yqjp50|M5GlK|9o)%PoTf~`sY_XLuT^rM$u34^s^b;QwLS*WxSo4 z@lR}eiVvpi5$lV5cXo!Gfr<3#6Rft7bzPD+#EYu0VHZ_@cE{+y{GeC(%YwZhG(hYk z4i8mQsWl`(y#A`I|4Cn^b-xIU&+tK!_Ht^VAe&kIutm@Z7HXMB!_3$?DIvk(>PR(E zZ@LV_=57gQ;Ol_^mUH|+n0NO&#@p^{iPNuKVuHn3JZRy)^4`GWVu%mnGGv{7Bz3$r zUhOkk?lXUQ+h%$CB+SfGK!Y#X62^GNITcFT5vJsXFlhWWI}(-5i~586278egov_Vr zrf2ajMO|eZSbN{slLh(5dc4o2N%8+csm?MLsF%jVQY`gTQJav-p9Yt4yXfx?TFpE5pj4w$KT&ej-X(g1uLkb36$)Os+x=d?aDOf2>ra-b1i1Oq6gmDOoyN_zs2lQAKWn$w(ibwK^Y>+X_UcoA zrf@idVfd{TJd}x80N<9U#V%q_QGfbA3(Bf0x1APQ0qqSh-MbYDsJaK_RU9A5Yvb)3 z=85B#z-fgdL~Ork+a$wkgah-Lxm1p*sRmnI#=%603ca(}->R9iqJNN;c=OAxMigf(%`C3PVIjCpYMC~`=!#P|mo3N3WzKZO?W@fQ(`QBW@H%ya}7mM*LZBZ3FyH@_}f7L~d^ ze6%~9%GFExUg274_WSuh1&>gnEgV_j@8SBG<-W>FCR6Jo|Bc=zz&{Ev!}-{2OKw7; zJDiXE8cKvz?Q!p9@;eI6Sl1&i3_Zr+W9;lo;{8Ar_n7@w1XP2RJuy7RRpdO6yf%~- z)cW0c@zRXm-QpVGHC)^Xmumn`<9Gi*N z*p-^k570_z!cw&UznTEE+CHN;wi?1`t|+pm2G$5VL?(b>GB5qR8l(FjAC z>hY(`MpctnMqS$LunqSc1;wJ>qDrb_hKZfSeDC7u>ta|tO$R*r%b8nRHZis<9*-x` zvy)nQ7KLPpKO`0-SGaYkj-`3Y`V;0mk?7fiJ|+YUPkuLhMAF~sR|nk!n9bL9RVK1K ztV?GA?s$6(m8q`62}>Wt|KSFcG}E?-+Y^l(y=8+o_Z=;PQF_4c)Y%&3bMBQ0>?BSA z&6lpbygYx7t$@l5eKsd%0X(i4E^QL?W$%mDeGwy!^zuX{a1Myuhc#UKBj@4Y8FCjbl(p)ez6mhs5@6(=B}%4iu0 zPl{Qo0zXiFU1`m)D{#5DO6=U1)yCoTX?ktq{o1maA!uEf z@6?6izZv$U2iT)vgC0(`BsM+G>+9dduLu&a-J6FoOc*>4bjI|5F-9h%2Js}S8CmNr z@MAuI>Ng%zviiZ<`uC~ltD5HO?M2fN#@Pe_%y#^=TX%mH3)+f{Z0yCgxtili&VTSk zlNXoLHK$C@$fNo())QV|25BVXb=G@)s-!)*uSQh)~g-CO;S7byvm8)D~ zVKm6UQgq{6uGOWUzGIT3(=`(gWwkc$LBr+AxJ22r+UG1b0#jnUn9u~LOMFRA@(=?R ztA$b2?lAIJQe;kN7K()LN;4O0y;Y#R?eh0q;Tsj}?6NX_wQ)2qUB3Xvtfu|vxfaYq zn#1}xYYof{)q!ilWZrMR3A2q)2+Nxal0^UIx9JxdH*LkMSLEe4%^DJD-6aq2#;Y6S z6cuent5Z-RLVFRWz_TGD-)IEvh8q6(CFl6M@6cgqL_X1L@FI2h`||G7YC|HrrfzRU zX<&~&7mi}wu9~WmnJ}?%&p>pH6Vv$-<3%GAdIie{hth+J8M!|8{%JvoNnl`g?cn%~ zTaaTIIHs5?+zHshxwCo9)x7HeeJiv#v{zWzx$L7b&IX5QYu|_fj-rxia$!&)p6XEN zf4<0qqbRH7&q=QK70_YBS|Zbm*dp#R&Iu?rb$3WZBoeS<@}%N0M1*7G;xofKjAXCh z{ZC7G$Ktf|!otQZhNDOA!mWLQvx&F;I=@%H{Gs|DgYg+Ocdu9>!`bn_HJ5_n=D&>9 zE7r3T6kGXf;l^quA#fJ&oPfT+Dv@K8r)oFY7SSuvk@MX<-q$&&qwD`|7*Chw%i22; zk`6)#`2@U}r1aRFaWsnTE{AM`+#b`-ZUxHlw`t2^HMFh&?WQYKq|9yWADy+a(16oS zK~YCRPr*_G`U3px`X3JU%d7vFQT@NYDkXdQ+Hdiu&p1k)N}S;Y>TuiISyfSgeFEt| zH1UtJ+|0q`&F1aZIp*pa9`x}3hnTDp$9*-;n+G2l$WThZpwcq`p@r{zaxp_7UH^ZW z)CB7NLnJa89{??RzJ<%)0=4rnNy*akG>OeHoK#>1NIXUeb}(P&5&P9xHtfq$CZ>wc zCNp#MJS!9wl%Zk3GQQHQa=bd42b_}`BlaElxiP^Z;{OoKbr|YvY8I1A0PROCw@BXM%Bp%6dv)B>(kX$z_8i^WOz632BP~4C98On60{a)wXMI4ke9l-!8aC_n zGRf?M=Y*C5wmTy$Z7xWM0rl0@CNrg~7dxYFuCC>pZNXpM?=JUCOBv+D5(3l%W=2to z5ZkQj*tp0C7=4M30f>E!+3HJxGj>KBD|;U_L8CiYW~rXs2p zw24nd^so`av}3B|eq1*@mc~OZQfV?xr=_(@F66%!u*(DAXn(8*cxYQc*x5rmLDxkl zE;d*SIA88%W|9qZm@m}E#$xptZQc97Av~F{f!pNhS?dYHytxfLidJ53wzKxG1bi=@ z*PygEs}%tP4?EY#-jV#UgX^2J(GtpnKz#CSkPVepfh;*DsDqNp$R@KJgAS&dr${9EX!F`y=_{K~PoQiX_j{Gf zA4hXl9Zz@k{GK&6Y~FTdYIQReTBM|;0)YRV`%qX&gYLBMhpSYf8HQ1f2RPv$+#f!$ zvJ7>Ty5Fq-@_NN(Hs^`D3aY3*MsMxp#Y9D^(oa|WYgEazD+3cNxE^VGJsUOy#P?t5 zP3>0eU0NQG?u_x)H}MZk+k$HSWgT=!aYvf*suHjig_*F?Z>-`oU*G*l$Oy-{zl z0R7DJga9T$H)Q99jD83RUdv6k)O1UQq@a*l==|@%u|m>RYz2?+F|L6+ER9oZ1O+{7 zCdHLnCLMmIk;btlmK)53jQ~E3SFp&;vc5(SBFoB2g4#>Ap%@GV;eeq$F=BQ5SD!^( zT>KX&50;KRo2ZQPRF&Q!#w8A6gLx*5>i+f3!^L9YQlZ@MVaH1fU7RMPO))9Ke*0E1#B_bab9FL7y0CNKc4$5{}Jp3oyF>l>U0f zkxPSGA8_S$SxgEG>w}@3-%jk5Oq*QD6n?7ktE=M(AL{Mt5!W;l`822`JQ2k}H!wEV zZhxHYDgPi6rz{*D6LY%ML@5gTeRv)eGjF;n?>67xlyCXPhk`kp)7cCD+DgvC?|GOLa4kmn?g&Odzez@f*pX`7`lW`5~ad@6)-I&pat%#|#k!H+Ix`WKdLS zFZ>0bV)C3L_3ucBkAf4R;dt6a2>j>+C!K>tYn5C4tBsRi+O)30&fwZ`ia5y~~q ze>JM=t3SU`xq)p%)TynYkMpzPL85%OR;M~xh`vsQ7pwjjC_ zPXd;B5o5`Z%fKw3dwca7_xsCnrNF3@G_~=Jb1#=N0;j_hd`v?(>-U_Rp{36UY;N)G zi^G(w&UR$1=iNEJ4kw`M+~T^Jp7D-}Ju=kM?$-zcWmvbVfx(QSREKJvg=xD-L!K=) z}x?6-WsbUiiG3BUa- zwbzs;5P-5bBN`0LgmU|s8q4vrWdAAn(m&5Z#NUkvMSlyaVa9)=A6P|RZIv$zwpQbm>`KZarnXL1BH(;; z;S6ij!rBi@Xws0Q5_4l2E?1HXJq58UW`m_a(Ue@&WVZbH;DGMn*8;j3b!Q^yW|4X3 zrI0Si;QeWbWuig>H<78(^DAf9ob1gWcm*@*r8nr-72lSBQV)cwOGM9h>K2@Zl5T%* ziq_`Ka%1!87%64&{lVg8!tTCrt4Trwkd&`p5TI znm=@PeXvJWI0DKM@^GQO@Us4ljvj+8w~uZ4(zy-mbx4}LQP1J-NRrPs8R{&n$L)5) zP20gESGjrv3S|ck{k2o(L37L?S8m{3m^zr|Nh|P08~#LD;wG-=NrAF$sFD6 z4M3=-jcRLTRM^oWP+T@t+F-rehcBjG8YJ2wJYD?Fa;3Eh%O@_)DTT`tgeh<1nRRRW zfOPJK9K{<KT(px%TW2lQmD7ieR7)GUAD|vr=t8GiNu@3@nw3E1qYplJR z8lf*~rYEaw+wRL9nhhM}v?9h^jztb+`Ndg^8xvImx$x5W-&*-h>DjnXurCGhKBC(E zY<9se{@^le-S3ZnOPyY4x{m+CDgQiWUqUM!>+pBsT5B}Yn}Lq$81rS#l-y)0Zi+BM zBKcrNCfS>~qf}!c!~U5@t4HUf-uIp%SIWh+ArL^Xm8Mu~zF z3-zRoe-+hn^^e`y-+C~cl1v5hVu-PICi;Rn}Z)rDauJuQXZ}c#UZL20u=%na&n#6NHp} zvn$^+ioks!_D~EaqvOK4zjKrjL`?kyC+zElrS5KUnvtnSvBHfJb!BWhsU3OY|`+ zH9FV;HMaI0lXT%+rOC=A>T2KDH!Om}QtglYtXKY9U8HBqK>6FJ!)k6|gg*h_?Nf!@ zto(x~was*<2Q>orUmJ_bo7=h%NL9Gy-$R64KGZF5zEYT=7HcH>JG8VsGU3|I z0$rwSlZppHM3Cf%_ST*}UtONeKp~~FNU0zb5g!#de(lpeXlyu`u_oj(?~LI%wU~SA zz70vh9V3a@(s=&(MSqyspXkez9zy-z;O3|3z6HZty-*iw_zWCW^iKPT9P06MwH9oR z$-m-YTcCOeG`MuFXP*l&sZk`N{+$Y#&6dk#^B33F)hbb|KX4lyM zi$>HX+ElaFB22yjFay<>cUlptJwhZwf#QS?HEJ@^6;0g#QP< zqV0G&qRQ5e=>qP?EeUk=@wq(TfW$#WFf@HS>A$3cdEL2AvKd*Z*!U0@v+}HjdzQ!W zY0npKDyIS|B@7)>7V&u~C{TpVVyQq(z~rn-9);uw0V(-XvY&wXiVG47Pdc zvRUdf38P0}HqZ2_RFA3jH(|4Evam8;{fPXLo-d(+N6UmfT<}wKErsqcO%#&@WkS?k z_k2xKTv`m)EA*&1U5*6N<>O_7|9-%P416GMu01&+7LP(lq9Q1+E#%+@JyfcG`Sq;k zhFBU3iU4k?;#GhEstkf*+`;F$k}dQKT*kVxc=N3TKzUWspLeoS z>D6D_$OnyIou4Ot05XsyHjoQ3I^Evg0W<+&6%iRZ))02bGx)!_)_+=`s|o$kFt@nK zLq_(AWFKrCEG#TKa!oedqbXbt#|zT{3KNcJ)No$=1vR+6u~7};0RW+>tEdDHc*7t8 zxn+7%w>?TW6@`x8pm>n-;|nO6uG*urRH9q~z@P!jH>CWofRn5<(e8E+;2%J&I8D%U zM~?ytOo$*ro#e<@Y7ED-gaU-OwgzLeS(TM%L7EA0D?SQ}u23+_QGH{o|NaA%Zp62Q zh9bWkz@cGelnuQ+T_qjwk08}*b*%CnYyc65!A<LoBM7YsA%FWxp@_y8 z?N=biw<36WVQdAz=Z2+!9fzYXe0g6{?;BQ{4?kSDHl){uU(~yGJeq&}_|eS`;BET= zX41(9h!RL@@IW%lL`nI|TX*U6AhDrB=d$FNFJB}i&{>OsWc^^N$rg}4q@|H#@xZsG zk>9J;?#Ys9nKcyVB?x^)bt#pqun%M<+?hhx@QAJi$mo z^&HVKXlQ5(3W{dCLw^Jw9-dIIoSdBEViPMX=04!J&{YP=?Y0eaCH)<3_QwloP(avz z1c0+K3lb6%Fk)XMB?*{+Kro@uIdK5tzjL;0bkzLz?>5cR@W_boDPf1f=}O!E)v=Io z7>kb1$?%%SQOf5J3vV3KI8Pev%Ty%LUaxNx_RxjyUXdxe4a}DP5i3|65jf(-9VO6Z z%YCeP|B)*TblZD#&QBhJ)>?`={CI3EXc*OeXXJ8{?u78(T^kLStFSOIxA*syyuHG% zuDpF~ePM(knngfH?zsWbRHI)lqXW__%>zh5y+jNZdCK9*iG{Ioli7SVfOR{?HuffS z25wlP*6x8)mD6&Ccgr9Bo|}iK8~V1(4@q2PQ-hO}bEz5-NL;5mv<`VAXEVh4^WRsi702z&O^i*==36zFY1z#W~kN}?Cn#@Y5)JRUnPhvMYFr>XcB(r!;lKfE6KQZ}7t8HvQe}3gQVp3~D1DSnKL*;Aj zfGrZ0G_sxP;9%8|@6}u}aezvb$~IwWLk;U^G%TFqfq|d6LSTZb_D)Pq3G0ROJHc0r z5JzQ&|AI8>S%^wVAV6d+ zbE0&6W=J4#@&e%-M&!Qv!iS>b(3e?uSFeN{e6qusW8Y( z;gyIq=htG(+=`rn26}`U7s%Hl?>3Z5Z#|-pDRV4%^07~yy9R#UtuE|gcDfMY2^6-;P!Z|f25x|{pI=S ziq=ykWt~OMq>8*ZIs}U&rV*|?{dx?_E$sv^waqas$n(ebL72K63E{z&XV2%oaveUW zE&nPiiUVj|mCe-sf`T_}VzMF96C@=h@IyFK{9q;Q#-1^Y>18tt&#z5p?@*u6{`KgmpOxR7n+tlr9MWRDXQMAu`4>e&q{`{Lj5PGttJ_d&LIhv+xgLTA)>MjU_G6NkGp547Kx(aQTZ&CLr>c9P}_fYBeG!rV3>W7P94Oo!z_O(z)_~=)+H;N7jOgmCBSSy3-zNg9 z4QxOARFISiRN|A*6TgwR9_(+Im{CEKGKK`_WUjGtvGkC=r3elgx3c%k;5|M1fVhIy zDJE+j69<1>rJ+5hzo@G+@)i+XEz4AQp#Svrw3OKtLu|mO?p9P%eli9URz2|`bN)F8 z@jrcZk?^?KxZ|3Q!688(SK5bHw_G5>Q8NUirK50X+VowD$mKmfNPRtIEboXXsY~zz z>jNSjswy3Jbac{vw5iWzB~We1S%k(+Wk6@MJmu#h-*P?3HkXVM1{c z3z;8FytC4UHAsnW<0>H!_G|sG@UA zt3aLS=AG^5ty6g7_cDc!le{1QoxI9Ycl_%}PmAqylE$+!ggtFsYve6BFiAu8*=B(Y zo;wP8hr|uhyCUlL=pF3n)K@8_(hK?K@YJeaGNCN>*MeBs9%po;;=_Nq@P<|D6bfYD zE5VY*qb1|>%5~(MjUp-QW^}mre#y^Y{URxU>k6sbu^Z=&NIq%HOl76sT1xZ?j zuxS5^q-b;^rWe}ls^>HOpVxcKb$SlNQdJTvzlu{zl|PoU3%|pekjt`#8_JF%d9ut@ zB66o7kff8Ud1`?UckSm!TzJ_Qsz5|08$L&Av(#wK<8Y#f4H-@4vRL{63T*)9BX1UI z;qh%cql=G=8yy=H)lr!U!!ysDyv27*Z)`yqQE4xG6&yZKj&nbgz z_-aX~$fz;o9k&wv4{HPt|JTMFCRsG-X}DAx#{Q+;SDs{R!PwQtUMdmq5g<*PPoEsG zC_;kdKvD3!p9RM^#pB6sE@YykQY(M>+ugMVjT)g40~37I;?JBiE=Q5q(6mL-mMq?x zl`1-deY3!F>EZTb82H?35f1G$2ZcLKPEMl9e{Xk9LhxkwDJY;;TQUbiPEf7}W$4bg ztV8nh@}S~|d_$=17e%4fj#$T`hXZ4L-Zx%Z(K$Dl7zB0vG11Xmz=z*{ z&m|!#DJeSoub;QR@Q4cRC`-8!jCZPa5F<__$32_0_n_mMFa7n3hQDEm73))KN0FZ- z?jEBG{u+Nxd@2L|E0mnF#>B>FX1L3046NUCiKts$8>}{L>nNH_c<(+i%3ciwb~lD0 zfya%7)`A!gfj`n~n?ib?Ut-8(b~Q&F(u+((-RS&?gPG+N)3+4VL#j66@P_3C)Ye}C z@qAm4a3u?ue-E@f);CNXOq${vmg85;X%i%1&c1V4McOM2XeW`Ai4}2$oqpXcB=qxK z!y&NI8X5Hk21`O-T}&y7018~Gh1Di)&f&^~M#c9FE8Z*hcO zgXphRqAy~~)HzS5+TRqz*B`&($9EICtaDo>Cpy~O4#F+LFpAJtlsF;5^dqBTpvq?I zC7bhGLDBg>na$Pl@8k^Gzk>f+?_zasamf<5lxwvh$>WZ9z!;XV@90GiBiqDUwu zTKc5XN>x%Ew{S+KDHJBzD7|30EhZ3U%S~Q>l1ZThg!H=JWPu#+kM*J0}r>wZ_uC$%7;J@R?fG<|9RubFA0atSi zX<5?IH&oB&9a2Ek~v8eIOR84@p@7=J7z{jA5|p250)tyZ_CslU7A5j)641@Aoao;i{M zt(W3V1dDL51rZMAIdC7<#gvFDRR!qs;5Wi6DmM)yF>nm+T#qi_Ay1sXicc;r&g8q= z#}xP_Uj1@KL2jMsdoiJVw*B1KB~kZQdu1!nhH;x4(<%bbl>`~x zOE)!w$X#(~`bA+f3)r6_v4)4~KT5?Y zZkMz>27J^(KDlt*cGj8wnd&2#(#d?S+2KJ4CX=r;iK&l~d-y$p=J{u|o~(h8f{LXcq*qif)`w`K23 zxQ!xuC00NRXp>FW%{uqOLlH*O7qPVUsFD6P{PdhvD(;;ovj>c}$HnERFy)oRXv zE3ep#{AG%&v@KUW@#XI)6?gFk(N=@haV|A>bPY8 zg*5c*F@M-d+?oojf{IyPL(Z%AECa+Fmh3I}L@3l1VLga{#yoZY?Ric9t)fa#q)Hj} z>+dGtKlyRo--F_;$y&$8^j{x?bdZdWq?+Pw{E`{Vc~#>YuCb~TY^L@nbWQxU*^`i< z^j?H}IZ_|xdCA0#&0acKX}0p-l_ydc2WaANPEXbkgL=`QaLByS$QaPEj8weM1S3BU z6*E8y(Wo*z&SMl4k)J>eWC(sQiExj@DM${ZN3D&DM&{{@_L((??;*or zEGdSKoFfw70=6TAEg)vaC7=oa#OavJ7DSus z9(@Ses?GGAH3F}0->bmh9vuixWjh;P@nWzoWI+=)?xX?AS&-({_bCB<6KoPo5!=&OfA!su{zI&GPLLR zLsl1WNz^8WW8V-e8BTX{e5&OrS^>1@!^l@D$?Wt0b%65FCi(+5M33r}?Z~XAEtwUX~mxNaYVD3mJTHoJR?iH?cH|ee-5U?kl`q@ zwcFc!h!`|Oemp7Ot;;mGdH?qq3Kn;7pJXl}OAdwJ?g0f|}EHDV45j?#F0{M=u%7LNy{^f69m6vD7qJgKIKp;9V zPo(|y@_-XaAh0iiK&s|m{$>tQ_Zl4TAEy5Gy?6DBHOZ~V4RThfc`a*Emw6wCt?~zn z;n@v)M|JxI0VzB1qd>?!d ze&W2OqWun-Cjc)=trPoZ{;Eb~Na7=OFE;oI*KxjUlGwB<9UUFV)oW`%n(Tl( zYcj8s4Y2$4fQdPN=skvz0gsoex!Kw4)m{L{((4nl>J4OzgtXu8q_np3vcOm2K}Ook zgL*+X%1TS~y53X<8og5)$~WZW?MG}UvwV@5nZ*# z-W(7%yJ_G20pX!Wi~Z>6sEnlKZ^jVdCMJ1XYc{Vyy$;Z{lZh-Ll!667ZmZC21EBU{ z*heKvX(~P-u3iLYC8>Na_JFS`@OT*`YR>`>F^VK!XgCyFUXbc7z1MEgPI?)qD{sJs zY|Z+n4SZAsBX+LJ2rb zz%>GIlu5>|hE@0bLFx=FT%)PNN$~p|n4~=R(zX|wfE_VwW@F8H~rTKz$h##Dnfy4 zFkb|4ILDhmbtcmo1qUl_Ex=V~to)C$JxT!}65IaWk|Tne>|mBF=e`CaNs}nyC&*bE$9&HW^cxYJby%! zLG%?wDcgzx&s5#2!~Idy{Z=1+(gLvzC!sDRfD!S0gl#;O=p!owgLDNJ?w>w=^@xCI z*1a_G?R?_jr|sM)*FyKVH;#*_JKMV+|Bi#XR-RikE9E2;?`ARxxr(3rgH98r(-l{p z=ZDSWb)4rzomSff+|t|SgWH&$9WQ-|S01;9zZket=1DucPYr0lYJV^o9ODb<>4lhu zu6CFSJ!ER8<+)yN$UM(8HNZfA*k~}!s8!5-2j0I76bohQfB=$aTo8hvFxCSYsA*ss zGcwwHdb|e^f7!+Q&mgX}Yl9Mc9u0f@`oL1Hj;E&T{RJz9CgUcD%fQar8irLy4X&%W zYxZ7}FOpYRfA2b|Ns`X`_B&T1CYi(3etQUeSxM-6vyyZ+exo4WS<)pWkI3v8r}-`=*2f+- zB#;wlJoTgElHxSow*@iZ45=pevmk3)TqY@&_vh{ZhL9Er&NmX#pA#?4<9iPpdw*n{ z_=r8y-Y&t=UM;j_j6U6jS)?r<{u`dLs8^{G(YvVEQ2tZF(ZW?KazS;E87_f<`ZI8X zDmaH)mULp%;k9SXAa4Gs*40Lg&sM^oKJ{2`U?;@W#Cjg{lpV*^wz5y>9vzOD`zKly zQ~OP}9+gtV)Hkz~s)Dr6ycp~KyqQ{;xq22&)lme6ef`}nt)J3BaQj=py|59B>EZi$px#&iO9@f2MR}$YIoO*9={c;a6*Y!%0=`aZQ{!w zS7xlO`ymW7@izOx4_|QIWlM7J9bUHW_KE<;_I#vl<9QW_vOm}%mfWK!`RjE+_}NSy zSojy)lLO`8X`EZ*i{wP?QgPho1M_>eLp8Hz9c!@C3-_!$w@Dmt6h0i{m|82B^oGX} zN2E61TwtRc%P|Q{O7MGhu8Ti>fvdKy<`CsVF4Glg)SIRnok6`mQmZq;zVD1&OV*%R zG$PV!TZe1%fo$PoxqomNWD?%}Smm7bRTgZH{8nqfz*=s{hGL$L78OUYytB0>P7B)3+!_>K2=nM4N|&g(Xhg%#qe-Av3oSYep|XzfAq5;Q$`N8aa@bmbMwU zRn-}*a~?(|CgQnOG$Otg0dnr5rMXGccG zBX}xYz?jy}$5O$zEA);k(j#C) zDf!1z) zLRd`fbfHcvWa5^~)OVVLt& zYuWMlbBqhY+cf@yVM*y*vnRNWu|LYreRf668m8Hmm4(U;aK$h;LE<^Ec05Z!ULM8u zR4Ek-A#*=n_baIvr*&p_AJ+y~Tg-u+adu0 zEosS#>heA#T9M6)^5Xm!u0tiVX1r8;LepDSVSn5h1w zPY3Dmb{Qm@az0Xg+Z&bW?w$|F_L0GpB>Knk@Rl>VwgH@noR%JqV#*r#I^FTa35;6k zo8aE#tUdL4hx=s;2GdTyo^XR5Om5Efoi)W?x^}9n9fKxp+TNbBT2o?13SiFr(T2BZ zN|Hdq+R5pbezV?$Vdn9S(_)z=^9vWcYO9G)*_%Ez$WOlDh#iL8L$+?o+Alrn9f@>f zAP5g4^qxPWrRWu*M5WDg_Vh4nL$mhBwpO>XvEiw+B%Z8R9p1Ul|K3d0VcLO*_^baS zo+_=4ruP!=G2^c(EQk?^_}wLi@1Cv%H9WiAr7>c z?qg4w+ARKD9VX$h5P+bnhx_5|5C(jEL=!C~IsRndc%j+VVktXGafRslpTNp|%WaDr zT*TfI4|)>V*&_Ow5)dc)w)0B3Ql#@wG`O)WZ(1ejpdeBk^_DBvpS13L9)_SGRd~uE zUnPG0>tRniG3V@2vXkS^CFfueu2E-wFamQ|aPr{F$5x`o;ZcoF)QmHQfL%6k9sd*pKX4r8_u z|7(u%rYQHh#q@IHI-6&9t69+l#FGjUq;Wx6*`!CAgN|BVlPxyghLGF%5(OL(!uY6^ z9?I^elTik|adZZ%E!9T7G7C2f2Vw}!%uiY*NM#yaV6S`oH|po@CN+*%B6_EtlqECI zWDb-N7#s(XZ-La)-69`PFePaB!M3Pi{$gYv$&|}{xLT!`<|QBdpVU;#Dsf45<|wtr zeHj4~e-VIqQM!Qx@m$eS`4fFnhQIL@5}A=?A|Zjr1q}vHPeT?1B`RpvvnxYs=->`yW>W8{z>O|ADQT790KC2p?+BIE?r_tNq+7-C;f9(*0{Jc z2L(Z@tuj$BZ?7&7yay>}=8HY%|1sMH590h!f(3z;svqqin_eMJCCqb83^NUEX-umQ zPaSH?z|34i4|{0&IVo8y_jzQjMZLmH_~nVS&({y1hi2XUsga|Kvv*u=uY@5wc{3~ z?;Z0GXew){4(D~j_bx6luZM|qNef61a0wXwZTe3!d2Jw@7#nos{Cuv=269;I|8HBivwKBv zZJ91PFAaL&Pj1}2#ZW-%{{Qgxj?tBLQM+htt7F@?ZQHh;bZpzUZFOvSY<6rrIr}~L zj&I!aLRn0Z$tW~uhfRYf;G?^6eIS=V`apAB{lQ?yjY`#ZnEouEFbzMyfq74W5K$sV!mfiIK4&_9 z>Vdxh0Oh&d5(fUi_x^#qPtjS7?}-StS<-KC=gV64Hw$&49Rcy}8nSbckAC{N=*0pu z&}u$CkT2IY<@%n+0eQrLCk2|8<1X~EpeMd4{5)Nq*B0R%(Q5dOw0wYWDX4(oYGZno#@QB&&I2m5dinJ9)c4#^(K79-dt1Q;#O7;?l0c!np zsm$w7g0}YQGDI-H(p8oEU89(k#}Xwg>E}+^N=}Z}ZXFeM7ZDk&m8Jybmd$E2u6*Z` z27xS84gP$~swJJg3>VP&)ZA|GNkobwO@;Q<2ljG}lHJC|+zM^!T+1#bvoJU6;!6qtqOo_SaBHyLki=;89B0I9SDcee#hbxA)98b788OK)DZ$Zt^vw#<^5DcOsMe45HUumV4%fFBG_bD z!U?26lw^%S)QUt39U!@p;wrO_+ayH`h2yVW$MJEuS__Vj*kBlzWNB)tw*537agJTr z(7YN$v~JFvYkh9?xm>H#?9MOb@H9EWl8GcFByhUF4~ABAtWjx{g?v-WC{D}l%F~g< zT}e6FwMv_b6=nc8fNBOekwrHe^vXix0w4OnK_>@=DzQ>(Q~JlZ$-P}%Z*(DlL^(N9 z9oX6jcNyhOFaYP;IubV~RNsvM@~ezw;6P-LLnvqH5 z)WbF&tBUa0E`zQeN57sIj;rk(d?@F7&?bpl;g<`&#J>I=Hq7sdZj})tiiwMdz$BgznwcUs(7uR;WOmIW@Ii*mds6F2YvlAR5+j$L0{C-85&n!An)333?Ik#y45K;2Y&X6tnt_a@uIj;sUSs z_&v-PEhCeZ(U++opApPibizyanKRm-X%+jAUUm5#&IX#CaC^N94`)t03e4g05RUDN zznxCF4Cp*xMam(SWbz_?C%<^#9hm73Vm-g$aT8T}4HwGs6mi8O16d(t@%LyJf*5rh zhs)k$F`CmiIr#)$+hx_{u`TpZ1ub&B_TuDZsDbtPyrTWE?f(Y2Peurs=Y0@ja-Hn? zo?z&_j7C>F4Lw_4kGtOtnEdYw4Y-PIJ*_(>*|_V%Nac9z8j3{3l@Hn2=#<}Wc_3s3 zY|gV8Che@!@D{vsu7=#;v)Mt1fw#e%;Ba*COnG{`gff$vLxwexhNU&~j^;-(1Q>vV zKccfpJYFoo=zAU?uz9-ochx!?_l&?&aB@G}R_9@TYM{wmtv8hI7<{akd*Q5BMk#G{ zkZ8|XXW#HgSa^QllZD#*O3dO4a72KitUR!YKYLu8B#I(=G z4Up4mR_dFck`nl7Ok)ld86U<4@A%okx9m$11xtBg9XJ783dGrOVlt7DgvDWo zw?}$ShOar{dfzLhK)fFRSy@ORiqZ`enr1~$V=m9RbZqB!gp}xbNO-=n@Q;*o&|su- zIH(r^u7f)VJhxS}gI7y3QicO+7GXf@_~}63@$9=ROq^H;GF@~$55eq%k*yOD`n@*x z3A_b?P$62XOlB5;s~BZVo|`xQ;6!l|x;(GcIUC#TQLN)a$=ErTX2nBbpGSwPS`TG2k(Hb}xFOseW=g94m{=B-r;TL$9gS;?sQJwyU*25O>NH46Ntu^R znI#^)F=#iI<%V4(u`%E-sdAni5;m>vpT!Q=r!XkZ$|Hr#NiJY_c@|O`&E)E7fL?66$w24++kcn=iwxef`^f zaUaWL=>FJCx{lwt9efoD&9rl(R6In$L)=6!J`G^epI`(e8(puXZhK7cQqTeU1-2zTRrWM6;b(8P%(nu%M%`uS7*bxn= z=CFU5lauN51E&WuTVEeqtB!J@NdX@_ttgfdqb!fgrj!AM-_^W zFIQ(lwIeAubn7T6ftfX779=)7-0g8uczP1PYqTjdi%O?co8D`Zn(zQ~8!dLaG1^dr zF(~<_=}k=)!!`g#WNiUha52N-qfjZV@w$ZU#2Cm+AO9*PYz(2)D`IpQ*7{Y?L{CIy zbq5Itjnm~xxz;EjBG7p@6xyJi1-F)6h9FF!_#0 zJ4-{C0LxBsHna(oC*)~8#(HOHVWHeQf7Ypkb2J${ES;(z4X=WF^dctxJ$f!$SFVff zz{K>lXm6!VOV6^A@{i?q34#VWce#GAZDmoBx&2j+QhjCes3}(I2w4=&5gU8)3S7Gf zd4I}4w+d&Y{WayGnZMVFJXRh>D#1f#3L*vyN)m?5-bBNDR}<8~#?tLC`FZ154|OkC zM?dqcKtN4Kfup9@EThL(yZOQ;~N#jx{vjC_=cMw`C*s^%jSIPXx)-JV)?_}dfA zY?NeUP)Y)R;JiS$uRgF%v*5mqQBsc_J^geyhSNqsh*{MGiUcFu>k^L}nF|>Y4c@*Q ztVqbDHLJ1n3s6yI^xBJ6Ms&1Ea?$VA>=IUpN~HngLw71C$XjYVzxLKM`hC3Qoc&Tj zaY`KwQ&`3f4hPj}9j_Oj04r|FO~H4(!t6A7YXAui9)2u5KF@Mj3~BcW$AfQf9tJm= z-q{Cq)o$px7dAm_tlNYuJ=E4B@?Jc6;vkd_L@l1t>L@rQBxH#$tshlaVZ6~O{RxNL z%z{B*MFF)V#Wi_1taSz!iExpK!~4%qEKTKAD_yl3tyYbrcA?;`Kd56=0x4uQJIzaH zqdXwr1Ad2z3f74Px_jFbHAQ7>sx8VSfDozYw*s!41?sYtAKWkOs(Hw*W~@Za*2GQt zYk?&x8dPGi$K{`dGox-Pup~_o9!n5qNOu3ckj#b@S?e7Fn}J|!$m-EpNy_BABqxf% zS)REMYz${OpYVL)mw3HAU}_$YC%#5?bi3S9&!-8ivk;dIr6nb`X@zFMrxyNF0`|&;YGU3z0Xd&nr^oZANGOYBJ%quDwl~O zgA_netX3GwR(vyvxAH+?<0;k<%%P z315F3zss={y=f>L0?k$-Zw@wKcqv7;n6y-lTpkrA#pnoj$?F(G=5ZrW_vo7Z?`*fM zGllXq(-`;D<&mjE(RR6^EHAj|NuG->g)dnKZ3nN@W5fNFV8M4>;b9bBwOdeyzr)Ld zmd90oIiGi`R^Y2pv@i9C?7v=~2A|I)#cDL#PbH27Lx+JPyi6UHm|;?0tjrdZ^S-93 zURyyYkL6qzhOF0yVRr1 zHT;}94J@SSDit}mP?BY#BFCPy=6|nvTHodwDhf-E9~XzS73_`O+FDuKxeoFoWX8`h zy*f|6My2&%XP1V$ZEIo~Zp%F-qiSIICUuASvpgzgqcng@z9g{J+oIXCYEX!A z#DdLrtJ33l7FMDr*(H#TiT9GxwIyCSclQ9eC5P{=ZbwsTG}WjO)sE|r8z$wu_95r|q?0#Xb7?I^F!+6@sWQdz z)XUHAABzu%#?h*(Ifg$QMY5~&3q2iW(cftKYP1klYSbrd&9T&VQA{Upw!PKy@N;!{ zSc{tqUVVVHZ_xxHaKs~;jIMuVOGc855w)Q5Th>>UoIJEe(Bd?olXk#(NB%~AuDy@j z`o-`#1;^;MPd(1Dm4z|?p`l=Ke1&q%@!g+wCMmJ_CM5+~!H;!(=5aHCY988=z%}q7 z4(Z8F7D89@g%al0G)FCM$E?Tqur;z6k5#S37wubJ_E*gPZ;1gy=o!A9{D=l^Om&%M5;BThn0h zWTYiSmtrU%0NvN6s#^gfly!IJP|Kafp{X#?U370 zfmXYyBHdM@yovT3i|_4U^t;pDiz#t8viZFFyi9}X7UGR{bM-8a9hPeQTPI+5y)^^~ z_4#8LhI9(zulL(f6wlcF=$qAfxNf(Y$tcS^n6m7DFW>P1cTQC_+ko--_|w8fVa)TT3jB7r-2aJ|>p!jp z-M}~?34bMi#O>WPQPLDThQu?vJ8~Qg>{pvFlm?lim7~_1VG^pY{8XruSuFv0B`J6yeUl3laTXPETP9`4+;yOOPvC_vF^?DuF z;+;&LO1D-n&q^498IxDt-yPbT~WUnZmB z&k=6V%06&MbpCnzyNQ%D$sqW_S0ijBpA$5EGb~@q&*we|ir<{;_E`P3NHkF40iVlDKG!ui zFn}=5r7I0ridRM;@#pHt83kp59=TY8EDU?sqJl@Y2$kux>dj<*$wV518 z!LXK8G^|$3L>f!_z0uzCLwWmwNUA@}@XM#b^ED^yjjT)z@NF+Br8Uww)F7*GhX{Z+HluS z)+7rssz>fBVGZ+xV?(rgnbc+L3=n|-hB6H@Diztd@HHOwdqGpkE*(@!L)68@!;R_% zFbZOlsC(PhQMBQ5GVF&$YU|pOtkRb;d42+aa`S#VfIaiB@m7qKmL&B2rrNneO_x?O z_)spsh@^v@mEklh!q6~qF0P;dO?}i&CA_70Q)ybja2>RA;BEZ>oJ@k3&nimT`;Pq8 zL<|zzf%U`F-rXvy4nj`4<6y}2p&#?%%1HZ8w>LS~DIOj+W&C#S!HakpXxLC#aCk&k zufM?e|9sP&hyMaYMjQt%5RhtLQV-r}yLY z%>$o|@MY_lb1p+EqmA>IGqYo97hnSviQ4H{38bkr?pdt9d@(W@aDu{?WQ6oUKwCOF z+07M)BlYYpGqNsQNWX+#=IE-70b8P`Wj?u_t541~g)X@a9HM>ZZ~&XZE%qB3rxW{F zfcGGfwUg&)o%4+-sZju(s`_>RdW30YUciayvX+{vw02&{^|IxcksC>=lIsk zICwP5cmxL8v%V|t!Loa@srP9?h1}1&JJ;ybgw7abJOc%UbC#7|fy-9gWawYOaoMP} zp!bk0#Q((=@jsiySMGRg*j>Gs?);ygo?p)X&RsoWYa8fZs3IPv2h~9)6F} z{yVref5gCn`j-zldRM*{PL4IW?@~gf@euAuSNoKcqhSDp}yUMbSGzT4pe0d=$k zwv(3t@l%Y36=|<#+p2Y}&;|nv;86PfUOSuXn@8UfO`KTbLt_8adbDm)Ca~rk4v?-? z$$2D?Qh3t8`Wb3mWb3T>&&e@#Kk?@KRpW=v_ON}WhwDtww(s+*aToXNVX^i6{}>4v z5CH&Wy7hmCzCqi~$7NLR`9Q1X08G@~1mKg?2EQpLk3N9+!*Azq^PSQGGuUJm1|Ltl z`}v*C)@Kg*Mr~dEXrDQePow`1e=J#eEAkNWKXXZ4Y6LNSu5ZTwj!J5aoZAa9kYe;A zB;Zf~N9{T_0p@e(z;ti&b=+{Pa|p;N#`5mJ4-JgBMk9yE2?zobRa;jYW}-X&V4L5G z3J!?|5VO_};?BPsr+lgR+h|1g6)&pH^S^xe{~Lm4H75MOl7#L7WNeXNDCB=9GnC)o z?RHoDQkN$M;VlskQS}-+y|1_*u-~x1M|9xCX9D=IH=h@kUJ$_0!&ue-r7B>~EB?RM znE#hI&;cbHn*@^?Ru)#)cGkuTd;Nez6oV0re%^CECqOmcMhH=YF!NKlV|*Z2FA z$4Xpys`kEEcANFI(Cyj(d~jDG-DeBVY?t1J;0mUN8=c z@3x-b4D2o6T(b&^=tIIzk&p-gCZ31fPWHzSjSC{KpEjd_D8bb>>qiI(>H>a^vACpu zkrzdQfHDz{h6H3+Pju~ljGn4d4CAy2C?I|rM^otlP>KscI#ms^ps?}^ng9Y2Jq>`2 zDS(#qAte}cuJtpMcuNT?=2j^ui~8z5V%_yp9nsQoh+4h%HTFCD+t?qI;5 z|HEhq5ZEOuP^7fo>bQHoJ5+d`ECfK%>o6E}J8l7-_(Ih5sUrZz^K!e}W3^5XVC0R9 zkAHo7$_Wtm1i0$u-=>NH5_0K)L?W)GwG|!#0U)YDuixVdVEF$A7(aBoUjfiw2n77P z1_pfq96A7mCTai#aHReN5Q89-NedB>Zjno~lcuQHdb0K&`mfcvxI8SB%82v>IEMB+ zJ#T*l0H8g&Z1yVvy$~R)20)j_b_3b&^mO+1{Qv?1z$Dw*DZCb&AJwf&SHHygez;0| z0V4TH@O%wwnr1u&`g%~MkcS9bRAh`mV;=fM6`)UIJd34^o{Emvj0j37J{({JGLj=E zri$hQ(wyNk7!gH${F-+I327Z&m6`X}o5^EvesIs!US8habsqBbmanp0UDGs~<1%|T z^T51EPuV7jG|C$h|8L<9O`LbKRpHqTA+$ zVZAEk*w?{E0Etl7b^ae_{5aF%y%0Oq9*>bq$F^~#aSZ?BAP5z%@xj1zKeYR%_oFZz z|NZM__aT`t#e(-=g2=%?d)NCxScc1$(cMKkKUv#v->{g&Ayyk$`i_Ds-;dIadbEP; z&XYVGyB1_3D?2PLzPn-6iJz8uAS?yrhJQ&i^4k)YoBF@6%ut5vW1F1l;UaWy`hLDg zG;mk`i&?er%Xa&r!zTIDWc4h^uFscC#BTr;e-y_7Zcg^Z$trz|EDY22_eE{{yKmu; z!H@Uzx&?vfUf@5HzLXh)Yrrud{L^z^KPrl*FDgA72Vmdd`auzKUFU@ed~SvO%3wk4 zRskBkz>v6(_Y)K%jZ^g9v_;&R*?>@-EU758>WK&W-X2-x`?_yfmFoeb;3kl+X&ecN zm1!utx}-#&_c;|#`yarx%pi6wNYiY4o#X=asJEkN>Y(nL8LaDtmXFeO-z}Xyh(hjD4euV>uG%)c zRPkgm8zab+SzrN3{5b%8KaT)S%#t6=;sKC(#&uR;vOWOZ|2II(wP@yL*S{}xM4j{VaZzFL2@rHY zYyql0=9ev({{Z+kLKj18YAbW$0M1I(nLQ_J)_}_EEg%E807;FyX=k33T`&?a@clY& z?>)Ti#qXO2&%P}MkGG+GWsBign#VB@7Iq7rf#P>CMJeF>U-=1CRv1jwNC8zl+6Cjjuip^&l&z$#JdSFE@I)F}w+Z&pfm z$p99UCm*->v+`eH%?|lK_fi>}PNBd9njduZnM1dzN`Y(k*lCsh|XFA zI6~;82?P&fuJwPOwUxF2vv&Frk^k2f7U~S)SfA&jrdd+h)5Cv#3qT98Gqw5u9#S?M%evNP}+z;NX>#^poj;KfsbI*7h`_SX#&n?L@Q3YvI3S~@XMPZxe98dPOwhjjihYaM z9alem8(E1f*C9gC4rn7>N{>fL=GkISN1vm2Dhrv|Ity+@hT)Iv1X=p)=bA};qzlp; z`QM1xd!`vQEn;e3tlpFJ>iW1t5K49pjL2Wf+Y+BY3`m0QAOv?kH zpRyVLqB;v-@r2ln!Q_2CZz2K|iJn&Vh*IqWvcIR) zsT*hJ1OP?u7U=^T_vX|Nr1^jzvX|(xrIG&5I#U6)r_9X|PZf(EYn&d;8~1$GzN-!Q zOAYm@G^g?eInO$AGfD6TSbcWR^=MwOIRU{BAhSx3zkAGuH=L&g^3JEnJ*zIv&JTru zk2OpaO-$LAV9vZMzm(xQ3#srL?~m1W$J%-WXm{}Tf}(-yV@t#_Mk>J2`9hecn0N&1 z$;t^Ez~Meonnej#qO6{>}*KQv*L4HV^v4zDWIz6sQ7qij^LR4XRng4E9 zpF%mS?JF}!EnNcZfjVQjT<{yZz4<8$D!6e2{j*xvV?b? zMex0vqU+~*EXPg(sapUt8HSq_e@yjck)N`Ey0&$t>u^V9)ng&O9!N%!HnvobCjy5v zvVIAt7VZI|rh(ygr5XzZQQFMw?RY@aepvA7r)(vP&?f#IfPddn!7dx4#u z3QoaeoYP^jb;ua9rqO6*2}p@h7Dyj({XDy@`t9&yftKmdPe3`q{5{_QzzV_?4*QiJ zCafYQvLXbTL$jG8n=}XL;K3-NT58dy!rKe=O(=eKD1y;X;&{Q@nr$XoqZ5=mBlE$$ z#V@I^nkYS4a$cUiv3qkwW?_Qm{;#Q`%&*Oaa!BaC8yBV+>`| zUogo@*!>xDI1l?P2{HtP!i$&$*PT{HB}jspA?x}_dM$Q3t223A?9FZSdyvf+M_+KJ zO1>)Rk#k$!`xFI|m3!O9qo@Msv~paC<~U*yL91NqTWnu#(oeiJ!5v!Jg;P#f%<$E z&-7e7XNFuelJGwu^COjM6RTF&5tic&aaI~C;JtS>0kkr1%3pyf&b|GbXM&P@kM_ga zih+d%I)=TL3CV`bn97^;WnEeyr7IYdRFVYtl)C{04f3T>>hYFJR^}sW*haFDcO(y; zEr;Z2Fe};e!*2iJQa{O{A6@c-o=(fn88A#wpzseFsh&k`OB-+h2 zl;D&y>D*^HEV}^fs3gvb*zmvXolU)dYXAI98c|zkZYs3rUeQJeIJ*fG1#dg+8A)>M zH_3|{0=tBgoKpOr{=1&4LhH13*l!_L1+$goOW~T#E{T0QyqH@L)w~+jOrUxK9A6Rs zx{5YG+te8P-ov#NWN0=b-N4QW&dK60Sz3eGub{<6 z?9vBX!tuieF$ME-_fjxOc^m;&-2Bh^_gc+d;sTW66pKs+Fh6*qg7Yjt!@$3I>jE$u z7LDlD*C3+1e+f$#qEW0m!ggbvBihA|FP?9n78;k}df&G)GI7l9idZGy?S)VZ3%HUW zIxfm_0M`!+1csBrOoOBYy`Ee1wV7rFTW}x?X`Ek?6eO6*G;Elr^QX(yeodqi<^tkarXU28TtY& z?Lik$MO2P8_(&kZP;Sd-!`~lP3Q+p@og#_~Gl;&Y*O;A%hkVS~bD5JGM-;~lYTGuf zNu}KriIEsXm=Yn5k+96^EW~qGskc-fl72%B+AB?ncnvgq^!L9+fAKVOw5>WvZAPMO^XXVR7$jcJ##cc`p z@3=DRk6gV`-XoUYr32AGEd^O+0k2?5uq10waT{Qokh%jFh-Bj>0eO_@?TS+EP!0%G z+B+wGZsQwt-b8bbjNqnH9qjG_YroTqVuZZPUkRX`Kxifxyp=8apKYi;)y0)r(IH?g z(;S!@TGaTuo>t&-G3F~D0vwI>phg20wm!sATY@i>~- zz#ybC_gEK+EGs=a5bM!;ZKrGP{C_@b3JsFCl2Pu_AS*(CKtjKkP?!i5TOYhC532Wg zqn6l@Tob61wz1t6C26%Wi;u;}a&-GbNo%Tf9+!0J73a=GuFkNK-w%n!!cn~e+a53?$&sSxH5BImkpF%0S^jgp z8}#F1^NC29m`*xse`Zi-SIEkB@X{HYw z7scWTOPu%%=QYOAF-M2ae8wjf0(}rw+<-Q!XPRvI(uUR{@h4W|km&wUw=y}A(#rx0 z{{=Pa_<*mvk*_RyAlh4ja!8n=pC8QYy2a7fPq;IS0l{LPssYC#{ets3+Qd;8gP8*_ zFH~mgp{*ZY&6xo!z!iLPc)eRV@eex0@G1J(NK_r+V0lBQ{upJagAgkSL7a|dVlcHn z%+o0+TG9(+2C1|0$)s-a(IR}!tmCKHOh$CZ7q6WidI(FYakMiYR`B?b>?#Bn7#>dY z6x=A3N}8!5yn`SZZ@v;UkNhksDGRK@F=U}>C0t*viio2uM|p5Vd=-15CVy&R4p>Vl zRag{ItL{xf>8Ybjp)fD(Q+eS(igYPM);ilA*y;0i(EAlJa=)VFRux!+s^qsrB-OEP zJwIlJxpVf@$ZB_aKjf(6j>)JT8aHrK`L(V*I#tacJx%k~7`gfT%Y;hAYan4ZC^4i1 zPByUO#Rx1BNN8oHC_?#TWEf&X_810z<>NEl@-VkAk;}!bK17+i`27XD6>*M*ipdwi zWzXWTv4i><&s<*kX;CGo{+0$Uoii$ayu*Y9Y~Qu@`5bW;2;+9Ep0H63~KH zy=sL`=+V~%D=Gtf?Huk!kpshMk~U&TOrKm?YeA~6z2(Oe8O z?*Q089IXykI}=Yu$Fp8+B4ph>*>*~6(BF=r=mFf;oj*>-6y zVg;0SrfI(l9YNbAmW2oYJGEFkRx~##pcEGQ0N2_p*zgYCy`pF%h5X1dnRa;zbWLNE`?VPN`T0jA(XpP6=b7w7zAwY+)SP}qDd;`_8%o)>;N`N{u z+z6hoBFKu3sjK<}--bW{!GNJrEO3uE`1RKmi&vgkDRyM&17Qs*L=eL4P)mpal%6-X z-g+5iH9_GCTLN_$2@Sk#!1%tS85XrXjp*?2s(cB19EDlZZ3WY|fm(6mR{dI7uiIjj zEO;7o&oW8@aDp_1ptAG&t)> zT|O;-8YTW1brfHoEefcOJ`(nL6qk&lmu$17O7Co`Zoyql9 znW=H=NV7oLQw(I}j~WL)vJm7rI-$(dV_KLk+Z}NER=BZf6Orc8ZLpKXM5G-LSF%ng z!qEov3TwV37)jB#;9ngweXg`TajNLz>}I2n;l!(rikQ|z(XH(PI35KlQe<^%V zus>^uNZlv?8CU*}in0`trb_sQTH{7XTefLF+k(u)#WNt?OT!5w(1s=MR)TH7#;qhz zAGA-4IyT`<_0M=xrSlIaYg4Q=ii?!9mer&alKFSlqq2XpEDmIG*mhMxON5&{hnC?4 z@(W7u?=)U3l+Ka?gO|Mnab@K&yTL%Krs)FByMQDKW`+hRnRF6o@6(8U!8PxU@-9zb zIa<~&rneL7b#NL}-X>p)^CMT2FX-_>Mka-Uwv}r|W2GgHA>-OnO-7Z6HVV+|%0d4Q z&z(0y{D_&FD)4SxZ;rT88L#tkUUyXmQF+C3_|lzenU0VFj^s+{jFLzwD?(6(dal}^ zX(_Znj{;_&+~SM^1ezR*F+km_3V|1(@60G8)KA*eK_LCi6>_42nlo5JuW+`L5zsN8 zB}rkFsLaP-6#jdE6Tn!Q%j|+a=QBYkskg*$yrt1&42efTFvMaC*y&$xjXPcb1 zh=1NOMCn~|^HFwi1CvzUQsAd-a6P+15HeE+FPC1Eddrha1S>Ke?pXGS9+GqR=ChT+ z2fE!D>~fBf--*5%52RGdn_794_FDEBnQ_F;V9Sn&+0|J$S9MwNh_>175DI!#N0@6fv7y*cvWdsC_ zpeioU7**R!5F2Z)t3bfVv=wbe7h3NG+5?+X+Wb~X=vHzoFyJ8sk^+5ovs#g*J(@4u zGhAsC>5zAZB5)9`id&*zXp`aXx2icpas%8QDF4+!xM_>q9~8J z(~x?DlsYri8rk`k2vV5;7<^Uu$49ktO}qjh-WW$BBxm@8ximy1yL(X-9@$AyUd*KD zsT0q!#~|E2BdM^Vo|W>?#`M?Dsn0HVGKWKKn{e``ttK1 zr9?;e6xuLyA@LUYZQO7?0<#hVx~&z}4!3xjGpK(-JDYXHTA+A}2$$hl#mcKIBCGNR z#*0o`Vq+pdol-C1U^KuQ|4y4}Aj0stzrf&%?5Y${U}!4+*x$C0Z`K0tj`A7+d6XTK zYN8S#F&|ZY12(}lSG+$(cv33h57q;wc>C7#g54RKPD&ttn{E97xj%WL_<1byt-r4=HprK#;MAR#fk` z9vE(TDP3?8zON^=#?V0onhX?isu3(`<5v7(>{a~TuE(Qz=62-}L%on5%rF^x*5Le!C zeLO>Cu$PN&SoBcD3zTdd25hyP&LoI8ruS9CRs?3prj1+>v^j^fZw+jyRHY`i7t3%j z6=*CTTtZC~tC-p}r4bWlm>d$Fik%H3gejKEvV`NlBC|43Bq30qRl}Mh&@ktr_hG0v z2hE56z;FgQtcj(NzHrze=HlqYwT!w%acAHa=P=Ys*bW+wCvFg_`Dx?=k`ZZU({CTv z70Qz=SWsc(&ty4_1=eglI7vJ&YhYP5it5jnTI!F4X9JubGM5vKE=W-}%@L{^zpLI6 zR@8la4^PG)vhg2VG9f;Nwztz5-E}?)$oQ-SgRlcV zDg%7TTvnWf^5)|%13i*4FkqKoQwwio2UVh&l)+u_7%K*02v!7tL|ZvlnoV9tzrHmC zi}D}%`satQ#|Gz_BJHue8Q^U&8ay=VQvx$q{P2{>d5X1DLY`FKfC?I>Efay;p`(h_ z9>FX*yI=T?-DMlQLR&12PK~E0#eg%&M)({C|R_VN)R;J+_1!==ZmwVdi)PRexd9(z_katnm z?&s#vCESIW9JKJUKg)w`Naxx!xC#PIbueWa9fRRtvgsGjF3Bur|B~4#?lo&->p?J` zz6M2dJ0ash(-R0yBeBV2+Q}eO)BEjPjeKBd%10paJ;vO9zzHcU4cwI3`B|5b0>Uh~)NO~#O72KS zK{Xy}=X>GncMGdrfIJSrLy54(fSs;Y%LG_u$W((e#djy>B*^ys=0iwB)aoJuk~FSy zBx6^pfAMI-D#Bwo4j&f62B|oW{Hel!{Iy;Z#eG!zcXQ=x$%O!ZSG-ryiD(Gc%+!l2rwkp6DLCM44--5(y>o3Mx1%*<30m%=kjm zKs#j6Rmv=|O)QV03!$*EV`|+0xul^D&Y5Q2x}k%Jqa3{3=mc&M(QR9!%n<|VAktZ-8=d~2pufHI<$(PTVq-(oRf7SJa17@>f=`j zEGrBfMm3{ejt&dr53-MD1vgHrLlaKVm_8J9X6L11Mg>UKbI80E<{$oZjh_Zx{|x_$ zJFFy=I+Vi#?w+Y}x-F?N-eKAq7mPR67H>}B*9S5(a^fX9k=waFwT|PG!TMiRy>oP( z-S@>C+jiO{jcuEan>4m<+jiQjQA@0P*w8r_9S4mkFgU~sVSt55JO!o^enFxl% z^E1Zba+kiiIl|d}B2a5FImsUkC)uq+<)*4g@KuJy-iB%PC~klRM2xi3i=c2C3kV9K zkDw&ea(mc5tl%TiuH>t+th60>a7rx_ei?an`y8rCMbv3a;Y6^%)GVi#d;N`f99tnO zL(-UrA53sODiMnL(Vo}$TX)NcM>Lut$F&E-YnGfzBk^+9xyfv#M=3E9BzGw+gPAv} zlsuWwp*jf33N2{hyaH|lD>ePMSSOm6U8lru+fRA&CnH*2D18HwLw4 zXIj1Z@svk4oqV`#$w5*seD8<@R|1W1>&zfckf?Jfx5$^7m`G|@}W7p+#c6g zFeG+vKD(+wk>ANL(Svg?83p^*+??DPBmL%5qux*xyZvlhr4(zeAoL%@MW_Q-Eqc{~4`CfFr^iz>A9a8YEzUiJ@(W2tkM8CilNC z^t}Gg56O6`jMXlh(CtERK`Erwo=T8=hdIaY!e$!v?Zlw#hxJpW) z=HjHKg!cPdDM%A9r?!tD8ViLQmD@Ckm!ct@!|~JX@K}sQ{&dM_Vvp)sX-0YWj`S-A@%SFICxEnU7KUB0ThCrGm>)gg1qKZ zL%9s;O~Vv_x3Hv@kspuziywO7pUkc2P=4PRfiBnzV%`RD7fR=qOz@Yjm)ylH!Cx^O zrl7Pfze>w+(JHyhoA`2crrWCG`iido4#E3hHkC!C>!p(wt9cmeeW%g1A=KZfJ*hhu z+|NbRv>7Zsn$&z}aJ36a>a+Fl<<+%*S<+j0#ULQGepGzSKfWk$$V%9Cx&3st{LIaR?wJ+G2z{h z`QfLq_Mh0!zwNN!e-FP8Rs1NmYA7dC_YbAZd*OpPIp1c&{_)Oo(oryZI_b5D^>czH zc24P=#OFy+VU#8iiLFd5Qm1$CU4f1jnKfi&@ZRX&;ZPZ8a`pwYqNr$PZHb;6Ea1hs zPWB6q_W_=gj*r?F_ilOGvadN{+f%s+=uR$8s>_LlJOdJZfE3goDrF(eCb9p*V*8D9 zYwvym8C55GYud60mXn$Pcx#0Tl^E&w%`V*T?f>PL5uU{SLje<>a}$T)cSaqa+N9ef z)y|yjqNJdlsLrskgm-Hbq@nb?JIms+5F9EpRd^;XtQDC$m?sG$mN7n~eW6PW$)Cab(@13 zvxKw55~Wl#i3KdcG%*tbO>aMK`H-vg=_wH$th-(s1q`kxNluOx1`Mj1J}Ic)>8~Aq zG!%0LS*_f-1p<%-$`lO+?>iP!=e+zw;l4f8F3JQLrm+tFB@5ZRaY z`|fmq;_|no;F7$Ms#oJlirfcGDNfTKn7-?_Bg5$d5x0YZjg5_m$MS9a=~9h3YZ?$~ z`I8pgnl`FmyY)rCX#pyt@Wp%UgTno@WId-#tBo8pC0vNn{Gm>Q5Fz*2D3bc zN*+2jXkgQoo-u9VI%`_1__Y6W^DPtBi7c`GMvUmZc|I~d-=wy%zEK7OsaWHJVMTVl zP_CsxGPbg?vN|2lelrC*weWLrxSq_H0a3Pc3JQ}`Q;!c1dd&_yfNOFQOWF>v@h6fr za;)a`HVDG3$h`DwMZJhvzg>|{oZ=2b$H77{#m*p9gcF2}rbnSqnlqX`0+fxbjGf8=k#SZOe9{^|rvPP-L^Stg)d|w~30NxO;zE5Cl z%&ur^pSGrOf?0>t{%GoNTzU<#oyKuHec$_qI^&`Le6pbaNCxf3v#lYM**pn~^fz!=SnD0Ka16QIR~i)bXsu?LAsgFY(grHZH7MAWl$2z!VssrGcU4w{ z=eA9qW#9f$$dxfSlpBBo*kQlWbz>)3T#oS;*B0n@HZWol6nE+brKr}UV^;_5$Za!?!r zN0ggDV@XK~U^zYfFOc=xb)~NWNPs?j1MHVfn)QVMK$yd7KH$mA%PYw%Zz&#p>jUt$ zItU7QKlEofo-MQN?I~pO0?D};gfL;q644ytz<55Sq&Kxij+8h~h66QsuGnlE^W@Gn zrY9-_u=4~A&w$n-owJf=WN0O61q83{}0rUqk{PDN|V-+b0D|0 zLahp*R*FMrbJ`N**D!;zFHKD`0hr~keIU^o@Mjx`CZ+96?)8r#XaP9xouSAvgOy56 zw(A&(n&@FZC8fE%P+g^IJ$%m_QNFZ+17QbY6zdW#@g^?W{5w;r@7chsy}=PuP{bkV zen?(PfsRtSYq~#K7#ka_P{vUTI-JV+1nhIgMMcMm8h~xR%lpwzLnD>B2MG68ij#4^ zeR$xc=+U5*i!}oHdT%EJ8Ed$6P@kyOCTNc3<~eh}q^ zmMCz*TGWl?L!KKIVf7F_@2nA50W93L!woFV#+es0R6JdenmNDLK^DW4_`fAPon_A* zc*yw=%?E=_AT9}&Xd4WRdNEgmTIHHdrC6<6hH+2N15FSNmCqWtw`al@9vc-EB;1z~ zjl)1|SqII`8}bdtw2#OL>j+r&|IF4;bay&L+ilz4HTqq4e=q@bldy@m%a!lxy=1T8 z+52(fU!J<42T$<}=sg?r@ZdfthdkLjo~%f3WR4Nwbe>)SLe@_JM?G9cpdFAzj0y2X zr&j6l@#Z<2?UMD24}J(lZjV4#wB7sQI*)tax?P8$T0oSq{XO zQ(uDM|GWOGiv>iHs3FwrYjL8}IGM#73J$K1M|8}_F==u~4lOQ-lHa0xkQct{a8gG^x7;>2u z3dcQE&CjbELSmi8NsQ9#Oh!Itk?re(sXCe0pycn4W`biBTIEAr4VE!Gyxty= z@HrcWUmABopb$0S$Y{VjnoZ^)JttQbTShq8oDq^TVD1_0?Cb=x0us6TjxL8dty=*g z(>8{r@LCi$okdIVw`9S<9Xw@x7Hyb@eZ{v5(G^Yo81KJ7*~n*;6P_%NPvj9hb?yI> z{U|eP34orXcP`7@{lvudR;`XFUW}hJ*zYZ>IQNbjpFR%ZF~Ha7J#@}#{ipFMXmS~@ zViujr{CO(#W*%izYcUXJk5h@YBDT{@+VsbMm91V;%8>4l{kreRjl3b((T{*>4a%cm zzv0Mn5ws}Kdvvm-qY+a=aWVwbTq;ZnE(G^*|JiM%x9$VbObG?yP5{4%j3PXb|3l<> z_F}VxZnLNql(LRwu&M zK1WaXOZJjn_9t`sWvE)0CC1@`es55V)MsED$vftZlqIR^mlvO=lGbG^6<$pWww(5e z9Hb*lc^s>awFDP-cAKF^MCfbU6Aef^JKQP(bJk?I-s zb}+BgUPP#SD2&p(n}T{Yk4Gcb?XcFu9}|kZM91C18E+}Wo_f0YI|@ogbWe8UL8L*6 z8IDQG8{sr{i3fBqwxp z@M>r*!F(9LJ>2H|666|UDD#ZW+#93*KQ$UTIWN`aw(0&ENcRvDd6wsI9-f{GcpPXw zcr1h<#!9fv<&6!7W93nA;}S{=O8AW2gePifD?Vqy=Xr(+FB8}9(4!#Tg3c)-68NN$ z#M`nVY<28}zG6I{%JQ>ZbqD_pU`5R0&)1NVlOID!@f6#_*oGz*OhX|c(B?9be-p8Q zJyi^bn5(0oR;Q8+=t(Jr^YteB!WB!0=z>30H#6zM;6Y>#owsDBrBk8CfHd)|+haOB z$eBka6YpuhY=BkptD}l^DYLMbq@;lo9704!M#V3)PnNgl*XgQKzMpjJXIlly%py7N zys9;7&pLag;qlsQ?LP}^xf<|9>5Ggq@@h}Qr`Zyh#2I^}o^q$b5D2Kr8D$h2Y8)KG zOC^3Go03qcv(!D}jC%uvN5Ab{x$7*ep>^Hm^77AU*n@+D@&`t)k7ZCpinpP-V~J|` zbfomWj=zm#@Tbh37W+qkC6L=P2z}s-8F*=>^0f5{IrJ!=9zo$37b#bjE9rv`yOJx; zsKt(f+p*9X^=KXfvNsSZjQKy`ZjYwPy7Gm=nf|=SXG+U(G$x)cyWfo@4^?`2dJ*st z6~_>+mTjE&@<_|bYh$2^D?6RlcHVvBqpv&my|%PAkLx!wl8aFVxLVa^R1lIioE$<77gP!00Z7FYsX5x~A3g6w1FHK4j6n;a(o}~<8Qh?Ug*3>duDtR*5dD6S71QN@Dh|GsX8QcF^|B_Pf4EKO=^!# zIO5pJ8l*C`urLw9VP@+xFzB#%=wKMI@26s|S|KMyr0aM$2v22j``~N5c^Pc*=J)A- zz@S^OCD@?f6tzE!CeUN)-k6@^?TuSlhcOpAX{S}`@P(et#9yO{OCNJFFbg$I0xq^& zjOo)_#R&AAnJ6$GZcfRsivX~f7s8!6ET#iG>B?n#!D2#7xF=1e@B&a6XpePdsC%53 zf$6SOF?c*4ahWVSc(u z4%|LcH)Fu$#*yW4zotDvGk$~XmRzDyHG$dyZku(1Q@xSb_+lxRucp%BwUBaMj|NRg3;OxZ0 zKbJC$$(PuoqE`l8#5Fv@(zX~I$fECm@Hm>O2oIlNM-vcE<*_tGn4^x$BIhBnGjsk9 zPHMA-J_I291KbJe-paXiF#m-^Ev3mIOlQ;F{Qz?(9;4**r#1^qX4&7;f4?3gD$;h+ zlQB&bgd^cY5Ej#i!Nw$JUfKWXE)Dp~RUZ!{;f8!0;ZjyzXC8{#ZOGFMX`I+BW{7AO z`ck-({`EV!Pz(`y68mJkI&d3jk$&bIt&pb4gMP9yL8*)NE4n9|_tQ_N?#Jf@?iwkAL-Kso*`7zOEf=4b*ejf1_ z()LJ-lySAtZi)GBuE_H=S3{wUHa6WJsd$PLo54};f0iEK00d z_c??AVlSM})A=1VL|EHr8!^+nTfPr&4H9cdN{vQaXi6;sFmMTuSW;A+j;;%2xnts) z_$46pIQ+$dw$b49HkfLHT(sY3hq?D6#Dy|1n%l1?VL%>@Pg1G%cd?igwHm}8{e7@7 z*fg?w=`Q^4d|IP4Cy?r`o>%#ePJMg>_KY$Uva;h>S13;msSwFjDKnVpmv{JL#r9fl zD>e4lABC(g&W4YmDuCQ0nz}vI+!6x5EgWXp^y@FD?J87RFL%!^f95iC7zP_mL#n7| z=Siz`N8?t}PVIP5TqR{oVZWnBcnMqL43Ft37L*^ac5M${#~5h}D|y56nLb)znpY5{ zWnEBEP(>DuzOBxHC)zJ1O)?=&D?>N_5G3y;D-l0{Iw>{9cy7Jq73ew+|B~jc%iG{r zr7U2`m2hI+sT9hMIH&$x|A&_3s2Q#=6agod*{Qp5CRp0`)p4oEIZ@q7$fkyQ3|xTk zHvEFa#woVgUfp)NPV90Ze*nO8h4Q%n>B%KjbCGBV6Cb>~R?v~#|8viSj zS*D99DK}em3g3jN9By2F%7%l5DJ1RsdF7(`zN6xb%v+c70Oe#UP*q|{wk$XXV?87F ze}`gxY5l&B(4T+4`iaT@Yq80;j3& z^0kAF0^y0tp$kfItA5R|+6?JkH}%ZnwQOB~gB=L2V3Qxdj0a{)sf3r(T!-HL;N==K z4YWbG+v*f>s^_2zb{ww2oTeh4%I%m=o%a)Q7fQ3k0W&eu{}q1Xv-V;)p4fO4JTkF+n| z7>3!~l!MW;t0y|pl*$WMbCM2Csm2`=dEyI@dRu4g#@l50+{ zEiU^oOn-fRmjZVSU>+>DNrD_U9VX`}N;a`mt z*ZXkHTyD%6|JGu*s-o=ALr`)>*mu4EDVF)$#ojn4yh4kJH%)`moy1byS8_cyEE@6v z>;*~$0k*JOT7^tvU)y~v3si^gr`%_C2s^;@>QorHwhe#A#A5D0T zrUg}0L43#SyOu4@5Ta@JS*FvPbnnzjGhTCTD2}@acwupFeftR8*PwU}Y)XZiI)k8#5bd?@!Ne-_ z@u0f85|@yeF_#%bK!^rzg$Umn8pYVuGBvhj*nD4H-eE%jOc0DdkF=o@jWKps8NR2a ztzRGCNod{ANDZwQ?O$9rhqs3mB;HZudx?waHeTq5N% zDJe;gXKk7J-bW2GC(bW2hyBk~C!)G>$0*=l=u zc}N$84hvw}4Sj_E?j6?bkyOj}`=?PXxy{T;hdp)`2(WMwq3Mhg#W@4!z0L@Nd6r>> zSV%)O*3hE&+NH_>;HXuMA|Dk{v^Hq4?lxzXwEW;^j*f*C?90^H>Ah4hg5kI6ZYyS3 z=BQ9lba_6Lfch&3#Iq1<){pqZTsfT{x~C4t#1PE~FSZT}y2@?6xWB4tu3v0swQ;iY zghE&NQ;cX->%ZQjd}4V*75=_`Epd-p)GlbEPEQt=n;}vuQ>tak8~*n3XXwJw?vM+k z-pmw-kna}V29MLP3^-Tw2Us)6zEZM&EaLN-Z(lp2^yYErdbs6XF|!=E$**bl&|Hs% zi%H8R?=80}^)#)r=e+NGL>PNX4t}cHva%}y2h2&+bgl|4*KeQdW{(pQ->!*M`DkpK zcE1%lvO_#~s;1;uPFGMw`a*?hjM-C_v_hRgm}n1^!|7)YRCIf=uVy#BNIRG@-(J5N zHERph-U8aso?29%NR^&+4GKeaaTyPri(@~ZxKMnL_5qZ$!AZ_RG8$@XYWq4%8M@sE ziFnZg1OauK2RLoiUONw{6nUg)aD=%7#@jOO=%o;4NRJJFH22-ba~M}^GMKS6g3o6_ z=1ug6gKV$@+#ScrvA$N90#AQETEVMGc{~SC2K2jDYd1%qKl5CfXb%`Oo4*$!=;>uU zfbO;35+^Co0(uvxa~$!;uoK+_;vrzh$IWl>fr92LKAYEdbZJTbpseRNa4=C}5v_uH zrBU=WV%ZQ=#^`@!e_K=_n~wDs}5P{}I;ssNFhKUZGw`fqKG zgO=;T<>;M$KR>_HfpKe#wMGU)$2b5jm-6iP#{3cA@roEpi@eMI!JYkl$vs)9Jdv)3 zm|j+_5IdgIL?c)XAN;&qLGFRGs*flPr-<7piVN$-WKT9t`Gx+sWSS98&ZnB$OR1X+|!n z>wNhLzIYllbi~^5lz2Y7S*}!%Ow=dkpN*D`dm?!jaDEWR_ zmpl^0#2;NJoeU;S?l@`8!n}Kmld^+N1y<+bV`H-Y;C{3J6(3O6jOt{akcMMAF~Y%S zBM*&em~lqDz>=u}HbH_6N@P*D2E{2rbO1;uCKz+HIL>oJW1DT)*^F6^6qtoIN6{}Y zzcyCDfo~V8^ckT86qGZK!V-6ofY7%W(%8-?G2?0l;iUK@)ccw{$QPFP+Rolmr2ueS z6(l^x5{&owVAJ15_QIfJCf=`7#Z(6)y^Urz$2-I z%$<1Ck->z~p;Lsqg7*xjG>l3u4j{CDssyaU3s6lOQo?{aJpYx<5pGylVK>fab4;4b zk-PlRhcZ)L&Vxi1QmA(=l8g6ss*LmOH^lR%_$kH?@S=qQ|;~k zT-!*X9WlyxNbVcYCTFWst{Lycfp=0^d;gpY0Li)CuzVGI7qYCa!};^k_GF|k`2q(} zT)|2PIwe?O9ZQM<2aAyyr2&&9VXgDD$0hZ> z1{j>(8ihn)2Fnzf$-uX9;v{BsD_b;42g$&(lr{cDmKB46aeLEa08pwO#E!9kPuanj zA_%Z@d{kGm38k=1&r-JdKqm>pOK~r1^*|tZl}8#+cz4Fk-aRmg4b!;+MWZwWw(oU z8qQj)g>s;Te)bW3#eK8Hjh1I+L3=A_{BawL9)mF4&+ZS|ZzP5Fl)lwH`kT)Ea?=-( z`~YyK{dzM%tS`^a6&^3EsNi!sng%eQ^|G4f_4PNvnksUw6ynSZkBLjDbd9)ATTo7f1A; zc%0evHSVCP&S9q)D7!hhxZ>mE|HGCY%@n|*lC(SRf9EHOmn;C((D6UQ+~JEhI&E(9 z$#p)ZH8nMzoqo?Z`^3b=M(Pr5xDm17c~O#eC`3Fs@L&w?q1gd@q0hFNeC~``2=|YV zOnU7ae|NVoad5JEoXr3trdP=N!h-AWAhIL3z-OapeAc@)l`w|{bK`J13P11;PXtql zMilTH5x8BudVQDuLyw;L*LC-W8+u-13*!+gWf3o5T_H{BM%f7K4pBk*zg7B2>U8hdgZOV zv2>|3^_a<<#e1^XyrsV6ys>e}Gv%DqoPF!JIrVz`QHz9gOLm|JVnCYMI0hvF!tLoJ{Gixm#*PyQV2W>!xabcUE z3JncK#$z_P-0Wb5M+tAZSYR<89PR-Y`s;-g5f&E3G1EY(D5TFqWuehP)M~K(YC{?D z?!Y2OKz<4+kcjQCEi58ZHo)VotwGD_%K|743373F@HCahQ9jQ%d8E5F-En8< z=klrp08`R#qt(>j9+l-CNGAz}&wYtKL*#a}uqX`=hlLGHb>aZ@M$TJ{Wzk@u14b(3 zN2D;W2^YUR52(c<{RJC8gs4&g@%TI-AOMgt`MvHU^c{V#=(znq+L@z(p5*yj6RN@+ zpveBSUIGG@h^$Z;?B2~HvcoqN9YX)r*OrG!VVq#+tm;jGlYh*m!=%rPen=rS? zYE>8HIz-me+^n?s4y?kcup(XHfA zT||6tl?4U6JAL8ToC%~&20ai#33-$Mc`D4m!>r){k*h#Kak@Q_--NI~Q2(#S+r=Vf z;BmiL2gEox2ZtlT!9eSg#p_zGR@Dj!hJ}ctDWTy1acmuSL@jtPO>NH(j*sD!?SS;C z%YXlSyfIN0TLd+WNm*5WR+3;0)^4-efz|n7|HC<(v}oZ7nsh5 zr7}Nzk31k`mWmk2;Qbc?ldLcPK&lkf#tNrVS=|oDDw^Guyy~ zAorFhC*ypOmdn{cW~+e%a}3DonqT9gq=boF&0I!U6&WLhEUntCKu1TX24OAKX~Bp> z!gXwZ0EpXW4h>ogy~hmarXWf~>hHo)7fv5`N91GiijFScKdUrZCYqq-KsGU%GaiMWTI zK1P(J&>SOXPjwhPg`x5K`npCZsK^Ora7tK@5jl`aG^`#TGrWO*bd;wE|-?L2havrsDe<2-P)PxO62z?Z=5rT04duzIU` zKo;TocHdU@vTsyj|NB}>M5iYoJ-^ddU_l)!X=F^uW&VB6QjMuuOVSod*bt_9VNWEP z8F{Zs`Zg(2sWwi7;#Q;>t%ydo*CLt4@p`7{H!ZF>G7lv7U}|{17(QuLS;Gko2=Vj% zsj(L=b*Di?M8vg4HYR=y0UL&1tPmGUUP(5*1*Z?veJ=@TXkefYup-b$qLD#wHwTat zm~Yy!uozq5&};(^hx9BqsNpGkY>D-Vv7mFn0VMH9L~6;5&4=H)$1z!{rijmH4DWs_ z*}R9n<{{XH zpX9RS76(SPS#LT9w1CAW#r~5K53d#LAsEc?dy$k>hf;7PgIG;Rhw({NM>3o`pJ&gl zx*LSSpiOP-yC=D?RHfmgn&GPomV6S1!>I6@T)y9MGFmkjlAEK~IMh_1Q^t^_l6yaF zxnJ7PGz3Z`L*E?gvd*=MoNKvXZlJbdXubwN_S13Ie) zLn74Z)6eeW8FB(b`Jiit4H+oFq^zNoV<&rGK^LRehz3)#|%9|EpaFX0u)0y)XhF*!n_xtea8f*HNr_OanJh%}nb zu-065OF5;JNvp!7RXDvW;pYG%X<(~ z)L&~wt=g=8pA-^Z-Z_jU-()=fvdO=kLjN!qFSgTP!F6V_y=r{6x6XK$>$-WpP+fY;NLOlui~z0Vc(q>lAX9Pz{_?mU(B!8M>5opiWdtn=jMCOl{} zo%}drK%iP&U54v2N3>iV%P6tR-1BZ_Lic9s@{-;YU(EXhW2tEZ@n0)a!Q)0)1ErX$ zKr9@^|C>mw&Al*EZDAKc5Kmy!(RrdQaE8Uj65|E7rcSmTHg!UjT&H0lOeQ=KZTLG= zTT!ETF=hqPR^w3cmvaB|Fw%m~C{!elg8Qy1V}XsOh|w~7IxEWTVgebb{icnfB8lp< zbHL$tHgM&=ySmAET_Z>&@bKv}Jf6B#uW|Zz<3;%R6_-)hH`EJm(rjqf4GD))zr_5H z@70xKjp1(bl=fz48*B-VJ;}P3zh1KexZo>Zj^X{*4zMAquiWubqQpGv-VG)I zTRq`e_8Tkwe-50Xjd*{PogWxD-K-(XXcN(Ffk8Tq)EfU9+#s?QueW6uuZ-vyd3+U@C9I&+GJGL-rUXyNDCM`scKbPMd$uKge`!{r2pGsMJu+` zdlG&hv*pI*6R$L)*?xk0mBE*s*>pH+rkpsj8O0DjD0=I96NlwmL!j~qO;>TDel^xc ztDX=#zr4U9&6z%IyQYJbKZ!iyn+*Qm{1>-Z*?~OY4h~b;RPXgqPAt)U0cg!MM44Ni zI{d_wiPCMWXSWy3p@-%#P9H=v2k+A7-g};JTO7#^GOJrQe@j|_)|+Oq;-+i$7xt&x zgEqPEH&w8eU)n>cGb)8QSBub{&aS{Oh_yHvQib%tqa-Mv`cY4zEXNKQaHA7k(NdkP zRY4-WFA;02Rs5I{|9jS6WZi}TvRjBBjU@ZEu5+8m@JeC7Ndg9v4*JDLYm1B?Ip)VY ztqOreH0ecb3)Ye5Cw3(h&dA#*+b}!70)=Bx4Ea3BBuD#ZI=wE<(7$$ck8LOKeGMqF;8UK;_ow5cy6 zv;z+pH?1=i0h_D>Pr>u^!?#j5HO!T-Kul#Z3@|a^?t8f;C8sbTkTWZz!6WUM`HT8r zOFQTW+dB%wwaNu1={GFY(yjI>t6hfrkoa@EKbIJRPHC8@B9TNKEZd>Y;8zq9>|(?DkhJ*SY!9!g zFJ7XlVey;(?%9_bFtI0oPZEdrv8n8KTP;J44tWs&%K0vr3CZLj(~zRgVk&g&z$|d#^O$B782% z^k&yF*RFy-itafu+#eywh%9VJzJIWtsf42A?U!4r-a2c%r3ASLkES+W5(~e&m!6#6 zo!&WOkMaSf$SW%Tt%}@P@O&l@ytdm1O;X>6cO5)@WTvbMe~{S6N;6-fEt`rrWBqM* zf`5oaPq2M?Zu9+uY;57lBXIF|67AtNN*sp;LDM2J!fCpZ$$@PeLE$FdUxVN1{iXeh zh4o7-ld*^UVWp3+S9E)xUN{vjwdkx{PJqcxJEoDk*}=#}i^32za-^4sdla1j={!Id zGZ|HnST|^chK45W&Wn=&SQ?#`7@jw|nmx;G?*f!F_NnY|%|Q9@VD!XNb;Au;bFwh_ zbsuo(;&DO$N@1&)eq!NcGs_YU#%D9D#em5~FSHUgvz>>`(-Z4wDdMnO$5W8A#yDaf zaZ&O#wCp~SlutF}rGi^Mf21!H@i`0>YIuX35!^bzrxkCsP>pvv|RjCm7Ua*WtcOa!&JTeAWa;M>p$YiCSyqZl_sV6dzez_Fw!6TrLnB(_P z)%VdxJ_NHpbj=0U+NqhR$Qo1^91|=ow8AY?|2K5~ki_jTnb=4DNND&XzRCdgnVAe9hB8n~ptDKo*VnRMVmF}FJCNPcXms1 zlwPuklpMnEPovbo@`JQWHpyiil5gh@p4}a6d97!ufyLe*{k7S7C~O~p9r16xa;=?C zD(6S|b9 z2UTqkDX#R;w_%Hb4Kp-JDv=S5gzQqoq*zaK*J&>{O_mGgINNKB&#es$-B$CFznFgB zNKPKjNHdAgc9ng3s#Lkaf&KaA#i%qPMrvmwMq-vOuK0P*OI3# z8R6&973NZvHts@jEoac0xY_@-dD_ivfhQ*SKoFU8kIbC4n}g`A281uy)uVxhW2jSHi>(a? zX3h}@nPJwZAL1R#LeV>}N0wqv*WK;gzSb%9R}5>e^P89IDK6dBN&Qv@zixJLLb<-x ze|Yrp%THIzlrwy--qVlOsxroE)r3tK^%=F)COqJ2Un z1!4D-rNO_{)=N-?VP>R;gNhd_f^+pqrVj>cOv^akd zWi*%}=*wGGj5PNc$)9i3KQtuOs2W1Ln_gfNnvRpko-Xr0O*pcoj%($hsV0*rQzM&k z4ruo3I9`2pJ@*9j-G>{-p7<_Lb?m-Ow3)iF-2JkZvw_(xpV6u@PvHc%>yLVmM3-c$ zWoJz(?-icV9IUGGqVw53Z#^D+)fumZSc5f z^jbZ$#Y3|&AUZ|vzNasYX1;*v%E&`}>BIPG7fu%JAGg72=OlyBLWVi(p2r^xR&)MK z351Yq88ig5elq3HEbRZbl@&_^o-LlULofxJX9!1-oDnsLKpx!pB;6vorIIGHLHI(` z4r%X^sydqFgB8HE-TU6mX2 zFt^#vm@`vT5eew~Vq9gI#8Vq zT%1FymXtgd{k0J8uCZCLx{YJbM8>_?!3J7Qj7FgDM5Xk;uRj}Y7KQE2AKpq2+D6J7W%A4xj&Uc1*Cwhf zgWW>Ov>$9#hgov%qXS#=<*Ie$ios8tpvYDIR~lOkGcaIZll<+pM4c@Y3AB7Sr~Ts; z^B|s6YSvc0kGNN$S3ytDO~@4ZV~!s4U2nAYNEVrqDOkq}O9*;vXxXr!dFd*hmLz!S ziYSR6|MeX;%vAWB9WVS$O49_cJotdQ7_}U}JTTw>+w#{LntwSS_CJt$J*G-QUuyqCZ3|FPUgf2X?#EG zT{k;#oh?6h?mmlHiRb=6y?=gDGWn*&EupKL&F}g4{$7@zgs%;V3wQfKdDqME-Q8<- zo~|3>05hYWgojA1bZrrYnHgEIKQylRe$0Krs_>0lcetLRa_Z=QQ5LOxs zE0%L{LP368a~0Nn9V&15PBA*s#mLqwY)e%t736v5^^rCWC?ft0y9VkjWtr36?vu0F zx0K1lZV$rKc?Bi;_M2fdiNS$OHOdQV@su*R36~}99){zIW8t8hp#XXmKXC*Lpf=Ez7C#`fI20iobox?{SFDir z-B=HpzT(wn6?8d5JLfFR0)1nqhem7jfzM-Fx!!RsGNOzo7wJEj zmUZQV7u;|W>WUUrnhL)Z71iDA9C5hI(9F&zZ~H6R)O4ImXy@V~=s~2aGz#Va#$d=0 zruCA^=U+&H)wG784A-Nw)dHLHN+&a|{$%WVN1EB0pGeBKMUB(%s%x zl=xcV2zNh-nH)!(N@{K3vhX<}W~3h&gCqlo9N&fHp$bS>{-Xr>Pr3)vA|Dup4)AQI z%Y(5>41z_A1~+0cAej0|e;`ZxN;u}f@hQU>S17_O4twH|B@Q+%zJr*nRwb>{>iQao zqrd)sp4|*yhbONLNV=2=xg8~+qGrOq$n45^sQw(Bf4+qXGyJ#$OQ+7q;NNyA!RneO zw!TcNg|PZ9HIW>d_w}j262aSSlB9dnXxqSAUKFYHR}=&=<(sp=Rpqk4yyC0L^e&~c zu;RqL?r|3$f?>e_G=S6qm=?Md`4o8G5X1Q%6=OD3IdRK3unaCksxe_xfq7`6mbs%j zzdEMu6Uzq7!`e4tR1`$+K-jqTtW!2OFtARilCi$56xcbYjupVI@c%wqwti;!nr2(a zr^B;DTj72#5!jHX-&#v+o1xA6#_Ri{!u4w|D=;p-0J6vzQ;%5VW%Kj3S5c3fnrjLL z%nIFBj``G+IelvSvtlK%P-bbSHfD=@`@KET%1N~5+CV0XK zw8X5YGU(k8f{QJr{L5sGPum?j1=ePp6W{TsV>PT!@!yu-qMVJ#{#7XP@n{MN1XH7t zC1Rs_2z2-ye59>z4Sli--Ur=)V$2+ME<8c81@9B~^*0^A)X`yeJ;vF+{lBi{K_C(D zK*YVmT+;5IX9c3H$?{~KS>~DA&37uU4{bM|M33KX2Et8b+Cmp#3-`&VUAR>l+ZSui znd5g^N?%FIO)Eek7dQh&++ORvKXdKo-{%N|&bee3POrtvqbjW#WQBz&^6)Sb#~e2o zO$yG^DEJR~UElky|4?D}NqL%rh?2AiFUu$vqR9+PMpv4CA2?(f7 zOlSCG>AVl_?8N?&7$>*f3Jh@d!Zb&r=8o6b*Z=;l9<8XUxg#$xFC!yh)8SB|oM)G@ zIz0UH@yzZ!9Uj1Q{-}>YAZUT0PzWkHOdpRN6HOEtUIybCrq_fxqQ;p`dv6}x{PTJ2 zSKlrAI5pjhDK~E<66xTe+@w`FLP<&a?Afy&U#o0!aq-H!I;ng2_(F*wE-FJ+egH&- zMm1sQC1p03)pk;AYZ3K_EVk{|^;Y9fOQ?Thva)Xn*1$(i^&!gEHYb7}@)gU#CpyY; zk2t17Gdk6J0A`kJ3A1U>+~42-^ofsF^Zf&W2UyhPNRH`nco5w$7z{e7JTu0E>$$n$ zkWt#B0`fESEE2`Sv2QFk?NtsH1j&FkHcO+7=$*sb8l=H^(jZNgs`^zYMu-fQKb@SG z*8oDHL`GD@QvgjM;-O(6spKYC^Re%_^!1YJm}u^03)h)^>yvNyzGY^fEY^k{FY%DK#{{=wmxE{I1D<|-Wvd|+GJ2NKy2E(wp9 z+1geib)B{uiLdo%%gq2D?HQc40lX!ku=C3h+;ilE0N3~ZrecVQbwkRl(`&!#0S~~? z(2$x$*Q9n$MNV5KD}B~ zGd~Hv+M5n=KY)F_AsJ^o2_n)U%dH!PU`` z>&h4Rx#8MqKyr=m0$3eh!w8XXdLpfwH;L8_o3iQN#OXZu)+HK z`T5OmKB3nqTf*_{)< z_BdQyT>v^z-MJPuu2BBLGSE5cQs~7Gx|#PASrd%qf={K8eD zJ!CHO*I1-e$T1RhJUR;xU0wM*ZxBmG0d35)b-;Pw%LN`!Kw^T6iHUJ@NA61Sdjn>( zxp-37du{=CJ{pk{){I=U{*aAOF0i1tnoxSzb1%hu`v?twUe4Z6#A$iS&s+)>wc2 zbGCjDxVcikY=S@)~VcYAw#N7v9B zXF#e-!(6KiV746RP32X*q7E~TNyx&w7W2ROb<@{QAo%UJm&U^@NEwMrNmnSkzgF|` zmhUa`-vX_U3%l`wa`n!4C$Vnkgn*yJ@0lgtrL5ZeFS1U6ZmV?}YExpc1=RZ^cUt8_ z`r4=mB$eikZ?&(7!O50sFL#K#bRbP7i^^RbqF<2iCpY?REe5L4F?zgCHvL6q?iU7+ z5flzIf>laM_Be=ULrW$j^+K(!U$=?QlfN$gSl%$xS_)u5xAC-F23UWu7k#kjyG;H| zQocvK-11jeNK7RhEB1cTV`35?_tHcr;O{cs+TnE0Ro|;S zVN$-^cBZBbYc&bw$wVxnfo^jRcSMx-OdMucBfzWk9Q_C~%zFln6q4MdGmB#)4c~bp zL%qv1n8GRz+on6*`p(9uQ&>&`S&a|p!8g61T}ZoL_Iik$LWzciCC)g|mo@I40FKOx zU%dNzHD9`NgN@AS*W%lI?!)u8@9wUV-^>7TxgkwK{FvU^F55hMP?jo`qk@EkBB>NP==(pXCi%G5!5DhU&zybaRKn>pLQaODH2Rvm?@s zAq&n&=s0@)j!e`$LE!ml3Xja)jgVf@H0vA zA*2rK)WNSC3VZB%L)wL*H)0V*4y1W_H<2{JmF`zg-M!MQg)}3nr)xDFqzBVASZ1U3 zRMN8E88CIh(89lcJ`mdfNP61^(W+JW*7uYk79fj$LfE!%Xdn87GvzfiXw9>T$FGQV z&rA#J=-+*OS9Nn_AYper>Z*mQ3-223X#Bs&Um1)6BJjOQ*0zDabqZXgX0oxgF=^(C z+mT3$ZN-Z79tbFIbG|7pcTN{jx1c*=VR>~5WS1H}`8Qs16N8=&)lHkUB^D8pkR2ib zdzXb&h@g++z9{)!4*t%r5=Enz$a8L&BbofT+KEo~1y8MQ14wDJ0QCH@13NcJk~_9` zZ4t)aXV(1Z(PN^g6#k=|FP@ZANId@eI6WwugQyBh@50am6aIlj9)W$Y2 zm%Ox9haomXi`SzjEsNeom z06xNR`9_0*+~4DOGVP^-SdVO(%C_3i)C=;@VlytagHWj)(a*Lc9c~7b*T#*k0i33` z0I}s%{ppKg-@sHD)oC}Z*t{allr$*Yz)`2ST5Irm@fG;R(BY!JJYnbJ-i}v{o&}OX zDk01s3?v&Vd`5TOCKVsyB7X!VBeJa8sD7k9;@QMqFCrp= zSokNmj7B|D{fbsozm#hIbe2FZ2UtBP_TQU>j)NbCGuG*%C`h z;a0Hw?{gznq{I6961I&8m$k#h-5)Yk5qhp?4&{J#5^mnX@yX%qi8h^XQ3E#OzP8bD6jS*g z&3h~U<_|>LYwFWgQ;PLr>FyswpSF_{?+$}hJFzxCU)pMV73)Jk*ER+^HArhAiE}`g zVbu6d0~6Kq;cc$=;QaRy#)%=O)yJKvsjr?eUCh%zz5#o`K0PJQuN|GQcoUA@rc0Za z=vSuOGhi*bq-k%@?)v5+=I`IX`ZT%sVbsIploM&7TF*JK3rza;TX&MhB_PROmbMWX zfAb@CU(Ri%(A_Vq?*u!M!xmL@9iP_kOd5YDW_A=SvH~purrK;x@JBMp#f-YHX+RZz z|5pL&X8z~5Uky4L&iotC6_Z}RR4$S{&b(@yCHaAeBHY}`*2jw;%%I52_4VnJsobiX zDaQeBlNFH+0So9@=DLK;RBl;J^a}`R?u*lA#e$#U^%?JSGA4TZNCd~-y9`TjoBsk$ z#VR$4d2+Chs8@7}?}`bA0D3r#i;K%3eLVdgI7RB~>EW$HrN$$FaS(HpEeaikg{2{2 z5$b{O1?{!3oqsAHm`^J@mX{4{d0UO`U(6AbG}`)os-){CuXpj}-u-rklp^CMVgt5I znJ~1QXNiFj^6)B&%l-SLectPaMjXyaBsdteXR%bqcirRZdp2GDXjR^Cv%R>9TTCpu z%P|VQyZj9RHAn7+mk~=!q&+Y)(u^6Yd=pAri7OZx9vg>vD{nz zt(PNT9JpFVVIpUUUl7p+s}r0o!tk_WHOCm8v^Nvdv@Kb1#}JQuK0KyN6)8Ltd~;jR zfD02J@A#1RX7j$E5=|0ryt0mvS}~6M=dpGEa7lOrf>H3n)}lNFP*eA(^hv4kcEuEv zp(JD~1uAfkRz4cVl$dMEZ}RzLo-ZiGuu~+t$jY7$EK0s#doQS*aTA*&# z8?}}J6R3eg#fKZY;d8;&6BBWi_3qf7z0v~u1v}}#=FLg1th=ba#LnPML z=?@7+EnbZuQ=tKmi(^}?bDG=jD@xmJTYpPPCbSZE2;4*v`Dyca96ggm^6+|f4;pvN zlf~6BZ3$*GuWBs4#FxQTYMGc2=s*5*<(M9tz+_m zzo>`*^Y;Kdq0o01;*Q~nAlf&kh7Ky46E*Z*($2Xgj(DwjzyF)kdgkt_Q(z3XXRna@vkbFTiN zUq?GQ03|=N_pAFc^%$da)_gByNWGY{lP_@MMqv`erq$C zhy66Ji@c?GOYfD+-k^p+oa088oJU#qr*;h=wj0n*z|69a z7gqq_;1|-^f~w5qNMUkO1VAH=}k17bwh!z2UAVd>o)ujKbUdoHQw7P=r ziVORUeoh-)dND%_Ofv_D!sCjx;T&=QeXk>l%AjxL1O}slQ7M>t79w!{km;gn=vP*p z-%k7f+C(^gldFv9EYw9j`IaUudr~b5hd?wU=YHjqU+I-S%@xg!)R#}|^TJC$(|fbO zky*Ma>^Xp4p5_+8GI)O}xR$v)=kc~G->+|u`dn@kP=&e{%^R*t3f1w_l8W#B?U@gD zeirx&==?@1`^WVIX8RphRAsgw#jfq<4X9BjC%vu+{R97P; zvDKXunf3FJu05~fM(pHRx=H%)%^7LeT$OxNMM z9<7^2=qr1BzI0?O=krIi<|KiNjl;zB;2S43)^mQXtnsv|z7WRP!WHNUnt|e^A4lAI zdj5K=+GknsbT{m3rbT-r5+?qW`im9Tucvlt0Q(EGu{Llo*mS+-{P$}C_qwH6=hxBa z_|fs%^H&}@!@i#DW66Eir&!B&10ZFsa>LB}v}0%Km-|s4@x+|>K$S@I1Kt~pi9U0_ zYViw$>T~Oc)Ze|V-4;O$s8BLm|LY0xAdCei%3(Lh*{r31=aR>kKf8Ff@CULY$)&95 z>C4{-e0)0DwvLx_67RWAhkvo9%Oni@J@aVZJ0IK#tJ2H5>vXzWk{(yuyka|0Mux>? z-TOVCW6N>?gs2_SO<|4OK9bSYPy0%I{sl~RmrKk=ww1I5eJqR(=%B>+*!pqecZz=+ zsgw;B3^Qh*r4v?%)U>lPy0e`UB27=fb0&stG>Jq60WKB7Dw}_UuIy|yzLIJ%M!k*MQ;CFlv-AXg8nv6Os`y9W$-werVgf+ z*BB`(YA>~@buh`J`F!6v%4UgrQURp;t9i5+^175th8Gt?3bf97^b+s649Kkrx2)HH zC~y1?t$%e5#(dpAKwZ67g3TLDhm1NB*0rJJzDN!TBsW%@J#M0@UhGEOc>!t>>toOO z-;eOP@3WJ`=>rq-#o5o4RVI~8oSeWC0LtF3^c~CiGT#`zRXl=BOXXH(i&b45>Fm{r z09AwPIO3jVW%Ycp- z1y1>!$GC@y@gcjTRU+0$BaM3QZ<)NoYNZR~zR9eQXd>)vCnuoZGLpV`6c_Vy2s)c= z;MVO+Kfr9Go3Mm)Q7hmITns-!_Sz+C$V`KkL02%&3Am>(ZfSfvBY{ebe2|Pd zQJyt~nnEDA(K`s3)A$`P_c>@(b6s3-!-_rh5@Zn9;f$`{PgrXoWb|PNjeC2i)EO;5 z@)A)cNach+^DCITC@XeRRuT%T>iU}xCy9W4l-5Vt zaCetCSci^b4CX-e6r?AWMk9P`o29v`rVhHhY3&LBb(T|}01vtnoGL3T`Kf^8*kzG7 zm1@dOT|8|Anr#L)i$Ri^7+>_fAH#Hdbbmcd3{!Zr`;+`SFhp zSMHn%Vr)XrRz4X~v+;29LBK;J-DW~-?udn-$eq@glz5#TkAXafzPw%+#Y$%n&?euY zU%^!;bhUkZhLy04Q+Hn8y9>)vM)hvBa->gUj-Ak3hA)gipT=@Gd=Gw!_jy>GYrs{y#;`vMaD?4c!nVCHL@?17| zg+u*-eZEL_r{>32{1T-fq@&z(cvu%)(QIf(%icv#|7F14shq-qN1w}3t=ZOq128{0M;H@0otw(X>`ZQDGtZJY0;zyE#jzIE5S-*xgmCxbnE z_UzgC%!J5DiNHc(LV0|q{s932tpWa#L3{<4GzcUUfqX$h5EJB+ch)>zqqF*< zgWh$O1bW`YQC-&uB2+RgRxh4LPg@jX(>2^Z46xb^y24^*vaz*3(N1TCkvz?n6$>xm zmpqkS-r0=I<0cN3>{}KD_y4f+=;-2+UMI1VC_)-Xp*FA+FG!F|G5S-Gf6|g_4jh^n z;Tu=y$_@P6d3Vwp{L8r0u^{9fmg>wHhuPCijm45>BOY9CJ3f><4Z8iDJ}9uqt)P08 zSxZdysrglpL8V*$-IZkNl`Z6-=5I z_3QH=>HXEtGsSbLVQ5aPevx=ZCSQPU(wXkI-JfSCUIym(Wsytt7#+gtlGNI5oVHe< z1`h7yndpFx@IxqaD4HpzDULWi)hOL`G4Otc8?MOBLIdka^-mYGJKs*Hjg87845ji7 z|DG0G>3)u$t2CqZ)tPZhn8k5p9jOr>00S%q`RVb?`Q&${JBKmtwe}2u$-CX!5%Rl{Y@(nQh=)?8^re_Xz z(&ML#`ZzZ{thZ^t!wqD zb^Tb!xufB9etaCBZF&9<>~NXTUJDmSZ;|&XAim@S0Y#oo2u7St;pkMhsY|V!ty|^; zRR)>tjjsz`5xN%I0_Mwyq3ul=tn2IRKjMC{)86rbSqQFT^j7gQ_}cAoGp4qKXJk2P zXDRXkXmvkeAJ_CaS5-e^yt3^4=*S=g>!bZytvXr}c@a8i3(dpueX)AE-DoiJ^o7;q zDe;Dr$H)6kz}U>>?od1Jp?LEG%c%nut+r-hITsGMC+&fa11{6(kMVL>n;FMS->&>o zR{k*tPnk&nH5du?ny8eRQAr>^gfBh>zxpyio`B@rtQF(bbV(W26lGzlQhe4Ra<9>- z&qY!{Yj92KVwoZ=76k)wT&WpGI>mDM#!lnU0f@uN}zdAQ5Tk07MGW6-0p$Og}1`b`u0k{bCCx$_@7wi5zKu(GKjN~W zl*S~@ve7I}ENh(B@bnJK`6kn}{+lG<2s(WdixBBnh74}L!PQ`!`^HqT!TE%#gvl#E z(WRrJ>iWTML~8S&ilyu(WdtXlfMU4Y1}4V2Ns<0AEz{Gr!N^(-K|TRg@qz0h5uQaH~X{C6`fSpCp+qfCziYeu+{( zj*gjz*UXTnctOPZS~Ch<&#r8(>z?qPnUP_8`^O`O9J;@uwa4vq0aFS(3d|jQqY1so z7>G2B2&?k(0^I-=EggHEX}DY2pK4Ah1&Rc`DFEEbAnaMBGR!KY92hp94;F3APUm;) zm-~hYeVT?6Gx}WV!Ti||H}+sR`DEm(ACs%2^&4DP80O-5CK(v2h-Q=#&$qZ#1*hkU2^vyUo*o=Xc=c*oE;-Jc2e#&WqE%3? zLVJ^yc&)6b<2A<0uF}TyYAc@_7-jbFuJM(~$f(`#qxv%)0ri;`6M&nC>SQvQ@M*2z zGkM=1pHM|#9l|^-HS-Cz_>Qq_wm4bN5!r;Q9vF>vAFasIF*m8EZeOz^HG)&FjI7T| z0YnrcO%{Fvjn~=2#a#gtj3UxboWm<>{#DnkN5B#>DXyzzU5^wK` z^5S32DUZ>USmyl3B0^t;@gs3&ELtZ|OA^R=7betEIROl`?A#bXU8*$$1BPXq6jxM!c*81-!x!Co_f{flsThOWC85g*ru*&}NZ?Eg8_S4McdL7z<~r z%0ZM)y@-ODGgsr8eyuA9?qhy`vKn2@y3X~2D+G|&S^}t<6AfQSuEAVM8l7_h8F$QX zfc-bU{YS8Kwn!_o0j84E2Q7ao&i`3^Q4Bq>%qAy(rZwG5Rw}!<`(Dcl&=k%pn6RZz zkjG!QcOz`9Z`~135KuhRxz$4cANR=yLQ?N6D0u!GfJM9S z*BIMqF~2D~6-%tRAH4uBrL~79jX4eNh}?&(hev?dx`l(#VS4_!0mk-7mCV>>K(>5O zD)nA3?dMwXh`Y_|cGr5UE-Wz7%+}WR z@qJ_WnoJu43f`N=%l&+Yh|b`L;h(Ao#!}gQ-EBV-6{>;-Af7J@QCzSH)>7+J_FLJ6$d4cS7FlPD)g2rJuq61xkm=09 zLj-dHL5`H!KJ2V(XupR{f1huN4$faC9R9QY#x)lpm=jJX-SyDK!?6=Nh?nCvHN4_- zpxX&zxotkwa^C6iE=z39g#BT3AygKWW`Lp|bo*+MgyjK1&QsOqW;5v*q&*$eKp61v zbEaa9SaG7Y!gr2hrpyBN)VC`H=AFVfO&;QAl-`u6@U9%T+@^wAa7~7+ytv%s`uu-6 zNA-2FIqhK2At>ySNZ%JOY?CE6eGw+x!rC%vb&_L3rn0&1y{0|9uAbM5FRX@m0MOaQZaWBt=|L4?w*c>mVc=4`^ElQI5@lOwh(1q#d zBE-Jxtyg&`AXeo@YE>!bZtv>i{T9)^Mnbk9J-S3e*8~6nwd?vD63$(H2%925jQbqT zO<-xR_pqFjk!gdZS>>8t@?00igS;EMD>R$4ppp>+!CZ||1Q2@f32?vV)I#K}Y}77ojg-F#E5$5$)uNCK4mONM`)b zN@)La1rczt7&6%<*G?H3+K%V>I#bkOui-(o)kKF~}YqF61 z$!Wg`Skt68g$@$2;-^aDdsi!y#T<9OEP}x&b!ey(p@R0rXkF4h%&t7(X&*G9$5}I6 zA--^%Vkyne&+N9fNw6HVePDRC=6RLB{kI)AU10jirWKlTq2-EtUeUU{^ z_we{I7;OvGa=i*Syy2<}j-q#Z=n%XZ1AQonx%`rn9b#PR!d5%Cik?9yHkw(iB0aLf zxmx-_k@Y+K6a<*gOtpnN-h#Jx^SGPzFc%IoGh;=Ftb)I@C^iD=DK089G=g(saWTPz z@PFR!?__lx52$p14SIHS^7ZL=r7jxv$}5sRF;~>aAS>R5224y-LQJXcpZb5l5+#1Q zvIu5ZoUif;q&sc4zHO*#K!{}Hkcp+^v{Z%Q@P8G<1L`=)Qy+ilYahNOA;O<}Wp97~;>b6)Y6#jLi&Qx; z{{>~o{$mxW?}3;;)Ok>T_>Wu85WxRh8D7|8qknm z+p`4J3Vq<*Yf&Y)pW;NiI>J|$nr?!v4H?=-Pt}?rjOvEzgru7;PUuS-^B2u|{=)S> zg!dAL{=J|nC&+l>+=0cdfLN+B(T==lY5c*A1>tdl(aDFQ_bbc3+WGjfo9nc-v9|or zbTs6D?jvUon?n=h;&#h*fIOieVz3<{!yC<0hlj0@dLxq64#o25dz{Ds9(4GnmcP;| zqSqq%`~@pz4SI%DU9=9eFuul-<0HWyS_xup!I?Q0)X4-}X+xXyTZd9%;xza??n)b@ zeg9Zf-j_oDc0{GNx6P+|wO@6+AGh$mt4(S_EU)#R?>UlTNKxP|5g6Y0G+;*ADdYZ4 z?l;u)FJoz!m+FUoTU_G$R}9JQGU|uy^!JwocevDinzI!bTC*EDRKy1!@2~kvg^J3j z+6&z& zl0i=z%F_c@#MqI1kDQk9u7z7GC%V+pg$VvFa~sn28ZxXng9Au}^!*j6eNL$S?4_iWu5r6PHxR(Q(s9K3LViXOODvx_vVaqhul z0EJ=HneGDeImCHS#o#X?;ePNluHkx5p`qb%EDkGJWQ$hRdG#!;$O9BUuK=9ZpRpEb z*9%8bfzQ!Zmz>6CW;PSB4=4o6Jccq#&bZNd;2iXU$IaAtlg?KI9tJ~A1Z!!`Wut2T z1o1{x8`wBmj7B{&c5#?PBm;<<1|1#lH&WJ*V&8af4tnw@)H|M3Pv3adh4FvF(O7;Z zBv0O!4P7+(XBUPzy5)$MkWDoLCEC*n>SaZ`>a;C&_2tXy?`QV*&}hY)*x=PS>AyOf zeq*ij0)tQ&w~K=UbG;faJ|tuiTjjwCzlGt>_@)UVsw^mtuPm_i3J$T?Qosaz>#%d4 zudR?fX79@+2e;E5lwJGbkfyN$H$S|14kEIz;r zqhlrNx2zS5Dx24x#sK0N2w~NR0u#Yv594nqX(heD&fc;ac*uA(aY?4&nyNLchV?@# zKTBqT75Jz-M>m{8K#;~sGl%W-zPltf2Hw%ZG4pi><*I04)2=Rk9-+RyEgVHm&<^T)6%x?5$cA-AP9MT<<|u?^C}!|)%c}}!<#35%*$u|IfDdp zLd{_J_Y%^(J&gj|sdWB`-r9X8cm)vP-If6D`FO4Y;06vY`g5LQ znv9`3Itm}J?2jw?ktq|fGdkdV0y#noetX{HWm)r0Mnn4Pe&od36;8Cxr(vIRr&2 zpe86TEU=JXj7ZsqOGK&HG-&s(BaNT|sR#GR+B4v`KNx11iY+g4ghnL|dygutOijsX zDOKk0Mv^kHomP~(l^Dh=G@-tlZ5UxeD-;4J#!EThWNO;6)Kt6F`PPZ>3V1G8T7T9~ zn62md00+bX=H{)2X%sAd&-Tx!zjVLvzYIP&epAuXYSwaK1`gY|Jrjq5bndC15y6OV zJ~1wbvr$}4I-AimtX?2|G>hz6*E&&Q)T{i@Na#i~c;Y;6D!Ru;f$ajV1a}8R zb6az7cip`lrKB!Cu3p{VSN$$Sx}nKgYU$MEgy?l9!LN<%B7b^uIq-$gojn|TrsA#R za`K&mVHykz*$Xr??Rzi#@bIJy&9+9iOn5Y1Mh`8o8web0fl|y4$xUbx45D#$H8e=D zTXc9p#i0Ozxsmi!xtc026<>ERtTTLUafrZ4S+%{3UWH(IrFI(j!U5!+MK^EkK4>{> zt#(A~XPNdoTuN|?N{Zurz@o1DL_gS&5P1ny`AuJK1_R@;{6KreTmQ(p#<0gi7dcg^ z>25VqYh^yRMB%15R0)lnLO`J03<(SOXx)uH+*dPk;kS2!`@WLq4+cT)|R>ngzSq79jTh;fdo}JYO+GQr-XTYWPT_=hYE8UaaSR)Qv;w z09lFs#@R)wVG0!HZRpEmaNQtcT+*v_*=Fn z&(*@*vGz7{@vFZ${38`Ko|z=ma$vtu#hE>B3p#4N0(NDGN9a$_ufy{2G}CV`GzKw6 z)>Y9g+f`Q|rZ!;*GORO68i;OkOQJsdUxG&l85@A|zl!T6)2PO!1a+@8j(H(93^ zZO)>($m7#jE%Hv|&KF^LUXQwVF^sh8E;hF-rSQL*6~|4I5%nC{c9(;4mn&e zW=Rq&>Q$?_moQghuq~Wr&U$J@Ct4Vk7(zw-7N1q&ZsL-e7{c`DUb8yiSl7|_mQETw z^KrMexx@~*fBSUfrn3W-qxP0Dq5dPz4g84P9((dQJTB6n;xz_4t1LVYX877CZJ@ zmN*cTJVe{B>2<5T^q}TM0S|jUNbz&i^8yuir`KX;lwx#(c=AP&qO9fQTCTBLsyN(| zU_{_**!1b(3eF1v^}Rm2Hz`ewvuDy=CcTuE6VV4CsfYnoBhr$&@sVGYMFz+4+y; z4IJug3lyIwj!II&H8+mehgz)%cvTz|QxWRO3zeH=u#1HjAypu+|BMGiRhKA6I|hUv zt6~IO3Q_*CRy2rFH(x%^Hcu|f)ZEj z%S{W#>v>#+_RO5(MeTgew_AxD1u=iDz97`CLoWT+U4So?XMjtPFR|OQ2Na{ip5f3r z>6Q!^CHa%T^}RriQe@QAQ@832dG?M()D~3aB(RhhC;8MsEsRoJr|}HQBqVYuqye=C z9~FgL4KV`r@!&jRLQkTevQNk9KQN*N=p?{0Y;St2$;%~5J*Di< zU{UilT|~tpY`>}f+Cyzv1jj}+4}qu?MY`Osgl{p@&Ed3El2=vGTF{<42`msKg{MDv zG^jUMGOxwN?oQn24r2jYLWHmfJob|EtyaUiYz8T*`6GJ)n)C7-lWt}DqPk9;vJz|dgz?rnWXF-~VSnst)beeKtk^m;l$ zmT3KF6s238ZqD)%VKCFiL7KO4E2fkd4rZ~c%RF3}a?~DwF*MOvqgDkobcJo$s9Kp` zM~PLvR6Za=eCJS!AwJtf#BzUzh(lW@?QiroGOB8gyP$Pk*I1%R54=ymkgd*+YqyW% z!NIwtSg$aHgu*l&EaNn}VBrKa?gSVN9Nn_!xl?v_)DUWobhYpJR*e2OcK_j3YIBSt z7P}v(2U_n;rPXSWB98ccJVt$ufd%iCXbHSgbLBcbgnfTquajjB5xr(t4@ldzv}Og;krK2%ilvwk6oB$(U=A|2tCdJKT`K|MW>Y@E4HM17Y zf=BreB95uXvrJ+-%nbS=nrDMF?$$j;Yp30Z4B7Jt;w;|y1Tb#Ge{#FWTG_=$IQ#vC z49zoXCIeg3B!hc2DM!Csf7?yz!pv6o65?dXa*#w0T)xCrmqKmMoftI7eVmq?fzn;$ zL!Gymp&=G5r}Z};=o*IEc*qv$>`ADHC^nZ0Y%7j$8dW+@H|GTD4>uF@+ zV;)b?++5nr(`J+mlbG1be2_3wXl=C^O_5}*q?tjvLIN+5NReRfSZ%WrvX>UBACPs7 z51gcZN=olS<%ep0Dv_P9Y0_V=Y_p`IqTng2W)~C%z%e#TrI*@U`ss@jX}(#h(fkoG z#;=PYOI=xg7$(E`5uo)%sFj*vz}sV1N$q3nnW=ASanfkVK19U4N;7Af2)q1qA7~yM zVA(KLV_h|Mn*EPzHIM88XB6Z-h2Uy;ea4WBBThgze*SnNMSaP<(5o)29o&x0)9cs$ z7w?xk!UJoyhyVACM!CNIu^a$y2bU?I@fnO`)osnfio`zvHCBe||4TvtkMuEvloOuo zobeBJyQB+V-N$SjFm%)gAmvSvp^oyPmjfw*q>_HV|Br0;{~)!)scJjUgrxBDIh_0L zw3-D%7}v!X74Sda6(w7f+)pyW{Xk0yLR-RIz@j3N2$Bb=XulN>Ye6_R%cuwJEtd|s zv~&yVT!u&BTghiQ7!q9-JMxrF|+J|lBbVy8IYN`8`#;!rUXsETG6h6XZ5 zg+qqA((~h$=!XJUw6jISD1`)85hgiU=EJ!v(as+d2&37}>{Abl7 zKLuoxBJ1!Q#QSzmGsys65v}K1;bT3uRveg>C#!v;Adoen41eV0T#IhvYV9s)@R2~Z zEvHK-$jQh|UZuBpb`%(};es3^o_~Gy{-|gMJpMB^mu5IQyd%2sLSJ_LvoIPi2zax#M% zXg(S9n4HrQ0Kj27SE!6brPgqKtO%g^Wj?%ERW&t#QtP$p!+I(OdMjqyXhLJX^+I6T21oJ{-; z;j0Us-yfarald55YPsI#(ie89BY)+iGg)om&1tpn}8W3W8CtM>}2big8AVAR;1G>kr4awQ+2gfp4rq@Ytl}ND<}xre?PO|LX=Ia+yGvvG31{zyh`>*)y)kP#50f0)&fWX=bZ3CUa zowzdWK0D9MjD!p`3j^-4nRGESpmGXNWTE*I!Q&>2F${E-V}*(kdz@XTLWd%q^19 zt@%_t?72PTFAr_BFQ4QJCl9!&c)zS$^eifjqUMSA`Xi$x=?a*-5$S~Oow8W_;D$fV|%YpMCA6j2GODK*F=0WSV2KS7t8f0d!sx=wyLVj&vz%X1ot;LeiO-JU30~9Yinyc@rxZEFDDC?LJL;x zdoH|CA~k#J&DG2oA0<1xCbb>WysIHo(Fk@Ewcg>bEG(L@URg+GSEjG9x)@SKg{`89 zlInB6d8$gtD=Owbz=cKeygNT$Zdp}bTwPvvZI2{SNJ>h&YlX$fBL|0U1&sX!(G!$` z5!Oi-uk7dB!kB@c`m<G%4<%=NgS3bPL>@uVEIHqQY2zmDCbV~$8jXlO#1B~&|ek%0WLO^5PstQ-SM z36A@3Id(f<6QRB1(Qb~LV3MzR4@4|2&y(r&@bk5QXzS?s5Y3!8&Kk?dFn*yg~!i@8}T$}FkyRYPg1dloR=g}1#5%@8PCzE8JG9IPUUHMY z5|bhlNlt??IE#66<7TeP447rG$3>UC9JiQyQIk>j7ZSIFerA6s-x_GxZ+UhlHuxW@ z8CoES_VxF7M|~YYK1?)Qh=(N})brYEAZX z*JojSMdrk(w1fh}e#XiuD~~O}=DGQ(F*32}RH4pnM;aQDQ;h zF)?dtzo09p4G(auddI?TzHeoJ|K0}bqYb8}Vv5yRqpN89ykfCK&3}1%dMcxllmGMF z8})(vMU99-Z%mSDY5_1MgSB%SoKx27_+obfGPW>fKBx@sP7XAXD&Sqoz79O!`1JR< zBcwzf_c3m_2|fEsMumzP z=r3REl6glnqN1Xjo1d2J&9?BnODX11QBnEb%Su-|lu>KIu^AW`ex+A~AJMwr!Qrs? z{Y5htkCmIf>cLce8VcsQv=^~GHMSZZFDNN47xIKTvNl~tDxy@T`Sd>n!|SNFYIHHm z6x22AU%)056x#XGr)P1#`JkSF46P%m{fv_T%9k)L1J>v;kE;sG6kx~o?LSBUn#jSA zk6>+g(eZjM-l2jOZxsC+s^9&p<3LPI99!5=8`vAegBvA4PI9r+OG(a5z)1Pk%$Qto zmTNQEzf(!t3IUYsO%dUnEJ2ZJ+_xQMG?mIPew^0WJo6Y@4JvNvSg=nokyM$uma!}9 z#KeW0#(Eb03$k78I5$i}l3jlwKdq+@XYkHo6p!;Ih{FcZIU_@2bTYt;G*y|Hnl>~e zg7Tf7o^ImXoGmjEf0;7LeuYV6c5`#fj8ug7JI6mbobBk3K9tNl?pE;$?PV$(8XXR~ z{aFnurrgvLzVFqelAL1xW{ktVwfKSHi*LCe=Kj9@t8w6KVHpb|Dk2cT@%F7QY{lB~ zF}V~+bJi1Vn??|b_es&$=Nu0FqoUEylX~OqGlX2gZv5d9pFZ#x%r|?H-=z9yiPmmj zG@_m;_>Rr_YV**LsC+6Ed*;*(cj z3bW12@k4tVLv--n8E0goyH?|zoRXOw>nnh z?DOgL&UJCUEehgwbxc4MOe!6UPt+*(%QUU9A>p<2WM<@8a++a~98ADKW!JWis<43q zO57h{uoZ^A!)NW6n)9&MWJ9#$gN?Qzz|X(xAD@uWUFy8rWCH~S1-uMwFetf=aBzRN zV(q@tY@z%vm{0+^*+ z+nTKX)`GcP?66>PU%JjjH7zP7nC<^*6tAx>OrXib?QwrSBeYI*CIW+JcvaSfTmyx) zL!f>E4JHy4C`3#qqsZ8Oy8K3@gmg>};TtUdJ0Ryrqjo#I#JJ#_ z?s9xcFqSicF@9BcLD*}8oY8k|_!28)?ToGKJ2eOnU~rfC^t=f<8T#8E+{Dg@l!^#i zCsYjfGkCeKOZIL+XSRs`Q)i}3XF5mf<>e(G=3sB1ox#hmE+gY@GbIv@t~?FW)z_kz8&#EG{X z-2r;&!-383=X|rqW!5M|!-IFdjV%{DL*>-8)Kr)~|Dh!|7qTPi9-`dkwo+Curz`T3 z31EaupN)5j`bNhm<0WC`2xxO5Ieln*J(}f&xx1Tc2t!M^pv7T$6T9D;yRAXXfXiv( zqJ89ay}&-Tpwq=fWp4^L$BOVkqu$swwEO*;LgZ)F)~eaxauL&MYC_X!!swpmFpMSK z5s%RKD6@cW(NKowxbSu-BT2O}4IOLChM!_Y0rN#24{#uI z|9}8pN`7;yCt-d;Y_+z4GT6ZACbHh`qDW9icE4!9UPCgr6zWvGlw2ex)r=xML^{ff zdNqn``cx}(0>VhD2>39j+@r-33V$ehOBgnDKo12WVQYWc^Wr~xddjQH`jyoXmBGQ- zinXqK(1|KXBM}4~VWbrS!KaFLaZyx) zf{rG&{X>|Fs0UDx*%;j73^L_whQ&>!$9#hN&GrrRh6+0W*dRZ*HKJyd)9av=4*<)? z=m{E9l{IZtgoGCqyg#-zQ^|q)H?*wB%|R%1;U}*kDkEV5;Dm(YCLRCP#Sl<7C?qMN zW}%XImrsLi+38mnH^kS*_uwFolF$+e2UG_Hvo;JNp!zlcy!dnOiKisD>DWYONhQxe zKl11GRYfufU-!(vnHG8vi9DQ2u&-Juvr2gwBWVxkb_s@a6{0bYKzb~_x|uPnRzEm- zhz`wwC@HV!pPcle!!j5ye@o?Skqt3waq5+m+ALB^HZ?MEBjAO>4^ru;=?!8yqx7>W zBtcQ3{7M!(uUhG=#6;BjP)KWrc}gp}AtAqJu*N_7PwG}6R2AUN$*42}B?o^#I&{Fa2obSY_p=3IVE<>GAWT@uXB|2!#phSBeQt`$S6H7w84a1> z>zP9s$@+|-@)mv{izR1FsDi|fY7Q28EE$kfNA<3G^V&0;Ua$io<(lQ(6kZ%yG$3YZ z7wheNE%U;f^`NH z$-AZ6hC#I0J*~ZNl!H{sUz`v?Ltf6+NVU?{?TWDGSd}jdt@Gg<7-|R)dBaBRz>lcb zh-SII0TO_x!qwpH+Gfvr$wtbdC|)(%vnqV8?;j{~M1*Vyjo9i50(M7kL?tI^C;P$U zRnDEfgO6z9#~)#%5hL)ALKHQw_G`sXOKjyK_o1NegGwEP;-2FO9x9Q6S?D>wysuhm z0)t`ZBEoUz5CLI9L_!qQ++`4khH&hsfDGWn=mw>VGh`4ky$vBYwy`@obJI0t{2=TNLVH<1d`(gt}zkQLVOttwk{58|w4loKq#~SX zX}dt+KV3!`x)&Fhlsx*0c`!7M#9}5P0W4?b=JxFJ{?gOy0}3vc!T#$N6mfbvf`^FG z@-+zJ?i&Wo*>Z!J@kWD?vvT)R?kdBR&;iZd{Cw!MkdSbl6z8<9k{rYuvg6Dow@1p%7vk5~+H|Rdq+dF-gGpLuq5&{tgIb)+nZ}L*@ zB?K#=aYw-acPs}nxAO*NJ0FeuZu*2;ld)KRKOv_vV=71CI=8d7*49_Op<}!StMvxC zM;5{vh|c)9xLV`sTwoIR_;|I$8k3Y1qz6o+f3QHN@;J>SMR5X;)`W-<90&XBJYTn7WQpi3 z=cW>PUVp0Jib@_iiDT++=WBV^er)RO?Mix;Mkz>tEI?XyV*$iboaiw9D9FiqG)nsVpk$rTUqdfEySk~`-E_09 zGev$kS!FR|pDxx9VjzK*M1Ryi9pnv4nbu>r2{G~zhK3p=q9Ng6W82UA_s7S^((Cm2 z*VQp%W5EO>5(&TV(RjHW|EtJ;Q#-ETI z?DLQ51>0b{yGIlN|Mjb3Vc|os`$7Hpfs~#wTn4+1dX^?vu9|SOhBw37pIDMLX4PWi z)AaPYdU29A+B0E<82EzmVY=B0uZ?w9UhgmyyK@RXeFG&W6@CE$T6$J#U4G32DKS!_ z_1cSUnI^%3qK>XF1K5AFgcyi&Q)Y=dU;17vhQ(MU5x>9PH+Fg)7Z-LsZifMZlRIFP zX$dGvxbcO>Vjj!jXe=rcZ9=ElA0o+epQ+Xp*&^iNa07zCLGXB}@8r2ck8RBiD+7a& zXr%9IZT2qrS8cwaEhG z$T+=$a6axuCZp8?$uxt}L;~VT} zX*ZT2-9=I0VE@~85X7RZ28E)uq*N#mar$XH7FNwE5`$S(9h$ngpPy@Dv%Y3V-AP$p zliFHEIrv865zrXFfP~6nij$C_z}L-}LvZKcFW6^JNAS{W3LsC~7zf}}s4FN0{N)FF z>Wl-o+e05eDzyeuy6PU&`uB6EPB$PNZNZ#&DQ;s!$4W}hUfI_twc>R4WPF7-pNe^a zfs91-=GUbZ5|UvnmBr@xiflWeCjtjx@ycOFqzE6SPJ*tq{z8pZ0`=0#ST$~m<{&## z(X(39f2XCTMqwUVY6S_6;y_4f7)HjzvfvQOppDgrL?VR`CnX_a-!3tX(P+MOz1<%+ zB1TR|)z4>cZuU2Vj%#(a=0^s*qYQmF&?kfwwa@&?%Ow=xm&i{V5v(O3Y+dt-Myu6n z3z}$>C>qh8(z-BMH`;S;mhcqh1ACi;%nJy@o3|=1VjP`ntD8ff)Oxi4ZH`wo%O<^@ z#FjEBwXUy2m-7?EO^}EZ&S6-fOsn&KCSSa|y87keLZrVR2yMu+$|@_9zN&e85?uFD zAN+v5^a z%*iMk9iDY*;w^&RxLt5aNxFs%NE+Q0`8#_Awmu{0{5UzULGRz6Lr}o}p@(JRXmMY> z`kF>hNj$lBABD^1y5aGlvvb&BvBK?uQqhg-3OpO&b+KV9S$+L6@)MOxli6v)KK`p7 zibtFE_4VF$+3Akiyw#umKHgwRSsMiMU;C>Jl5;fV-|>242?Z7Mb6bvNm< zg+HAtgRh@sJDSFRt(RZM!ps|gh4FDUlD!@e7&^C3pP)d%HQg=RDl02-ENwepXyr(x zo*3d(bUydJ(KlJz(~?H~Uar~h3Pk#yR&54>=&&0Q&~A5on8@JJgWUlhNx}hx5*ma0~`s(xMAPmV@s^jfdb(~`$^h74x4;Z zqdncsIz4o;V26_G>Z$@7?K>|C z2wUVG`>7xX@NE8w?sNeZ%v|pdi8=j)0)Ev+4f>pnFe}sH>`2H&6Z~q9yPT?ZQ$obA zGM{L^>CdJ6%oTq9{F$u@JcAfIb5~JGsd1{i6bEbf+AGWOyvf6Dp0B3 z{G%Re;%4#Iaw46LRmSn{QXtu!#c*zFgX>w1^)4s4=_11xAH#e)yi2JRzUdk1T z&Tf1=L@@GvcwRs4_Mmron4ZpP_AEcvZdYN%`O%>gTuxWbH_ZEjMyP8+M?65KS_dJU zG;Q1$VzV=#h)%qTd9o^deQL$m*pq4RKVm z=F=|m3ihJLrDa`F5qZG1Cs5y<{Y{-9)EMr*7r-+gsv6e+e2it47p%gFL)c@EPB~wI z4e{iDIUGBP*8<?t>{Mgi&*HW@T&-1|JPQ=vmzP^)L{Q_*F*E1xyOXKN~b;^3`yw%Jy@giH!bj#Wo2djFBifm=)l4dsN1uX2z5@E z#$<=*eB5=^|C=s9AuhC6JnENDZMW6H{bfAo`}2LEi(Gob3L|5+Fn0km_O6e36v1)> zFaEi`Ch7UIGC#?2M#6#)1UNEL8aS{Q|kRKIx3OLdXy7h*?2*>u(a-XyP8!|Zv! zXT>e5EpKI6ufcfCPR|CdIkPPtSx-@!bFr*!kr0MJNR-$1;cKg=!24S-z%r5GSdJv5 zmZ{L8Ifb4vNe}(xa4);ygfvlWX|kCA1(3R$&hgjQE;zj}6BO zTcir*FQ{BwAZ|lk_WJWiBejgIP_eosZHS;HgR0wi+>+#~q~c6^^_pCmY>k>TH~Qyq zR{K-w%yJGx2^lRMVbhHPns3|X@}SQ1~o>E)+OEd0~7K4VQ#Vt=#H z2h*U*>5tzm8r473hy6fCQK!$Ws8{D~K;De~b<4CnVJvDATk*F!HFX#yrzKG>#xgY`3Ssm+`*?clZWDc|rVR|IUfs z)hx^CD{1-YfUGkf!!7fn=9(ZQ^m~0cjPpI zpBCET>srCeE8nleu2Wm36^-J6^2CCN$J#U@!M-Ip2Yt{8C2*<*d9`&i3^yBf1!m4>1yiIRBMSlwd8k`s#8ygwv0cYyY^BP%y0|1`nFS?+s+(!o!-pe5fpdO0l z14_kmUHh~axi#!sNFzexPv_H@DF_E-v}|q{{n3WZ_3{_Hbzfm+<+)J&CElm0l?}an z?fiO;)3Mef5{iap{8aI1QgoeGuj^r@<{^5yR1Ty^V?h8`ew~`v^^WupMWe*|2(6G@ zRaI4OIJhM+O!KaRf}*Uf`NyiI`!j4CiVddu)L~t%i8R8;S^VKKuj|$O&S$UH2K-k3 z_pRg3&c@=J>6H%cmnH>asHTJ1wCVFCmcBlz&X>Jjxg_hA8qd|B@pr9`3gR) zcALhM$tUpr!8BP?u0F4=3BtD^a023)yH4+$9T(`T@Z$i$2OeL~z4^ZnA@8a>A+lI5RKcN908*bHUMC?aq!+3{l8sLP zmp@##Y9#g(=N^o}zFvQSUH5*w&PEw;oxtqVy**jHmh*l>djpaGetJ5FXLzp!*v4qS zr-N1?^nBQk{)ENQ#m@YJn}Q+&kL}@CNnVN$`{Gw_iGfrNt&179L*ETdl!P6Haf>VM&+*nM6xk$$KF7#15KAvM&HZS0E z^h;+%Im4Dv+1zKXfS{ny80e$PUj}Wdwfg${Xn|G-^o>YIq7(HBR2JNtWB*vQ4LGF4TvaZ0|Sh&2m$=Pdpc07n{BB0A9%ZxD%hw zW+emx@ICUx_?VcDfGDokWRmawmgSY)CPy+2(JCSzCG ze7Ns?j~w_!z=NgFLgMuMBg3I)Xy})u1naey?fA(~MT(}4dy39X_9Bye>z@7){l+!# z^N%kW)BNvmN3CCyyp!E22tzM6g<-}!ZP+>{`;>uv!VbJ}lQ-%-2Nzg(`0e{(_Pg!{8%i zfZiwOT0%<7A&ZdR8gC?4f%8WfNs z;sB7_t9Iitn3x+(xu5+7z1x%BvJ;PYnI2J~uy5{H7`v}A445RR+rT}4v~(nx7s!U5 zYRfqvuf&R?f1Xz$IuEbWKu4odppZ^ufrAMNMZreE%;a+F=^l=!6x9Z(ssb&4mI@m- zZEnW{c~3?b`{59~YPWjL?O0JU-IoH*UMK4HSv zD}PI?JZAc`iw6HCc{p04Xa=#Zpy=WvyP`EgC+F7`GFnm=GQpJbym9u(_#}^WoPPBP z+%~4J$K~C!jrY@GQDPl=O~2FGR%}K_1VjQ7=?^r51o+_MVyd02$!z{FY1zpe%N2pe z0$tw)@pxPli{s<8t<9<8)#_XqS2$-B;3Jf8E_;SYlSgZ7qAS%qzow!=5L1Zs3!3g{ zxEF>3bew3$*f7R;G_c?hWV1^(q&jCYA0_OZVJPV6PGcrF_qAf^#C7Ay_z=sV(@J(U zBQN4d&A37J2uF@nkjbknpQ^acRl2y)YG=f{m0zqt?GI1aj0#zC1@)_)VLHrLxnd{T zb+NDpG=z9ER!*Fbl$L%zFN2~!R15DXA@irgj#MozV7FPLJ<6p$__I@0_x9hSj|KW{ zxIb8g++hBc6x)12)YFIm{StB@GLgFa{OR_5wfB@qcB?V~vT7pD-e;*4p&v64CIOa) z@z=8EQCa^qq^UHqSVYo@5j!Rct^3ni54n(vakTc<Kn+Gp{ht;m3z4oYI76~fJ0Fr&U=2m6Ot}Bd?ep-_oL^qW7hjt1 zVFO~*LBf)7geMF$s`?ur$rjwU7%|6L{2zRWbK9C}OEHg#%CfO?!{QobRHChw)(*4P zSYfBbp?VJL9Ur(>HFHFNX!9}#Mpf64u!t^j3SExL97w50BYLsiG@N^M4OdsS9NFN6 z0 z_E#Pa{)s<5bU&R8M_`P{uyxq)!mH4N2vjO{A|rRJ zs0XHUfml)i{xQq_tXqq{9Gv!-sJfED&QPqiCQGB$vdSV#3#Z;PO6_8V(bC2H?|eOW z_)$9k%RaQ?GLXzTU{!|4zhvqt7fC~dNXouFmUF(E?dz}a594~)wnSahJ0r)JG1XB4 zK(HE}MuUOj1tW||7vjY6yq$Pmf|AKQe=0Ouv>Cq3^N)GaQ&HKkKGrN5aJq6JsQYyL zKQ$w|{7)$8KX;-oCN^-nJ?QV}CokfQwjhCx@N2GF3xb{j>*GQmw&r-Qxll=%j8b77e)^`2Dn0HOQfxpq(|NNC(N^Dk9R`;=M(1m;X2F38gK|` zj>&v%*j)t$UZatOz2f7p@2!maL2qZ(Fq)!`j**GtNVpOHTFH;#+%w+P9ct4+D1LG{ z18|1SUn5R=_9i-7WztiABAo^ztXF&z?ejcOfEd;bH-_xcsMxCxKC?l?-PSs_>zfkH z7e`X~BkocexzHTHc{vb18kivew$yRkO|)8WAjXgKELBj56hf)Pe*Y39wAShU z9)ZoAX6W5f*`cGY=8DvuftlsD)o+rW<}jHV&Fi?XtK&(4prjYX0m`=>C;alh=PvDh zn3(i*<e_e1B8Q0?3-u8_tmFt41`3=OnvTbsn~Lb=^1T_<19D zVoVi90u~v><#sj#A&9d-@u}ONtw2eCd#L2+3CrCW7TP_!bRqaAyZ9Kb~ zAW-okJTj*}0czcD5MKhrkhL)-1q)q>in6*I&p<06(ORluQ}U!PqS^XaK{Y7c=xS&9 z{birONG>}{hDH8+1R3Mi)Izy6K*{7S>TwY{<93@nM)O=r+?O$+A;n)HC%@lTdiS4s z=3(nyjriSzWPrS{)k*Jm>mW%%a=34kR9v-kaf5C9`C&NY{q?!0wMa(tEF}eCv=l=k z?7K+Cqpg;+&Q$6m`Mp|?#J^|8qb^$zfqf8R1|}hHVwwnIgf(53>t7`y7NjISmpwza zxlP|qp4P^fox@skmKMzG4gT0@S$=FN98ATrkkJv`Y%Kdjg@MT@AmRoU=iKP?_8JUu zm7**Q3DnH06&e&rsH;XPF<@muT5vf7YP-ak>-r7-e+~Gmh5v5=-!gL$D06TO zc~e+qcRwn;CBXTB28bX!GuMPw&XG~sjnwew?0m#bknL?3XvryOM6XGe9PZ942Qa_9{vKjCI5E?&)44nq|ajpF5~ME z1xq9L2r0)XaJERi5D@uZN#B@+VQ)#?(;FeK;TkyMM^7sE_wsAb?N#kI69AncB9W9^ z_1{CO$M^rOk;?j)M#^e#H?hAcP}0_dMc@H%(V~=Ym$txGyzdImNa!OVy?TTs*n^%R z79Nc4nEZT7E8m=X72K~!Kirzox;{9E-h^)*riqXkQ(uG5@2#Rl6{5ziPd_H~2nn2e z3Q3?hc?EY)4*zehSFObBU#%An=XOn-KVQ0UFz%)^(@tnAGh6bWL()f-_`bb7%N=_F z9**yGb-V;y?`knT5IK-M`YUb%G+!qfzN+@0UnK<#AGfeAL_>Rx&3XX1*7rfpgTtD5 z8IOCStBh)*q~)7w301eWeef!U*IWqfbLG;Q(a`$0On!yfY+r~@e9aJd?(NM!(l8i| z=(1!oJBXQq1Nj3L4gpMI@%U;0O`bSj0@Kj?WXVAHQ}8cPJNpoWL0lb#@AHq;@4uG= zqWy)00oC0<(D0!M^9&@;2gKZ#9OT`C-`Hv9+@Ca^0RJxl$F%YnRRQFx15HpS)aQBx*_~Qq>TMY8pZW60>!sbB9c$-|0w=5%ICI!Yb}cc zP+ZB+2uP|~uTbNGW(VFr11^i50hQ91};3uS6Cw0B}5D0WbQ;9s3+gs~1 zBS{bDcaeDoE~TG!fEaP-5)Sp(-!6!|SI;~;P3UY`z?!2c$#uzL)OtX+4o=_tBZRT@ zbbfXpLdC$s#pG08BBKfiyzRr=XpR{nv(*P8z?n)~^Ab*fJ21i{m%7DY9?rT#aw3K2 zeKj;h`jR*129VgzUOYgS$UEXK{#E!jnEz!0IDRU}$;VEE#cdDDil9$-VEzX^k$b>o z|Bq(RT`l4fx%52?0a1WCKy|0q+l9n{6{>LC){@v41_BnfMpktdqg&c#vyGZ zB7AE_LowMfyA}I8qJhgqa|A8^!-=ra4rs&)p-LJ`L=m#0pr|kdio*X%nfJBVpuC)( zESDvS>{$T~kb?qb!55i##Bgj7vx*^r`~PHSqU`_~s98qDwEPDRbj2P7ye+?3lNEtm z{22aQhU_2J<#g48iTe!q5|Vs7$0A*}%r;y@&BU|&cG^3a;+a?GW$4N7FK_3{_K$k0$Ac6j%`o8T`ktP9_k>&#zQ zj)OE%gqD~Sksum?jhLDe(4%l;G!7yPpe8l|!%-B@MTr?Ak}%7Gjg&_r4@?+&*3P=k za&ouP&M!P(JDKG2KDo{)YK@vZTB-Exa6flRb47_3O|T;zSgZLoxU$k_I2a{O0tW|& zjg8$?^6QtitE(#jF9K+@ZOe~ab3xGY@$va$?cnh6R|N%>A^`f0@%-y^zS&8hSD9b$ z=l7uu94NX;oi+ROQ$cf7MVe%AdjbJef`+PL_nfH!1wi}d>N=B(w1n`IIU#yBl=ZjWciVw z$?dzhiZ)vieuwihK|I$)l=JAGj0x)Fik*b49YHi;6uUQ>#$)`D4CGJJ0kd^C&0ZFh zI&D3G{hm7Qd>R&&F?mnN#E6u7JrzCuo=}4#6EkxvEFh^A0y`H0v~?dYr7mPIq!hud zBO}jKc-)wt$>7u*MRZk*5>JyS5p=7LgpftLl0quA@ot^!7lPxHbwfOupIs9Y+BjXP z)q9i)ic3nYHEWAi*`EQC*qV0=KBhP4yPN*`*ZRQpRF@VIfj*%eYgiz1enBp)F4=7Yqc&LG;hwV%yn5&iB7+f6OUc3fE zER)*%-~A23(e!KuMn<)mkU?SuTj5e4*qxqxxNhc+esC>0m`FQjU!jRGKL-%Q3Mt55 z^})xnTvCP>7E(M1C@3o^C@CAgLqiH$uDYK{j==0oUXnftQhdtbaWKo6e}SU;;~+z8 zdp4ce*QtoCrd~HSJ*%haOB6y8i35~B9GcEis%t5o2i&k$x3v7o=JR~IKesV84VeLo zCP|=^l9Eihy1(S^M6s7_ByT(!Z)qEDHr*ln8TaSp0M2y#uDNSWtLloFR$VwmL{>JoJ;wPy*eNs!^YmeY;^#Hc&hn-M0)>#5;O35c2jwJLRag^; z3*t?tQBEbzQ$f9%N#C?`I#l#VRppP#G%l5eDx*0ef*U-Z&8Knhat&liI}*M}0qHgi z8<@MvuDyka?-ctvP~wxn8ej=_c>)Rjzash(4T<>vLMgb@vER>heY`RPmRdD6tU6j* zU^WQDA|oencfB+K!qJdiEjwyX&Qx6?A~pP}27~FJU*)oRE^lw~d0ZLny8soT7-1;T zQP&ZbU{4(Y5JH>@6w7p2%+uT0oCBr6*}U$c64Mv-t3i)tpx)mO;xAxpv)&PjmBHl{ zHcTKX8K#A1!ZWl~WjNV%c>Owm8Y1@~rK(Be*c;~S*~*Mk=*4X?nkL%9weX60_e5EANWqoiAq_Ot-P6sHwZSxen5xua;xwGwOFsB^d~O%h znfYOGau-v@Y6qK}sP%U}NWmD|q(Tblyb(1}8(I~Dp)m}aKcxXs7d31S7I0OrUOO@} zQYe=_!OB%r#wOEe-VaANRh>Aji z6s%F>T7x49q1lekqEt~(sBUO5PV8Q7XU)}ab(SBn?I8i=?GQP%31@WUg`P zgbrtt_{sf7D!omjYJN;_A#0&4D_XA3H1#vx>|^F)0H}I#(Z9d2w8X@Cw$>gFCI;)Z z)aK@3Nl!<&yIpt;Jv?tiEEj=Yp};+l2O#5QcUqE5+T~SY2Z!eQ;83&tr~?P;-Jd_W zUyiDZ7Ngo2ELAlaF$yBLzH_jy^#9JyCohY5pebqnG$Kz9fle0&QZxFwB57i9p%~z@ zKJqlNdLqBKT-N$Z*y_jAao>$@N|B8mkXAZ!P??c}B}lALLlho)m~DUGy~8u^Z*hDNF-X!-Au^Y_u2tzpT8KVmlKVk0At{MmOUlpy zJwG)5W6b?a|Ce;q6j*DBmRu*hn-VE%motQLfPak@MGL%1tTr(AL%-^q-Un<0V69i0 zs;yVK;AV=TdKt^YyVO<;r<(Y9@$f9UH?%2N&?yFj&U&Fe7K_rY&K24``_kD9c8zw8 zq`UcD-Op7gX6;eP*oyyj;f{t zEBj;Mm(GkP0cV@ajsB5}Sm^-zOj=@~#)@2ofScxc&ESy65YI^t&7a%ljEIVgY60-X za6jhM6Wr4=8N1rB%LH!^j_%9q_f^x@wmR_9acJwXTIiZ`5|Gnzg)t<&sgCtsyKK6i zS30kXMifM5K=xh*#;2w}RDAsJgvdG07|Vfc7^Gi+_QoYZXppyb#)?V1gl6bVZ9pPLpFxvv|QuR)QrRxQWH}UnJm1ZJc1uZ z<|8T^nv$a8)DafMX`d6p6LS82RYL=tE;TJJkK+NdAF*%{U}Yn})b7syM9ywWWwNI+ zJFG9s{P`#VLlCC6kqSi~W6e+ukH=Tf?^*t5d_Ro%ponGN;Z)F96h9&rt99>tFSclu z@-;i3VMkFmD4b_A?o>qnNj z-q#Qi0#oY#JQ(5m*Rx<}m`2Mr5w&t%InO0m_8sr|Uj^{t#GT>=COjvz6HG%kQ8BZE zCl3c*w{Mh65injt&o<&Q`F^v7n7C z#TpIunT;jgfBe`&5|6<0Rgy3n1J`F7I0^KPl!;ibW8-(B+BpZzjQ1=JEWgi}RFsy& zk97Tx5L!@|e?U!Ve|T=NCsK_rNx^b-ZY>1zs!|^?T-8O%^K; zPS7#dl=f_MGHzS+$+|yLi09x0;_*DjAq1qfwcvd^}(*g~Q16a-8~_&5$W zF3tg*Fz;R^EIl2K2@ssZu5U1STFKPq0-+n`kk)#Oc@02JoR((5NJ&Y_#s=~~i;Ig(fyMfPiG_8rw>NM- zj^#i2JzAVouJdWYqWff+>c)Dl5B+n}JF|jUqwB61l_A9kXqj7lKC^y5?A6YyhDPgP zjJ7`_tYeo-mzvI}Xcg)^giMn*tFv~b9S17}>V)J!z0JY>h?;uU*1pO}x!9#Yy#~bQ z2O}}CF?DqllFE-+um$FAC;>|>=f!b5TzE~ZLq#IJLwp*7Y%eY6sc zJs20pY$yeifNIl4X{CI=Lf>!hOU#1E@LUwqNkh$8I=v=Rf{h#Uu=xSN2S6s66Z=|nSUY5M5OC8K~o&TIq6)TsxY2S#|opqxqNtU$Kdzis+)%TCXKFq zJUp>p-nLQ{k+hQ7;ib?H-}Y{{^Coz=>Ho?Rhh{8I*BafgMGny%{e z9IIJr2#UgY6anO0DnjgmpC)i3kVI4@u+YDTP!>Uo=mL^vJ8TlDnbxRFXHKh`%g*Oc z$7K*mT}@|a3+?OfZkg2j) z^=Ai-ivGpR1gwou5bnV^VD%~OP%qQvFB;NxSwm6o?DXN_TtP^rzQhl1Fkm(pFBQ^h z?NCGOax4@$AdA#`{-WO+<!N+5ef>gWT=WGWPwdVDvDrr4BM^V;>)EJyYWD z&QctaD1vFS*3iRM=KCeE*YSU91?AxBIYknAl$naKfuaFf$t zv-OUXy05{iG6WlN(i6BCDNS4;2L1vAtN&{xpYxBAJkWiBPq)TjGkW=d%;?Q8{~9BA zCBCPk!3X!)HBzwM+OJ%+|Hu%xwsbs7#Fvx#K1dFx#(mxmUR>&)lM;PB^dnS@EA`sC z)7og-sId`@?RhxkCz>a`Y7B4#mRu7KeJ8MSeTrdEWBk~>lfex zBUe7<8Xhpj!GyW4f!o5YWgNl&YuK^S{`q@Uemw_zR5LzP`Icvs|~+D5R)=g@&Z zJ>%0!vpP^KD^T)92z>{PSaU{qgogt4$NCfvY1MoXgb!{mXdSV*KlaBA@IWc+7v30v zzHd7AE)b!Eu2(ZOV5q&@XVC}`zq5P_Eu@4%@;AtG0kbXLulZLK_COQZ88o}bd50w{ zPo5--p5NogKwvqqkF4jXOZ4|WMdBS#PtVMX6JT9*GqUAOtsS*15rEy>Hpc{zl)%8i z6o^#)*sZxe{`2wG>2)EQQuGll1hkmU#=m+uwv9U0$p5AYo{0sPVSn6*zlCNsK%%Ue zv$waG=`uSzyQ5AI3YU3RGc?Rcw+2#me0+R>7EusU?!c$h6NcTy~7p#lA^0?0n?k?Rf8l4I?6t6SCqJnNR45;*&%I5rW zV@eSpD+vkKjtPzA;idS2!}cWo+FJ_*;cUI=xKkUANFE3dR+PbY>6l^B z8MZA+`~-ZSpG8F~A@|iCk91pGTgAo1ehPtiz4>Q`rc$H3ZjO1k>JzNZ)WKXi51Ssqkb0#KaQOs;?J_YwOWiBl({rRJU@*815u^EhL_m%tD zM(_al_SB&3`Mk}}d%JC0o7ZF_D_I@5kdqE>lr}WEMD6xsc~#X$yKS-5i9Z#Z^^DPx zk$`vES5XKb;lb9)Tit{YhnhE$23@3|SUYcz?q-Vtah>niYNW0_sOw}ptI}}s;(n-y z==NIQQtH#K2jNm*vJZK%Pa;C(T_2^1hJVJz$qU8l1;nJrlI20dl0u7?9!LeIp~5LB zP@v!>cI13Hf7YF_U*o=CX=-y(F)7?{oZvb(yLUVvR-b=uJoi2y|3OJs7Aj~AcISe{1EMvD{Ru!rNP~iFWp(;Bp5pd=J==Eb z_wVjT78Vv*7{|J)xw%Y!?~dr`$*bMbzbQO5XotJ^))BOv`d(wbnAdC!?GICD`S66n zO%*|CRb>!+i$lr%k`NKWf&z7>(Yf~dnpVck*}*=8-2(7YQdHDEf$i}>J~W?fOuL*} zDq!22ajct5fia7dFHZbW@1pxc8bD~NeNIbC*S>mwklkMZ*$Lg5D^JC}3ZlqZV4ILn zW;3;5IfrD|KRG*#DzB=mtCLp%47l*Nb2vPoSmH+f>VKFv85$I-XAtt6aISoDsNX4$g2~1#)fyZR_R#ZFch z$?+NxssXx2RiK8o98f)80oI4Goq|`1zx$%Id6scqf2`FY>bQT1pRsPVpm8y%q)eki z0cR_(y1!IgY*8Lf=|lf?{)*dp8rdF4LQWpYb9N=_0I7*WxOfVVwmFyJXsOw326NZx zt{VB!or#OFvy93pFu-+{K*(}=doxzg|G30u1sg6|UQve2>|uJ7>Z#356ITcm_#waQ zVSK3#3Hm))F45VV|9OjC`r%WcP;O1iDdUTd)#n5|Qddfj6(A|&=1#wrs;ZiR=b$1I zSR`t}1a!Sb*5Pv^Zcs&Y!NZ0|P-Re!`1zDxOR{TyIN)5K3jK4qy37lT7&d#7i(lX= zlP@~0Vw`0apq)Q38RMV|0h2%ls$Ovl!>6Ye*QdtCA zV&^X+?_da4^?W-H(%Cns z0u^4IZjU-%;>XA9wU)nx<3MZZZ)<_8C(MjeDSt#b4SPW?_!?i44N9~SPd ziKfJ64W2zIEJ|*z$sPsrNuZ*`?NLqw7}g~fW%pd@C$-L-MeU#kF;}osR|PW|3lMZ$ zJjKUAlKGb1LL-N!%j_4q#02Gw&8tE$6C$j`pT$1c7u{(9%$ zHJ(lILZyLC@|S~4rCGX|Lc~B48d6^OB{l1nds8aH?{mz+1s_4+)nsOspEqC36#8+{P@JTK!gDZ<25 z2gVU*`X}m&BXhq01|-!xzd8qa`DHzT<=#N`F0e^Sxz7$5&~7)1DyX}-AV<=A-Oe6@w1=rs2U9WP z1LA?4nCh5*g9~v%eK{j?M-DMe1fg7c90ufc8JtC-9K7aV9RxCqu>4gS`T-8n*4h(z zOZ1lfO3K}CD^&i>!R^(susr@M(E+N!9J-W)IHLC1iV#JV)YL$hAAZPSL6JDTS&0WL z2nj#z8sL@GWyVN4${uz{lQ{qe+aZxrqrz^rcTBG>XbfzJ5SFQ)(vNfs8}Y{ZK3Db`OgEvB0Q(X&Txk80p+V0&=X6EAKR790zMdzhuD7p-T=p%_Tl!oSY9oF8~QG|rgs7NL3=VAj|fp2_m7HBi-sky<5 zm?F@ocj-5)5@rWB0=dXs_fgt<{g_ilFMn1oW5vIkVY8tpCmB`gUZMT#rg62=96Zqh z`qHGx)%No0$}ca_b$oPG_!K{gL0nYS$k^C`gk}2`5Ndptk}|x{-^O*sz0)IrX~Qo6 z(zCu!h#fU1-?Pnvyf;i&Ue3hU8Nxd#%>q4sMWg6Z{OwWrTv?n~LyT4Q-@%4U82XDBizm zS{M4@S;frFIct%RuybB2!#6*3Zh#vk0RyGm)6=~d%pGd-+SvT)-lC0&;0Ofog_#z1 z!!+n?yb_4QEy*5W{)HA67BGlYuCBa1Z!5$qUu~}7yOv8EeqjQ!M<6d>$11bBdaJ}@`m3qgL789?U&u>z<;+8d-CYa?K>RS!V`D8`snDpGe1%x}q)k9b7){H{yyrjJ z_E77(ghzR!&;yB>FvE!Ge@T<`GSTZ!n81hvhL+-B|1OXWx8qq&7&}rr{~N9|IAAac z@U~lSI9X7=eX4ICm&PJ6mc@_uur*dWV`7)1+DlCLK%U2))!oZ)B)eDWp5*?dF`n_k zOlPf7(Kcr_VNq%|>5GrgS>aL5c)A@DdF-WsAFh zW%hh!EGdB#v5bhM6B3p~RdD=9_*(E6dwu7Vd12y?mB5+x>gMpZwg##V#GgPKVICM$ zeHt1XrqD2rjNj=|Ab=HxBd)f#HZ_eui|EbMrjqEBw~(lj@>{$~LFLHTUcgz)^yU<5 z`Q~&iBW+281iqQALRmRsX}GbUz|rm5+qzit{#TV;4kMI<@;eSyg7K9$T=oO=Z9?C> z%_cv3*u&G$_zuo>-P)H<*!67C zpdH@3?TTGb?g+7fRw<2gupiYPEXAIj>C!h%BhFEoMp0&`+IJ0=mZNO*M61J6mD&Pp zC9U)ZD)T)(6`ap{N`_&6Y;Z^c=fid|^Uu!d4rzX;9WL4a`-bd$cl!6QCu{DVo{zqj z>r|G|d)~8P8_Y{7bY1P&p>?5Ayk+lvy_6%>90?J^sjgMVtiX;N54_gKqwT(Gv{rd8 zlSiGC3(@^TOqWCx=D}Z$4_4O}EGDulFSuw0CaYi4;QVzQ%;Cz-}G2P%j0X zWCo1YO_Teq8uXtLhuFR|m+CTfo`LDH%mE1JzZ+%=xBlBSYh-`V%+kTNV{vgDaO}5E zJ+D>puK7g+mU(7PAKx7`Gmsf}#SfKt&m-J$(fN944AERff#_&_FfLpR;J3Q2zp5I_ zNZ(i%5j@ZGf}UHsBa;w5OYTh9fX{tN(RBf1NRxezWHUx!xbWCwUixWwbFTi#wp|1L zJj(+@kUJ*7@8>6ZiT~1^q4kZvLOS^E7|CZn({^4NYutn-gU)B~Q3R)`;+IgG#B~=$~cCDt-!1 znm5ZisEu`?mDO)9+!p?r^IjR$kG|HjujQyaX5+ zV6{*md4U~8gDpz8yYVm(V-ob_Y!{WZ*t6p~V@y7PkAWC&z{24PyN+xNzXKa;91EKh zW5l);4}qo7=^gm0edMUA$(#W#2Nh9g3VbGUvTLeQh6MY27O)$p31<{zv!rfD&d$;p zA0PO?&iF{kE_{eMY&;LE*Wi;2W5C$-N$fq_M#!8jQIX=`2fcOvRBQeOtw>#x4A1Se z_#ucOq~B*QHZO?d2#P_oYYL(oOK(g5%fG*=pn89kr*>uOX*OIaEKU|; zCJkrL(FHA{sn8vT)FRRYsd7!!0K}ah5?D=fpuxM@- z*usv8p8l-1k*%3Ye6+{+ylh10U9F!soz?4greOH%9XsC>7qYxpr*52`DRsB8=KHr2 z4@A1#2M_iZnaRFd2TIL~%g#)$mh4 zaAQ1P9aVKtNzl=|`NtJJ!I`45FDY*`)k@-C6^QsARPhCz;<9+>=4Mv>>#m~xc*xS<9rj{=Ve{kCuQw88;J| z_7nu@n^qgXahW7}@ankpHKC(i!xx?}Xf&KpeMxWdMwPFI?laKa-O6j?sJEEhOe7aI zB#@v=K)J_6@9Y1svkN!oT3!c(ms&tknK%Z+C)LaSu3Ky0T6#6qx;bk9+p{ zC&&+Xkjr}Q_XtrUtzinlPIUlf2QANOq>DS51J+CAgZzO6*w=^wRS(P$i%J1pC?-Zg z{(}$J|3%qb2GtR4U7!$xySux)1Pu_}A-KD{JHZ`-yK8VKxVr>*cXzip+dfN3)6)%i+8E{vEQn;x@|6lz7Gm2bYG@wO(s(MKz@L;l`88SeIOk2{-jf)lR2K1 zK>SUv1i_M@`P_)RTqZN;V4^#K_RZ5;tL#hatRbX}sPUJo#(mH_k|*p!gQL9qRK;E# z47ibL*b7E;4L_GB#UlSd;hMWSc2ayl_9Ksu@K(pC`ztj=_MJnUH?W zA52hBTWYH|Bh0Tma2M*a<~j|7okX2xD-0$=wczwG!Gwjj#|m*d&-ruoE#g!k7;-;S zLObx&WO0@QzAibC!$kaGhJU0tRD?O0lxZ!I&{;XJpg=f^R_~H5AskI4wd5=deihS%F7T+7k@yYqI5NsYt(})bmUB81`OaMjcAtHpp>FQ>U^26}R=)wL8|Ggv;w!fM zR%`sUT8=2xGeo?9_V0IzLN1z#*bU$ql^a#}A>Atfu2uh6G6h3#=a+SH=36pd4@sD2 zKkUImq=5!gjGL?H$$U23`;Sh&^J*&>zKW4#y1Z|`Wy+;&D8iW<^TnBiT$;Us$h3O# zo#^62L@?0Mvm<7YXWT+Bt9$9ml^e{oia8>0G-aF@3u5^Pa#&Cj%2kfmkg|QjC$L&N zn=EP#8TFlBJC$>U4B70%_v04vePHb>9_xcXmuknHo~fO2BPMH&Va_W9;V>(Iu%m^- z^4j)@(xb<@HRn~E8Jhx8MD{oW5@R>G6GtcP&$B5H290ALci1)WV=^23;XKxq-QOR7 z(NX5*`xax2QSRRM3uGLu`Q8R*xyV>{ZzdkJQ?7)G=AV;3X+a1uk&*QwhT}J%Pt059 z(I?2RNmQns1wJ8W^QyYm0>0|9H|tn;n^i}o&!KM>QG%@H-Bb_8hfLoIlcgJ~RQt8I ztPu$X>YUZPbZ5{Ve`FTP4Hj9v%Ru<|&h~3QWXn@5<*H{gR2)}buAJ;PrZB*}<8wB{ z8ci9mr-i~U93k^Kt`JlNYc#2Ng6gz+uzKvX_}jq8fgT=>O>xj4D=WC7xJ>(=W+?q$ zNF=C|XoLwfHS8i!ph9}ON;3+91Aprwv4pR`p)Zn(%^o;@3H?Hvdv|LzAjSwWMUkbi%^ zd8TB_yI=d@kC>0FN6{5sONwL4{@Y&at-caE_X=1%W^ZT+X6xhGb5d)#8H#Pz0rgg& zdvUHSfRTi0yZFsvK=ImYy)7b@$L2eC{;mbYPEr=@eGJ@D)VvKJlU>^Zld5eBIV`bM z{=p+?xL{0Zn`r&^);~voHdKP~k87Qajltl|Rw16pUH9M6WH#0-z8QmkNU{6u1ig;N zlNfGHvp(Sqe)d^I16SZJjTY7V6J}8%5XH`2Urf1}TcO;ZF3qJl&beN9mteiV!D>Mi z%9#$ax@}cWtXKvP zHiA*+{C#9}rB64=&^|dqCy@8bz;(sLVRigA0NMy|n69_d&>{leR@X0mJ)w=<)rg@n zfPv}$b@B>~-4{})*=0V|Co|1Zv~o4l-;{Bs9#U&(TTl zPasbkvmc~KdoORja@oB)bu!mwzwekj-z;}g>)&`JI_D?hdo&qteIu~1SqM#ydB1v) z)@`%5dr-le*&RcIMCnj#w&D!I79=+PL78P0jlevU1YeeaOJ>AHJg$|oZQmXNq|{`8 zr>mh?$G|?IjNR>(H63gE#mitoidXmkwCxv*KH}u0ZJS<0-XoRO^X52xJ=8qj_?@g# zYoB~`nrLUktuCoP(D>4P`H)I)W97z#d5{SICs`_!W{ydfvGfPPn>v2P!p6?S^w~8k zn1;^8H(f!$TKh}O+54q`5;aKzspr}p!8kk}X{D=3V@Ge_uOr|LE$BcdrPX5&u2|AI zf3$n<;RVb|Mxq#4WyUiZUFTvbn^RC32yzZ^v;pif?6~+O77AFw`C?k9k zFe;bUmulBhCZ1uC?Z!Cw7aygOiDJGDt(C3%17Gq8V!VMpY140Gf&Ko87+j`&pt z^%l-2*0W&sE$3Wp)6rBO%O*}xTXTC~p(7>L92Ae3Zr#qH0f&}^EXP1rdY_#=C(>yA~cE{DydJlIoP> zh*$<8-D{qPn4yLrP@^|K-`osf)0a?K1t3d46McA(N)vUeP_l4+mJAOo0iC?A(N=sb zYzkWa)kZj3WsNYh<$j1k2hHlXrdz^Kjl{&}HG#b2R`P2Z;Y#Tb1j-LP!}3^lIEmvC z#j=^_AB43@;^L0sBYW#F#@lj&me~t95&6AAEOdv$7?94sj<1{ zUlo~pxf4go;E=zp%;SGS$!7IbQMC9W#~y(qP$=olb&4mIu&9$!p3W8KVVtr@rCsb6u@ zUzhnS8h^$4;7Ax24JvvQg&x>7kV+J&Ie)c>7EYi|Pd6TkJ7^iJqZt@ao|QgU4<65GKf(CdUEwvtl)a0SV@GAh9GI*E-HL_KcETQ0&7}n6(ay-?N7*$_yzPH{9(!r-+~M!Tk-pY?+0R_}nEkD4 z<9mfv?p5v*s2E%C!}-kU9fM3n3}i@e{|bwXi*J^%`7qTR2hi*;=RJJc`H8BcuL*T7 zCM384qH44L((5Q@b~8TI4H+~lEkH(0Sm=e#;B|MR$hwUKKf zX?xd4IbDz#?(&ZW?+7_`P3p8zbBEE0reyBOszmGteqWV^x}a4gdM{8csPket7y0N{ zu<{_yr+({>_^fT-`9F&u}#v$ag7yUs<5tXhmg$D`iDtisQO}EbLYDB!2ZTN2i zg8@XvjTWC5en=Km1|2cGh0V^8o;q`*;fyIJYn;g%)6Ikqw-Y{O6lQc%QW*I!4%Uiwe|>OKsW8}38~ULUPhf=(ILK4oC{TXY`erY z6i*J}G548*LPe_*;+xQw)Vqt-M=hA##;UfWVS_(GO%cs!D=cKotw{u1M1#|^c%Ua$ zsa1J>&ojdCNqsb$utH!?;LDfG#reIJbKn#qw0OQEU%QH*%T6GX{J~mcUvp6UuG=jD z6k0m;H=YZ~g56=s{2I1|{!#q{`K`0N3ejiuL85;&k&l-8YZykQR5}O!7g#&7yDb!Z zv@u8pj@xD53hiI;z98&3%3a>y*Xg%No*efcYZr)T5SoM)F{t~$OGUd*T4wM$7DZ;` zZL7=*6BNZ;ce$F~l9ACT#S(4!w`8(?p<2&{Oh<+BQe*#9nIb+rVqmZyPwn5;v9FKP z;w<&|92!9^APg^gfPKW$hL{LQscupp49DG=3c=}q$ab2~D|{!8!{3CA4ELA7=-z^AY;!o=cdI^}Nc;?=n>`GFV8gB@#pVA*BYW zl4#>{IxMC#g1HCm=}%yTvUS!)4p|IqWNsdJ(v%7?vV>Gl*N4Nbif~hXvwJy*4e=;4 z8u~CzRBb$QXQ8zxvJQFPu9B%V{9rJJm0-9Yv8% zBJ(>;zvT1_`IKa&9ttMYQ{@KZ8C)ojV5k=7kK@b;OqmIV6NE|Qutm;2qnwjI$4LQl zuXzc>`Xr^4;(a@Q3K;Z2LhL!3%>sc9UJ=5Kxwt9gj3I-I%XUH+%@L7OhsBxdRyFfc zCF&Uc#>A&lp!!%J+5&a z@;j9|Pp*zTaW*bZ3BMwJzBE+K&jrR=sL1{8qxHdTCKOX4!9Do4-atyeDcpTsi|}vj zqx_yETFuE+xLg5L(-n6@b1y=%?9K0@!9E`)7rFl|e`TU`fmDng(_|P-FhtM@6>jEQ z`xT2Ox*yZ8?!aI-)uK*XXCx^wH>x_jDGn`8mFve^roEGf zA?9UjbK|1UYdkdU=bn(!@7myUBDes4GPk~W<*0gPjC9A_Y{Fd?Lv)gA4cp`vMM>mY z_`!eS{EJ3=4$_MOhd6y-XZZ}ZV@c_;%~wraeC%@R2h|r(!;?mt*|(j8@;=`%F6NFc zK3c7IiWU2Nlv;C+7oGdO0E#h8bq`(4muL|>wbrOFc-8H_C#$9WQK>>1zh+^{T=BHq z2?ge0F1kGIvAfi-F#DPm-{(7H_YWic~zJHB> zkx$|D*%u9kWlvVfpN`wf{q~2vS%i8lhb;OGGpj1m5{1P%=fzGWod7l^l&OP$rYR%h zV$jtW)S^L)Zo-gy^Dy$T{D4zw;=J}w^vlv7b97$%-5oJ(H$;*d=#N9wX*=q z3Hcqv!VjJ9Q>D=OgG#m<0zP9kxfEtERkt{#rwv>@K|y{DfxF%U+Z*J86&L-pf)YBu zM+K_)AOD~b>l{kHv0+DnwY-WlTfZ`+2{S*|5EK8py+~>wT(VNqNR@P$MOA@WeluaU z8S9cgOP?=t`-)j`v%04l(mUZncZK>JP8VM-W;n|-CPXZ{=_FW3v!A6ukTTJO&nhtt zMb-%M;=|oWkHnfD*&1Ex8exr*BZ*2I==mD1} z**pi5l8!PH&UJU!eZ(}Bg^>E|6dme8#W!U8-?4DPUGBu!uhGF`z@B{6mwnQ+3K34g z7aTzb8*N?ukuJ7S7rUDA^|kX>*k5SEqjGt=MM4IRLu9G*!|>u=@VKpq3xu#rkV-90 zl3EQ37AJAKf0!6b*-!|=-Wn2|lM~s=c@pI-ru~SCeH{Y{j1Y95;4~QrhUK*6T&{0r zpdD&t(S1-?Aym=&VFN_ADH4L|%f!~*;J1z}CjsO#kIVX-?6w+|4@+hx74!n}8 ze0-4E&-zE_DASA8bn*;|pOHxB2R%tHRGznSXqGQXV8o;u*W&}H3Xl=s`}fv;=Wom~ z!wd+Lt!M>4aF#TtM)Tc%vy)s&7&3cJFQ!tz5Vcc2zRZmZT*wimfi!@NAl7ij%R<~c zEIDK&)5pMXu|3&*1?8`A1%NE5$`!Ap8B3AeF zZ~2K-Xy$3r!Aj_h7W;FK`kz~709oxhHA zgu|> zx?WuXW7~-h#82ud6JV;dac~qhwE(L10|TNyK(+ba)zuYHO+7t5jfH@SI5{@fminip zBqb%q%-np~h=sWgphp7izjW%B*48TR*2bnL*N5}9pIkM~ot>S4qJX)%xwki8CIir- z4)>dVz`oO?EGsJusD<1O86O|#v{?moII=P`qqeTE9en;YG_cEt78ex(N?e9m&=lzE z#>U3p-fzGiEH7((0Zt-IS>4)-E69Pb@94<-CIy(IOGpd@HVyzE#?Z}-2EraEEt{qh z;H*wfO#yBx>+9=ID4(6XWW^C3g@}MK%?vnLp))C4fq-m&i@CnOb~5?w;1B(aXyJF9 z4X)_y@b9GXs3;cbAgsdG0_0!SRuVwJpnxNRF#Cz;=#wzz{U$jK(|`kmCh4XEfqv6* zo;x~U&8n+9Sjl?x@mVN-cFB5K-Ecg2+}Jqw=AU%dUHDU0NpsYHO~jjJ%FzMMK(@vF{vl2%f{!UUIhc zygJWmwXoHWC3&bjU|Mls6Lz?%IEW9#|I3k;fUqx9zcq%Iaia1vpa1)5_1$q<6(Htt zJ0r_8Zqt(=&25ox-Dn?C6qkW=-h4HH%cJ9Y)&L%>9poiwfzn7rY zxNK3D1zDbaIz$?SXFdEXJHh{Qx)aSK`$3T?S*Te06G9RZ9f$uZ!es-@)7X& z*uwl7p7e6wYWsG#5VNCa0LcLUH%*~_m?C`<;Sulv7k<)qSps)>3alP-7>*;Kf>aZ@ z>;n50Pyq-j6O(?tzg&zC;Mz3nk0el2X4v`nLB;UhFXC91Pi1+$KOF$Z@n=VAp!F*@ zt!uz@9#G*}9)jY;q}P}|I)(oVpY66CMh>{LKOH1%ISgAjt+5M`coYW=I%j(-8-v1cmgJ~E2kMMh)m&-|wcJiCh{)!zlYqI~-Wq1P$ zT0d2oDYM-2f_;>{*PIs;caIke9a+O&mdz~Rx^?Ukmc2XMXrAUWPrJg7IZw}zvUtg4$YPJM_>+8Lt2-BX>3pb$_30-AN{}( zK&SwfzeHXXIljA}o6fXd55N$)LHl?yUMl zH(-Bl`@HqS1;p!9jj#%GC~;6Lt^^ZgfR*7^)c&6!q_$l*c%v*%Tq5_UWN~->UXrGV zKq{wgRz~;YTGu7B)XLWux`c<}e0*$d=6GDI>TbS=)g6pX=5!dmn6M2%UK_YA#2BB4 zHCKZ1fESyCXe)D1D(}%4N*xd)mdp0Tya)@hl=}_e4?xLuDlQef4pYj634v}Y&9YM6 z#pcaQplyHS&wu(}ai&Oyu(wH;`-lv#`v7(y>&IiS>V&|@>vMt=hAe2GED!(8r%gR+ zG0NKa#CyP|`6rUlO7vDNl?J%53~|OE*3LTiFlc;>uZrU!+b|!1`DRi<$uk*qXDk z!eJOvL)5WgT-G2EgNu@Xgr&LdL~+(TeGgfTB5@U=V|IM;J>N#ggB)0KiFYfcv~%R5%{6U< z!pWn!k9JV z)K_TWcz0`vo0O#}tOW43ScTSMu2=JyHKn51y={M3$K}WQfn|d~ZE=w)M+|Q>`;9gW z)DTq3@Fe5Upzu1mmQgP}C*037Ov(n?sDmr^agbgcL~``;z5S(F}36(#ltu=#E=wb zsw8dEW4pAtLjHLaS)=Dv=k+yLtFtjLia0~{8$7pZ>hDDcn&UZVIScBGL7F6qUiKYh zJ*2QhBtn!(sy}_au{#bXOzpqf+ELES4}b-w78r+fp|%Dg!%Xx{!dJ=5>qVaqeOhNM zFM(M_{!?YS1kgE{6IP|(o%fOvjOTpUX3NJFEHF)Yx;ExR@#Llv^mzM!8&c8z4>-64RFj zmm@dJ>p+1WcH)1X70U@~b9iF~%URP;gk9?>+=x z(aD`j+RJp1)T-Uf3AiZ>nqnu*azze(8%chZS5oc9Q6waG`o2!(HZCu7xh>9528E}y z6``p|8DBDMxv2{EcekcSUN_ZjHa&XghV(+ja%1=CC89+N?1Gd#%d)k9bqy^~*$jE! zPKlA8Fd;V2=z?18dlV~NC5Ru4#a429Xw-6xWZn|x&u7Yh?p~fV1SJOV=NB)mK)exsd4Y9mhp9oxvHa{h2l%!ZzjIGwJ5S(i!Pl za;3uV@dt+;Y5PkxPQ4UFs$?rRQ0kz0f{mMQ)B>j+NdNuIWg_*M1);V-f_z!9mec@& z`jUY2wN@@FJRN40`Oh_t_O7JJrD=alaFWsBeG+C$<`5L|vOrUDYh-w5WpRwHtEEs1 z6*Zp|HID$!vMaxPOv&N$;|3Hm<89NZlywT;)W^2)gs}CmMGHrCv(ZWD(TogY>C*Ux z@E3gDaZlO8N7%F?6P*VVEBlMH|5@p15^MU^ z`Wt?htO%(_f9NopP&+-+SbD?jdagyz@j7e+xb)#~Wr7&9Up^LDo2t8|1~~$f9L$En z5Qk&%_>Oo%ioL-tzy^*{y*6-x%0~ZqwzOMwxp(b!hzJ}M7W^Ka2o|96FTOtAY&kC} zxtlVAFe#w(-E5-fef$1#e)89eDL6;-6!vjdTO;`J0tQCOliO<0;Nd9xoSZxkTN#ycPe|hBNJP7l5eoZuP1mS;6f6dMQ|apk+nB~nml0m zsgZ%{!+OwwEyM-qD?AMBh_T{OA{N9~pQoi5D>9FW{w~#-Za6P!(=9gh#42kEV}wTY z(G7vF|E-PPnAbKr8R_+l1U(0&qOi)4Fb-McYHB6GysV`w>N3oY%zfMziqj)dcqC3#Fs&|2r(cd^wsX{eG5q}TMF zYhtxL-(*Lxw(l$b7v#QzC61`jOt>pUC>4Jy|9cf5kc!hch(=BI#c&x(1rFk|yvh{9 zbc3W=I%$rF{T6;ij1jxZZ%j&Uco~k<#jqe`_EYN80ufSRAm1@mm9_xA0Z?lY5F$W; z;5!B?rBt6iYH@M#(eM`#yYIO6VWJ+8ARw*%|Mvwj5WmmZULDXZs0HGCBLEQ?0%H8w z{<&wnow}>}$B4%;-R;TSVKAT&-BoyLQNB#u(x^eSY<-BPp>yKV?&JQ<`r`e5{LT8} zeB9QxeW4V<66D>Vu8UQxWUryOr%rFGa3`~h1y|pF=33wPDjzIqzJh@KKv8vs-d1US zGjOr8@cBo9a3#)*6*EY5#QX)MO9Jg~U;L%)VzA>$-)%SI7J2&$i!3-CbcXZ`aJx3q zveCXTJ9eHVZ(;@|SWLk(F<*eY=h}AO9lcOQFj&+Y1zPgQozwp5|NBp&&GvON(7@W} zb-W`^dj(^y$~x-Lw%TiojcC5d0|EV=;rS_0k*t!FQcuU}{n<&H-%gj4e2@8ozPXa; zSneFGv}1OrBjS@?vvCE=*!1;@_aXu79RNI#EsoEM1C$~k{l+IIiUdJG=1^V(Y@=aQ zQd6IvF6ij#`=uH*=+SKe48hct5+l}O;GPGtpgy8j*VK$aTm_^mB{8f=3)M+1ZH)6>)AW12)%;5MbMqngx2 z1tJ6hVVV8iU9$G#nWM4ENijFT6k1wF=Is2uh=q9lw@nkk#q{#>${2wL+T9?UkAsW1)<#K@j6du@9=`3lnW0W?pnA=nOq?+5ZvqfzBNS1a4Z#QG)s@wqvGriU>Er}SLvOa zV^J?aQ9`&Kz!I_mh(cA{dF~UC0pN$f>H;duML|W=L<CoqBy0+=-cqNyATY{OID&y|;moyTwg;G)!*-^E;Mo`5h{>r(Zn z`qnQ<0;-xGAlmSpRSK#9-G?GdVLS1NuW$O+&bEhj}|x9)xb zXx%fAp8|MXru_hR)G7PdRW}?DV1wEUSWCwg3a#q4I8kQ_H|d=KosI(-Tv4DWB?7R_ zc^G9Dl`^^!3{X5E9$drxoriRyY>MP z&zFvav7_Z{exUDwu?Aa?>Dm@J##`hGR7#pl$b1I!shi_HWmO&F{SlG?z7$wIgIS9c zfyp>O(=e|A`{MDdEMSLy5zzXdyBVg;HUjy41krfv^ftlyFZX^wSB0anne;&5oIhP> z3Ll``KYe^Cd;ok%5Vzj@v}SO5Wo7H)<)V|2O$Fxo2nd8PROOX}%Xu!^9J~F&`zAOy zyy6vCjHQO=b11VK~S)z#|X6Y!>Un`zhpX-f;Kjc${+sPM`0jam8ka-8x4-8i!rdU=u)$ zFlx4}%Dyy9U-g%>?VzksS9Q~Xx{DqGv0^~3Mg$jZ!TVma?yYL7T4me)vgJE<$LsZI z9t|z(jWNc$&x>{HklikT1(i#%8J?C*Smc?dwP2vU(`%2BrrrY%M6;(Ts2dKW^f>vJ z?~-rI?*Q&ojY71Ze4TpQwBe(wWe@J*1He^mn<<9T%sT>axABmIasZG<384&!dQyaa zYux3z_pTp;|9P_5bsjWtV4mC5NfSMoO@{xVEo#tV4Za&-4Q|osa`gkSfa$}2mVI0N zZ~vJWgO^*R={)*2T`eDYEZdCN*#omp7*T>Xgubsel2Y3VO0riAfF!F!ZP7K2sD1Wn z+80O;;}$zY)>3-q)73ss0Lzfm_?GtuAV3Ln#_;;uF5BZvP{ zN;m+{PDkF{g*|{l6+_orun_sD-PLWp%Vi&`oKto+PMLq&_n*gKKS3&QdsN@f$L)x7 zOE7fzDE4`A&k7CS7GP0P6vDDpq98-%-%{4q z3hRU&T(Xn%nNx&dWmjvXWxf3z4iqb6|BM zl~uJHX0c964#gq-@cz@6zuo#fg$1B}@n04=;i>Htl~oj2%AtNv=3&K7Go>-B?N*ufA&h2!PouZV(GS@Fg0$f@ zBXcD7F)8qov3W*9t}Si;k1bLtLq&>c(Bfa+RUKu?#48AZK@wkt*GQTU_90tB512pY zNULSrG|XmJ%Xm5wqicdceUK+>8C)r$iN+gw9>rIXhl@mfZpGOs_^bowlH1d@M=Dn_ z*Fz4neY3o>mg)K59}RshpKJDH=1$)ycrM%wXNcLunm1mMgtbw}cy&PHMdTUP?L)We z4eX=;+ha0lQW(TTI$`s;J9Yg2Pp&9X(~xFRLe;l>8mY5Q;XXt|7o8tE#=3dU#>)BO6PMfdvE7E=VyVj=J1Kfd&tUo+%3Va>@~z7`pDb1R)d= zzIl}Bd(wFuFd?sgB&b^i2>jL6_-1$Lb2q12C^@v)opZ+@AJ^G`dg$p~?u6Ro;WVr+ zRacb+x3z@SkIzD5p-R~#U0R)v*UNDFg>D{QgMhXKWN)CC*CDH!>3xpD3ouO*mFN{; zD1-rUhjc~v0TfQ*k4oQ?rXXYyB`NrM(v`2J7xf=-ATq%6_c=^7&zC
FI3RnL(1d zl5yO@VL`Knp~}py>Ze4B37657D=dJ}D-v=`;*bT2W^qpe!OonCi<>LayuH%US2>); zZbkrEkw`(tj)pc&%$b9mT+y8M-%?s`Q2cIzJ4KBcsg&Ry9HW)#@Pb+keu0o9JnMI* zuob7yuG^#?;3Wz*)xP2cYtn86Iike%>_*^p^@((yKA zFJG%f;PgUXpMiH|T#Yb&B_a)@zNUn;i+W0b#+ebY6Sx#zO2g(f6g0~(j^^YG{qKq* zHeirS_}*0TRuvm5#EK&=+^sx(1x*TrN|{xC7D*9eE4djItMz&^d05^vggI{DaAm!*{^MxBLO*qSXI9EsN$QYs>rp9=?zK2I3Fv&&y+ zHKIkF)5d?lpXt`d=ur?x_)KSv#zNZ*(*AVA{AZT{JR^SN1{wj6sxx)n;8a2&gs~?HJ0_W&rT7JY& z-Gs#%ZOs@Kw$s5R^L;)@kEb!Y(xk9&;a8{SX6q1cGaM;gU-hC&TbF?a=XcYUOMSc+hQKo9?^|ClVqBvRcg}HHzX@jGP+_^cld_ax<*FBcz zp1c(ETTTE%ZB#kgOTP(qctg?r3*MJa@pR& zrby+IDF6Bx!|lKm;C-p}ybEj>6y?s=PsZf8PJTIu-iK8D#mOZPLG)aL*nvFx z#&R!vt$BmpN}Z@f^X=fj`vCV*?Td?+lPwcF|K+Sbp}5UBCr%(=#x;>II#wnc!k|e3 z-@5l@-vTEePJq@SF%6`DULabp6ppS)$)#kph#WdT1s7uOwh*#so}o{|JJ};|kE4L+ zwSdGWzvyKgLYnQ}XM%lwSsO{E8VR5)I>Cu{v2TZq6s#j8Dz@lzmnV zmfkkV$+p0*5;-o?^t^O~Z zZyIhlev80(Ep}b008N|9#4(~s67B-0G;4t#dullEd(FdA@Q&af*McBA6G{oYtUzNh#QgS z-T^s%sxAL64<)ZQ?uR_?+H`OBU-t5^WQS`&;wC^c+0_f!!FShIPezeYro|sbdWC?9 z9h{Fr>qX@*&@ludb~|nU48bB@P(xwEW&V?dr$xK3PzIC38Ro#cf;3E_fF;ldT~1xj z1%q}IG}_JtH!^m@J{d`C<)>WviGdXaldFh zrJT#7T_UfQVIBi&aAv7!K6%fQk!UO!i5)$?xfnjw&5tMMZ7(D^G{^`)jWC3aoPEkH zPar4IWuU$;zTDx*mP;|b&&<6ANh1=Vk%Bq03QB?mTs?mkL0TCGLIdHj)xe}{{i z;jkw1x3ET(#t4N=Dm1ceD<&1%35yIXf>2s;Vv=!?&UDsKPEoj~B-JhGwByLt+0ip! zcFJHD-pDMps;}`Gbw`hkk*LZ49bM^z{Z?{4FtQB&_gU#T)pEDb4`X`4X)2{GlW3zT zVlYD)F_d{tB3>cuQw)xFY^2FM#V1NJ7Q>oS%r)#YabAO1cxZQ3f6K zo9Rr*z5jQvLJc^6qzIJRcK&LgHjA0}J6|9zWjX}SuZCOr667CyD(z4Gioz!QD@!5^ zWJv$7LOI&3%pihX2GzXY-J4lzSf#AQdJ?%>-}d z7dwIjS!sxpZ$<^NOgmg=cKcWs1ZHxPk*IFsjB0LDkY3G>z^fjWN4Q+X!7NfSG}gnU zLk-r7yr4(uKG!#x2Lo}|KGG}{PP;&yRtQp`p*_RO)=1GatRWmbcaytr+@9>$)DQ~c ziIwYem<>JI7*0Qa-2fT4LXcy?8xg)TDPpEfR2?+2$!b#pF(96wjL1Uz-M1$Ynp=Dw z~645w;98=4Sp&TFOapxRSlB)RTlHWn76gq3BT);R##1`+FeY z!QrPdb@~^}hd{g9Fb1>D)N8%ZxzXguc|rR}ovUw^%$h#lU^dy{s|K!8Yr-E5ZCa?a z5pMMEskO+&$`z2`-(A*Qu;s!dlGF9>=MQJc`Ne-$xv4wnB-qka z%zWX`=rYCG5@b-#5(P1zd79xmo42egq{|)QYuc1kCjjvI zlfG>l`~%>WAY6^zjeYT&24V7C1TcdccDzUqDu})l428+b?>ah?mM~5fe5QNgz&F3L zP4CQC*m6=J*+$u}-~+zW26TK`5|i&q5|imwpSMTtN`px0(ny}p9X{TdN3Kz_x7_y% z1^m=0&n~Ya48LNSuS(QHmkqIAE8UFN+Km6R^#=A%mWFHVV84HiNdC|?7q+(S0FHtf z)bv=M-M=-0)}IAa7y<`~)UKsZTa7+-Wx0&U`($S;*3ReIjz`D7#1wytAvm0>g;g1v z(WD!bQ!o8&n5;X?mE>qT?aR7`xmW)@N(K&mv^L`V>v$2_e@=H;bMd2Fy3K2|ckD&i zlt*AZF-%0xn8(vOm4P88lD3TVv(2IXY4iGj{|@t|HVNq3d~`+4sp}VwI@KgK54Ve~ z&a!^q*P*eASeA|z?YOPc`qQ*bgU0WAvYT^EjjUoz%|hvC;42_CT#eSQS<)Ha?UNay z6>?O0d&^`S6`c70xq{}lrsH^xW4vdb7(er5eOS5|^#U9(e%I>}uo40W^Z-4qvHRb~ zhA)7!1P4Rhbj}pZofyxouiGS^0WyC8&t*>GF5n4Je&~+tEaURrC3Pg(&qN*WxUU&> z#cHarZ)-}*$|B%HhY2b_jV2V}=i({>TZHKCLXC=w+V?e`$e0=}0!(9Ql$DfbhK7a! z+7_c$b51@1zt^G{&CfZ!c28DTRu%TN^mKHF!GQq^3W_n?+Yi3Nd&`B&sOadl zB|stl>@OrR<1!9VZE0UxDhg)Ln*TAwXliM-z5BYJui9&?cAtzS($Y|A1a$+3D7LH3 zQ%g(cbGx&pO3#|vT=qS$6i85bd>%hzdV71b`RpG6O@MPykP?iLo+~X@p4CMTT54x& zP4lDaf|%7oDk~Qk6=TD_O;Z|2KqPazbI;q`TUc0_W@^a;bg{uQSs53Y=iBmJNp-a+ zg6l9&dS`}iO#J+naRsR&`BUfTHp1kI1UpyF%GrQS-3{{R2$@JT)}Tx?jLuYDR!KkL z3a0$1b|uVl!BEHR;y!FHajlJcZf`WPKG4CY+toPFU!RMjlWqlmX1%V{{W`DxfWIu8P@NFE! z&P4-&#ncdZj>Q}u{nciBjw^iUmh+aAPZkU1i6+6+ibYukfIC$I>8FL8$EzJaU7x2t zp(NK;dojP(ki%&pQ@f5k2|#B+7-9?XRIK@TcON&6leL2{i`KiouPkM-naBN;V|V|O zcEu?%1=&X?VgJblpr9`r&0?#JsV zB0I)B_{j>ef7k(rX0G6I0rr$ApBKdq5?NQa8;sPOLcqs8*@;4ZKNR znjGv|sk$jm-@+UI$(k8tG|=IPG?+`L(OZAKJ-G5-$O#?GNWJ9;n9srxa-3?J7 ze%nR*&<)4Fh(M!OED(pz!@}aR86(tuJ$~rCy=wco*ij{9JedaOxdymN zyMkUKZ$k?JINAwN>2`wvj#h@;#KVTKZkT_Nx!2+kUezSzK>z&Ct0MLJDfP&zNp7#o%lwj0;^x_Wy?Q9hQTuT##Q~60AzQ}E5r@1yGqZ$JS;CDi{DcfZ>1XKcItqE%>F zs?no=J1c~<2&@B^mjISjUN?*M&1fKd7;NV57Qp7Ke$MywW9nwOhVZ^#_I5q2{&ft& z@%bE{g~=Ab+?uz7ap7bOuYZdXxDVpHV%<{#9Nbx+0llv@?7tM)qZIi!n^ql0KT{%R zQ+aJgL?mWdR0m8TedaU`!+aq9uhp5?o2LL1FmoWO1{rZRE8VtZDFDyC4c5e5PoG^w zVR-w?avu2N6m6u}xr>JJYcDY9{}@+tpY4XmXRQuZ$C_+SxZeRI?faJ3H@5|0M81Rt zuj^sTDEhCH64n1^%Tr%&AXADeQMgbw6onv2NdG(g=1 zG94WBs-i36X$JdKs~ZWGfs6^5Ec7WIxaoAjIQdNiCM=hJf8a9I5L5lgSjJ8@Q>RzZ zC69=(wYL|#4%Ei?_5oMn*7~yiyxC2-S@o)9CptQL=KZjCpqg_G(A1c%m+Qe{G4!r8 z1_x(dX1^vwfabW=gXn{O1uS8qZi5+T%Q0xU5DxB-*K^j52LAtve>F(}s-dunGkMF%tT+X?7&YU?jbN1eA?X`x#Z7A?ebc96LO!3Bu7SwvKoym=t?1+Ri#Y|k8!)f?U3?^7j~bnDHs5TLzFzn( z2x?6;$tXour%mxLIN+`ss6#`s!s)KB2GdlN| zY_SmXF1Vs_L!Y^hMclXrY;t8g?)GWbVVjFVMV|>h1+V z16VrOwd1nH8unyuUCas;tI^=pNkh6c#e??qF2-Nv*K+(2X1a-(o`Yp|*LWGORQqJ3 z`hzM?T5K(f*;W|2Z+7Epr+uYjLYh4(&s>DXjI805%?WOFN?O>{IOC*pr4FZrpYG54 z$cZMpJ(wux^6z-g&!mV3_Gii+gO+0B&ZM~DtT%98Kp6Qb`Zi*G+64mrpxk1{slTRT zUVVd!AwCn^dcH^J-e9wz(zGa=htdDwrdb>1i8JS-Ct51(0`WEu{40U=RjNBk z@B4kewqYb(c_0uA(aybPVXT!xqKW1$WCYbnojMXP&Q$TmPY)|PiE_Db7ZH5J6Fzzw z^E5JNl3E3kekoV#@`Gvut1PGoe5&(~y3e|sNY9v{TLWCkvq#F?kl2|xqpy{1dHS%1 zKSsMbRI6~XvH)=9?n4L@-Pb9R7A$mKL_Ze_rzmuS5Whhk=?#-4lolb-C9*0gE>+5h zwRJ4tsUT@8K2(QD)1fw$%wH}c9ukk2iTt%=u_6&C)aOEOVk8R>z7&RmOO-c0Xqpz8 z0bi^giDgyhqgt9#K8hxF!;9Zs?}(7TL5NlxJ|Xa9W4-@Ux09V$n(+%hy8+;ye3FK- z!x15GCTYUYZg;1B6y{%!+Af5Ynw2Pg@FQGb$`u(>%pZVB6WIB2^SsyWqydoIJ2STg zT5NuQeBqX<_;ufZN7;82&1Z-Sdpo(i0H%@)3r(&~-{)mx3u@AX08Rm#tD3b(QP+(M z4n&a5pc>hw{LPaP_D|Ynazz-wq&}R9cy}+%XKW^lh7lcT8$tpYfTr+g#t5I0s|Dhd z1go*&T04k=9E+xzS7Mw*?GZRn0YSY+sl9?b@hc5i4p0de$SN&~lSHqm&3!Al zyc0bmk@LHQWQyS-V935`T<$@>$;xL(rUi*jI*LnnJB**;zZbp69~T3QI@8wuN3*;W zY6fM|9>i9m1QdiF3*UHgjZpGJGSSfUf~G;o{V+|WKTP|yDD$6i1j}vk_op%T;WJc= z@lY!QWUZv&# z({11n!`5E{WLAYl-0&HaWdvtlM|C{8XGvtN6%zZWm3y1Zi$rlDIvVVKzu8J+K3c+n zRqU_%a$bmo?S7hlTM3LAuOW@{4pbUzc_@hkAD_35qX2f+dESk)Fsgy zI&E*=!!7kn>#0Ugnst>aFs4TS3fE`v6M_#?>(8$yVy{{{33%?+yTfdI#>5f`k#MDr zfsGXR7al~4+Ovr^mNVo2v{;%dlv4C5M*LU_NVqn1Bt@#yXc8rUe>pSibK@I7YU;t) zhB=o&pT;2V^M0zHfK^%KT^gO7-jY{H>6h#P{m*j;b%u!z{XB@(*d^9;;^+=7e-9B^ z-{F(u!j7ald0K~JwwAaf*S}&I>%!xjJN|G-%F~NU?j`1)@$-j#;+_bJUNj9DG7aXl z_9Iy&W8YlrDeE{6ExB*3e?LrH5S%2`5R)-}A0x(F$vl=vbdlW8Q0C6m@oOD$#KTiS zAaZ~YOMxj5*eyWf<~bG~jcIDJAvdsn8!YuwO4irHbJo3HpMND@z-)mdqLV_vYOyTS z2T>R~2Il3f@QLz~(hZGP@9R;d53D;HV%V)PTsQ^#OFnPOID?rnTZy}g5+2{%JGVeu zQ7S6sOVXT4BYxg7OlsP6VbJz*4r~Q;X4u&`x2!rHvcJIdV|qzgAVV|YGt4|se?MUL zauRJhsU5Oda>2A4*M_l2*iuPQS7z{laoB9=-A=1he=w6SJSzV3%k#Gc5nuC<;^hA= z!P*Tgn-d8_wka8Jiui{}uT0j-!Y-}+Q7yl1xmMSR^x%QBC~MCy6d|m(U}dT=IyCGO zS6?+Oi5DgiLyv(SCHwM~FaVay z0)y(8I3f=7^wr@B?6hfBnB-iTMb@=4I4m zcA^kz*~lbwwT#;|SmVVGeEZ}ePc~90E5Mtu84N2OG)87J*3eIV4O_4qMP|cX9z+gf zCQvg7`2q2&$K#38Rz73ZU)Y4_y#|R*8;)VkSOdE;1_9jFr~?XdJUjSf4qPg-rn==V zjfG*iG^hUZv*V{?nMru<3z5YCj7zvM$#d5Hb_mDuaPuWRfdv7rS)SCerGQ9)XrO)z z2ea%(JMnwEQs(w?GQu#u{p-9gfGxoupdiI^p|1Cxz2TQqd)kE;j;GG&dEo+t=H#O6JE?(mDG@S^-dAHXadtHZU-ix)GpU!f5pQ?7NcUiVrV0=f=nm#0 zi_z``r8v{oErMJfFQ(V`283^^Cn;l&vN;I*$q-Wy<)_nsz`x{SpU!NL;V}RlWt$s} zdNbe7z*)Go7uY?luyV|#8R0#%!*5oYN{Q;fu;&j1K6rH8q0P`G`3KHqzG(isd?PK4 zpz_Zi;9>`(F?>f_}m6$5f~w>0xu9~Yt{17t>CZ|^J(GOir8A3e7k<;mZZbR8H)_nV+*BGPLaJhpb~3WJZc< z-$<>7?^SCe!(gL-ct+s#k-GWkFU4b^aXN9RwY}x2LWf>=%Br0$gwB$lPLP>s7e#ON zxl8hWtxp`>)GmyKHgg+u#Ob-#h?(!F#_CmB2Sxmx8DD*Wg~>ODlAS%z#zF&++c6QNO9(zT8F(5>IwJR}YAIK=fE}Q1(s{vJGUCh`gox_a@Ol zX{!w*ywBloyPK}ck;b(QTF)R}G0r|7+ZH`#h0|CDpe1GVUN|fF2+L(e@ zx+?rulSOFKt=%IQ)T|7Ri90^ZlByELE6>qYAu6?Gztsi|$NDnk-_|CyzA$*saP0RA zS-$KBXxg&XB)1}eh|ngZE+r2neMe6$&AaXK7D;=AGy6kD2n;p+_b=Z!N1E-TD`li~ zi0G2dB})~Z_u{*aNUg}9?PcBdeEc#HT^clRr%`WAQ<>0VGMIop6TYp*KoSp$h`o_O z3W}Y~2ntw7h2^M4!IgD7{E(vDu(SH6qO4*@(}vRJ&ohW;t#-E8Xy6k>r#zlfd54w6#G~(20qQW?=!Qys5$(3I)!nZIc1i;(oZB!iSZDy>2XRSM}X z($7vK<;A<u>SfWDlkFK}@f1=b zXkkG-3lfD@t07Bj&ow@XFI)o@Z{6D=C)6{y794I(^J8^lGUQM zU3cqkKE1ZOXJnyYtS#?SX#Q*ygcevZ6K>HpU`hUcZvv0VYs-_-he98Z+0Vi^#6L=# zY?)=g^! zJ`o!feOSu9{ntlPYtr%D;ex3gv^W&cYpLP5DFN6x5>FDY1JSMJ3KkO`p5wa4nX_aR zWW@I8o&5B@3D09Jsm0r2?NfpS`ysnbu#!T|M;Yq<3|2XE+1=9F7zSnRU3N7{hUKiy zFFA`Wk@|1^V}b_oII=~`$?yM875Z>P%3$VyQyF2hkFzJWupi-ES|->BmZPeXNL_Or z&IG<=QeQs~Ubd@$=JXojp&*;viY|gc6VdzQ5P`eMs9S4bS%ZOD0~Kq*sZ2k*qWqim z&u_dL0@0qOz#h)iN-CB0vMOQ`LYcMCoRpoR_>EtlS?m3YnHy6;xrP5Fw6oK?q&&!%QtH@YRmX!WhJQgSoj`dy;0)Y zR%GhZ4tNHnJnz%xa>?7aHE`c$ZJMUj=-^g~D~_m@wjLkhR^hTp!*H4)NPSSmH7JxA z-b6>S-C-sFf*0k6hhT%nTJZU)k-A$_55C^4r(SHDH)3o%>^0;gEb|g3O7ax@psaR& zp&t9YH_s!24yZW4ZeDdcxgPTAuMEdSa5$1D0+XF5oAmWO8emx7TF3p&?q?o6jGFo; z?OlYn$PrL`wSB<)!v5d1MV6iyWTwTPoOYS_SDov!P)J4~ernq7dh?BrLhxah-M-nB zG?MRV>8y#k5~KCA#=Yp}AsxY(V8q(>l*=X?QY9qTX^$#tTzu|_jcqg^UziaV6V3|N z%W3SlG`9KvH8u`2-*Us0Q(g+6uZ~4k1$Ctlj*W0>DhqUoiB7aje_>8ZG1($MQV(3d zy7wC9RG@73@bspgf|)&?Do#a>RvorX^+_tbHh>~*!%8;pz9sn#_j*?wUd_2c0d2oC zJB(X0;hKf_0#3}4BfswB5l^g^#%BN-ipaLk^scNNDuR02-;cc~c0KO0FbnE8$OLuL z03x;^Q~g(d5Di8(s=z_KvW-}`kqQ8^W#h>mms}q{JdRssz2HCRpmRugy8e@<{fj%w zzT)a=&*my3g()V)QSb~||1#7SpiLbR=kI0okNIK}Z1t`6P@H!BjZ8`#vlh$;3!{s@ z4{JQ|0Db)%6aFfRqb&ET)R+*)d(pHonl5 zL34YB3vR(jBY9swJ-bc7>dmv!PpDivVWte$7b)QT;0N#DjXYfJMLsLxRfxPiNad2m z<<<#{=Y`^$8p$!i-4H=hvWCU`yQ^P{kY&_e(snf8%9@FvVS{tnVQ&H=!$farh*&C}p+{LPQo-SW2(9>yvMfAH(&Rr__IfNT zMEGYo^w#u9ILf-eL-FTjdum)0dyan(MZ=Qjd%|>)QSzroka7ax>Pa*5pGd4r(E6aq zS$3a;NOBYQ9RAAj??8Lov1-#-U!~jN2*e@)%=Rd4a7rdPwKM4kBO3|SH2#TTIQ6r( z>X%kls#;ru${1vrbKJL8MMd%e(F2v`dj9?HknpqMFH9EG;MJ9m7pC^~0czhsPJQ$&rzf>FL~@KhQB-o_;Xy@ov~*e|`e*PTJY3 zUZPuBQDLfYZ*TAEsgsleic`+w7Z(+!Bqhx)E$Qj%R_&{*s%AOoHwX#}x;r_2o>Ea) zpBf+UfRrU9B!q>b*?0E!^<^;K4kkH+<{`FLR1mB85A505*)buwA#e5ce)ZAqhhB!u z%E+j20(`l-v=oeFR*GT25~wFQ%T~>sS6C>A34Z(Gt?jT736FZ_BT=X)sKGHM2Ck$^ zt1zav0p<2jP6Evifc_5RAKyK+>W};Z<4#2&m{6v5kL7+vVXm4G2;m-pW?6}5vC1;|0z@hi z0uh>9;SpzsA{t+Y1gx;!nOK)SeFp11Ogxuv5nLwM&P1%WeztOi`IC!!-2=Q}aLJf7 zp2w2p&4IF_@WiE1(8mm^vR#gsX|q@&*ZOyt2PND!hTRb$M_?U@HpDT1;;@)31O$@` zfqjrpQ3`|ayQ4BXw>_GHVI_-0O|AX4BNU$rig*Gnt%3-MP++;79*-G(fhhQKZ-*f_<7=El&Wv`D6b3iUc z4JgVKhci031Id!IX(sjJ7%Z=&#w$L74_(SR3Lt?+*3=XzmH-TuziA6K3@7^pAPtKk z^>YUQDA?gN$cRnQWQYvkk$7&g!L=No){v%d4-od9P_yU$7>D_tZ4FO>;+cZZL+nDA z(}rLm*=z3KeBDAgX>>V(gk7M6jG^jofJXjOs8wcO1Mu0!3N=DIetntEO52x)6uD=) zHy{UN1!e{0r9_J|WA)XzUF3T=0ha6|KvbCVpFu|e89{=jspt)C=4us7AY)!}e`}dx zxB0IvpjVP_h>_05X3iOjJPdCptig zOuzy8&Is>)Ch?O~ok4pb$T9PKh9v+BYUXGu{AEEqmim-E_m0wYy^+Uq_H9#V8%CG5 z&GBI-C5~}eu;1*Vx+D{+wt=%ssx$a_ zyRT}m0GB(h=$2f_O-PA+gw zx6y4Q=NcrShcrQTRg4wTC&dao#cfwlw*(&mQQ)QQvDwQon~4^yoeq%I!d_W_e+vqx zhIDfoW*-bRr@QJtJw5;k$3)YG-!+Ti#i~=F*F3>rCupT$7q6QO(5UZv10dfhrGv={ z?WyTW?51p1TiPk)4^7pqxTPd-0_y1^QlL(@K_RB~5UZUyNH<_(kvi492ZW0+-9#o{ zp->?5eQoT@@MwpTM9Qh z1nrb?;#glrI&YqZIu(!qwg_b0&W&ui(bc}N_gs5{VxbcGD2Dt!OB0m-MFgkSHE^Y8 ztU7hei33O>##JPnBT_$LWaJq?yNJo1g?)_M+m zQUCq=BvSM-ezDlxH2-&Qw9!z@LHrNsN_tPR*GB!{osU3zvD8bnStW=9j8!7imu-5I z|7Z%U3*!)k!DZL*)=a*>F_roqnCBI7r$(P7x}MQk*2s^*O*QkLrMQ%6!dmt3s?|cB zE8*cvpK|8ls3cp)MWv5;t^3s>>XAcGAYNjb^^(Yp&8Ku--~SDD-Mo%flZyZ2@XwE~ zFgS#9G7aAjH7?##eCLwofxu!;k(wXL=VSO6~4zIjigsre;TN4WL>ZgI0rJjMKA$+9UoI+1FW+4 z#J3R?m={}1M46AAs4ohoG@0j!-kDhIbGB5;^1>F5UCJZ)Q}NtC)~z9WB6o`!aF#U? z+&?@?caucxKjG3TWY+f&(u)yELia#8U;0`mu?Zdg{+6tJbDikl_Gf7_G;ymFjPH1* z$uDJ_2bTKW12*Dr@aMyD)rmfl;~nIE;e1i7XTV+wb1>=Cj%VqiR}5(uShv+%e>SyB zJ=5&nOvX#Q19nSHqSt9E^#7Yon)km%hvHCus+j!*2|`rFXj%BY<7^6M{J9|CCN&*W z`@7kW@hfKaXWF^Ve$<9B|HN$-8g2rDJg!c%mA;;BiR8hoLyGKP_b!WpB*aEPU(r?Y zl~{%ufy}|+$-xyb?WW^g^UBwz3FXKQa>hxA+lQ znjDI6+C*im@d)&Zr^vg=)0h6h3-f)@x-gFr5BGE96K0F51(|IMeyfEEk_8NAzdTMu z6o~f;5}kXtS=a<+4}|XDdDDiS;@)+pmm_n2kjrilKo3$H)Tp(4^}`RH8>=Fd`Sh!9 z!9@z~!29B2L;>gRC_3rsY}<4r8urzKaYZErP36XW0~E!7JvLJ33`4Xtr!nmZT(~bdg;{$z`Pr;mIx+l zMzBLi*eq$fHSdlfPt~J9_01m>x#7*Niswyp=qU>%hYL?&Js)V-ljVjvI=8v-2HU>E zXd6MAP=rH~!6`;BN4u4)>>usmm*=w;Zx6LhcP~dQSoNA3nwnCz4^W3u1cQ+1k>W^I z3gyv+xe}Y+W`xd?e4tF0ox0Jn7S&UyeEYi0G0%lX3IL1`^7R`UqV9O}c!haQNeuq1QcB z!T9n8vreyC>rCr8NVsXcvYz}x3g^p>wkBT*u1$ipYwenJTLX!)@yUvpI;Nzz#)e;r zV|+19?*a$qWyZHXw7XnW#oBybm+XHw&ub4Q&7#0i(VWzGufDcBo^I)R9RKuGDD>&Z zlf4o+qY&H4eYB=QMlWR`a-f9EGxbaOZmoM@s%BLum2qyhVr1Hhn^nJcx`<((T*TtfeYV5V1d7QR?o1iv_e#k zB!}TRFf~7vHk@0o-TXcO`THemhEQVWAp-Q=T<(;4vsZJ& z`NHmxUy~NzQUecHou6lEy@{Mb>ig>Jc zgj5VZ++Bf>@r!!EXI@)d1I|O8!;X@99uRi~W$06c-Ff=|XaEDu(aTHZg7)9_BGjCY zWCKFsJJ@-4`*$W3QiVXK`(RU3)6;}*tsSToO6wR4c+GJ#S)kT#lgAB53^k!W~l3v9S?b5K<@T+(P2Fp;w;o`Lv6mJD~L z-dXpxn=G@{d<{<7b*tYqpiGhXOla}@>~arI^%^Wpm+QK#!)ts-Q7P-(FYg6W!L-%3 zYX7_XJK}`W{R;liR!ujo(3adA%yt}lco~FtX4y(UasYyC*d*0L_a1$6iUZ zi0KJ%e+LP)$6n?Fvv{u@&|%d`Q(FGk>|`f(T|;#-i$MAgRJVYL{r3}mghe4vwNpA$ zd8paQaz;B~02delj%E1c{rsF|wa!Ds+DQ5vXtE=d$Aqf-r#H16iIhjUcm622R)F*a z)xr#8sT!%df1LnkyWok@s3D6k00OFJ7iYR><#JpC?kEv!2Se^zTDv*ak1k=9bX0SpZ)u{XUyi*! zi4^x!zsV^O!20_-;mMKB$~a0{fgjP;4d`J2Jx!UPGf+ykL%e_^;}AR0I||IO#sVse zV5C$n?}4jX)(FA|nXT9!z(LFKQKelcBBK=m+~UA+V&JYeh^BuB-7dJ<2ht5Luk8XK zqyyAyHi4T~^z_HL9|(BeLHU3sX&-C~Nz&hT%B<-)FK~aEew}Q6xjzHsBTdtnW#d>I zp{h!C+rL5bd1DFeN2%(ruHU|dh^tbj+RSUS;3B$5G!gR}DzU?Woe{r*api_7<*1Gr%xfJ3d``+FM* z9eNmcdB8z=!Z~XRn#1mgwK;AA_G6jvzuKw7+sAIadD`u12F^i%)+e@gdmj-lcL`4ua6;qV z_)KQ~|2+#O77DH!3?V*?`dM zW{8>$%hjx(2R%b@JPwBXn~7H-B%!Cc65i^b_}gVCD-Inzk1eoa&iJ%ZpR2hmbreOO zNXkDSiH}kL0;c{B{AXkf=r@F4 z!usX2pmwm5NKbv1(C93);lQ2LcqXoby8hwSPK?AhH*P>QAipMoJ?)#qK7pk0MgSk6 zZF}GD(CtZmij-5I&x*+Ff4Lc2oQ+p!CG^lT!oGL z!Zt44Y{uhz!DI{1ups99-{A6zKSl3-eVO{0ZKpgJ3tDTCSwG%fU8H)5BYyb(K8`Ph;DDTsMc!kar= zKSfz09X4L+G907QNv&ywS~0W0lKlmr>!p%`AY1m?}9PD3F&j)(pOoMCEDT! z9RjV7hDEo%!9?x?YSEO@s8b-7(Bu^oq{?T@u0CSXe)$2xaO|4GeP28cLqVIFp3n1m zc~utHjxU8Mi(peWdtHn{h*ONJeU;F8wzR zi=l4m1cE=Q<~vjNWdrnvqK1Bz$-ad4S{`p9Y)&jpP?Y$K)HhR)i0|qop4UM9n$;k- zmcSZJZG~ebE^Q`6gh>hIw|RToKS(E*@#Noz@~7tu1}@Hj9@zJNo!*&_ymcX^_T_ud z#4-VW0u!p&{mHumUjaeOuC_9eW{`;VHF#<@>_Q$yk`zU2N>Or^-oaEHAN%H; z95<%6o%@gEMqdL@Gv5D=KOpP<$yy*+l!DDR;P76!c1*#lhdkGR>h$lr5~Ix4Kk2*cnJIa6f;b%NeDPU7GR;Fjc7gAuE#mn^(CF9M(8U=$4PGNI|FI^q=?Dpy z4>?2Hs0~%jxQ1?NqdkX*19}hP`nVJPB>R@AEK<=oZNwgf$Hj3{eizBAIBg`tEvgdy{`IOuJ zNqBzXA{>%7|0_c5;#Dr*_b>8viweX!yl#Z?O@J=dU(GsahgfrErF*V*{DB=-H&yU^P6loZkC=4YhS=y%2V<|dkzDdrQ?Ks#LLW1=AgMVkzRol$ ziPGAIrsB)_vF{q)3<6*Ho^!5s4CcIS4pJc^`7m+Yql+Jmw4fP2b=v3VyB9{YIWO{W z$wXJU^jf0{;D~MIh$j?$?$6Kn`zToVQbQ~zBqmp*#PMSKHF}nTF^sdnHU?R{T$$sL zNyvH$eeDV#s*&Yi@u7OR8O++C6VZH+A28a+au-p1B%+%8>Ejk|XYJ#31bE7u`s#>r z-_Z5~ni7~uNF=G#xjPe0GISjhE2UP5s)7PtPG;Q%EL~UgPwGNnYsYoroSY(6;rx5G zy<|B|yz2fvlY-HLAeWKioaszs6JZ%m;YyePuFpllv1Ph#W+yIE4HNdDVqHQliY~8G zz2rK6;G3-kBwmJW0l!OPVsYi zIoIPkNf-mE1ura1q4N?uW25nsaqJCd9az!w_CAix{;<=SRX0{X|AZ0Yg|3iBLzZ++ z4c_#R@fn^BgtWKFgq5yR#T!-sR!<1?)+l!lMt7%XijSWybE=3EQ)`;R`S$1|#`H9d zgcD6Dr>X$Y+VuvpY9l&MbgBdo>x{QCd@hN3NXB-d1imy@?Kv>tjytt?o}J5**W@mg z-iJ71NVIhjBDVZ2h0MH;DOKwxvTjF??qm=yC9%s*PmPE4uAxy7!yT0LQ5F12cR}6J zLFIo>L|@{P&uJnL7nRl8B&mz`z!LY8`uj7^HGzz-&VqcshYzy}CaGqSLt{0}3D+7; zhjK(E<5Gt;1u1WG+YIl$kjgVwCcf@=?AT))E0kA4iNS4X%Y+wK4G4UWyD9`Y#)8Mz zYheW>Y=4IQmA|Qz;2up>PU&i`;zw}XB84VtVn-taU z5qXGzX);asHrjoji)}Zbnxuvf&K_S{JeR&^_UV+J^DzW6K|+% z#y(JwiKm6!T2zn>V!e$Z7bHN7OZ;Y_4i{?bOrlivjJZnP?^^sBxzUd|_82(`pXGF_ zg3RdW#2?kSlcLC|m+QZuQ+JzIPqpwAGQM=5#Ds)OD4>_f4-7g7`knxn8DX5afDL8IcdYxUkrb8 zm|O}sm(>|SURiFILzGvt@a?FuqrxUBTYsHjJn*5h%{08_bVEF@zIB(Y_`hk9j*jm%JdK{qn8 z5MFeF=T{u?zf~~YoWAjPcc#F1Y<#l4AAIfO^P7r>CRj@;@h8h{rF?m(h1r~Cd1u9b zRgFrO#%$63r-WLypJrh@wdP@;v#ZQze@|`HSxYAV{z{MZ8BFr)Z65)0v-QR1-Y=sRI+DCn&5%PkHA=bx?Wu$@>2Y4}$wBkbA!T&LCI^}Y zu;z5O1W8sJDI8G7LvUy63?2z%;Tn$vw$7{JvcK{U1-rb?(7tp)u*k)o`5gPx7xvB~ zAI<5cDMz1O*e-PBvw^eNVZx|(f6A|{L@M0NQK?Q3&0C|@m(Xw`QK3J$?ZzM*zv3jCS6J<5JiiNU&H;V*^e1?YpAwXKWxUM^<| zdj8**M|#t%ilEu|1rgvE!JYZGfpit+KVWBj%|CI1`=TP4djG}R*hg<4s5t;mPJ?aI zk3~Uo-Ty7 z-gU_GuaVrgjE)b6R|Nk!9LSiJq2i7+THp*{VHfoNgFDro4_{xWlCm9)O!k2TFnkA@ z=Cd^hbD7zjFX*nOBNpy1_xo)K@jp%M&Qz{Bsynz@Y_?geTmJc{wE(f5QZQ+LeSHXX zcjP7P;Bsxj`I+T<()=}O2|UqLG}NC(GoN*TXV44@v6Oi=2BQqmQ%;pWPCIXD3__nJ z#8$0pZ!!qfj(<+tEyQ~~bQqUKmKj?X09nHqp>4j~E*lxbyqt9LY^!~x>kY{yt(OGBdqVR7Lq5#?E+WsU9>yd*~ z`L#xoMNojb@Oi7&-O@~k@*O8$%>hDQpr`vV>undT^JT;Cs=eRWWVNcUvQc5yz3X%M zYRp~FBCphT9DU>9$Zv-;7jQZ^>z=$jRin{3PN-l2eg5YElu|PNsIC1a>lJsTx5ae} zrzUNDoVD5)qT_qgXXThlgouOvtb7*ZF_DC9dc5wduV1Zw-{ZZnDL;xTbN`E_iCDqU zVtX3w%aX{2ihQkDC%;&G)%)>?Rj+zbMp=x~V;IBluxW^x$h4c`bQh)VJtskvz=87F zZ#1O&345C*(gxg19QDJIGG$SX;}kGaeavke1y(}SH9hL>{9He#JFm>O$A+jEKAr5a zE8k%fSL^TOOH^x}T7{8=F|8*88YTKq|@|*3I4EO+dhp&#c?L4sFL%Cb{mJ3NG8% z=~tp&M@!HJs}dGVHL+#G+^jz{OWD|8FpL4*gEg3xD1lEzGVh-L1z~ zo46ke87_XWiO+rYM3#kDEEVA`7q4q~5=)rk-WhO!MLAe&JR)mR{iS-XtMRIrd`g#R zGtVm{wZ^3L)^dmV(`ToffP)F+Xs_3M*^e*2J7>+I&AWS-9NIT&X5S2M zf*3qhhyg*cq+y%vNWCOg=X1Eb5=+D9Dx00%`T2(LVb(RfZBFmyz30nJ&gaU76Q9jdak^VpF6$<|3G@stQn3pH^2<|(%q&HeuAcHEt4*Jq;72tu zsTy3J6QG3Hva1=SS4xcM6&@Eksx%6uQYuSSE19#|5gsTAnr@8Qg!{k*MR1r!6HRHe zjAvz;7`go}fBdyFvXY?&Lfl}_!XkHCxVSbwl3MKiD+Ml$d7wF{)iBmTZ_D$|I=AzU z^Z7`B-^knH7FD0Q1CjkccAb*!u%*9Ej$!WBhuJq)j(h%lCK|+eVZyO1U%yH)sh9f) z66g!`S`T#?s0EHhEh9zaJQ6|QNkk)6j(3LvOT|suvO#pW3l;jk=G-q`uBcty=(uDc z4^`x9fd>qC`)}7bH?(T&bnH^I%gu&t)hL5_8_ue&+XAno^)yY;5Qbbmx->^;rl7E3VG235APwG z0y1ui4&Lxdi1RKiQ_}7vo6xLQg2ox^p{HBJmA%)L?ql3i$7&4MLLZrLgum422Bk(( zb1*u-)3p+`M(6u( z>#w0IGmx@ySLX=EJd(<61Iw)Lcg$1BoZq-2uFR++zC~!CKg#kxTtJq@T)pPhnoQXC zXj5J44CdI>s>D3U9Aw|=>!%$F87@r*vo&jFbWZ39XqeRvc5G32c#kg!c4Lu!bnY0# zC%u4KYOHoR!|1?T^!g?>&TWou{0MdVe$dJ}QeS;nZDS#EI@YUfbJz_)tQT;;3CNYKk&LocVOHjGF!?y#oUUS?CI73Q zPo%0fv9`qPw2PBc&c%FieyUr@e+|UpT4mdlwb!6VV_eTd%i|ubqDEdsV}Zv^SU)Y3J&31kn9{5 z8n;+z2w}Wsjpy*#Bm5Ej-Er077hQMe;c&$o&r>qT>bFm!V~UH;e`jk;2FXO1l;A{9e-!aXL0?8m7SsW~`?biUf^ZJAO+>+c&am zDGB4}w@d<(@1*=E#$qAXL)vL2MB{e?7>twKD2_x=74}DOhULc@s1?5@WLf!CQvwc}k+_Z=;F|)llrWU`goE+>ca;%kSso~aMT8%CKcM;sMc7xS57Sq{$M ztG=pd*iIRtFsCbm-dt1&6MmSdMb{@S!aZOr+m1AuT|J%)Ks}QL4Kg(|H?{pK$Fn~_ znw_((M1IER<#hC~Ut7N>{=5FG(wYxt)6ubxrQ&`XXQKZ)Q;%l17ZAkwT+q(mUc30k=;&iC zly)sf`?J)?BW^F3rmTGclS1yQ`YUv(dODP7taAM^*@-gQ7-eCOerr&A# z*W{sr0dz%Xg1Jhj+E3*#L7q0WCmgmXW&2XmIH9dsnBGG}Lo%{+3k|N{C;qu+xbtCxcQf>s=-oQ?G2ol6qDDkC;nR>d!=l zfX6Td Date: Sun, 16 Jul 2023 11:48:36 +0400 Subject: [PATCH 079/163] move to separate file + regular name on default --- CMakeLists.txt | 1 + src/gui/chanOsc.cpp | 208 +----------------------------------- src/gui/gui.h | 1 + src/gui/sysPartNumber.cpp | 215 ++++++++++++++++++++++++++++++++++++++ 4 files changed, 218 insertions(+), 207 deletions(-) create mode 100644 src/gui/sysPartNumber.cpp diff --git a/CMakeLists.txt b/CMakeLists.txt index caee04a7..f78140ee 100644 --- a/CMakeLists.txt +++ b/CMakeLists.txt @@ -695,6 +695,7 @@ src/gui/subSongs.cpp src/gui/sysConf.cpp src/gui/sysEx.cpp src/gui/sysManager.cpp +src/gui/sysPartNumber.cpp src/gui/sysPicker.cpp src/gui/tutorial.cpp src/gui/util.cpp diff --git a/src/gui/chanOsc.cpp b/src/gui/chanOsc.cpp index 00fedefd..39d6764e 100644 --- a/src/gui/chanOsc.cpp +++ b/src/gui/chanOsc.cpp @@ -41,212 +41,6 @@ const char* chanOscRefs[]={ "Note Trigger" }; -const char* getSystemShortName(DivSystem sys){ - switch (sys) { - case DIV_SYSTEM_YMU759: - return "YMU759"; - break; - case DIV_SYSTEM_SMS: - return "SN76489"; - break; - case DIV_SYSTEM_GB: - return "Game Boy"; - break; - case DIV_SYSTEM_PCE: - return "PC Engine"; - break; - case DIV_SYSTEM_NES: - return "2A03"; - break; - case DIV_SYSTEM_C64_6581: - return "MOS 6581"; - break; - case DIV_SYSTEM_C64_8580: - return "MOS 8580"; - break; - case DIV_SYSTEM_Y8950: case DIV_SYSTEM_Y8950_DRUMS: - return "Y8950"; - break; - case DIV_SYSTEM_AY8910: - return "AY8910"; - break; - case DIV_SYSTEM_AMIGA: - return "Amiga"; - break; - case DIV_SYSTEM_YM2151: - return "YM2151"; - break; - case DIV_SYSTEM_YM2612: case DIV_SYSTEM_YM2612_CSM: case DIV_SYSTEM_YM2612_DUALPCM: case DIV_SYSTEM_YM2612_DUALPCM_EXT: case DIV_SYSTEM_YM2612_EXT: - return "YM2612"; - break; - case DIV_SYSTEM_TIA: - return "TIA"; - break; - case DIV_SYSTEM_SAA1099: - return "SAA1099"; - break; - case DIV_SYSTEM_AY8930: - return "AY8930"; - break; - case DIV_SYSTEM_VIC20: - return "VIC-20"; - break; - case DIV_SYSTEM_PET: - return "PET"; - break; - case DIV_SYSTEM_SNES: - return "SNES"; - break; - case DIV_SYSTEM_VRC6: - return "VRC6"; - break; - case DIV_SYSTEM_FDS: - return "FDS"; - break; - case DIV_SYSTEM_MMC5: - return "MMC5"; - break; - case DIV_SYSTEM_N163: - return "N163"; - break; - case DIV_SYSTEM_YM2203: case DIV_SYSTEM_YM2203_EXT: case DIV_SYSTEM_YM2203_CSM: - return "YM2203"; - break; - case DIV_SYSTEM_YM2608: case DIV_SYSTEM_YM2608_CSM: case DIV_SYSTEM_YM2608_EXT: - return "YM2608"; - break; - case DIV_SYSTEM_OPL: case DIV_SYSTEM_OPL_DRUMS: - return "YM3526"; - break; - case DIV_SYSTEM_OPL2: case DIV_SYSTEM_OPL2_DRUMS: - return "YM3812"; - break; - case DIV_SYSTEM_OPL3: case DIV_SYSTEM_OPL3_DRUMS: - return "YMF262"; - break; - case DIV_SYSTEM_OPL4: case DIV_SYSTEM_OPL4_DRUMS: - return "OPL4"; - break; - case DIV_SYSTEM_MULTIPCM: - return "MultiPCM"; - break; - case DIV_SYSTEM_PCSPKR: - return "PC Speaker"; - break; - case DIV_SYSTEM_POKEY: - return "POKEY"; - break; - case DIV_SYSTEM_RF5C68: - return "RF5C68"; - break; - case DIV_SYSTEM_SWAN: - return "WonderSwan"; - break; - case DIV_SYSTEM_OPZ: - return "YM2414"; - break; - case DIV_SYSTEM_POKEMINI: - return "Pokémon Mini"; - break; - case DIV_SYSTEM_SEGAPCM: case DIV_SYSTEM_SEGAPCM_COMPAT: - return "SegaPCM"; - break; - case DIV_SYSTEM_VBOY: - return "Virtual Boy"; - break; - case DIV_SYSTEM_VRC7: - return "VRC7"; - break; - case DIV_SYSTEM_YM2610B: case DIV_SYSTEM_YM2610B_CSM: case DIV_SYSTEM_YM2610B_EXT: - return "YM2610B"; - break; - case DIV_SYSTEM_SFX_BEEPER: case DIV_SYSTEM_SFX_BEEPER_QUADTONE: - return "ZXS Beeper"; - break; - case DIV_SYSTEM_SCC: - return "SCC"; - break; - case DIV_SYSTEM_YM2610: case DIV_SYSTEM_YM2610_CSM: case DIV_SYSTEM_YM2610_EXT: case DIV_SYSTEM_YM2610_FULL: case DIV_SYSTEM_YM2610_FULL_EXT: - return "YM2610"; - break; - case DIV_SYSTEM_OPLL: case DIV_SYSTEM_OPLL_DRUMS: - return "YM2413"; - break; - case DIV_SYSTEM_LYNX: - return "Lynx"; - break; - case DIV_SYSTEM_QSOUND: - return "QSound"; - break; - case DIV_SYSTEM_VERA: - return "VERA"; - break; - case DIV_SYSTEM_X1_010: - return "X1-010"; - break; - case DIV_SYSTEM_BUBSYS_WSG: - return "Konami WSG"; - break; - case DIV_SYSTEM_ES5506: - return "ES5506"; - break; - case DIV_SYSTEM_SCC_PLUS: - return "SCC+"; - break; - case DIV_SYSTEM_SOUND_UNIT: - return "TSU"; - break; - case DIV_SYSTEM_MSM6295: - return "MSM6295"; - break; - case DIV_SYSTEM_MSM6258: - return "MSM6258"; - break; - case DIV_SYSTEM_YMZ280B: - return "YMZ280B"; - break; - case DIV_SYSTEM_NAMCO: - return "Namco WSG"; - break; - case DIV_SYSTEM_NAMCO_15XX: - return "C15"; - break; - case DIV_SYSTEM_NAMCO_CUS30: - return "C30"; - break; - case DIV_SYSTEM_MSM5232: - return "MSM5232"; - break; - case DIV_SYSTEM_T6W28: - return "T6W28"; - break; - case DIV_SYSTEM_K007232: - return "K007232"; - break; - case DIV_SYSTEM_GA20: - return "GA20"; - break; - case DIV_SYSTEM_PCM_DAC: - return "DAC"; - break; - case DIV_SYSTEM_PONG: - return "Pong"; - break; - case DIV_SYSTEM_DUMMY: - return "Dummy"; - break; - case DIV_SYSTEM_SM8521: - return "SM8521"; - break; - case DIV_SYSTEM_PV1000: - return "PV-1000"; - break; - default: - return ""; - break; - } -} - float FurnaceGUI::computeGradPos(int type, int chan) { switch (type) { case GUI_OSCREF_NONE: @@ -718,7 +512,7 @@ void FurnaceGUI::drawChanOsc() { break; } case 'p': { - text+=getSystemShortName(e->sysOfChan[ch]); + text+=FurnaceGUI::getSystemPartNumber(e->sysOfChan[ch]); break; } case 'S': { diff --git a/src/gui/gui.h b/src/gui/gui.h index 83c5a380..409b1ea1 100644 --- a/src/gui/gui.h +++ b/src/gui/gui.h @@ -2285,6 +2285,7 @@ class FurnaceGUI { bool quitRender(); const char* getSystemName(DivSystem which); + const char* getSystemPartNumber(DivSystem sys); public: void editStr(String* which); diff --git a/src/gui/sysPartNumber.cpp b/src/gui/sysPartNumber.cpp new file mode 100644 index 00000000..35acaa60 --- /dev/null +++ b/src/gui/sysPartNumber.cpp @@ -0,0 +1,215 @@ +/** + * Furnace Tracker - multi-system chiptune tracker + * Copyright (C) 2021-2023 tildearrow and contributors + * + * This program is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 2 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License along + * with this program; if not, write to the Free Software Foundation, Inc., + * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA. + */ + +#include "gui.h" + +const char* FurnaceGUI::getSystemPartNumber(DivSystem sys) { + switch (sys) { + case DIV_SYSTEM_YMU759: + return "YMU759"; + break; + case DIV_SYSTEM_SMS: + return "SN76489"; + break; + case DIV_SYSTEM_NES: + return "2A03"; + break; + case DIV_SYSTEM_C64_6581: + return "MOS 6581"; + break; + case DIV_SYSTEM_C64_8580: + return "MOS 8580"; + break; + case DIV_SYSTEM_Y8950: + case DIV_SYSTEM_Y8950_DRUMS: + return "Y8950"; + break; + case DIV_SYSTEM_AY8910: + return "AY8910"; + break; + case DIV_SYSTEM_AMIGA: + return "Amiga"; + break; + case DIV_SYSTEM_YM2151: + return "YM2151"; + break; + case DIV_SYSTEM_YM2612: + case DIV_SYSTEM_YM2612_CSM: + case DIV_SYSTEM_YM2612_DUALPCM: + case DIV_SYSTEM_YM2612_DUALPCM_EXT: + case DIV_SYSTEM_YM2612_EXT: + return "YM2612"; + break; + case DIV_SYSTEM_TIA: + return "TIA"; + break; + case DIV_SYSTEM_SAA1099: + return "SAA1099"; + break; + case DIV_SYSTEM_AY8930: + return "AY8930"; + break; + case DIV_SYSTEM_VIC20: + return "VIC"; + break; + case DIV_SYSTEM_PET: + return "PET"; + break; + case DIV_SYSTEM_VRC6: + return "VRC6"; + break; + case DIV_SYSTEM_FDS: + return "FDS"; + break; + case DIV_SYSTEM_MMC5: + return "MMC5"; + break; + case DIV_SYSTEM_N163: + return "N163"; + break; + case DIV_SYSTEM_YM2203: + case DIV_SYSTEM_YM2203_EXT: + case DIV_SYSTEM_YM2203_CSM: + return "YM2203"; + break; + case DIV_SYSTEM_YM2608: + case DIV_SYSTEM_YM2608_CSM: + case DIV_SYSTEM_YM2608_EXT: + return "YM2608"; + break; + case DIV_SYSTEM_OPL: + case DIV_SYSTEM_OPL_DRUMS: + return "YM3526"; + break; + case DIV_SYSTEM_OPL2: + case DIV_SYSTEM_OPL2_DRUMS: + return "YM3812"; + break; + case DIV_SYSTEM_OPL3: + case DIV_SYSTEM_OPL3_DRUMS: + return "YMF262"; + break; + case DIV_SYSTEM_OPL4: + case DIV_SYSTEM_OPL4_DRUMS: + return "OPL4"; + break; + case DIV_SYSTEM_MULTIPCM: + return "MultiPCM"; + break; + case DIV_SYSTEM_RF5C68: + return "RF5C68"; + break; + case DIV_SYSTEM_OPZ: + return "YM2414"; + break; + case DIV_SYSTEM_SEGAPCM: + case DIV_SYSTEM_SEGAPCM_COMPAT: + return "SegaPCM"; + break; + case DIV_SYSTEM_VRC7: + return "VRC7"; + break; + case DIV_SYSTEM_YM2610B: + case DIV_SYSTEM_YM2610B_CSM: + case DIV_SYSTEM_YM2610B_EXT: + return "YM2610B"; + break; + case DIV_SYSTEM_SFX_BEEPER: + case DIV_SYSTEM_SFX_BEEPER_QUADTONE: + return "ZXS Beeper"; + break; + case DIV_SYSTEM_SCC: + return "SCC"; + break; + case DIV_SYSTEM_YM2610: + case DIV_SYSTEM_YM2610_CSM: + case DIV_SYSTEM_YM2610_EXT: + case DIV_SYSTEM_YM2610_FULL: + case DIV_SYSTEM_YM2610_FULL_EXT: + return "YM2610"; + break; + case DIV_SYSTEM_OPLL: + case DIV_SYSTEM_OPLL_DRUMS: + return "YM2413"; + break; + case DIV_SYSTEM_QSOUND: + return "QSound"; + break; + case DIV_SYSTEM_VERA: + return "VERA"; + break; + case DIV_SYSTEM_X1_010: + return "X1-010"; + break; + case DIV_SYSTEM_BUBSYS_WSG: + return "Konami WSG"; + break; + case DIV_SYSTEM_ES5506: + return "ES5506"; + break; + case DIV_SYSTEM_SCC_PLUS: + return "SCC+"; + break; + case DIV_SYSTEM_SOUND_UNIT: + return "TSU"; + break; + case DIV_SYSTEM_MSM6295: + return "MSM6295"; + break; + case DIV_SYSTEM_MSM6258: + return "MSM6258"; + break; + case DIV_SYSTEM_YMZ280B: + return "YMZ280B"; + break; + case DIV_SYSTEM_NAMCO: + return "Namco WSG"; + break; + case DIV_SYSTEM_NAMCO_15XX: + return "C15"; + break; + case DIV_SYSTEM_NAMCO_CUS30: + return "C30"; + break; + case DIV_SYSTEM_MSM5232: + return "MSM5232"; + break; + case DIV_SYSTEM_T6W28: + return "T6W28"; + break; + case DIV_SYSTEM_K007232: + return "K007232"; + break; + case DIV_SYSTEM_GA20: + return "GA20"; + break; + case DIV_SYSTEM_PCM_DAC: + return "DAC"; + break; + case DIV_SYSTEM_SM8521: + return "SM8521"; + break; + case DIV_SYSTEM_PV1000: + return "PV-1000"; + break; + default: + return FurnaceGUI::getSystemName(sys); + break; + } +} From 2d68b6a31602ca90d6670f5382c0942e7c039e78 Mon Sep 17 00:00:00 2001 From: Eknous-P Date: Sun, 16 Jul 2023 15:10:09 +0400 Subject: [PATCH 080/163] update tooltip --- src/gui/chanOsc.cpp | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/gui/chanOsc.cpp b/src/gui/chanOsc.cpp index 39d6764e..522d01de 100644 --- a/src/gui/chanOsc.cpp +++ b/src/gui/chanOsc.cpp @@ -308,7 +308,7 @@ void FurnaceGUI::drawChanOsc() { "- %I: instrument number (decimal)\n" "- %x: instrument number (hex)\n" "- %s: chip name\n" - "- %p: chip short name\n" + "- %p: chip part number\n" "- %S: chip ID\n" "- %v: volume (decimal)\n" "- %V: volume (percentage)\n" From 7dd36c3d5be2abac352191817b73459382fb7afe Mon Sep 17 00:00:00 2001 From: Electric Keet Date: Sun, 16 Jul 2023 11:00:20 -0700 Subject: [PATCH 081/163] Relinking and new stuff. --- doc/2-interface/README.md | 1 + doc/2-interface/menu-bar.md | 62 +++++++++++++++------------------ doc/2-interface/order-list.md | 29 +++++++++++++++ doc/2-interface/order-list.png | Bin 0 -> 73955 bytes doc/8-advanced/inputlatch.md | 11 ++++++ doc/8-advanced/inputlatch.png | Bin 0 -> 7986 bytes doc/8-advanced/opmask.md | 7 ++++ doc/8-advanced/opmask.png | Bin 0 -> 77408 bytes 8 files changed, 77 insertions(+), 33 deletions(-) create mode 100644 doc/2-interface/order-list.md create mode 100644 doc/2-interface/order-list.png create mode 100644 doc/8-advanced/inputlatch.md create mode 100644 doc/8-advanced/inputlatch.png create mode 100644 doc/8-advanced/opmask.md create mode 100644 doc/8-advanced/opmask.png diff --git a/doc/2-interface/README.md b/doc/2-interface/README.md index 6de22e92..cb1588dd 100644 --- a/doc/2-interface/README.md +++ b/doc/2-interface/README.md @@ -9,6 +9,7 @@ the default layout of Furnace is depicted below. primary topics: - [menu bar](menu-bar.md) +- [order list](order-list.md) - [play/edit controls](play-edit-controls.md) - [instrument/wavetable/sample list](asset-list.md) - [song information](song-info.md) diff --git a/doc/2-interface/menu-bar.md b/doc/2-interface/menu-bar.md index 822f3889..a55518d2 100644 --- a/doc/2-interface/menu-bar.md +++ b/doc/2-interface/menu-bar.md @@ -154,8 +154,8 @@ it's not really useful, unless you're a developer and want to use a command stre - if a column is already selected, it will select the entire channel. - if a channel is already selected, it will select the entire pattern. -- **operation mask**: this is an advanced feature. see [this page](../3-pattern/opmask.md) for more information. -- **input latch**: this is an advanced feature. see [this page](../3-pattern/inputlatch.md) for more information. +- **operation mask**: toggles which columns will be affected by the listed commands. [more information here.](../8-advanced/opmask.md) +- **input latch**: determines which data are placed along with a note. [more information here.](../8-advanced/inputlatch.md) - **note/octave up/down**: transposes notes in the current selection. @@ -176,7 +176,7 @@ it's not really useful, unless you're a developer and want to use a command stre - **invert values**: `00` becomes `FF`, `01` becomes `FE`, `02` becomes `FD` and so on. - **flip selection**: flips the selection so it is backwards. -- **collapse/expand amount**: allows you to specify how much to collapse/expand in the next options. +- **collapse/expand amount**: allows you to specify how much to collapse/expand in the next commands. - **collapse**: shrinks the selected contents. - **expand**: expands the selected contents. @@ -188,7 +188,7 @@ it's not really useful, unless you're a developer and want to use a command stre - **expand song**: same as expand, but affects the entire song. - it also changes speeds and pattern length to compensate. -- **find/replace**: opens the Find/Replace window. see [this page](../3-pattern/find-replace.md) for more information. +- **find/replace**: shows [the Find/Replace window](../8-advanced/find-replace.md). - **clear**: allows you to mass-delete things like songs, instruments and the like. @@ -199,46 +199,42 @@ it's not really useful, unless you're a developer and want to use a command stre - **basic mode**: toggles [Basic Mode](basic-mode.md). - **visualizer**: toggles pattern view particle effects when the song plays. - **reset layout**: resets the workspace to its defaults. -- **settings...**: opens the Settings window. these are detailed in [settings.md]. +- **settings...**: shows the Settings window. these are detailed in [settings.md]. # window -- **song information**: shows/hides the Song Information window. -- **subsongs**: shows/hides the Subsongs window. -- **speed**: shows/hides the Speed window. -- **instruments**: shows/hides the instrument list. -- **wavetables**: shows/hides the wavetable list. -- **samples**: shows/hides the sample list. -- **orders**: shows/hides the Orders window. -- **pattern**: shows/hides the pattern view. -- **mixer**: shows/hides the Mixer window. -- **grooves**: shows/hides the Grooves window. -- **channels**: shows/hides the Channels window. -- **pattern manager**: shows/hides the Pattern Manager window. -- **chip manager**: shows/hides the Chip Manager window. -- **compatibility flags**: shows/hides the Compatibility Flags window. -- **song comments**: shows/hides the Song Comments window. +all these commands show or hide their associated windows. -- **instrument editor**: shows/hides the Instrument Editor -- **wavetable editor**: shows/hides the Wavetable Editor. -- **sample editor**: shows/hides the Sample Editor. +- [song information](song-info.md) +- [subsongs](song-info.md) +- [speed](song-info.md) +- [instruments](../4-instrument/README.md) +- [wavetables](../5-wave/README.md) +- [samples](../6-sample/README.md) +- [orders](order-list.md) +- [pattern](../3-pattern/README.md) +- [mixer](mixer.md) +- [grooves](grooves.md) +- [channels](channels.md) +- [pattern manager](pat-manager.md) +- [chip manager](chip-manager.md) +- [compatibility flags](compat-flags.md) +- [song comments](comments.md) -- **play/edit controls**: shows/hides the Play/Edit Controls. -- **piano/input pad**: shows/hides the Piano/Input Pad window. -- **oscilloscope (master)**: shows/hides the oscilloscope. -- **oscilloscope (per-channel)**: shows/hides the per-channel oscilloscope. -- **volume meter**: shows/hides the volume meter. -- **clock**: shows/hides the clock. -- **register view**: shows/hides the Register View window. -- **log viewer**: shows/hides the log Viewer. -- **statistics**: shows/hides the Statistics window. +- [piano](piano.md) +- [oscilloscope](osc.md) +- [oscilloscopes (per-channel)](chanosc.md) +- [clock](clock.md) +- [register view](regview.md) +- [log viewer](log-viewer.md) +- [stats](stats.md) # help - **effect list**: displays the effect list. - **debug menu**: this menu contains various debug utilities. - unless you are working with the Furnace codebase, it's not useful. -- **inspector**: this options opens the Dear ImGui Metrics/Debugger window. +- **inspector**: this option shows the Dear ImGui Metrics/Debugger window. - unless you are working with the Furnace codebase, it's not useful. - **panic**: this resets all chips while the song is playing, effectively silencing everything. - **about...**: displays the About screen. diff --git a/doc/2-interface/order-list.md b/doc/2-interface/order-list.md new file mode 100644 index 00000000..b838d811 --- /dev/null +++ b/doc/2-interface/order-list.md @@ -0,0 +1,29 @@ +# order list + +the order list is a playlist for patterns. + +![order list](order-list.png) + +along the top are the available channels. their abbreviations can be set in the [channels window](../8-advanced/channels.md). the highlighted channel follows the channel the pattern view cursor is in. + +along the left are the order numbers. these are referenced with the `0Bxx` command. the highlighted row follows the order the pattern view cursor is in. + +each entry in the table is the pattern that will play during that order. these can be changed according to the order edit mode. + +hovering over a pattern number will pop up a tooltip showing the name of that pattern, if it has one. + +The buttons are as follows: +- **Add new order**. +- **Remove order**. +- **Duplicate order**: adds a new order with patterns matching the selected one directly below it. right-click to "deep clone"; this copies all patterns involved to new ones. +- **Move order up**: swaps the selected order with the one above it. +- **Move order down**: swaps the selected order with the one below it. +- **Duplicate order at end of song**: same as "Duplicate order" except the new order is added at the bottom of the list. +- **Order change mode**: selects how much of the order will change with an edit. only applies if "Order edit mode" is set to "Click to change". + - **one**: only current channel's pattern will change. + - **entire row**: all patterns in the order will change. +- **Order edit mode**: selects the method of changing orders. + - **Click to change**: a click will add one to the pattern number. a right-click will subtract one. + - **Select and type (don't scroll)**: select a pattern and type. + - **Select and type (scroll horizontally)**: as above, but after entering two digits, the cursor moves to the next channel. + - **Select and type (scroll vertically)**: as above, but after entering two digits, the cursor moves to the next order. diff --git a/doc/2-interface/order-list.png b/doc/2-interface/order-list.png new file mode 100644 index 0000000000000000000000000000000000000000..e3ead8c06fd9cdc8fb7546222ceb2dda7ebaf28c GIT binary patch literal 73955 zcmZU)V{~Or)GoSXd&fq{cG9tJ+qUhbW2a-IV|CE6ZQC8&>Lh2s-#O=wd&m7#W38&W zRy{RmVb*-AqLdUQk>K&*0RRA!w3L_%004;u0Dzsqf`f8uYJ=$kU?i2&V!~=(1{a&k zvZ~5}-rL{jTk|!GQKxuhsX>1u{TlDgNiG`F^WG5sBevh*{bRf3*KC<+$;G5s!7(nm zMfwX?r`4@L17e-Kwg-6X0;ks)82Ee%qh~ih7I(IgzXSmQ5)8N~06>T;5)uHIg(Cw4 z0Ng^PKmb^i5@G-Eb1n(`{}lm=i-Pd|zjN?eL8bqn91OU0P|liF5-7EEXmOPNpTCp5 zOHzO`c!mE=!Nz&W|}ySVm8wr-@01K}sBo0<~X#v?G<{^hBygRcXxMF)8d8GPJ&46+Zivm&-1euw6hw8*X<9dxc_9i-Eq_fp8k_w zSXijhunh?Koc|aL9vT|*>gws~>FV-#b5pxe5EUKPMiWU4c^)4jZO(efdJF*jhaz{>K{loH5zorM#(NUMDXd|IJuxoTJdwgD2*wc zjrQ>q?`%}4QtzVsPhwNX!v{!m7LKbqzy8tWLpe5VXHY-9=2%e`%k>^q7l480w$2s|k=SUQ3UR#KV4Us+XoZL%TVU;!)Z z5pn0p;;@WguFd66{t2PBz$h!(=aQ1JU3q2ZN{_}btq&=FUjQDpI#boLP)Q4|yjn7< zj)=;65?jQWJbRipYrSYDpLmDw>q@>mh`(xQbGW{==4WtJV}!=hKnL!#sUhV~fT7yx zx3V;(Fuz7nLg%+po{R9=;tY2517YwAulcG(d1Y5z#qKj^M21st`m;9b#qHSs{zo(q1gtQ+CI5(qlu1w*Oa9$uSqF0yPJf{4K!Q2uDSBZVNot|^t zb5ND^MD-v3;2xaK5uKYYyYDssVM|-#_xW&Zt+zN(^$TCC#nxg6W48U@6V+XvR_Gy0 zVd=S10*@}xW_esLD$tFbOz@z>IOZvmK!~55OMjDRxtWBH5HRSE)&z4Q8qX92UR5E& zAf8EWWk`=yQ&CMjggsaYdqVm%!1kJB*?k|rPy~r0IEa^j&*$SvL#U=weZ}Jja?phe zJK-Av)IzL|N7LPnco8z3TFGDOBkI~>BbU@h3BsHm>@MM2O|sgYUt@#C1@fgW(*=jd zQWZy~zpnC+9`E`XS~?4KBt1{EQnDUn6XnJN{7`(s*AkL%n($gK%2x*G8>=*Pq2rzv z?u2yOldFhcg(AP{;*FkRWW5cifs5Q<$`poxOZ&;<_cK@9D&G7ZXYCAo$nEKBi#h)F z{H7M#Z289yW5M? zY5JhPY(t{~4RwiNt`66o(Ex@EDvRV!d}Iy=0>8ong;@el`%WQx+`~R@cF-s`W4N8H zmQkzw7LmL#_N;y@29M{sb( z3OSJh|2HZ?r+QaHCKrc&m+|>@2%}+-U&~U@eN0KVo|y4mb5DeW|AlOxjzD(~U4_p= zhA4jd$L;WC`#7#p6_IEn)R??1Ph%oH`Z%H?T=sPwg6qgOtq$*gcm1i}QH(DO1$U4{ zgHb9wP|lDZ0r))B_h{a2wUb5yyqEY{ST0{I6wP9mIuQ3^>)h%$7vlf#?Xs*1c$e$yI)C_@-7))PgJF{EImN4tV>zdXsYYz_qUdPza^!?ur{ zPWY(6@o8vH@pn}QH$CyFcJ+tTl+_xl z2JFNEQQ+LTJN0GESk;8z-mzRf)B4jLqj&?77!4BC0=9Gm=6exl6txc`rfefP)bDEC z?Ds{FwupFvTWYI?%AL_MOU`Hmg}NMSbZ4iP>?rUk{{6VNe5EjMVT#=*ZMRd)?}T;|S#G^b41$kku-rATSM-`5y zFW`n$gXIaD-oUiyA^+MURQT_R1V3D-fB*eUNA)M9e{ybXa}1(pX0AgMj@xQeQh0?B z^Y9qlFi@8HL)OOvD+S*8%}P^@1Lel&VpxB9yVljYdg_u`F*?GRZDZCqk5RP7FK+ijkEKI^(kVCTSi9zmY;=zx0f$gJu#j4&qc>6 zxD_f1j|?Khr~ygciEp3T`;|%hgD;M-;ifmzCGEHkTa{gzA!v1X@d(P0uUg|H2n_!+ zS+0$wPEaW@5u-Ga@YS5`^?{YHR4;a*`gR5G!LFx{k!h_7{Zxa7%`}sR&}J!2CL&F7 z%*68ba{Xo8BYJ_HrH1)<6&gTSW)*~`jH!L*E_@`S@r3CO8lrE;Y*r2ouRo)89)(En zX&?@SOq(1`5z625wON#>XG)SQBZRanOm=i+Xw`nxD@zoks=Y;bP$J=*&xdkjcLW{R{D;ni6T4d-^0+I2$0DwNffx5m#X09 z4Jp7fIfJe$%E8yr57Ag(e_FpxnG%6Z@M`(Kh&iP48NTI#cGv9k7c7vCM6ph!myc4EJ^?L%@n!Wpr*B~@p?1w5Ywb@Jmc*7*N z_6$!L`0TPzRyu^RIn?&O-Y`A8!-Dm5n1K{rN-Q`FgjiGkc%JU}Vrs4!?)a>G1jZ64 zHFpuPP>K7Azu$SRX;7nnIr!1jL?|siv1|>8Q&ycXOMYUS&z3upU_q71E1%jl%@E~@ zPJ9>PIGL?3ESe!htm;#W>9Bkz3#7LMW}pXcvLQ=BoO8BV%@^X&ug+RUG$rlCXSf?M zP#-EK%X21Pa#540lj!#Ik!3r6ncOgB>%vE3tUnQj!?Q%qSl^!Oolck9N>+6o9%24t z?yBen7f^V)g?45+!6H|9*%1q-2s{2qXfaKsRrU0F1&3+*3PEit6p1fHptEnsor4gi z-=0AYe^*kFcoYNmeJaN%@OCJ*`vwYIITiaKUnK8aVTsr3I-6E6^G{-L)nsLm1@M|% zLXqclQ#RlZscAI2lzN#bF@I1L(aE%H?s1daBMc|MH|fe}MHbhSG@!SX3MW-e(MfbWlMPK(+H*2oWwSjJ5|^XNVo_#P zNQhUvnyS9Kq^v3*&L|T#HND9N6WkT0;2IX*^fSws)B#c(<1Bm#q#Dfytdl=@gA`}A zo}8$5iPI!HCw~#;ILyt0xiT8a* zRN!TCUZ~O_$9GsvS)So@(IH1ULhRc`6bJ1OczMpzF}CX>D|{X_Amu4%BZU~#`&A}? zhI*_m#qWlW32{?!<6yhB8`u;_XNxVglU|xji>HAf6_KS&v;|g+sufFfrDL5sx(=WC zJ(*RPos{cO*(4s-u*l}n(sZGsnLBF@UywVQRj!8Ech3DNY}+>Y8L_K4QDjmVp^mri zW-PzLt0B}CwRLx-?j)DEhH)W;s{rYGz$T{k)$~^~(srL0aBW7jG^fIq5~wli0*sIn z!lzqhY7Vc%2FHY8S<#9^c=e+{P-9uV5%N$a+K0+7lb_K=Q9;=nsbBCFY%))I7do?& z+{L6|iot{XE;7+)3qR0Z02KPL1Grtkz~gmQ{u=*_2m5b<8z5)jF(`Ze=^mO2KAw-) zd|qTf_*ZV$QHO?IR16KB%dgkMOJNn)cp9IW>L!9lZTrh$(cUf!aS%)JSWg2c+{8sd z#>?4w4bz5Hgwl|`*>yvm{I-`3P__&0v0P3_#7fe0E6&M;6NNcm} zxY%`lkC4R$M_?7pbM_WHsux00_VV8_H^U7`9fbQtrS(;XGCr_@<(*_}VIqJeFBqBo zKQXAlEd&bk0)f01XEwy#G;Svy{SKm{wTqGGzi89~OVISh(b|m%} zw?Y6Z%+a4>38P24bf8t7i^2rtbt4&waIxKAeIOXarX@A9*sLiRX)$CmZg=_wlP=sr z_x{&d3zI{3zX9(O>iU{CwgO4z0M7TQAH}*(5`DE12L27YN>IW3V4TD$OvF^1V9t^v z<4Pyv|Mkuf9(n!&6~lkAdNf>0dT-Gp)M7pQy@fDG)BYLAL5uuvH%`IH4#~C0YSM+vfk`O9WClbdSqdw8|HO*#J^B_4j+DzB@sOO;{qs0y!cH zVuF&N?M6+iqvwyhOf2jc=~%}-qG(6B(~qGOULdmd89up`>GJj#;+ECX?sCxvV9+k; zzM&)%k8hvFQZ^Ke@WyJBw?+MbZ+K=i1RwQ~Q}o>MwI{n}esm5&waKW3!xKQkaULf|m3zVz* zi|y&5pl-Vp<4pQmC(h=e1UPJH$$Fr~6|lcv*Ah~g{g1W7gw5*E~>BFz6 z5%8t-Er?HGo_193hXht5=3*6o3C~=W1pUIi zkRL%e_!%& zP|(YGOB^pv3P7;2 zUt`zdPBA#=OUbra!YqOT*Y`X0Io3yB7hHgY2I?N>HscqS9yu7mQ3S^t;C1jtE1cSV zj%&{M2a3hI7O<-fV1>77MwCz;QO|SMVPMHO<$Ie^X+%2iMM8hW5OcpiRU`1TbD*|Aq0*Qxu*cog zvyLptbR<5FE=?NJ$nx0j@k~6b)olaiWd;@c$xVl2!|;NG->L7di|W(Y*YhdP|LdB2 z?`yTgbcwo%8nHLsz0qj7x7G@Sq6P;I@)8oE52pSGsd{K#pc!RXR7(pUi_9Z9h=RaJr3+1|P&7P$6K@&-F&jefmKn`=5*r3&;h6i4}jXF!@hzG=*AYyf2cK!Q2wfAol zBqZiqSa6frFXv{Cj~gf!1Im0=OWA{jh-E);6}?j%S7br(awkD84l)Szw`ZnZmK@sO zI?orZ>MHiupRQuv{#_YcG34@JLyi1h-v#|iIPN3_^Y)JNeJ7p8Nzc2;0e}J=JpzM{ zm32d4ky2_*(d*HFT9Lrf@9AlA=4qxL7oVffHB|srloB?CQ;4t3i#JO5pIWe696Yv# z4E_UcN5HTg*A7Gy!zcV3wqs&!ny$34e2>@?t)Tha11mAn*vO26`Gb_Y+RDxxE6a)i zmAuNbzi)u+MtOATaCcWpU7f@K?cwiVGkJM=36bu^q$D^5g!A)rTU*=v$45*wG~BRm zoX4SZCJFz(u|8va3#CM&g)Jo@;YCduz2Ypk3VP9JUi?%V4~Mw*RlJ5s$UlfH1Pw+; zC>WTHO4|I>1*DV|adr;4pG|(Otn0?cSN{If_Vza2-Fn3|(X7m82v}HHM!>M3;OuOC zF(-E0SRb?Al;vGPaLmSit6s2KT%nQKM?E!MLh_MCbSw`KSj{agU`O5c&NhGPy=XQ_eZnN|}>Gbeg(64_V!Q!Ykq9_B^QO)q*c@}F=;P8I%U3l~_ z%hgKt>iR#-&?7?&DOBliFMcCf*mCsr+Y4p5g~LN%J%P_$>;yzWnrJ8K?=Sa5%#}>A z@8GbjYiq|-+1R+aaC-(_KI)2!*I!>>s8?3Y1!Qk6k{Tc#3e2i##V*N?!=)P01ep>r@1c9E(@A0_!zP`xz+xG6? z0M#^@zj9LgqFNF^N%w4Abo6FD*s8Rb^Ire$_V%T>cX~SG$J0??r;LmBCZeQ{t)b@B=zNusH(zwnayejCYCh& zJld3$a3F#qr0*8EAm$!nthRaEoBYnm$aExF_cnR88!hO6gxK&FAKXO1gQPH*6Gca! zyb^y3YOks4EF8Kf-g%z7@bUOSS4(w{%W94>SF8M)f}(UYmgf2p%Ln*wiIXLUGwkf( z&IJzpg94HTJyfP3Bob{<#MHQ&*$yT|m6Um$MuquF+Fnh`s$m}>tr%$~UfM?ub&VdY zliJd)DW6D^7~}*6x%;SS+SR~EzwZ}ywJ;IN^m5?;IdlHyjr{1-MIB8)L5Ut^#2iV4 z5)wj!6DJ{^JZa&|N94(yO94gOJ+NoRj+YTX*7%Pw#Mmjn&>9FIzKiS9rdLM|9xU{G zO|Og^2yYJ`iE3GbG!zIQfAC2L74quWeGDvNG-<#oM3A9@cC%&5&)#2MT5*_?&&{+} zjpVvQa?r|`Hr%vZ_wu<5yIy3M-aA5xk4I$kfAeDp(oFSel?A!}LFM4`5h8iJK*xL9{;yzZUR3%Wu+BRSQ{}|1-HtPcOZx1Q zx4qvAN4G`;6U>SVN%`X7kK;TnBrwR0DXyVGT${2qc$<#~shyoDp(?c8D(CJTr6O(2 zj2;U?1>rY+_Ef~;8}`?!RZt64@ep4hJA3RafD%s8vM%{o_d}rpx+U``-exy~L5SbM zD0>rjOn6(ipd2`nxc8%$&qqn*e4BNvxT$};9wTC>kslQ$rP4$C!IF);pC5sqM+aer zqCz6t2pM`*K{p1f3~T1#F5(nv!U)7q17)F1itvrg;UWxic0Bb$p|Ak>5_xWdvc&%D z=P#^q842$$10U)FgvZ|nKTIxWVMiJ=DC86j$!Kh@w~6!lVuphKeRsc&a>ds}#oTMo zGs95~J$`?-WaCldM%iF5TRn2AIlGojzYX50L*+O#ZO*c<@QT?&W)kj>3u4d$4j5-Z zHqJ9rq%bX5v*UU0(&LnAwx2Z4o5*&|_fxpdLy6LfKR-iJm$x%%sNf(%zrbXK>C{B* zxTeX7iv##mpoQ8~p$CNUnBfRZgMZM*(Ty_+=>!c)i9tb@EE)uw*^pMOSEw_l_cXdb z7TT*T`)TGrk=tfJnbTx8v*l`}7tQgxD>+rxm;lAq)PVI{039PCI(S$J)QA^NaKtb4 zWGq~p%z{Wv<>3-pO60f(TU$;fJ6XX-kJI+jmg{eiBj2aQ-y3^3`8kqz?6%3frbo=#{gdub9Lnq8yXslBU^+Zw!ta_rmqDvWXtrz5^%Rxuf3~w@i3T4Q5l(@ z=O3cjvek8NFq0eeB4GAMO6$AJ16{$%YKLWq3KRN}|16YgLonFy-d8Jje%@%r&}8T$ ziMo};d+W7}QUisQ0tVm`f0mQA_#FET76bmAlw98F{&n%icXU1ZS zaA_RwPlQuODhIbk;Ml`~eSb__(`Wwlvi+3KotU1@5@*iemfW(g>~5td za4;N|@4otD!IP~t3s%*2l52&9R^NmWY~3A3+w@) z;YEEiE{OroWy?66KI;btdGE#N(3(Bs&#OGW=al*#o|bAH7%oPt%nkf5A;0pv_v`UV zhrl(A60$v~jr&J8tt3PpM(>7oUa{3y^Y6B?=4i6?>@N11Yx;;vB>le}47#)8X+^uR zKz7m_vqVJN(-g$a^XJ%ojsf+95M~O*DNH|Fg-saZyT%#~BFx=Li@85cnC7qm}7GAM)N4Z2$2Sm^A4)(TlVN%kLH3oxur?7WpXL338)rSzabJFcd)zV zwh{G-oXdHQr4%F6Z)j&Ny4LP7no5LbaV9671MJwYCBetz<5edJvSTOhMqPc)SaEOB z4WNc`GH1%cDUH7Vh>`Viy&liA%F-&MOySlg<2Q*r)m@_y;T4# zGmUr_tj5S8MEzeLtj3Y_jD}ehSj=3KmI_2E>eMH5TD?_HIV`NKHX`&*Bsh!l2n|9y z0x?>U>=H8HlEnRtmKfBrX(h*g_*nyE&`U@q54^5r#Q1gKS*I0An83ao2Mb}i8SP&t z+Z?Zd@-uBNoqHAsBgL0*IoZuqe8k^9J|^c)|Fh=Q*kO{i*zTW97BT?OtE*a~{m1p{ zN3^jthw=k*qlIv!u*F>aAJ)vh6J?uqR_(b4EoLIR<K!f=|KxxH5$&T$(b{OdHK&_T1|Exk4#v#=HZ#k_X5+?`;Le&53;3X zjZV-ElEParz_Xvf5YnuD!$1mv_e~t$)MHJzl?|O&)3hF4;ZBg!jLWu|aNodt{ve8! z`nS)0r{oU4hO(-pXNt%OrN_wMiCr-o>NB@hNqp}OR!_r-?f3P7#neC#9F9+TM4M>(=+)G0GJH`Cn`C z7=^ZLzldP$&#+0B;Us9u+Z2SNOAdGSpT^@Eo311XhBK_Q*e_7Esj;HNO@jM_w0eU{ zo?>dvDa;TN94oYi16$Y-;^qqN*yWIwaFl%H#7*bs=2q7pal(gj2v?hG+~%O7!yz9k z*nwzc2|xQE;%qhV5BPbxoW4_Lc6)a*{ex53D*D1Mozk<6ve@`|lF(XM)nGUggktO% z85o(}Mq7HmjN`Blex2BU?BPTba~@v>*Evv9I(|dqsHYLdKw7P(mx1 z?V0#2gDh_!K)TOHAbmeTuKOO;!cy^J7CFhc&a1*6N-0j`AdF~zF zBa>xolycz3v0{e}7{~shna!JHM>3^AH?GBo1XCdrkt$W$gMr#!PNBZ>@eS{n4E~68 z1uo4P&K1HcoN((-V&m~eE9?HAkiS_`zQ7?cqT*4bEHHwG?>aLGw=s2Ze!Ld`Vr)2p zVFC}<(WSSEgd&CUj1o^E5!#A`g8q5iwGh(jaMwSB%?>Ylq+0wgR2_K%Ffn(65%Q7^ zY|1gcs@jv1N zPWIUBR9$a&q|7kKiWCB<(qvdvmC2HVLVbnoE`uv8%dBOR&EVLrQ_Qu2;`OC!w6_u9 zD_K4eP!gZY6e-d(#Q-KRiH2KO*RltK>BS}P``JMMJ9L8P%bBA7NxK2NoXBvAh*AY$ z%54lxh_GM4G8;TT0rBH-;jC}xX{^`v7(ew8Z;l|k8zgh%bR7wd7af-0QXfhC&O9;L$ z_aj{X-e6ma5sZe#%c6^de53>3h5_wc|1K$Ce$px^r%od;pSt0R8!wLDy>{|foclCr zg?S04jJf6Ty)~}U=jbuQlxD#wkqmrbQ_q?u5(8)Lnw5k+)-ZwP6mQfyYg@i3%-b35 zA=wz^l3c&c|A|4)^$$?FKK)&POCR}d?k3vqPlr~Z^zgK;T&9fCogcVp^`~93ON<^C zS2@+6uz@>W;Q$6A5^K9*s`jM}8(cl6f63YSH5kly%V%b9z+w=k^pFoIIh zKGfUg)sn(SaKCpq0KWDf>KWR`$W00fM?B6B%kNbdX~7L2mTW)vKn>c`IcH;V&;Py$ zqp4KzA~(TljpDV z_Z4RXQsOIr&}4DVzjGrvc3z%XZ~Vjh=^s?_n)1Yo%(&nSa7AD6^>kY@vG2OZAOo>l zk4jhnWACA}Q__%cMyGDQyS^NFB-PLY7`P!yfPorFQox3Vgaq#^56)Gou(Pv+j33x5 z=nyhWtlzk#kgimtjTs72^q__p$iMdJ7&2k8Bg;{siUEd)hr7XZdmP{anF+iYX>L6J zNTVS_|1Rl;3@Hk-MfC*j==4H1cNGJcZffH#`eXFf!AI7ZP!&yPW}&z z+J7nMXmY4@rS7(u&nnmT^KZS_XrkL(4Vs8o^}8z(kEE!kb4)XeMh@E6Hexmp6GHpE zK~Qf+GgY)X?il-#VYaZLa7cd~2uODqs;Fm8u@Rkyj2vqc3mT9l^>QC-YWS)}Bw=}$ zq z{`A2GgN}HPF{ebE_U0#$Iu<)*a_dD8P>H%|j(Uaq?CdNL3_jWK zxj1X#2fmU9&tE}j4(FP{T_$5^w4;v#r+^KY-Rwp4zu~flxy?xL&lfNMQl6cIX6M9v zSt`830Tz-{W-3dI9vJ?Jszw33sbej#e$;Jm=JX!qM3U<)olD;2?XYWh*dv_?{m0*{ zG#qVgDrZlZt(sTJlW_UA!3$9(sHI%Ezok>HohY+rUI>bNi-RRu@F;5aHS6Vn%k`^H%EFJ2K$CWv}@@HwBFm3@R z{-Oh`5@WstYr4B!?9XvCq4g6slOcFd@#d!8zxc9`Wzg}4Cq-_d>4kM>%<6TyXO2oG z8!XJU$sCUCx9nEvx}ku!)z1UZQ>mZb2A}H@HmMe&T>wC$Rq6$~$kYgQotu0FcwNMd znTjN8F`9?x-Ti%qJW1-LTbthFBRAO1=d)&hwuRXJr6 zN)uv#6`C??g8=npVdJB8yr(Prz~6S;n>@gwwC=%Etew6x(^G0r4Vf0()Ngd@eX5v1 z&#QkM+jCv5eOT?AEV#h2+GV-u7Kg^QpV321LfGUuqWt`5=~WzEj4~~DX!vmm;zgTi z`Xq3BGfu0H%oOYEdG7i+h!HI`@D^nDZ({^YI9gQ96i8hHeM0r-!Uq=!6z{d-H5f$o zo+H)r;>rZQOhrn+-Kep6#l)Cnb`$#wWAaIU=g;vJlK@LXW$|RHmBeW5F=euigcF@dVU_i=JR=N zH*>k&n_71fX&yd$QrI+i^S(3Z>}I5kTw!zM55ObcJ9{{9#QRuD#;ELFv}w+ovZz~$ ziPhDZwPIht^vG$ydVXJGw@13@XS^_J&QT(a@-74xY7j-sTgFj_Yb2Pm0@bLsB_5kWUS#~><1=s)V?KLX|d|6s(EUuIMG`5&J|PdT7A zwV3}O6EozM(T4jUD>8|J{@-`IlnZ=&lmmRA9Ia`pgN1=)p(eDclF|PNslWdYrXIyB z)Y(z(DcZ3y0e}~8m&C$gvHw2TimkKZ^9Pm|2gm;Rnj?URyj}YWPyul?%DmU_8DM~3 zHDk922m8=)YT#j|w8+taK%f!QVYY}zuBj|TUr+pa@_zioP46B839Wtp;}5;8Xrcl8t^{Jd#9esoc2*HY@??R!XW?$pXwH#2!lYemWLT9WTP z{X^3xz5adUL#4aBM!M>UZ2s-WE{&08lzquR6(uCT(@%EQ&9v3XPpzcevU?`Hg@gcy zCWp(ejxANSPq}hHl5k$$+sIal!{lb0r)vVCktp>w}r{E0NNhIJ1L*&Af0z z0Csp#L`kb)Plyh5^zRBxf`nwu*JU_3vQcqll%+W=JeN?r@BF>hQ_=e4^^xrfvajC; zBfr?p215DY-rB*VJXF(!SHg5HRr&D3g6rXU8Xq6uD7-=*-|6}J`_UA>+#@|bz3MMe z^j}_F zuutw4v{Fe)K*{@|N8RT$-d}`#9ua5VUat43!W^`DdB#;54MoHM4|VjDDML%E^OroE z$Q1V1*XP#Gtdp10UxfZ|m%B4tp`G0%IYk<^W`}#iipt&HI}NWQYg1FjJ;bZ&LK>)+t_>S9D?T>onF@dl!Zz>~UR5fO8^9Em_Q&*S+DgKi5D z2n1M?2GIt7yp>i}by!T{VPK^69U*9IuaWM>7!jw3tLg;jhS70d$z}I6Hk$8eO?B*a zeOZ7lhN!g$U2|4ZrUhq0~w%fbN?o^xpV)}gh&{dVU?)z&2LFoSW zF2Y4i>oNdsM71{*jxgfu+j@McZ_xg*kL@$dEa;HXUA zmzEZpoqZGL7~xkL<@2_|aPY)dub;4rv@D4yihv>FXEIh&k(XC}NjU_}3$tJ0?g>sq z06(a4*E9L=pbpAN*U{qhcy`W4c{Vf!Xt8$=UwAz$(} z_;GfI4$+Yv8O-+5kp+BRG(i0JP2%qz9=gm?2F*<$2ltY@VGvG?{j*#qM#mU{O?^ix z3}+k<0&l7U8oF`qVa`bS3V;bXjgW*`8<jZd33{T-GJ{M~BoYFcUP4?zPLTq<$FCkmK?CmHHs3tYnRl47mGhJ_3i*W) z60a_U2d_qFP#9f0dCW{?XVjsUPPvykT%=HqHvA)6i(bNjY?C%iLh4PU3bcbgN`aIC z5+zugn}-(&VXWA3LO_i;zeseTh%@8J{aW~r4K=_Fx+PeT?ds}!v%tIti@po;0|iXo z+?vYDB=mvVry~5owqrQ+(r>EdAK~G?L=Q;Y?Sy31v?yuMo}L6eb~va%>b9*kYw;Py8>{k3JA)DG_L4zx3wox7Mjlm}qBYtrOlu%AxPBAS`}=Y2}c^yyH@2*0oWlFiO6ue9pV8 zDaFQ?xcYHJNvD`A%pklkce{x6j>ZXyep_7RB#vJPr1824`) z#`YmnGzsW+nxK766Po5jT`1e&i&jw3XHKKLY-XdDYii2>d8@nAaGK{i&v%>W7|*z7 ztK(6dPB4E!$3kV-L2Llwrd3gBl=Upz9UIZn`fBsUzUK!w&y8Q%JWQ9 zZz<4#lXn*!BZ+_zZTM-kyXud-rZF9Abt*j@0Wl^c82ljZ!;|1H5|oAyaRgRtzDSHN zBEvr+1O+7$H)Q%Tl(?~Q8OFd8jSaYPgg(cwp3LPCaDqdA@pwE@un}jkdUgVS8*ZFd zB328dWiLB4BqC~7cM^7$F#15gdMtAqB|>aDM<#~)h9pQvZ>qf|!l=5_4;6Gn1QfUo zTtFwZK}5QLNDRI;;uEgn%ba2hhTV*iAxb|c^t3vX2MCQP2`s7R?w<~Mj^Vq!KSFnqqwltQ_Zva+)_V(#zXslI(H4E`g%s8$$6xJVtH z``uF|1pGZrnP%AyH{HP<+Y<*qBrUK*3k5i~!Fej4&I?D_N?9#=F!>t8$JA9~EJjN5 zL5pekLvNrE)y3HSF({U+YjYTxE0VZIr~=|>}La=`c( zGo#N?tl@t;NI^JD+S?m39aFSK$W1zeg&|Lry?_~hg40-3iKouu&5f78i_BS;(gpja zQmgU9*e6g}5T6VK2Pg7|!(*Gu`?j;QQ;rBq`){6WLxIr$V(TxX;(ER?Oca;Kp>dZ$ zV6!Civ8yIXJz5*&g<(BK+0xVyW%>-6tGckZlvXTJ36T79ZdtyR^hdcV(pcZo7h zCnsY*(df6iA!1NgYSj$FN3N}{t*q#JdOl`n6H$HbWl0eU{w0-~e|6&~UQI|~nv&Pn z-byx(5zDcsw!VzgjKqxQ$rR0e^GzlSNc1$4i6#9|OjC`ZgM&@-GW{`EaoO$R?)-9- zrM9+@6;3H0gOTH49E&rY5I;ulq(ahsXNq^oY$uF?T+<^GCRWea4?&45){s%u!2rso zlvXrMyxmw?@X)Tbr#z+@6X)pYWMosqwapB`7|V>XA;BQo$YIz{9)|6+uy}3yw?5eIt88qJMkJZ=<*KTD1C4LPP1IiV+4b9B)TNMI7Rxv&oWVqU*Mc5D{_@TNd~FLzaU`^eNBM~cmMl+!57V=U;u|LeX84T z&d{Dqm5Inf2)Zxa>jjN_j3f?BSt$=>(kCf2 zd>@K#QMZ)r=N+}Roy5faHn!?Lsv@EeCgBkb=)hlbAFR1LdFkmM_b0FR_9n6VTfCIW zP6glM3lU+liNXtqRIzZZg6U^xrmO_r0YWTR9mZg#tCWTy5-lMbPUYx3T8KOmsWW&V`(=-sBKaVu?J;g2n(@FtesIdMyR zlm^*9pLN`>u>duZx+YPU2I4=dt}ZS+hGN~KX?&P|gFmBGw%p@b6lV@{tDz^uz~Q3l zmt+~LvR37${ES9zm0C$E%wFC|H!)foIQfk2B!MJfHOO~Rtwugf_>}WoJL5eiC=HeY zBfqy09l;_Y;rF2R_$uZCKg(>R+`6ogbW0mg_xm7RGRpT!Q{h}3r%%a2am-<>@q%zp zapak%-C@|0Z$`tglz6vPm`n=%DL))I7+itEM4Z>b?Rs={0+NLR;X8|hzWD?{Ypue< zj=o16kMP(Cl2QND-QVY_+BI4j85T+K4pe4YqvDyUbJTM8cvK=mkiLI}Au5UZ=}xPr zZ+m9d`BGM@Zm1!|#x{mZIAar;YgJxMbdB=u(3WaNI*4G#Y**jktGc!?@el)%Ub~3) z71vz1zujP@1uW&VpgQM`u>+6*<{aFTz$ucgy4j_rqsz;@%uK`_Xgbcb7zBig zi3uqtAdwJ6X`tL5)Pb_P==A)0R$xl_!y5DsM#X|Zjg}YNwhvg_j0b_+dvSZzO zZywi$u%v;S=$*7*c=*6s`LrM72Hw&MLlV^$&yp_}3vqshWC4Q=$X=dXpyChT$BKq} zW466qg9^f;0kXXiQ6;b;#IUxZ81`6#2^YsDPr%s5^{c!*Qj)`+w#CZfUsaKUN!7ua zxEzC!uP-w{Iq@R;bI?-dW*kimRaIE%z*}ELp(vwd?5LM3dy=!=k`+whtYX5S!a@Yw z_r4A6!A1?q?RnA4SkVF=8nbGt;k55<=FDHD z74L=uu0xMSq^1UXr#h_wQ(^Yzd)ijJD&n{T!DktR4T;#%gHZ@-y-AnvofORM{ASOVJ+l@d)^Vt@Pdki zNW;-K0a{Zje$&F<{*qBfqro0hHBn*#F)z&8@OT;+qP?keWyS*Gcf7xnqJAZ~z(ic<>9*^Y=dFE1$Vo-x1oozNGfElpBj8VSi|ojSbCm-t7tav*G zTW`a_VnRnlA;WkVHqa`T7TLJgH!J~weck#P`UX`W*Cn$l>fCHIanA{Mswm(~S9EKm z>tIK%zKY>UFoQY|t>j`Ha#fs8RT*fu@kK?FA;Q~;`6E_V@UR&0PIltNOekiDg_4LNf@uR3c;KtnK859I*?lWl+S>&CD?I)s#7W0Dn{NBJe% zo}S}u%>-8A&J=W+o3t6~0gdH8=H?Pm;J~mJteNWghxS595dcO&Qn#T{j)du82I?FH zh1+6kZ*Pw+n;07e`d$HrnNVNPMg(}v?-_N9-)Ww%Y}m7yty1u2Gg@flul4yItLE<` z$ZmL18Q)MQT>Fwy<5N(I2J#Rx(TLlNibq}XHO?Nwk@8AaIz(y+M?)nA6i;B`wj3h3 ziG%V#BL+oRoEJUBP)NhJ73Ag~M*B(eSYT34t~=0btbN)VSXphn;4r(YnVUkge^ zb_#*od~aV{Upk{}ss~s}(=qxe+!ZpfQUQZS(CJ5D>9t1kWejrsK1+yRvNY(E;b^Gg zq8Pb&bLv0Q?WmfLkQxRON|napppJQYZTEL5hAhPINsr-eURGpBF#xE7binBRVoeVZ z(~kou%fq_!)ggGey|`*2^hojWsjU%;k>D#Uj2T11V_k>GY+Gy~`l2pVax3-wt|wYi zG7G!!t=0RnovVfFhQ$ljm-RN5p#FXsu{xx-34>@args()0mUlOs1MYy?$Pl3Tzk#6 zZ1jS}94#vuB}nUD*Jr(nI0+qRyn|t-+QBz`V7MyAVDqo!uEkSq7)bvm23qx+MN*2C z4gvy#w}3#Rd=aKPDiz~lGGUO7tt~BJ3|b=u%Cp`SylF7f(wZ>psj7igjC3Mu{aaUo$-<@9a#12Q3rR7k zO*J$+>LOGGqbMa!vf%C4KFolm%S<#$c-hx2RdjjL-ma#m)caFkWvM|~CH{OIfXcH#SY@eo?E4!zDet&7(R1@hw+BDlS*pz@s-F^!v=o5lAN$fNjbC}pi29NAmhj6!e~7K8@EffeM9O+Dy!O%jZtS9d-*7;K4NZI#yXoC=DYA(A z!M41cRF}b(_1%G7E|#|8`DMNmZFqlP_qJs7jGS35+xi+Mv#3$m zo}oR71R-3k_LW#pwaULUN>Wr&qE(-vmY=JTMVb}Q8AF2(C}i1e*`SRTiI$f*x~&go zJK8VTFmv+>&~@tNJj2Xl@9Bmfx8BoT2Wu8Wb9><>Hw!9aGwm<5 z@98WYiEEoSg9l$u3-#>bEi4qIjO6x-%*S-_e2G-i_tOwkdLSUQH(#wBT+eN2Hy9I| zzkDiAM3el7+_s-IpGwGoIoLHS|D(ok;@eIm25<)vjEt7f|nu@)T^)lyIZS~7xae;ab2&wYs!|BpZd;$ys{7smnhxS4GeJ- zY6W~#-{$_@G;R8RtqGQ&v7S5|JhO8nm!mSrkh||K9<^RC?pv`=lF9YtBY*b#ci0X@ z5b;2kzo|lCF7feIHepD^?Vf#35>qwfxKJstNFDJ`ur$zINQ(yG6^IaCb$tb3IK6m- znZ73L><4VSr6Vyg!}>TRL?TuDOb%>7-1hM0U zPFAi~RA3@$GdC|;F3KEl%khubfn_RU_dBJSztwa%aQ|=z%MpSM=VcXD22;qd(w)$g zJ~=Fc%U_h_RW;yC^({>5)lPUyP4h(}Cot73&CmL@*U8JdX^ zd?kj^CDG}zGptby(nwo|NET%JjU?sg*o(lb{>(PAYTO^&le6&jNUs3^f zp0hKy2O5vsgm^?7{}##Z?>4;=_ovTjn#pi`aPOg%>w^LMOW6UyrNX}DuAdtlYQaGU znh^jfW}i-yEXL!T?+eTcM9-W+%(K<>$z5z|FG!5Pt;ffze|#MLvc){G46BmXZT|QO zgqogXeB&?`_Z)~S3?vtkC=84sU}SWi{zBPz9cU>LV0jsDSXtG_6ZA>VS|L`Q$+y4c zta*=j?&sWva;kK9BY zv%ZJUgwI&)%2y+A|9Q9jLE%5`w8|C2xptqps$dsHI9Q7LuJx~ktDkrlZz@*y&r;s{ zl0tIu;Wune43sdh)DduSI7hl(jptOgc&d2~Q^|F17l<7SeSK6kuSFdguf@<@7ya#e z9y;88TDMg-?y*5pxLxDzdG_(#2+x0DCt7U#QEorLcTsZf_vvO6V+lzqlhNVujEC(4+gzXca@VARQ>;+Nq-M2{4F|{;%`Fz#xj)63pN6FxPyK|$K7V= z2KYI7zg+UK|M-t*6Bm!irI)Y&%(Z4+<#M&W8@|2(Q*sEAP2163FK1IW3p_QCv@c+g z{2!%G{I7@KpzHI!Tssw`u=nM(r!`t2@O0Hr@N&?(u9te zn;2`zf#Cb_5AHV5e?75M7SRH{IT+8baN&iPyGxM{!LS=*k{`_E{{!mzAD8d?*eUOR z;5G|4|Lp3k+R0aItKFp}@p5~A`t%{^fPjDk)B68eKK1QOJonogy%qz?xjrrfyY)}@ zeD!cs68>Z5FNo!oG)j4KyJ^i_cXxNc23oYT1V6?-r`3k7g~509QaNRznLE8TE1du@ z^!hwAI0y*Wv4%gJzkd-$u@z?M|2G=rhl4-xo{psol8~xW@wqYJDxqtYN_!PSXRiN^ zPwSPwZ(aI&T2+LLce_A)Y3a*cZxMRSqnbIo{R9sD-IX(k%P@Ekb?#I2&q| zYMs*-4iNtex#$1MvjdE3ot&&9!+E(lpC_{pXQoY3lmF6x0fXKO2qq>bc=*VQii+de zQW_c>TN@k9wd2XZe|Jt#38CN|92|xLQvR$bNJ^-vw(OxHcNrHEg-6DYoZr0vb{SiE zcsAa+H^WoF+p6aeCyuU*^w3a3k8S~M&Yz2q^G%tNwb5U{& zMV0R^A|I@oa{fOco(BfvPLTg!v!prf|811aAW2-9;YO1`NKcf)dAq$m95}D6Ia_|a zoFuKV?2xux#QIvabBy2Q@`WA^t$yo&j>VUNz*{)>9ybb#HD4busxPXhJFCqN?3Neh zRc~OX46!FK0A}OQ9}nj$*Wsn5ha*4ey;c03Z}wzKN%NXTjEter&dzQR#$#e*!w~fU zXJ$k@=BW+79;nc$Y-w$!y$%OEU;@x$U&Q|ZP&w?RK^sY?;m1cGO;wk%nOV+~F4!K3 zjM3tK7+mcLb?$e4a6n$@@(6ZVuC2kiaL+i!J~mqSR%x2@Xhh)sXg))U3+8+Ct!5Y4m8IH^rd1Wp5IH_DcPEGyV%e-+X=utW7|AOBX zLG*{ZI?JT|9*Il8Q&Vx8W10L*Fj#yL+_IHb*c#j?{^Qacf?a{%9!s$357q?TkA~8f z3!^Ixzc8+a{IxpyGVdOA0!GDwpC3Zq-p_X{l4uhoueYZ(Q!rcoA;DTlLFP*9Wo5%C zgh4rBxVTJ2m*?xb>7L%lGmefMGFe$PBs>sjRYm38qyG?fJMfldAu3wyO$Xxt{*{lx zg`S7Dq+$Rd1+V)UOPe}9?`-uJ?8JZp2nrz=1V7^cuU&J74Q$u+y2?kM!o`Eo#;Z2$ zBmny`|4$oZ1^})f_jIuap3e^NRZLUUL*|KMY3SQ_swNm-kHqoM9@JFXWwuz{UU*&# z6C7JR=(5S`sv*zfiVWORbAkCWsc;~#-wshp2;}Jc+S-yUx0HVE|2x{=Y{xC@DKG!m z*_@vA-8%6p#7UN(Jh&kiDg=_QsP-TR8Xk3PWW6TmqX3s7m zI%bInsAB@TKjnHTi{>}Ua<#pDO>Rq9rMm<>UrzfP0=v7^N1;WEg5aRyU%8KQtJ?W086&i=!ElG~PGU$VHyL1TdFO@P;fvj`W{oDEx&ks>Of^cKDW zzmo?w(4FU(%kyjRRt#W(E33MwE4Nsi?nm0iIudl`MDBh0{22sHcfrQxriyyeT7zZJ zc^5AW8D99mJ5Rd%L<)2-kzgg_%cLk;u=PSx6-JaNM=9lB>d#^)9wL`k0dxegPZR7= z1Z_9nsFlwh-h!>XRG5gd43!lXboBJF9C^iLvNzVn2ymhKwYt^!vA7f^+D!fh&kg=m zbuH&vmk<6nmY_0b{>6jpht`Ddj(~OYQFs(m(gQVyQSYvKXPER8hIa+(osiC@3{&Yu=7F6`j8?rZnaE`nFed`zXCGW_L zcCL9Iy1IlQ8F$pdxrU|5V$jUF=+P_0da}tqRJ|@wu1u1CcTz?B2qYUTYSD%vf=0A@ z8%oPlRIwXRw>j&i25*cMR1ljEp}~2oe(mJU0WfHS@Tj$lQ0xq(R@V4#?W_u*r9Vja zp|!x{l%|fi0I6fQ;bLBV_Z=ARuyK%AFttf8ZdvH(3G7dp!I+Pa4>u@;yvChhGBt1_ zI3;jxP-Vf?+etPo^4T7>WDP-r@MuDCFu#^>(hbBO5TAzG9zeu9(6K`wGVul}4AfKd zwUN`eE)%-#a%b|eEJ#RQ`+WM6#psOpYZkN z*q>2(yuJSkqe@K-OGIA7sdvXm=F8?JE<#US;9N;_pNDZXS2tcysF+UE~8OLyI{0FekPh)^t(77C8@^_6%~2BB2k)PSET;3zVCtV(Mv|I*3r>g z%42|l%%tyou4~?--${TUeZ$uXeRqe}-(J=K>Xy~6<6dvj(*MFb>*y0T%jo1Lmd_Kq zAWh+)TtpqSEWIO^>UvyJPEjGd1p!F9mDL>6+QM&qCkNabtSMnu3x71v66pX&kw zW>|qrr70Fb%?4*lS#rKZ;iz}(5#P@z^z)aI`M2TGZl1Nhm(?`K&)UE#c|<_%sz(BA zG~SDbhB4nwq;-Py(PgLGKVi1QIjb8wdRlREp&1YN>3>Lue65y~Bv(+fPzUN*`KBPN zLbyNO;{8x2HNrM1d=@FYrz?fb-}cjJ?|Iq29lXm6vpI|E|+#4oeM2Mt)!Ij5-nS_T^q-ZC>?%;V=Mo7`~`3 z;gU>Z!H465DAuBAo})B<%s}}y`9!ccFf;fMaRY96B>21QUXz!X-r*F;VNHn3g2JT# z9OA~|0GqM9XspSbhw7_#JmILo9ZGxucX2lSa8?^UP_ikvxF`nanMbzR^Cf!Re6%La z(DG>lwR&0HPrso;yBH1#6%E<)2D3;`yIit!AF(E;vC7rvP^1B6b`ITC-sFFKd+30$ zZ~^k`H9|#9x|7?)fJX`sL@!Pj8o;;fTC;%Tp%ohW*xh8v0 z)6~sLFHzFyyV8jH5gsLG(V0N}UX=qX*%0%H)$Nj&KaJiYO3Gf6az`0RfIQx(c17Xk z&p)_El&H?3^pFubhhPdy{u!N-lk;T<1_6y_c4}i| zW3YfMkfNSOb=ChD(ZJNyRA?AfLJkwC$^t9>d<+8^f0I0M8)*G8n=~6JfDEL<*l8T~ zQ3Ziaxm6IB6<_eW-D8L}yFd7DK3_IA=J`D_iz(|-UG^Kls|pG-NU;LHm$PzE#S=uL zt``jcHtKF?Wf| z{P7vcd`RQb5yhDO&OP|yzLU`(EcGLY*gGnLSeP;$D+yh8|5?s2hAo(Tq$ljUtBcUz zpBo-tH6P+zLLEVu#i3I6unLvQ@-!%4BheKE&ZnnMTxpjMwZTr_D$B~x-kxPCh|f|lW^mSdM%Nk zPKSmHsZA~Xy1-LcT&&7^(i6;e<8yON{lbRZpFJ)$yHh@_&Na%=AE{e^M z>AGePfQ8!P!L)@$f7r>FY*FAqq~#ERAc5wukximOe};Te?umx{3gEF5x@#zl%^t;t z=B6R}nc%AR<79O59TccBU}t<_w+rcbxetUPP$Ky?JSTysA^~o zsQl{pGuyS&ko%&EY#~lwhS4Ovh<=<{ts1#5wN1TZWx*|%bG6HIW*!^ZAzVVIitxoR zdNO^GwW9S|DVEPCbpV8@GNlx^yv)K`QE`FBxy2g8Nvg);RP-Rhd`Nu$Rvu0!adFH;$K1EoR#cYH+S?2S0E>& zv>_Vn2zC6a+G$>2$pvOi1k(O#(3KHxv%qrWSYOgJ-votNc*IEemWk5vQ^UheMML~G z3@qo8ObafFOrlaofQ1gtAuVdyn;r^BMQ6sX(hZ%MM_ZlRDE10C8G60reKz!5%rxp0 z*q8ri;caVkGLp%Dls{#tP|vto51aEFi-tmrJn3#IMUO$JI~RtMKs8%Hmi@n~1MEc6 z_H`;{Un>}iqEkdf>#jjIHZ~+)K$;$;=<|cd?)x|RBfAP+8n>Q98D;-(jC_i}8z>;A zh>CEk+RLq(@HlX=mkTC<+N$n<$l<4YkT)I zFNS#Og3wN*e_mzvIObOZkGXl1Yt%y6$8vSQAEtJ|?)FleF}>h@&9C`|_{&x~1u}o* zo&N)8j8H)E5hq~EQW&l-BHAq4AgPk?#vz`h>w8;0Yt`(yV#RTE;qr8_U=wPHG%Ysj zd!AYpl#PKOkg;yzD(2Bw0ta8i!A-d>tx9G{^zSr?z6CRpcqm~K7V55$jJ2XY>n~n7 zQ4pMAt&DtGFqf>&)u8%rIBpNF3Uq7Oo#Pc1ZVQjjC(n{k2$0WWNn~i?c-kax zIU?_aG14fDgpfQsycpZI`)cg==&@rHQ|EQqu<`G6XgZny1)uMU>1-DIu{bm%Opr5e zDeK4327V+5MubT=9OK-+d8T#inoY8(ly*2`J0zrJOL(y)CHZ$U<5@D1?<^F@HBm%U z3v*(GI%$$4A>&JOJq$Aiq6=)e6C)L?bJ27xJ~z-8Y`10c>v0#~ViVK{NY`%t_;VK@ zeNQ}ghjW2(7B!QR$M|Li=*Pe@&aCDYyRBnqK3Qs+-@9jb-g5i#F}VB{6+WjYQK(p7 z=@hnm8ilR`s^G8B>TvqXxjH^clK(RD!P95lPbcnojJ4O z%Cap5f!&PwSv|S6gE$Zl!Z-1!XYB~W*uH@7%}-CD2sJQF$qvYs6{kXGio-ily}G`Q zTXgc}nER*AIDADdHEPaG&$6X>SqI?C*RPASY*%!1n0V(`qoJpZmX;`+8c!aLt+@X{e1&rsKZvXuvDn zn#Ic5W2Zx7G}OpGyw0lZLU8Dh6SGekBUYd?#i6)no)#@Z!Gp~<2#%v@`1!Mp0R0T; zsWPA{%~Np2l`UX7ec3YYRiabz_FjFJf(mj%hY#zEhekY;cK{}cfccLGv9RF38A&zM zzbO}7yttBXeiW9wTqLv`g2!((?t0a;L!lJx4D|Gks|8lW&+dW32crd9q@gNPdsAv^ zY;)5X@Fep~8R}oDyi+B5$x#yEiFw{+%nm2WYj7bbwBVs#4q_FUaFupC%LT0)OWFL@6^7e;ziertTVG<*F$vbBQ#RO)T|gOVhA!W zzvbCb1m|Cs@@K&QA~`vUQ`L}DF&AAa0yt>lnX)RPR$r}|mPTip0n6N;58{!=SSk%V zL86zN>&}d$mRlGuSX6G+iuoF-U}cW+=kb4;nRqHelxUQpp$Gt329V!?Fl;NW^Bo$s zBMcNI0g!^>yV#TIA>tZvloQWC^}%V&+RaYvD{vU=Nt0XM6$^_UoB&A|`*2_Ita4M< zvH&jP(!oK6z76{~Fqdo}@lBY<&+_s^%oceUBEEYGlUq{<)hzlLoomjaq@Iu)^9!BU z$QP+raXBY5$RDY3qkqO*rV};oi@&#LuG?XjD=MalE$1y36>ZFOoDXieK0Mz&+;zDt zbvAG2Zn`R^q9rSV7(`|epBau}Ak>x-`Ah=9(tl$5e@8GK{RaWA3&Xc(5Cg%@(X=!H zhnA~f*QPDgh~1D7K{8gY&OYxe8q1vYdXBk>39g-BMj}GRVI+)ALH?K_AFg2iFZ%-g zCABHF1%(3fyQ7{LM%H#&8ByYNjcYO<*axOyC=%pcgpPQRVy|^6giwyYkO=q(F|&3G z?#1GeDj|09xqd>J{PoEZT1IjjnUQuhf>W>c4@ZNkwS{-8Ly_==R5&U`Pyrfr=;f<# zTi$!u<@wmA%y1l`Vtg1`I>1EG+snuS;<)EpJ};vCS0z@6Jtu#3bj-`=Sx+r7Awdb!(b9nh*oCZ$ewu- zrzDFaABr9d3QB!|J30vTHL;#@-tbpRPW|1-`NgxFi;M7E;GWQAr}6|N2VCEvNk@ZG zP$ZRIRb-Uecpppj@6+QuR;(~AsE`dmnl*ciVQn;JoX8jq1GlvA)x+z%DZ}N6qxu#x zjD!5fz#Jg;*mW-?#qkiNtg|6&jQ)Yl5|?HeL?ZAj+&X#BqQ6PlXB&1(dcX;gz)mRo zQMi~@04-SSMP;F445gB0fZHch9pAu#;nmyMM4X!ZS7%`h+4AD zhdQTFi@P>I>rMyz3$7dJLIAr~B}@`CzD?i@oS&ZC)6sW6Whu-rMq;S?b^jC9Tbx-g zISID)0&#fK*_z91(y#J0l7T`Jk2mNGmlx6|B{=r`e#Ukr&v9s6QZ|BcYSfxG+2sIb ztU?r<%s6_n4S^AbdOB%MMqR?8GST*@MC|w%l8_?)Ca`Qh&7t4^$l<-CI~UHq3W#rfzr?9 zeS^xXqhlXq>!HU4UGov*pSHw;osuT57_DRXK9^pqdBQmL+RWiq(sMq`=-S2F?ug{VA01{`X}mmrqtRCAtC zR`u8&d`8I=A0VxoQBa73DVwV;MOau@q@b1(&W~TeLUsGQW zTo)PBanCf$RSGjIEW8Jo#2aRV4RGlUvC0b!B4utqSMgN7fsPsG(sJ0G?ir$T!UGr8 z`d#N{(JfR}n=PQRC0EynUTK78`idJftaiOsj@xp?y7&f*pkRyf^o?p;FAZ8uGh%T# z5Jl1D0ym~eff25hbW&&fcte0foj{#lFtX$a`AD^jFZEHE)~C ziwIZC$-|FAL_`%j4I^<`3=SY2HVy{dWLNMO8@iveW6uEM{IXwz(>z=YF%eWrPz2{~ z?!;@WYMvJHuux_#_~1yT>!ay`JhV!!V1WW8F<>RP7`w>z&m`3z>C7$W+EjrC@6th| z{2sna)cvw&*c{iabNCdp4lu?wRCXow^o++Od#cL8YX}y>8#uJ^>1# zk2}m$?Eo;(#)0>iwFcVCQl6G`T!|?W^9-+w@*2Xc?@88B8)1r-;{kfU<20k#CG#D2Ke~D}s z8LHuG{AqI&745N(REE5llc$u9DE|sQQ!DY-^;cBs%^D&O>*?t=vePvC%^7>gUhXJ)Q=PddF%W^Y@Z;b)q_O|IcjrvKX;o${T0b2iuIj&As}T8)HO^SjT9k=a8g0D>6=%=LyDXP zfIh*@EZ}j8Rd)hBv_-vmduJrCry&ybulSCb{i$sHc@v*jmC)!<%{z(v?=(c61;guR z#_Go-7I{}<*P!?CH^+-4M?0F2xO?H)bV3sq@|Xlp#GRn#2dI_+v&9In z*Yhc<5h8pjIhghl4ckN4c=gP_9?n?B-gq_Wt|Q5MoCPY}>m2443_H7>16MbueN zhX<+wkKB@wwX;2#R&O@#al*>r5((cvd!$Q>^58p29>V28$buZdcncbft>;&n$>;Hf zr`vtkrBuSMl+pEMS>1T5gGF_;TOQsG)C|M$Pp>8xr^NPLmFgw7fQ{r_e}1=OO>EJ? z+m|Yg(E^3@m+lJ_^YSPLRy1%yaC>5P9~k+pCEzQWn8Z{Oj0zH0dT<=q=UgV~AUqs5 zpU2yMx3bZ~WCVj*B~MswF7?n=F9ypXNvw4cveO3B6d6xv(&1idpb`tII48t^k8#W2 zZ-3(-g$r^Q6fI|pf)%NON)D@4SCy3m%;c6114^Ky0O|7w^*VwnN(k!ZYTWvsX6qr6 zdtzxNGuEc`m0w&>hdncvzbdmCThj=A3-;j)1MyA9Ztb(_)%s&Syx8k~o*uNfi;Q6g zt4|GynA$Gx_!QaN5fT2TmpA}MTLYhI)y|#-Cu)(^1rqwR)SaTu-3w-{OpzkC;=G`u zpXPGdm3z&A35``SO7cY)(#7N~0Tjp_6XHzVP4_T)tFY27WF^xdms3-I17kYSMh}UC z%Eh{(QMz(rXph|;;wu}K9bI*QTqQ^A_Zut-KOZObhKVwge5+Bz5n@3DnHPOLCBMp= z;9iT8e4@V6=RdCXM1CH%Y4N%EJ?xy~2wq?PRYd!$zy44o-Ta;01DFj(P0sK-JBz*8 z7>u;~PgW61fffi1XZ;dwTNAC8!N^vK02k;14D^%p0RF)(y5h}NbCNHe6=pCd?3dKCBrF6+w=XtNhhN4bPdN70Bu-Umk!RLl|s5C(uFsiT0`IBf_G z(SkcmT-M>OHBTDx-k#+9$8_#7PQ%U~x72mEAsOz@j2ca7m?YTBcOkE%f7*aQ;KX{0 z`Y-tiFwSJA!-wtX^M{tqhCG+QSCzY((^+9lMOgO^&R1i(nXi>wAB3;ZTAAbJt?X!l zs?j233fEV~>Tl2ZyY&Mm(L>)9$XUS4Gnolf#jDDLhi*y|nFfCsTis+>-I{Z60>4N` zSgP|zpGT^C;s$U2_D9$tB_nh&dm>y44HUXGP@<<;Hu&n8865#EdAc!mkBv4~{B-BE z7gl^JP~Fwg|8mcof&;tYKW4Z{bu1$VFQy*^l_PXxss2wz2Tn01&3UcHCxCudC>fES zcC`SSoGHAdpNw;psxc|I=B$LAYSxu6oi0p2f4I;xI5%w7@ENIuslUXZuqjsMwf-9h zY@vCE>26xmB)eQMt)V{?AuSnX`D_vwxiH#3EYt)gGv*MvSL2uv;Pm#%R3qN?n2p>Z6w z#aXQZQY|C=4?i2X*hW*D-*kR2I={qw5bB1b3hBN9!jWlrgi3xmb_Ka3Jj{mrUigl^ z-OB>#k!a&BQ$y4{>WT`BI2D{fcb6qa{rn~I$0g;kw%5reoo&Xl2a+CyBY(-z8v%52 ziK}V*K}x;41Vt9hLKpxE8MR%%9k9NH-lk5#L3s9mO(+ z9`e~5bFkO4b)SW>S*=mEb+giq`idBZI2do6{^{YWq0Ww?qNZV1RuN%FOrloHIrBK{ zrGPH(hYz|4I9d9~}GV0T|=Pd~fR?rW4<*aDIS_)5|844g6#$BO||N}VzpEm28C z|891a#9UxdwWB;-@(1ZtGyuO-o|EuOt^5KV8GV(^^fLt#TQ95E;(D1{uZ6NpQO;aF zLy`?`Vxzb`j)0%wH1TzHtO-LY1h`E7`^WOif#0MpzJxOOa46%Qzb@D}7l4t|2K=7^ zxMSo$;3#uNt12vk5~G$&_NAk$3uAm7Nvo#X$VA#(J1)l=V3DLXCVNixcAW&G3r$5OgEiutPUNkwRnOhvOYfn+*NxjY zzD;9uG&vY6_8yMBplNMz5Zw_2cEv5NMX2dQ45Y0I-Yns{YQVaT@P&sMX!XGkgi{wkA#(J=OWw^_kcm&}7LG7E z^LQP3Fr{pY+;r}rb)b@go*8LNpgt&{SnBG(MJU(>Y zb(EauS=rguE9aS%-&*v!vd(J?n8>;J4lXWFPPE6MG`&j1Q{iPIDrpSEsPGV#PzVfF8sp)tX{)c5^YZ;W9_`>$?fY0v zPGBTMBIHKXqm-`rj7$+4jroFoqtuF{3wLOSq$~p!u0Oza>?Mc37*pq6#td=uVpu6- z%F;OTQ5!b%QhOI!PVovJ@4vJ0ofu05;fEP69&xXXr@@8;LPCTQz%ThdPP4zx_1+sA zatqMx28@o#c%!fWHySc8Hz-`boC#wV5%#MG+JcN8!-#S$Qx5#;pTwBkBS8Dd>36ZC zu+6h|RVzhjqMqwdrs=t#)r@JfgIvMCKmfV@INYyv8yyG(gx-*jK((6+W4bS^F}S}A zsb_MLH5cs6=NF<{XWg?0ax~S=avItvOJ9WFm2(yyKR0qYXKex+XzG1eHMs1TN@nYI3oE z==|N<+Un^M$$*%()ChByJ^1DZRz#8e|4NLEflzkS-$u>B?Viv7G)55`g)A5gYN^r@|2*HWPNLY|I zuCKUo#*_D$A%lUnu4M5s zlVhjQRS@&puoA(~HJjgHwf_rMD(XK3FY0eq@=CBPzh#MZ`g{!HCTR6o-rYSMuT#{%6^=X*eXlQtOdyDk;0ysBA zzux~q@%sDw0dn5{ROZs$Tzo+IZIehTdWHV!F@A?lXZd;QE` zQbf(3)l~(t*1`TW(CVzN_9UBYla@tSP#)gXZLqyHi-PEAWpSO$F;iSP0HO*`J#X`D zEQ03d2Y`G~9AL-9(J0RcD5OA?47e%ULpT3HGdr8U{#W#PGF1(HJiH(@@S@^k*hQW~ zzhI0hW^VDAaw@vhQ?#1)YQngy=l8R96)j(%H(5azib8wAsE{t6pcXTWmzD`LJQxT! z504t1wuI17*nS{(0G1g*;K9>LY;?K@sv+|5@YJdi{IAhNcsWFF`j3x~o!&1l8qW|K zx1yq=xA*tbl9Hq^C#x;CEN0VHRaLJ5Urq4I=y!!001a{T@`u;Z)I6B}X9YwyzWCEL zF)$G5Zv@0W{WlGdbnVd&hLqFw$ivv<=>;{{?l~aX#7MiXy&fXYd+YG1Hff#=7q@o} zIV!BI4CHpc`1?1phJKlwM+iXPL%0cMTEC+Y_2v@9FX8 z;c?v086WR~%nfo>UQd)2 zWyfms{q&$H{f@MRjEw4=*clRE?+Yvu%aB7m0;fer-NQc;OGNhv4- z%c0Z2{Z_y<#{bKa@8->Q+0E&8xn*|;a0RCe#3KE&q4E@fj3p%vjaHyZlQc6j5^?nW zsqwnbA@m_X{$z$mUM z7ncywmcRoq$o5UWYFSCc?Z>~7CPz*b)S_|vabMaq-JT$ldy|1caK>KmukM&Ac0hMX zzOt-PJ&d>$Qr+7BPb!n|gx(@`m-Wd#RFv$dC>{XndY(6Z<+rcrV{chDqMVld;U$`!?7PN8dq~?9t zmA5%CCMECa===2SeegQ-u%30Ca!(i0eu96ccW6>0UNW4;_49zu%SXAjSaIIVxaz?Q z!Y{60jl?%2UFwNw^K{J@4p}m*_b*x?EytRl79CEPUQcId6m-K19Y(m$*HjHUc7o{E zI#WoXJu!5^KjY8qEAK5+k)y6Iao-3&bj);}hE*~IZaWh6Jh@k64#;nIWkpH}E1_Gu zSWAw|4Pq9^UXm{rX`)1fE6NQhIVx2_TU=g-Gh|_AR@Twc0RWcz`myuUM(LIaE;>%m z&P?`wW(Y**9Wy}ZEFsKSJ+AlQ@chFUm$EXu$gU4M3uqc->p$O16O>scT?2PIF1&-a zZ#FTy>JGXhCZ?5YybPPP#)!n8$;F&xij`xudmJ2-)h$y5w^}r6j_$6oBj6h}K^WdU z4%Rr4CgO$BVpkc88rFRj{1xlvi3Y?s*x6L|wHNT*rpWoB6cKdNpe0xYg zGXgwLHa50mH|CFDSO5T;ryu-Wa1ZLY{5`beFn#kaXXM6cZmN_DpP{x1Jyo8P z6rR8b_UcLOdsfeenebDkc?Xo%&Ij0_vb)V(WxyO(ToNN zOS$s*mhzY6Q^dK>PF`bW%D41%{9S^cO@%mnS^rUE6=42iQ2+I_A`IZF5<;0bXFYuh z0hqeKehoO~sjI2ki}^cPd_m|Zb=!5|a)L;p?hJ%)t{Y^w1)m>4eL)k8) z_T>u?VRBIA*_l0#7$ug2ANeO=iSo740ym8C+oeTeNu5P=bukE}8^VL>?T6MMUv^pB zmri`*^GJ{3Ker>hXO@i4`cdGyK6>%fRSg~X=Jq&2+uaU^2TA%3{q^727<>1DJFOoG zB_n+7c`H8Dx3;ttITxGg?e7;JCTQ_}e~RDB44a=z(eoy4jV35V z2`xC4FMFkQJN7rc?FMc5iMh4)P}n_9R5=K4pt69uqqX%AFx|`3=zLBb zy9~cac?Q2UqDo>H5;}Fr{iN2rmQ#(=1+cwptA&*sSLJ=%$IKO z7rV^wa}xaO$f*R-=Oo?!1p*4+ZF3k6A&9#ZJE5U*>?WJ4id@gv+C1*QEMgeJrhe7^ z!lFMKMNV!tS#?zB^i}uaG~;^c_fK;YU1ojnlRA^JcZyefst>vz?c_}TCv|?IhSC^u}S+^2h@E6Emn>wMhAM%2anDY5SSfW{~a9s?2`|UfP4zC z1>9WN`v|V>BnH9SDDJODjwl3{X}>J|rM2B~QvLRlDXS!tBH8^$%;gXSuRMVb6A0eJ z&%Nv$5fSm)8SmsNEa-}&VwPxjse`){^sK({u&IP@)yNgOoJ)seX1P=Ox5gUQ?Gmc?Vx|5}iYFj5S zJc$U}A>dH;_k#QW`7t+>Y_!qYI92C`KzHYKdErocwj(CirhZ$R%F{tWyc?Lz(0YX4 zuMI>59@=WWMbvw}R!@#ibmy=4iw}s%iXaDJAbctpY}X8#N$<-!9=qT*LEg=$&xA%N0F9}7Id_@VaZ74XNTo~F^#SOE^Hws4k=fY2!rEUyp_ z6|va*;!rUmHwGSgIP)-ndtm5?vo)U$ZY$p1T61(EE)9*7i;K4pl|){vTYAi926kjv z_iURdnlV%`ZDU?BA++X()UMH?xS?x4BhzH!Te|u5Y+Bl3GVKx(f9Hf%PLn;wS1A(P zmHBhKL&tpN2%x)M%a4MQh}#K7OB=Z+(R!Z6?HpnB`FXgM$@LP`UKc%Qz-%T&0*lLE zRLV(qds~m2LX`TF_H_R7=kpVkb5j%N_!KkP7MmNs^(j1=u$2jJF(y0JeeeJYZ_~$b z8ht5DNba`aOFvFSy!{%4jF-WZ-b;I`mE3PQ*wO`Yq(l5#u-jDuL|NI{-h1QN@Rf^ayA0)lp=uZMwg+un_lIr7X*i^>ewf$ob+oEkgyt6hY|TV`(2ab=~@O02=*ty*HuS zvRkt|k#u1fdg$2Iq?T3Vc_X)bus$?&<9d!YHz`THGq%A=0mU7O2NMNPuI*>5II*sM zHpM1_=!d(-##)ifXk_g7^0^LyT=OEWEEGEt!Wd7Uv7yI-oBh~up2*N*l*dy!;Q+{yiR2A@G7 zFo>f-C#*oy#4CSS3U#5pG#1A%)X)ze7KI?pBXRPkx#H8#W}84W6ScGI0%e~j3-|iz z^}xJ%)B;jB9?0~ zWpFX2fPjEFBD-4}82D3jGj{7gMGB#&YumQ0?SyN?GugDawedImZ8Cq}c~=n4D59=tj3s!Dpgn6V28^Te2eSKpi>jQ5lN~nnOLVThVmQEJacX1sZA~k0; zjL}Q$h7|yt?RI~vnL_iV6o(R4BKw4angpZAlE{uV7xYY$Mrt6Jq%>fsHTw|j9 z@<&VaZMcJ^sbfkk@+RkIgRe!n`aSlmrr?3mqVt}Sgc;3>l9&>7`GK&ygI~Wja|DA< z9%)i_)v28%P;%*-xh>5Hh^L=D_GlhD+8s9COYaw} zBRV@@|BeJJ2`eHh+&AMJlH^~aqdr{@pstL(c|~#)-H9A}59aPeH&!-K_oJ5O`VIMk zpygRM9sw^E9C+jOzBtv{QDd*zbk?}2y4>4fJt`NU!`=%@PdqXa(@Z{6sb)l6Vs1L; z6Cs*_acZ*P183RB1*_=D5pY7=^!_>wGKNb@czvPSDy0W zz+h15{{i)hct{YwPcV-ZqR%n!W?7L{-zZJk@*6$6^P6asiP-mEN#(5Q=ii z@fx3^Fe4fYhB!8YnvUtF)4>FTB|T1at|lS-?6D(LBS=1rlPpV$@%XqZAKLZy)5Fh4 z8^t6!q?;Oi!xzDQEPv~vp&=iBY0w>EPghyGp})XjE~aomiG#4r_pVC@=IA>*4E&Lx zU)%&1gFkLN#VF*2TFIt1FAIMQJhi=CEzA6jLO?Q-?N7|))NMK`_1`9d-b6E|psOC# z^dR!{NlN(>9q8Fj38GfWdHo21Fd1%hJUE<8XOVEgI{iuMhk4H3qpIZN!`~vw{)G@h z6k<$CRefojLDWpS=-@7>)%eeKgvEnKu$oNbk+EL;`=9vld?+_9Lu=$F6-AC@OiDO% zZi+#-0px>C^Xzx1(CWeDxULdqt=gLmjS~JOZL@Dz8rf`(+c72A4u1?>Fcn0V*vZrE z1^eB{I@s;W@j*XBl(dpjesdrrI&(~~L@=~yAg&TzxHNHnXoI<7q3+i4W(njY=q!$3 zgei|N+Fq9j`GG0wdUTBF2Belw>Ixoo{H$@J;bxvCM-?5SxeKAE^Y}u)GZO7s+LTVy zx%pTngxYTZSA3_=V@(V)qLhaiVGn5}+LP*C%d==y7unj|!nR5#-5 z_f9Lvl0pNyd{JKPM?Mdn`1#Bts{-}TVNeV)54B=#^?kUo`HCjK{mSIjlo}+uyBI)Q zEEjXaf-J@)DgDL&uwe0p=C<{2=h-=*Ovvlm_g<{o0X-igku`iY=8195YcLDK*E{pBL@R0FFmbPd3;3Vd{es>N>O0d44XtuN{}lZQ0(w^l;D ze{bhjajM5S_WS!-hszvOF7L{=lgC^X5V09irD@yq)@*g8=uBW76X4*O)73nV#cs2{ zvFUZMp3zmKB^kstHu1^b5cX|VGuMzvaR;AMRNvYQO`Jp9S?sJwnib}Qfyn=~>^nGx6HYOYdg~WZFFJhFTKi%r3Sbgh z&0yqk(h7(CIZ$9V!MgS*H_NjrTP{_NWCIpuCG#M9+Ef3|n^RA3<3Z^B#Ia}d=HTV! zt^4q9XI-+_!qzra1FhY!$}R3tSc!;}@|R%o`WC+JGE^k(jM+R&QCN9E05;(RI2Mpw zv=$g}(U7KinML%O6*eq}3U1@bNiWfxoMv&bPX!m7E`icMs(O(jqOnI&F;8e1S4TUH2?Gh!rzqVC&du0ZC`tOAA^mFKKOm|g2 z!PQBDV~L?+8^F-?oWEh3M}*WkZa$Q9taW{g*@L#R?t8uC!~_Ynm}-*iCO;E;*nwqa#7;s2I~yDEX5C-H zQk5#Vl;WbeZo1nv8me$+2Jm;G;5v<>n_vuRu`h^-i15_;0!`*&}x&@}d z%JcS_Fx)zcHmHGHQvQD4d@kg1IcPWSaTo82z*+;RrnT@$py&uboOXVq7UzRRaAB$< zu4;u;-cCwh(sQ>>cfwX~L{p#m&3b3t8NHDE0E6dBe z4uN(C&JdvJyt1mQAaX@wv14w_OB5>%6z^IdnhCgwZAV<6F3tdT0%nU&3tqS7tLIhU zvF~K_8Qy18fD}K&`!OE>J^LfPpk)4ir$He)O4>bGL0(Q56*pp{v_rTha_c+UX+WHP zh=}E4c2YJ_sF7hxPh%*`CkrT@QwU2`x@Bi=R9Agv8EF5!eC_uA?-{c;CmTQi{N~JR z)a$GHO1mbuw?)I9#9+I#0__64mX=npyl)h79Rat>coZ#Pu*g<:+n3HUDvqG&A% zKgWjE$cNK#c09&VnbC#8q4>JMdkBBosZ0*k@q$N!?02kaVu)f1W@cs}4vq5>u@HPR zbbQ0A2f5*?@BDlkO@i0w?iuR4jq!Dwl#*hoLS7$P<6@WbMm_zy(+GVz?Gq#TtIyGE zZ~1ihq5=*=ZopE5R`u?b-Bdgw3WT?Q%c>l*&lJS0(&O7Zz*_BC*8}=m({V8Jcevu~ ztx$nBKv-DV-@-!7IQ(-)bx;!EcR~x)!cYbKdG*lDf$1%irYy3NF*_v$M8ZcYXC3<9 zhJU?XO-yR`AtQ2qxjYZ;R<$p@SsM-pQeY*u1m(9YRk~LcN&9>G4aFRG-X1odOkx@O zUC_Pg8aKBv`5Uz&2?^@yQg*Y5_$P4-&8EFhTwFgZ>O8z?o~(Uuv8}2wy8E6~t!+O0 zzIA2i_vLeyHc8}DCIcKk0|*4zW*%2pcZWrAym)_>m!lJW{^QgfInQn0d3Uynnr^Vt z=4fK`wa<+=(1qvX?vpF$w6iNi6}sUUv&3F>40M}Ffe;!pssv}Hm1sPSUt+ooVb}2E zJ@IMH2=WF_Zsry{6(u21{A5l>!H^h@?VuTmAGM0Fkud?&KvSL;5uYT2n}}#H8$)p2 ztWqycP>hi^dvWYMNSiYHhfFstzoC>S2cxo5)2f=6Pz}C}be{f;u z_r+>$J}l5t{dJ)oauzn$*HE_hOyxOl(a7jn{p3{GO1rwBsL(Lpq6>SNs-@@wn27PK zG_MmI>y4t=!Qe!>xU@8@x7c)f^@0$P2+nHg2!?1YQ@bik&L}Ym!lnd&2 z;6ZtED;0mlykppl0Vlw#mCp&UjgE<$^uYwVhRa}K7*tYGUf=b^*0YqN*s!AP^S z(P-d3Gv!SaZtf;dJ~F@TGHXMEfx(@KH`)0O{!=Ey2qmsEgMxw}gTDV$BFmC<1*3DR zD8CR<0ABRGq#`LxA(f|B$zPfm;Mc4{(*##Lne~M=*u89EW6-#=A{(<&n~6mwcolmUOes2<_Nz%+hTupYRne+MX(h8C7#jK zcWZ39>8dZs>iFOG%&ob2Ik@no4c;J>bvPG49xQljKdNAZ&A>;c6J=>?8+)CGWfkc931LPN~kGjaa+L&2{UtZ^-WCnPFEUM z|NPn6*)cXTIm!YT_x6W>e0mZw5L8xnFnIi-w_!sIy85A*X91cSXDWjnjt>Wb@h z{&hw!O;MFZ@ShACoScmV{Y04=uLo0(M~95kQrpQ11P%aR?z_svO+$IrZ6@C;ki#bM zH3s3FVqR zVz$IP5X=$d{NP3fbEF=l7o_ltPGXFmqKH&vG?rJ$bey$0NT2>&dydNCYI7(0C3EGr zwN|o6(p;VI>-GOqo)c&ka-dQIZ8tjmR4?}T7dJOiWuHd_^m}{&Vm35RUXRZkgad3W zgO``rMz=T5D8eV;qYrhq-Fn6MlBYkyM_8(?6 zcvW{G(mH5l)AI83naUhmB1+Ac0mdAoK3<^r)$Q(BF_5jsB%>uRo*f1U1ay6Zhd18d z-0aTI=5kap+1t$DLL^mmalARafW4+OvOWOr$yrgfCcX9K>12wK-z zQ)KQ^T524e3xGVQ_99@!=9Z4wp{(ki~SNuz3t~7P#kOcF;lGkuj|5r*}9+0{uV7CQk z_Xs7|Rap4<`R)Xu*8%$K>1xZ*sw%6y$BPdDlaIfv};)42=H8 z#ZL-u_R>Vkb8&*2;e2KRCtn5)c8){Nbt=5oR&RgB75RE)wr8|7U?zqj^It^;y)-uQaEl2ZJCka!GV zbvQRxJNOtbuIGz4H#+0lITU#9{7@v1)X0E`)!`Z5?x{LLlg~2yia|k=IWfTnD!0tuJ{c}KD0h9Um z+U9wQtI}IlXS2@zs`*`V7X?RtIu4r_!2Aga_^hop{!kDb@(&4l*}}88uz^4<`Ob^Sknh`Pe0@}g~v;v==y^=kP-##RNGKe zMG0@{)Eb%zhk_LQhmU6P_OY@GOyx@97(Rw@{2xe+?{`w9ATgKY#F$WLt?qw%WE z5oO5Bw2TIZ=>f|<7Ro}IP@}4ngVlkkUm4Uzz}Ljud%mVxpW0nKbpsJm3i*n5L?ety z6ZPtq&dzdKefNM^TBjjftnA*U7uY9AI%-_62ns5|j~#L5*8P%9esZ}KC0@90GcuNw zlk*iT;{5zvmRdwnFcJ}Txmhcr4KG%ce0uXVTLXXsJn$eSp?Q@aSxzMU&ntgh{5oQd z`j&GL&H=IK2kXY3i5xj+o&Jr=ins?if8JfQF@r(z5oAd#b(eaj=r(Z{}pAAZc8w zczhQr-KS5VaF(OHB&idPi6{GM`fNsFcq>;I4pW8m;h~zDCZQ(8s}@fQB3ylXbjmx? zBF~h{<=wkt#Xv5jd(J4Z=iIGop>idY;}Nwn`n^prf+{M`W(SlbRHY^6;$+EVq|SE5 zFF3IR3r8u+pX*}`#?T9|g4W;dot#iSg*1!TK^^({0Yx4|H2sfCX?`?&|j6x zF9(lZ??CVof(^)gNfv%|adxhb7}O+>XH1vvEuJ&CyB%xS`^oV6v;TbXF_jt&BsA6_ z+O)TbhzPinU%C+%z==w%4kdRL+y}_Z+tdgw*&&!FbsCF-5L6g6$iz!~4)HKi;PPZV zk+AfN{J`ztHV=&9I`8o8tQb~oqD?$J$UkxGu!BPU>h2|0CWbIAi%S!ho=l!-n>Ni2 zCJ+tY2rGXMmtq@E5pO#8>z3Z`Vj1sg2z#I;S_7e`p}}Xk4q9#P$YNzqcn12ED!3sp z@+&A%{fY>|lstNb{>_b06M^uKrY8?NJYHHXD$33coNm(ZMdcXb;-$aV2$Ycpe0-vm z23sBvIVS!Pw)&@~Rr3K2z9t;hHZ3pur{aSEBd7!1B5qisjLgO>sR1&y#{qfEGF1i* z-dKh@G^8Xz2=4TIS&NuhQcDkzx!PygYY879NeVd*zD*^YM?!k$)8GojsI=}%bl|5< zFM$n3${H0>EYBDguui_nZc3-Csa~SpyjUAyiP1r6b-eghyS`fLcQBRs4_ux^_*A8} zjBRloiW19tX5u9HRXNlPFako(=>Vcqu%(;cv&4s)H^<9q#3jm~A7`{!x-ObBt*oh`PI3XnASy5q4-Y$-x$5}SHCRwm zQ*bw$BdIYj3yb4cFZlXdNQ(E%y%J_kz8*>hpjljBJ3wp(_1v{)WM+~Pg4!J%9p(H3 z7?Zd`_oqH7&)GdrhYWXrUUWs44AD2=hrjKOMH7BnRlRP_O~xih4`~7b_klaK3f^2i zECUAGg1wiBej!DJ||d+c&J>fukXBe&?yv{cY7hpMD7=yoT}&)gY%qsH?RTDVi`rd?xv>XjQEHS zPRvq-pX^!hN4D}Z33=QK8c&zUw-N~EQCt)fhilUx$^yzd&2HrhTksWwn0QNrd8CV) z$B1<@#{h=&NnR|mH|nljrSul8m69B3)*M<1jigmT@sNRviVA(oI3K-lJU^do-MLta zv|`}vC2Z_SVW?@#YP>d@XLVJT>MVn*c;AxO)w44zw?I@U>)xBMUWre;@>ieYuH-O( z$IE9LO!VDYl%-3#pA2{T$qH60WhL}UcQ&jrTm%uZ=l(_-B6TQO69x?K*w{a`zBJf} z4j5pqFckYbp=`I^*-NF^iS_UXW5IKBx|J1W@j!Cvvn!gUhvIfu({;N}%# zB}ehaVzQ%i%m|{(l5L;0YtxA{a8|6n-)hLuV~0k-a9UVc$SW}@E_|=p0RdB}g1nGs zIayh_E$RHcP}&&b>F1j{l9i4Tn!TPe?Sj{j{@4DZrPB3!aW?J2<8}GcU!zRY)0Wd~ zkim~edW*NEL)XR69u8It*WUK$S$({H7>E&v&=rZLD@OfC#^;$3%iL zsrgzjR5&i7M$ysq+5!?Y-44Qmg#f46)3L`2LYJ)Q?Lepw-n>|%P$q)5w%3z}l#!N3 zKt!ZcAXN!+QyWnlRIO+JRUUYA!~FEwlQeE04n2UAsOKnn+N?UB*Waa`lR>G<_jE4c zmDgUf(beHN#m!-@*u~Ks!s|U^zDUdaAR~D59=YD zzHh(vzx6m!vOcgYbU|>(n`~QkJaUW3me44`e${OSX^x2FUY)s1#t%o3s;opdu^8z zXc&l8EX%eU1izeC_wPrnCiDB1mTT7TD+RUtsn3xiZ^~=iTXg zEqxOKYlp_9Mw3`rh(}ih7b{f4NADxHhwVYf&GX`B1|AFT6S_++XbU$l?03gpHH5lH zOWzgLZl@D;o!#Ncrx_Vt-A&Xd=+rWmD`;Yb`n<&sojVZ`hVF+?EB@-ZShbG*7vmFr z-rhQF&;T3xP*>hf+JJiqC#Y@lIQXU++(he|zqFs!FT>8_hF zX|`tW0t2x%L|MY)-nFL;(0?w;L?A-Vf<(69aT)X|S?2RaLKzW){E5m7{-$@Wb^ZNz zJc8GU`N_@BuAYNHnPlN~G_9v%YE56FmzBT9gm zGo4i;h`<0*$)|Q4v}?+Wg)?INr%~#XB=0DPNF=X+e>YmqXXSe9`IOOrw?1b>Z_%MENT;-&NU@8_Fv5tu{0**#Mw5?2P9((WU)A9Ni`0<%)b_d9CkmZc z$Q)^R!r|5;cf{Sq<+$YSHTPmeHx6tc(*KDE-2L!1udt=7siEy^z*T+#87IJX+3{@H z?{ELr(!Q4-Xn)bRD=p1@?T|rTP1-`H7yWH&bQJET8pu1@ERR=3RdVq&W6pf-ekBz4 z@n~a8i7E7BVP$7mX4xaPS;Dr^u&ZIBT+8k{l7jiKkLf2ZuHUZ^%o#-6%+5 znCkJJopSkcPWX3Z(*izZ#c2BDGklEbs;eb8k(kPfK5U3UGY`ykW48XQF-evxo3`kK z4H8*r=4sHd=K~$6KdMifkUglKk9ppG7f$Htl0G7k8!OApaUf*4py2z{Q>co{hlYxy znTN;0$xngJ4U`o|Liz75CVuUa&*@y*yf`|0b5&7kT{Mw*j}+w5bX{0l`lhNn zI4r@45C(1!Z#*Rt`-v(XM;k<{+e+frf0|V8D?7Qlr|i@F4ljzN_}OmR{`FhrnnGd7 z`wxBLWyIfq+sAW1f$8jgoMvw-Xq(^x4^7IlO;NbIiDJw9H&wWxgA=a8TT!ukybr8~h=fj<2PnN>s9Qk7bfkAta+t z6kj!!#M1(Si(}9u`yH(^v$7f!l&XNt-$dvrXyOgWBtdPU%esI7WwMWG;N=gu(^uiS z;w%s)`D*hXqf|cJBm-kfY4J8wYfHEMqLA({oYgbE)lb8{YXQy7Ewy->GjYK`dx*Cf z5Qe@+5Q}xY&uPueJu;X~#M@|@P*L$JXu;0Xf@Olx(FfIho3X__pu|A@2=riqiw!50 zm8IU@!m*(#%1bj@b!Z4#WKG1x3aZ~i1N$7Cn*Lr2?Y; zqs&!j37o0i9yG#92>bi(5as&{J36v#gaDWQ>JoNcBcCWVO!D|cx}fy$$d)lppgjda zCbXe=q#(Co75s03Rx+d4_o2R$J4y8@`x(Pr8{g;5o#8c}U+MqmiRaFQCiKF@j)-8o z{mS7D4!9|9YQk=SI+_ScK3$NAm#imtWz_v)tc@_#3^ydG80T-$>R)9-r(Z8;&fc4K zNAw-5<5R)sOsj?zr$WjwCsY&^YO$}1NR)y`3P=dvdZrMAGbe5F=ry@O#mcx50l@)7 z(N+;-d3O8im5VWsyD)*4K=lv$+@(hsIl-}v1UdeVhHdd@ZAtf-yqtX1sOads+uOqj zmA(`lH!p3VLI{addbcN*{An1A&!3SjoT2~K_SN)Y89x;lQ_XB3pXw@gY$-$JadYU` zu^lH8hosfo;9$@@#d~mKaKzl;x2>kzm$!EMioDU8nN4>PAfvQqT^cB(=DX4Ye8Vv= zF^3xFEQQ0&iPV zohW8DDH_StM-jcV=urXvmUS4wm`mBmkTIWLeaUkJrf~ z&{T5BzKcZBd5_pwN@l9OH($oGCDv@Hg%;%Zlq5|pegz|{)(m3KpSv(*=KBu0u+`XcycKDe<^KxG?nvA8}mANj~hU?DA>cNt?P(DzQgr35P^p zM!@B{Ye|crj8f`?+VgY;w}?mEd}9Gmg1HW_e9UA?ji6)%7RS=d7}PO$l0~O+#Mlma zGaW>!sRG%917gni?8Cg|j&6jn5O78f85_)Ww6!zydVglaF^pzWFb9FjMIaqJo)*PX z8GJ}MnIa6~>U$4pxb9GK(+qk!RSe6Zp$kbI__h|%%g;$FR=6}4&ud9P)rzw1PEVD z*x0%Rn8}|-koHoNm3R`yijGXT2+z*K3(*`@RXf=j$0pz>Q8AHbEL&`qL7%%ymN}LU0N9#?SF6b8F{wDzAEJ;bjXIx51CdS z58%loZ0cPO&CBUW&fhNoLIw}bJrA(_%q^(@^5f-O&#P0SFH`)x;RTZ5PE8?aOo3~K zibCcu>k8A-AI{7=A#enu%=PGHpBwS*hG4@?@?o@v zO-~0|*=g8kWJ{;GtZiiBzvaHxy%6i3PoADHZKHC%`*!_$dG@(@^Xh)+)^Awh<>r>o zL>T$P`r3@|Rff7X4~|0Ya8HM}0CI=_@fGQcIx(D!aI;6-3)VG1EHbh|0(`0I@aDVm zRH7myqVtbnppqksju~QWKYAF=W2hsf2n%*sX24foQByswaL0$;?winWZ)!^8eT zQrBCCdL=q2AP|C3z>CA%3}IK2-mJ%|kUmUF-rC>Q5ueundQ!68k!8cyht-Cep8@+(9hmS`D4XlLK-*__==O*9P`Ns1Q{1d(xJI+&6 zC%#(`zKcGKrg>v{uGHn9vpgwDNz1Wg1%ztfz8x%lLOM!KP5sG$wp8e626mx_65Jr> zjd|v+MH#=Gq=vOrU~UVfE%iuaapujL2U*8aM6~zy_JW}u;u#9Yc~}}6lBK92L)T*$ zrP@f;JzZ6W7LxLD-bkr=Sz!SWQZzIrCAre`H?9Jp3;|18`k$H(wL`S-3|^NTnYENk z9Id=g$iqcNK@wy<1@U7}wWm8ADb5o1j%Ai-K4jKpT^-HMIHVOrAYGlcN@8L|556nk zMT=s0f)KYeW&|0Lfv1Fo%`+=-L&Vp7skSzq0Tfm~&e3lzrNw>lkYE{KNwJBotg$tc z#NyR;vKk^JJ@c!oWY%wlJzTxL`JkaBF1~_5ho`4dVSfyz+lRlP^0Re`EUrZjuixEm z5FnplPW1Z4s!t4}7)!7+1F&~IbR4DkM+!c_Iy7uVvcX|I?4{12YN}RsGK0gD6Kxj1 z52IScV(PM}D6@`^?8LMus1WOjm2E<-^Klo7FYx42b|yKb?$OK<_hQG1Y~Y!q8J;i0 zyRn%Q+d$!>9qM)IR>(@Qg(_k_6}{4V89?mN-~Ej3e^MKPpVvkM513W07ijW_*i z)Pcbe?g5rcqju#42u(Lo;Q?uqYzB8AxKubHJS3QU=($#S5w4{9LrsSce+dK3f*?^rK)0#CzXvOp$_mQ3bX<6>`G5hOz=8^`VG*YSfa(3JUw}2WJWqVl3T)buCA^M zas+X{@ED)3{jjhAq$0Fy;+zDUQS92Y@Lo`$N0IS$&O0~aXrrB^+r0YR8 zgrFN4h&SL9Q!$RJTd!c>XD{ZTlLfaPysn^E+xoW|cOGT`ih}TdG4~Pc532As3#C$7D zuBcekjIa`7LV(SI4zt%$ofa8yJbyV%2?65D>mm+eQ(Hx){EO_}!{*1PRuBY)E|AJr zUyk?Hj9E|p6EBm3fx9Jy7o>N@Ybt*+6xFNCxp6>#w%22iAl5j6ymt3tF!neDU`I;`6Yl1iBshl^{2pF9uOE-x*GT{jsz^^x3$!DntRGG}hq z;5!tv4HUp8dHPBw0Wsd-L%voZG!4j60|R4?|Mv=p;dTKU``3gGMtXFSW@3wXJ(Fp9 z9kasy5cBU%^K;pY&QLW@`&zYru5@{H0Nr zF$pV=R_}pV{RSKfU|_rr(g{9bmd=3C57rw^;k*tC-v!@gs+#}LQhopDTpJ=TPH!kSBx3`V{~?Hi9opo!^2y+)-gfqoJ>T8?=TuAZyVDSP7-jrI}Tf{mn`j~ypwbg&muHr@)3!4?m>k38?!gpl;ln~yt zwAKAY%)%E{{LeiB)?2lfZkelpBq^m7kEZo-|9;`|TVmjV0md@Z<0ZDz7t(dlcUC#| z@~DYv(xf?!xEJ`)Atjj3aI!TeQI4 zx+JtaxKv4h8)9n3qV3b)h1!wi=98)44hd)xVgc*_`F_DXMg@BLEQ0s_Q2iigHtZbT zbtk8-C5V!6(o%#D z0lwPr7w;)&o)4!yhYu!$8!T2Q$4ZGoAFc%FpssBmR-J!HC%X(+O>%(ztR7HlLMJCuAvbTBY*z1g~J0f z@{mB3x2UK{{4WH`|CU3rj5|4b9;4oUelNIdV9iZ{ zy8uz8ql18g(yXS&|ErXcnkEu(fBkPgBg9kdkOzqP`1l5ft4m8ui;FO~F=1h&=8Jf} zYv52`%*?$=I~z*}Yl|iOClhQ%MuWY*q(N|`^z?kE9SFHSJ_`4Q{t1dA8EU5&>b#QF#48SJ<%n5#C3IMdg*7j#m5LErM?Pm8>7FPqHGyy#dkb9A8mFo76 zjw%?q?d|Q46b1{phzd2XaXR>GE=oI3yvpW9UGSXCAS0`^(4R>!J0_y&MW)Tt$ z4XptI6$J$_3K7azw@BJe5!k=~&j&W^tG&ZCv8z$a<`{q?!I zsHmv1(XAn~*yS7w=6{xnBoStDn*Wzh&`Xbjfnm_?FT9Md_uU6ebE2`XPSpL3LW8B) zV!K}wijDU$w@G{mhK9m1{zr%NDKy#L6LGZQK_fc{2lVpdxllCok>}NcFo%JspY_MQ z1KiXx+dQuSWC|V$7lzB7$Nka#9!jK7SNkay#oskQqc#_^v&NmRb&$dTmj!UR$kueH zCLw?f3m@{6BZwZ%0xj#oPa;7^Y1utNwK-q53w&{yy^5ZLr& znt_3n`5(yr14h?jKYyMjUP{#@jP@~90o#)H!Q9eptVCXFw;)O72<8dVYjrlq`&8p~V-Xn)2wf-`$PemKdf;Ud6%7#TpwIN7Wpy<{ zWeO?PQ|YO;Cl*AoMD;{2;e@V*O>I0*L~=6?q`IbKJC+qmNom)3^mEm^F>zUhEXbMDzI;ZOE>uVXY6G~EHQ`372ZJ0U*l@Qe8J5r_$6CMV~2ip^%qoZs6 zaR#kE=vL9$c&(vNxMUcv+VI)GHT<zRG#oHpQ!&_fu)1UwoG_$QWx&Lq3whHGnuh<%&%R#b+ z&E#YOGF(0mN?C&U3f;qtcyLd9{Ci)R5+@fM8K2|2_20Q;cCs#Kc+`FbiE!C|Bm$3{aambH(KqpfKl&~s5@eid=x+zOyxt?TxDtd?lM7GunRd|@nvLN1W> zymVlgJ+_p0*}Ltzq~{l70-Na2ne7v}vGN*TKl?KMnuS!KYROGp3Irnj`0-==BKfA*sHUTXq$Tys$0mPg5f+xJ_Vy29 z9@~yBz@zHduY}yMm_V(Bm=rYbfRR)&m>Vc6MY5OuwWzv_e&yLwRu(3oN&o_}hr!TU zp6CU_C&z4T7-%?&$w0S1bQdn&AK0s5QKo=>mt>`|$-4a_9|%Jh4Ov3dWhX=#mfX9> zgLBY!o9XU5d|KWYDVv<2$fEvJtb3k8_uH_Lg{d>6uyLN`P#3?A4iWtoRCORz4 zw#*q9exP95(#MITp+CdhkBUrb!qm63mFN`PVP&Gi?bqB*a6C+WYQq!oY{<_iv%U*k zx0iv&Oh(4T!#nd(Eww7$`nF_Gy7a=23GMH4rWM$Sr156k{64dR5tR31cH1qcY|sz| zU7+dvca&n7kFfRi^_kl0Dq@DKM`terX}`)9q>C>|s>{E?uLa>>HrI*MZH;g~eUuA7 zM+ivRAl|jz5|?B44%HoHOYgc`^nF>?K)ptey$RBkzg>#F^~{+(lvt+vBz){Nv;Yh; zX+qrGaBN(Bd_!A`Iub$nC}D{U{dS>Jr`Z00a;%3!SlBNM@StfF%RJ&fsX-_Z#hsy- z|7|+ghKtv0#=rR=|bX5Fojw z=smpprx#-NvMyA$w}PUb3NFrjpwuKMN)|}b!ytax<=XcZi-Px4ZOvw8%-soJoNK*> zSXgtS2TWSD&Fqh%fGNzSsB)?q+0mO?8Mv_ty+}@nhQ|G*nZvCf^jQT0{mXHK zx4l|@xpavhb2@FHqfa{e0udnTyr?iR*V4+^lD7Ih6~)PfsD(t-wKyL&@{kZJ1jdgt z(KwFgzW#`vpuY<7urDgEEVZ(4oMP;3z0+}$ z&*RPKF=IPt_wmC2J)p})dS^}3&<~IC5RjnNf1S*Zweor9l@nEzn|q5eKoRcjBrllw zt5(5o3{u+$I|pZFRR($W(R>i}@nA#q$Jac{rN9>L$2&w=!AvRz;gBYHViDjC`TzXX z;!{&CCLazxTyLfWpe5CS46^}5%ff;_0+$GPX?h*XM4!%PDDnvs+h1=a254{zw|tV5 zN@Nuj!q{pqP$wkv9qCzPiNfkre#e3h(awEUt6lp3`joXuNB14mJ&W+CWxN+;Zho7S zBj*2$dZdcI{%04}5>1aDN1+fCsAY+<74lUey*f|u89S+P7LC8gh<1ih|l zNc#8i65G$#T5(}>-+^eYtjkX@-)pvCB@#JPRv*++zal;owoI!u3ineg#<2Fwqhv?k89Q#`aroZ`zrIBVENpOIuqKUHb4}4uKyLG=H*T zF&@D}U7(&(5c8v4!f{$AH=e|wA82?J3%l5?M40vvlqSYp5qAEnB!meALbmch*?LYY zDgL>S)L`s*yoX1nPpmF+5vk%x8#d!>g?AL;mL?$m*3B*emnzd1o{8xftU_Dw?9?k0 z`*No#MdV@u217;DQo10Ut1J9z(|>zrH+j;Ap55@Kp6Oj!+0eKg=|^tIEco?!{Ploa zOTAq2^_C@^(-Vs&+6@aszQN9732 zi;9X&Z1RLu8u}ccv@aV3%!NIfLgl^zBf<206*7hLJ>`y}Qn&28f}@3_va^XvJiYO#Gt)r5{Q>7p+*laa})#iJQpIH7Glsb}VwlVT|~+1Zsm2TYRx zu{5BRYW)g{;GIBkfJ3H$IWV)`Jqza-X57zR+*E5EzAzASbGuKMWBAa;mv}8u6{m9oDLLlwX*rbkv>$MG7YKw< zg62^<{%eTjaB16=M6(3p(&^}EU3N|V(C&zY(ScVjEZobq(4ilLlF)P=wXs>XwrQuq zNevbY^4cREU+r3tO|Wl*7)i~Gbf6<6Qo;Pqed_^^$y#4u zLsk<%uW)i^X5@7vU+Kv3Fi`=G*uqMa-FpG|dgr-nuK)YFj!mx_Qa0{ANvGz&%x@@~ z34ibIe1jNmW3~S&k4O(?e8!k*#{7ZyQwhw)gS$jQXX~j(i>JBN(xQR4*%t*ZjNY04 z?A7GVEkhKI_yi8vJH1`466O3I5J3Q0t^es9qNpRL@yZN z4<02LqBhas?fWgNRG|0=cWnGsqbgd!)zV5jyj(W5-eR2V)q(e#sY;KvJSZjA>FnYA z{K?swZ4KYw%`rCBGsy@Y)^gEnTcfS^pFfA=<2$Y0yxg0j(q+_0ZX*FfKQVc472ps6 zcgJ5z<5ZKZ&#uk-4?GU(@2RDuX@m0L!GT0&r38 zz6Axn4zlqyH+{(buhjC1ZmS=P5q=GyM6^)2H+LuIq}n~D*StS{2U$zwq^o^&jf1iK zAA={%6U$O-OT7jPaxlyFI}RKH6Y3mN=_eRFfIzzCrsgJtpLMK@`Xt~PQKSfiB%z{$ zL)=9O2mgjs2(H8mc?g!Fv`O2wHnxw)g`LX`AvXSdp!OGe0ftG>oW)tB?auBC%7CPt z+*y=(85B?70D-a=gdX&}2n>UuGr99T^#_O;4zDRKef~NDT(rC>sa(YcznvLM4oxQs z2jYjg{j*Hy1RxWU{umO?&Mzg0yL^4Q*OB71ySXwDqs7h5f>a68W*RYm$<@$!)_Fmn zgqMBDN^s4)YFNk5SIuAR?r!dpx(va$@rj`!+O38gPb-(NxL`CSr%)K8xbP`ewN62Wu(oiQqsyhs&oYZ(t9j;xR_3njBIyF7F>X3m0531l_N0@v>nphjcilcuzxp2|5ysM#RnD8E>Ad-qFY*8j3Gz8TGBx+>?h5?#Jx@@cnNaNEp@o`jl@UQcLTuZ*83hSWQ%;PY&RUYZyp|tbM+UfZt0aR( zr&@C*eu*XukkEqZ6PznbRadp@g2?VHMR=f4mJ?*>vH}~JcE{FdMw&1N5|Y2My?Hsz zr~4g>JR{Pc?j~@2AhnfEce;zyLbJM-!+r7Z>=!qJofiN7`}y|wLb+QZN<}!gU3DZf zh0*klK}dG>!~`uv&O`_f>LP&16+wnT>k?J)(|tgOs6yZ|r z{=+feBqxex6B0^l{HLG5rLOtr4!hX+BcsHnPY!n@I`W!)$_tXaTH|FZ53rxzt z7i4r}zS?Am)@7%NBL&Gu&B8$}0%9#Nt;hJUZj+i-{rT51aA#rS{^bhVSgQ1Yw{iaqZQ{u<9ia z%{W3;nZEB^A*{6DTr1t##${>x%`javAHX39rt-eWCb#B&(JoS%7AZtF3zF;uJk^!8 zvPQ#>Ofyw1`iCp}OFh+YIsUD5lxY*y`hh+%_o0b7E=t+YSNHE1!iR$Q&s&SA60EIy zBHY|_8ykvicN`^QQUoLe(1>iadq@Z1d8V&8@?FyfWu%b;g4Fb`0;KWv^o4G5riDFs zsW>RNyQBg?}><7i4|4r+Xe|4l~YKa{(8(@F+b) ze_a4jx0@CMS%Ns@!1HDDH6+Ai;>`CXO36 zo3W@*Vt^iDL-{3L5)_O+XH~b`%BeneMnOsB!smYM$|Ak{*NoGse!Ymgk09zFf8J`N zP9$|$Jh=?!vqQi#!ZbGhr8sv~$45hxzlz~IQKyTjP$N>inieQd9H;P*CIf=xzmsl> zA>+C>241I~(I+~fWZjzpGyAb#(I8FMp32SeZt~YUwg_nGteW!Eak~5fBCjY_mkd)m zR3fK5c`fa*Zm8g$+`;_&Hc_G%i#zBFPLTq@qP3L_xvy1)ckZqTEwsJnb}KBhoViL3Cjh3O2O@ zEyNtPgNp&wM@}TRq++E^-w%owxm-kWZH^u`dieN9)bgnkFB79>!RXsB1aFathx0lu zB=~&-8AMM^aj`P{PZ!G>G9VX%h{YU&AA>M6yIM!)y6!Ri&YhEV%Jh)E$|z*p{BUuh z0%;D5X3T8)0Ja!-I9-9K=NzjhM~<{Mvbdd}YGo^uGKfl2<#$h(%5^zE8bZ5y2+_2T z;DZ8Zh@&KH;@}(t#Lkd*(zFo%J--tEF{&MRuukM0m^mAAwnvayO`^{66e|7QD7&XD zLhf(|K12GDrFgzc{?%Mk(3|^sIOq6w(+?*Q{pu8?k$H+=i>RU@{!ByNg`e`960r zDN@?qptC*q>ktXpExQo}%9u-BlZ} z@}?5PBH*j%{Yq8Xt^|=+6Vv?x=>+(P(8H11bV> zU-Q1ABQ!3@mXj0Zt@nrg3to(YS7RhQG*NDNhMy3JCpQb8w^nCNTUv@zgtYV;VY#vj zc>ovw7;xbulL{hmikUXrt1_0kN`l+R;X>Z>^N*8~;_$H7MmRo0Da|h~-pevHb#sDZ zSifocil1*{&Cel!RKH2Vjmgrrf$kx&50BycHl(&QqCy!r-$VEFH6m~wZH`R52un63 zPQ{Q9M97>nTv!VwI;6#nKn0`cGCUY8A@&g3Sh7SJajQK3c*K`xmf?r_5c;m}4vS!0 zDv6G+DNzJp=sC58l7*(i&YsbU9b+u_N0_ypNQx2*X{#SigOUW}cTfHNrrWz}cyCI0DW- z;L$g7dE;8}7g*zqMwt0;nPLKgIYD&bO={uH z!E>FXtXs&}v(d|*q!QLcD!FrNm509z)VPq^LtpOXxJ z0Tk(x*?%VbTZG?lmO2>a``uq1YJ9YPWOC-6UAkhw>UEN+#;o!GXX=0RJ{bBC@}Tg~ zn$>8c-UHaI)RN^rBjvDAe90nu0;!t=U=_P`=7!%4`D6^fR4YAk8Q1^1?sLT-q`b(P zX>fHe@Kbv2cZD|y&j0OKjgwl{2RC}+$WL{9z~UuAMdJsV{fUX$LCkymiR=xLXp6Q< zfSv^haI>5U=?Zz@Tt5yrvz>1)JG5n;y8wJJ1mo1DFK(DG|7Q8l;}^!o`i4VY7TkZ^ zOW*t$O0K;9dipz)(RDNSzhCwe+16AIi$u|M|KE1Gec%%@z`|SL90EUH=nq|)RFTN~ zpRNEsSA8fC_pQ!`gmNfoc3pJ>MJ7EMX;BgIHKQ2X2*I zQr`FWl)7_o^4|Rq_0T4!#;g~~3JPJjYc;APV#n!i7OJJ%?22cYN%b^du;X}(aL@oP z_4amBUf%fO4K+12U_M)91qT7t#l++!8xzxy;$kXMQBf+Y;4fv?{LbE>p+@81;ajcd z_V)2qD9lNg$J^WadEQMUd+!YR-XKCD z|J65M5>qA58l@F@($$vTc|3?wEw_^9V5XzDefH=up-q4Tbv=u>dr2Q^6#hq^RiC2(DSs8?$l9CdDY7&)!ScGriz8TG_!m_ycP^r>`u`YH@hM1TP z4R=mrkpNJi=^5Zk_xJaO$kYY;(7%??1>SD?R|4u;K1nN8t=Nt972TD4E7g&pR;7|_KXIl`dvTZ)#ea6ku}U{;tLd*rY#~EuT~tjdyVN7{#Zh@e7eBX{Vh~<-CrcL?HoG z;N|7onjWA=kb>HG=0^&jm{1(o5LHK#<6jN%u}mAsZMyIf1!W*pZQnmj!0s%J_Kmb_ zdZz^_*nj(LR(g>9DVqXX=;5xs$VO!kK-SgcLUgKTINxe&Y5>_keFkS%?C)(FT3R66 zU#tj_5vR-2Oo?dkDV5IxA^l=BfHT?E!=t>sJVvtk_}Iz_-j!CdKqL+d5Y6T1=V#!+ zJGZv9^zZ<{z$XrlAgZ#zzx%Yt$06r3W_5BTiZUj}9o6^G~)hHm1vEOJ0WRY+KRbtEKcb%X5e2VPJXsX{lW*0fU4+(O@9s3(n`Zt zh}TBbE=E&0W>3SWqmzCRCKV~v!a8Ayv0QU%9s0m{HqE+;Hb4LD;Wk|jZ`XV zPs)WWGIZaoXt$Xx2#kdi2GLLZVs2w&V_`u%6oN{?8YrQth>;6bW!Ml1{{Bv9&CSD8 zC5yiP6)_x)gpVRd19od$Us*}ZVlb|c$d~HpqC=d!)5(iP;%o$}kH7!;Ax0CZ7Sd(l z?93@o*@Fw0)7j}~YFd(#f(sM)23xAmsl-lG<>B+}7vgpbfUn&zQUhma%!CeK1Qv4a zuM{ml6rgIjc{Af|1%#Z@-_#hW0gHzz!r|h+e`kaxIsXox zA|n@lMjOB*o6MAsIXYXj{d%abHaBEal_g;;OZ$5iD_pjNEo}i2UO|lc;7mRU>ZuY@ zMYS6@>d&6Dz8EFGsFbqSQpI){MoG_y6yY0Aw3J z2LCaDK%m4(2nnGWM)#fHEiQbGzPFw8RYM9GD5}+DYf|q8P%1%0LV`9Mb~i#3)Qb>pjMQn^<;#!jQPjivSNW%QefaQeggAep z7b-q8Jv}`|=DD<7yiViD>>y&n)KzTksyn^F>4``pL?}I#djdnMeBH^m2XIl{#G z*~k&fx6b3&&?JTw{)$+wccTGB=t(@cyqO>=w3yUkwdBa!_Hi*iPoB)n9$8P^U-UU= z@&N%MQCsar8W8RgzAofXVv?Kg9PbLhe&9H-i;0az+b7%aZ+pB$_*~O$-vKpcYrK9w zM-M@pr?L0!tC| z!NZ)B*)ysm@ab)%c?#(Hn4Y~K^`OB-w|hiIA&FNlO<2z zx#ZM81Uz`;pMop@}zB6Ds9{bX0=yDhGi8GWT8@dd3m36DBp)zOK-C0E-%H zi-z*DfeSo7_FLZJe#U`m*t^#K({WxF{_OttDVra3yAkJItu|wctdi1K(O%ZfcFXlW zXw!9q#4y;?_~_^zLG=at+&sKOM}-o?Jk9Dt<0nD$NnS{wMmyJw8-J%Xc1;B zguK^5t!r$!-@mtssG&*RX3qdxO9&ZV`ek9 zT|S}J!!JaWiIJ9%amOXQVHYpO|MZgTqCf5|OVTQ&6}ao$XyEccXD@*y^UIvE+V_YE z2(u=qJ2Qm^z+IN-H}72 zxBdvKKZ7JT%DX-Ui{tMoX?(_i=xdCmVpSZa|D%*A^wAeT<&TSpl7iJki~M&T1N!bF z+M=$9++(%?P1XaYrc+X!=+%|A63_U)Hl82KtTh>1VW@PnRbx5|v}ww4ZL#9;?U287 zC6^ieFGXc#QmtLZ#lgw!*An<_2DX{u0^U;QLM{&>clJ1~K)v_u%E{kDUf3whXRK~! zbd{}iX6<6VZrf!}P=Zcad^`ps6j1)7bAXKb7!&n3T-@LNq6qi;10Oi%5l8#;?{;qm zRE#V^@&!wgGI!Rzvv;i30_VuM-%`BD`g~4p?7$NaCf*&A=;P=>?|A|Pk1mj$l2ZAN zVs^T7f$14grb4tnf>_(U9ymbE!+j>7Y|!C1$l^)@=^{TT?4R4!dF%cf9hD~Of>wp0 zA~&D8GVfeC3tqQqSg`w)^O)++jY4}|tPiHiU#l^WjTm(EHzX0QuG5coLmoK#3!`oM zFo%kU=2}N~ZB?t)FLRDLyH)Abd7VNt^Vdii)PNWjnE>oIT{4tQ2-;8GX2pXt=jox9 zMw={%;{{w`QedfZKKnN1wrRUp`QvejKdzO_-u5;F{!*L3#bqe=i-b%5=PlK|@pr4Y z9j-KZcDohsd{|gm=U=RCVybCM0^fcvT-RYE>hl#108Ind=`KX{NYqnmLG{r(s5#>Y z2D5kYVX5NlK8Wz^KdrRH1dg%tx3ZZnTNKS#jSLNW>ewN%*>n#v)T;LWPlfLi4gAH# zUzm@qY{*@F#vT}|FX7r2m=WHL-obv#mB#DV)}X8fHJ7J|@EJaO`^o%RxeO{571_@t zKF1$*Vu;;~f~7eKF7`ozLqkIV(49p$H7-4c{F{4jnn{GJn}7;AGPYmZEPsmWb3Ype z_!*~&+S=ZpRUwX^wH(S~x>yR1gdPlp)MN>Haq`17$T?&5$bx5Vg^ZISGTTU50xk>p z_Z{zl7dPc!j#+Ds5=F(x3USFy^LQ1q?18PzVd0!{p-q7E?!9symmC@@f+AXG~x8JR*Lo7G7beG~Q+YxB&2 z0_dqS9OT{$Q9AYhc+k;JofPV^87AsbQEd<@Ns|;gKCXm`W98tWq@Yj+m7V+1voDM5 z>rre2pj`x5twNda5TV20z=5Swy1XX(`W~zU-yyOyV;&6qFD{ns^4cAS!gjiTW7p3r zLg#td>JFaWxG&LNzJej^yx3OGq6cjaO>LF0+dGkw#M>X3?Ars806cxBg_)uOB6jhY zDn0mcy^a@`MA5;yXSIciBNIYr5Kme5+|7~4PQdNex-q}>uzfI&5bZ-~&?V83rvo2k za+163EE@z(WgqC|Pwpswz}DKg>^<*%P_=pNov_mdGF}&$p+9TuY-%cLYHF{H(qQM# zq`Nq}$}l_#5on6(=%~cTHe80Uo9d7rCa~bJwBrU+yS7V;x=EAf{l$ynPdJI(gSZdold6gg z)-N5oD$QKxNgv#HWryz9ud_N2@3Yyn(f6)m)u zR=F%XwoiR%_*=W%eU>NkM299LY>(#KGFZ)t;*#6%YG!w?(nQ6x*jOZ)n3$}HfcI1Q znEH1M;cNs%LtERQd=Efmo~x7fQeq^W!CddGPg_-8vVjXhechRjzBdmWwk4gH3;+fI zN&!7zxRO9Ztc=2+Uiatg+|xTK@Lvd|;fx?*Kbt=(Wb+y5-v5lchCNs6;gU&%d6#1= zp*)~dmeBQ}BkGC&bKA-R;+Vx~{4(HPF%rz8Lo4ApZMrsdD@~~JnVL-%W81O_o=~bm z4{EHF1;8o3L$gC1?=V|qr;w*PRZv6lkNaTbE)Kh2U-uH;g8qQqf6Is)yD_7#l`*uo zZh!vl$7@fbU0eKSU~XJ^)VaZWgd)O!q~M_I^0r2hZx% zwwi!$dk9kd&@i%+;@b$Tipoh+7#Vl8yY#isA8f0o=A4q{B&`Eh3YrF}&@Or4uI}$G zM?EdfOM1!gwcCH8`xPPTBZpI~!%UokbWdlyTo-2f8whfZI*H6!!?~&D&Pf${n2W2hF<;Tv6guN(Z6`(+9RQV$BBqNd`|L;NO&> zsTHdoW2(u}WDT25Em_PER;?`5&VIJ#F=0R|)G?b#l!b>ErRS$}iTXa4>$(R#Sy!MAxM3`8OV8WI%>`lT5d%;yQfrx4Kl zX~Dli&~<|?PLyp-zdG){v1_-jZYT8g=|`~P9^bdeSRY(+B zUw#lZ8=F$D>VI8{(CUp0s?2jAQ1OF?KtIL`Aw-adoVu_Wolcc40wOB|2RL~KRoNoQIL`mA*Nfi+@D-7b2g!X8w|7Z=0oYwTleitUh-?O zWH?HR#F)x7nU{r)+_Kc z5nmD;NtRvy=MYcJ14VOW%r8k2)rDQszX&lcnYBm?%N0i9fpA`*w|`OfTL}TTzucP` zN+^m?9SR&-ZEfwVEA46|8tQi&+wXQQGw<7j=Q+)w45g#vuIUGLcwB`k*JH+k(UY$s zS#znRT<8tInsvCt7Y)0Bz9CyqbaC|tpDb__hu2FIlact1BSx=yxO# ztj%INh@iv{5zn|?!)HF0B{htSPG*?i-pzfUAcOPjRk?*B`=Mf(ErNr;+rbegF8Z+G zMR%?mZ7(a;joWwPdtkvdIe&iV+IB#(>T zTl%^qB~`-yFQ!DM`z9t5X`4X9^_0>G^Ar|=zYSYuE4P`XCY1b)S33|)L>zA8c!j)( zMNr`8HJCLiQu2Lde$t_XA?86!O6)V$OG}|_457yzzRE!PAAAvfmk&lA6MCF1zKh$CEsw%-5FB=<565xN2CHtnzav zBt6W!I}XZmV(7B<&T-0&y?qlEKgvkg*mU#^!|+F_Nw~Xzk||}zYxo3mbq(kpD>P8O z^%Cm{hPNhUUgkPCv!I>qdAuniLet4^^5i%+a_*gnwJTb%p7wS9_wS7n(Brx`BzE~< z8cSTc*+VP6YySdM5jSx2d^$l+pOo(Q6XUk{~aFz;R9Bd#|+o7=1O-9dm% zizN90UOwvi+TOvzVSAkgWm&niQ{oO)u$`P)yY6<32wN15*npDKQijv2#viX5GSAQV>4l2%qz!L_K<&QIAVgA8s}arDBjk!Wcym z()KI*>U-^b474Jz^<3~Wn>Jr3r@UKh`0Rcji$c6TnR54&-?ZV|)ba{5BR*|JXxQ6} z+rigvdYm39 z8CCFKYcNbUxRRUL5<#1TV+{VDn_Wa?C9v4{RKs+h!p-yHB^V}p>B}cOfd*?lwX8w; zWf&=0S?_3Ytyeu*tXglIo|S~5b-{CB*v9v)I^kbeYj7)gTl94Qa+8^>RPrB^eKO$@ z@j&ToQt0RXYNf8V%#G*2q$xW&;G@PC!zmiLZ=tubCal6GEm5BeC)o^q z6fjYCNzK20?I?M8P1Q7XgH#?^nB#TcZw$wxUH#!&nNPbmpH66PuR_lvND6?EL~lQb zz0g(NE>O!W0I&obE-vn!x3F7TC|vQNxwLfn)U-H{?4Q#Qi&$VYM|A#wc(e?1ay|mI zwDcUcy1zs_EaI^2TpXRU@_^#r14j2VNUBt6M#}^Cm1;1GT0#vfB?-@d@gU5d8a-eA z2df3KyS^bb9F146!HJFl1s{uRrIO!dyvZIpuV0SB?OMmHyknqi+6{LW`wvY9`kl^N zhW2gp)}J#S7j<<DB}od;M=ynDZD|nTHj5vzWkDzUK}p1-{m2$06^`qdrGpc<%NK zHb^mivb)Ftk|j5Mtv8Vw`)~)&i~)G=Ffa^13>Bg;1G&oQR#4Hmi&eV!SW{Xc_EEGxGNllpsr;E?N^?HrYTLW)baIfb#-zCEo7#&JZ3?h*W2HM5Ng zG#s0**7jEdmE|<2z1}&z%YazE#*p{3SyGC#8=b8W4-e;_j0lv38j=Vdotz4Sy1_N@ zuTzz^wPVVNPtxV4^GtkN{RN4vSa|dM2Pn*(C7zBK2JKB+z4u|Baiva5l3agN9p9`1YpxRTKF(3z~qGPq*q_U`-)*s@X5s<8M$6Xg{;1LkTm=IchCA_ z6U18TNO^uIC!XkrNLL#%74h0cR{Ub@59VrPk0`owmQ^_HYHDbP(mTeWPno8@W0^(5 z{%Z}9Sw2IP=g3=kEkkz~%w!-Mb~^E)&jydb3onRZwK|;^7ZUC<4g3FXlIv0x^iV|9 zT>Mt&!tdbUxF@3H#T4<&aGEW-@gCuJ1Uz*?6$0`u3qVEUkdN53n76C8^Z4P8N7MC z5PbH$h7RjG-&uYM={VKRFmxR4tE{*0M#3a7He5S7+tdH5BQAcl>TvUKmy~!U_(<&8 z&7KwdtFA)a4n(Mpj^zjBi!ANbPg-_%b{ZPV#L?s8z%yZC4|6z}G+BhjL?6RiousVK zoRqfvUlMx2Y#~yz?fQ&tY&x~Y+Ye4QwMcE$Kq9tDRA3N&szX%R#Kgqy?Ja=J&UTsh zTi`tLD0%pKTS9Vc94F*fZ=$`u?U{HBDA1Sn{wNUco-F7X`qZCh7o&kijE|hkySqhG z{em^8u_4>P!^I!j|2#bdBbi=xMGG+aV<9|-7L`D(I`aM`JWG32>uyrQ1{&|<+WJFV zL>nXq7qv3u^*}f&jFw)+|D>cN{87tDRu9y}i0F4WAL{ybbSX?pANjK{ZDd4H!v-4% zsRjy*&P-KVPtm-?>A;v~54S?#VfNVp4F#v4kn1U`M4S9U-jTQ3!Ql2hLTZqaIZ=tw zBKK1C-}!qZso(6xIyrI_7(D=7Yol%gib7@D;?d$g?e!C?mH?OygwIx z@Clqwicp;_sPV`;x@aKhYkZ<1Qqxrla7YTU%$hr+mp`t`hpbT**g`N z1(vJDlOutWis}EpbM1O@azEKYL^J&8we~Jl(<@E_8oZ-JR=@afUCmSlOFsF}w7Yf1 zn}$WJP4&PBHD7j-59A5dIDe4%-$a2e(M$Ix>MqU~jQp>yq3}N*-~0c~AARKik4^JC z%9CRw-;K;FFNkbOQ;dnSzMmejm6-M;&2bh6#>9;F_7;8rZVdX|i1wA3UNyLnS9KXm;4dkTZ;oiV_ZZBT-t{Ff`m; zTN9$_(rUEE-yV5@k%l`<3bT$T&-9z5nZ*f}3GStCwYHDLtOjWR3a{E#?2C z86fB*OE|A971f%Lj~B%6>Up)fp6ly*<bd}L6N;qQ>8-A$bOBh^ zVYj@UZG`dh(}6f&K=nX{`u0EU-*{B)HPd2gj3s~%tgOuZ@(_c<$i(<6Utk`INBZsk zwY|G&`w}Y(+vo>1&|+E`)Kk3<g+@v^ZC%rM=dgBFY@%LIRVss$4AKYf>)H zuU?tjdGoY54$mtkM7w-()Ya}7t+w$&(yD9@M)n^HP|s*y9v^S6_POXZ#|7Jshhua# z-(Mf8=~*sPm1(Jd$p^g4NJ-f()j(_M8MHV;YtfZv!C{kdaU&4dlX?H<4<g5 zU;}Cf{)YkFV(R~Et^NOL04H%0Yw3bwMELZd#r%KwwyXAT{kr4h=MTyv){=R7xPsNH z1{$NF+7bWjJz%s1BLA~{`un*-(F}d+f2wqw!zIs+w)fDqYhji?KiupNjw|H+Q&S_c zv~0nQ$1eXyYjlzA1o?xsfabhElBT}Y18B=BQQlr2xoRHGK{bixad{tls)-`FvBQl? zI@afg+JL#ctVA)fQE?=Uz|?n3%6g8{rEgV^rJpo<`KhoJLVAb<(85>YN*J@-u-3-n zfb&@kLdk~kBo@}d<-kOL^0~aeF_L|@5iEN?-F5M}ylK7gyYSlNEs@kL5LZ?WI{Zbp z${&J9d}DF89&lpKm6D}i(Zz0Yv6b)vPhG#iy`5cfx694W+$w1`fOqE)%hw!%<2mjZ zqn1|-s_lRz<_D6lzwF2*@~MO z2djv-xJtZ;oe<3vpv6nE=!aT?^eVbT@fwg%roMjjxQWi(z1h&(%CIsYIUuIwMD!NK z*e9{HIJN%Oj1|33B=}2DAR&aEn^R-3iS^gZn;a$1-MWn+E8Bv)x{xD!H>RI_4NyuR zLSFJ#2|rp_7>KV^r<_4cJ^d2T+4XVRvU!nBk*u@Qftkq? zS<_6Mbg8a~EoXGg#%VVcq3zsNKS&WhJ0WfE#6=-iGJfqNj58Vrh6w_!I^mqEvNa1E z8(n5!Y(p)`5s5L45huzBssG|W_=F15&VUQ?`r6hWBmZsE|DEoa=FoA19#D+nm}3_5zTm_X38uK*gt8s>7gtQm zEgm?ci4{HB{viU$8_Vi(^RmuL!Y*;l0uZUU1<+K3lqYCx*EpZ4U))JhUGXF*>*t-DV1=5q5CeM1OgAiQPhzLlR z-VrGx1Q4kriu5K$dX+Aq-+JcE`E%y`liA5Uv%8ab^UltFKhJfWeoRA&{tVj!p}UWd zJ&lZvL>YNG!xDjokZ#Xk`6L~yiHAISKcD0)lnJm29d}6O(Y<-%L_x9#zt(FAIsnWH z8k}O?jmz8FjqDn!EDwDOxBY$DvvATYrLXQbu|08fw=Fn5W$WR*Ds2!*rXgJ&QuunF-|H^oGRmcw6BQb2Ka^fqI-1klq0>9%L{pyxOn z<=D=-G%q%D4ZpyR4(&bP^I!9OPHE;kBNtvH7eJ1ROv&qa=A; z?5eWr_E;&V{psvG3(=T|LBC#=z+hUGhik{%TU&KuYoWK45Kn3udW~HB_N9|~2LVK! z)JO4`La;c)%DkT6yHrniLPgfgC2*+1n5~vb|WWYxnh#+wD&%7Z{+FeHg_C4|6W^iYH>UeDPvr1 zP{%>d%NhL{yS_N~u(7-s8|qM`+zSRa-0uB+z#`9H{yP|wSwJl~A@;s%*rFaUslz6X z2cK8AG7%6u;*4>(QbQgA(SAd|0Ripx^=?tDYO%E9p40u;RuZmlxAzDZH`%MszM!)E z$05Wu5aKSqL6ms?I)w*E*OvFmb^PACHS~%(YoLxRmruCN3=7cqtxYTeyanWb>6qp7 znAu%h>cc23u!)}$!=M9Pxw^cZz~fH*pz8zn=ctH}dMJDNMG3d_Ombgo3G1eO=riBe zThs^}GBkoK$LqDBCzt-H)pJy#^lb<%Ii`>lNz)&~Ts48FRtv$n2ADg~yoE|S5kdP) z7a-K@NYU3&|0$Gg$n=p;DQ&vYTiNuNFOQ%R>%!qxRvD_>NaH4$|3|7WALc{3*F~X< zSq3Jc#Dl==f^I0J-VGx3C|}0HV`G$Si!r4D>85Nz`BZtbrjBI8113-i!f3YmG_XwK z=i==4TF4)cNvz4Yv9hEyr|#I>tL!De0D8U3-CY=A1YuSW9#Dy^-w3F|0FiwDbdc@i zpOs`@T7(a~A20Eln3(vggpzZwBMS-RqoPo~&3kx0*$mRSliCk4<14#=Ph!j_+VGH^ z05jF2tS&(e(UQaFW3VVd`ds-Nqs>h|vQL&nq>x+nB+NAmyi@)@hvbW^k56XEkpuHp zA5@U0T1D7#^*3U@YlbmWeM30FJXjPI8D^*mE zS{LO~W91bT^6cO1)`mKaZVZyJNo?6~FkV&=6fZ4teC?!zMV4rLz+j4sg=F}pYq9Lw zq9VfZ8<<5G2M41DYv?+1iX0|w0kn(t-<6V-JU%_eGP08LS_C8|B?%#mP z%4@{HoDVl4`^1eD{mB+?`iL5#L>(!`4il~Z`uUaKL(~YLM1zJM!yY>lU$W=Q41s_ z6VILn|C(jsSifr_X*gaJQBHgUn%8<#@Q@Cah zIWO|$V*&Tz`UJL}X52gsH9a?%AY(pOCIf-+MgPEHMn69C@wCJKDjKk^sII=_cZ}?R zG?oPRJSfN1p-Pn8Av>s5LuaY%ul7XTqhUbGz_}8E%5$_)!7pWmkBcw*aV!;dXDu{yqBT9l;omB(wMEeXO3-+mb$E2j++3d=e58r_|qNmEdJh$%1&_?ce3G0@qGE z6MImm90Gb^i6QXlI%>^+@V{*;KULpPx5SK}>H?awESjgC0qO9nD29^iFlPDa;s>i( zF!K#+t;#DZ5DZpro0_a#3odvp>O%PfQ7G|XY}}DPvUzd++TX&}o|m;FaS~M({NZ<^ zVQwi(i%yMUYXCV~`J9{dds2CvQUN%@2Pxt&Zz!L;eV0SM%K|U&qY;1G8RLdypo#EC z)GbeO>pM6I+GL6HQ3)RnHMEPvEk<92cGX^)Xk@9=nz&|DB*qAd-7rS5IF!0orTm?e z;}}H_NhhI(JvNNToU$%mj&^J%n!9i^**B|a(8VbU=y)-rD0l=BMp2cNlZYsSJC$ds zs7&?SJDxGi!Yo|Y&6OOX)_L*fT~CiA+``Q7gS+Q`vqvMdVYwgneS#CB*8|)AmxlIp z*Vp$Kj{@=j6%`d2T1}zaNftY^`U_f4J*)59arW{pdoDUTw>y8HFBKGA{^1C44EH8E z26=VOoV21o%y8^D&ov9UE=^_!H#OXAdN`v8*;{`y@_5T(?hN8gKKMMoLhHJC<+mN! z1@TEKhw1aSQW876-aPTBZ@4~Q6KUu~Dz~*yfuqT>336ZqI_M2qzgV>$f#k-Z)M6 zt$lGqt&5GFU zIGkIH>E+fIXN0?mv8UJBUIEe!qk%}l)SYkML5#5`McUY)aI^2+wsFQuCA``jb}NrP254A#xzZoq$*t;kY(W4I@6O zC8wfzJzZ~AGR6dr92uYZq~@@iw0VnL8!(xPQ0);~B+_D-0rFzHLfmDQ3lcQF z

Y>S8_Ktvp z#$w>LP@Q_uK%0rtij;P#IhcaaSLdm=y2ox5JB0KG^!Fa|vBX<98*FY_#dpzAR2HfT zE&C|uW3cBnrcGW5W;yJ51#UV37TKKp2HOig!PdM%UJ!RT-I}>C*_9AizeX9GUYvaA}E8ILiF~ zV0y0-86b|f{bnRcS6XMpZx+sOmT0<6)XI-qY|HPttgP}nWaIncPg~tME#%9kyOt*7 zbqq``7yuq0*V^l_J(r1X%={D?89!p#g!!)V-RjXrl(`zi-Nb=_DMbt3UWURy{KY$9_Wn5VLEzUXL2A3h_i z71tClYTEu9RH=0`S+R|gs=7cx(0yeXcwFG{{{a25fr{;GIW!hb7yZ?2Ic==^vSTeqPczmYmkm^4Z~}Lho0ZPr;mSrkzI6QjrfFBtc%}F(?5o%)=4gX#wo*Sxbus< zrbV$ydsU|=O>l=j)i8uuqL~%SKCQwKjVE)|KH$ChxsODX^Jl<;3Vn1K*{C;H_2W)U z)j))UIP004es7$MpnsXsNf3Fy?-Tw>=3;BW365jdu)G^8k9@L|qMBh!p3LWQeVt@i zDl8?XC&x**yh;H(54MOruwPVq`@0D5ROc z-iNT^v7@`?rzX2)QiWX2l+-t$HMQQ^a7a8!g!ROf{dkJ_Q)0{YgisB8ObK0lK`x>T zF!%2lAtpBozaN1y;1#R`>6*qis?@To_V0bqWH~Ga7sxPZORwQSdU!NbXibe3VC_qN zrg=6SF^2ouri@mxFea<&Bf`{ar65(LA#Md(&g1#@Ojj#l(HyRnDd{uVOK1zdlXr1>bSi5ckilJ|X z&9DAgUcWOqs~Ls0t*#cs(;TQ9js48W?TL+6r`@^RPwR^5nO=I}YfkqdLiiQK{i~ti zIo;2mzX}yI;f-WcESyeR7T<9m*l}~(U06}L0gl^x#@2f5{UoQBcjmt5Z5gWXn;#pW zos-Wd{E*$9z9o8J{K}C*D<8L-X8DT9J-<1rku3de{A#Xtwr5RRz4l%A$+FgTrRC&N zIJt}T;CJiFbxyQpeP60R|3c^Q;!PTUnk?3&KYY`a4A`p*!_+K4tLD0iO3d`thfg^W zh3Pu%Km*e5=6=sY&%~;@1scBJ6Qsst5eBCPk4tLL5vWyuv&=i3jC)?^qg&}XEiPPE z2IuPWRXmt)^xEwP#i?TgZvL0FkXPLQni4Vq*3NO~c@WDl_A-(rb9pdc=eaR-D&?_U zPQTV%`oOa`m!S3Rda>y}O5gx&!yascK{H+EmRv4BlikBpCHM?;n6w*RZCoG_z@`Vz zY`Dypq(m;w9X0Lpv~l8B*Xg7kUtvVLVt#a1t<(08VfJZT1Z+b};w_bp?P{_D9)#lg zA6;iPb*>8!KDAEmx?o{q5q=H;Lyll8-M$~143_uI`|2{ng%qa&2n@WtK|w>Bo{!9s zFktnG?(=il=~egT1Ka7s^IQ;hY1H}t9cH{Ei!jAxk{~Er7{Ed&X9!EJgQK~3rV<1+ zp*$!q2a}>r+qaZ)Q$=nDdAyQsw6%hsi+EV&@EXiXbq~$IH+ddui-mYpSG^Lez1nNd zH5G_^1`4`A$<5c8bpl1O0$T)44he~|20%aoz_o*^){*H>wOizj+g|@dmBI}vylM`P zR}Sts{jf~VO9ZOad%78hyx(I2E-G@$7k7S?KTxOFS+wrLTM_wCOX=gub`j56S04ZG z@C*TDahX2R-pz&?SiV{ZE6+)jqre9TWbqI~HEDz5H_ihj#=Dtj|VqZEUiR zk@nVCng@MKU-O=j1k+jcOzgH{5);ycWcrN`orKVRR(TKf5J(i%Fp6H;CBNY(b;QHGJM4g9a9U1#68 zdghxF{*MHkmZD9tm1qH%jC6yTG;g;7);zyyE3^Ji&%cKpq|kr(M|AXweA0mD1{F^q zEKtb@fss^os`wGLM~cG_#Pjb$dYRhe01cp8Hl5cA+;XeXSlrkV%`ngv&8MNzY_ir& z(=)xOp%Ig@ z&<+wV-rJ{+4Jy^2mkk}?;i2CA=y<|nvuDCu{<7DMjzFyE3>PgzX)1#b3LRNqDw3Mm z`9o86*!fBFfW1l-xf|Ved9%o?Qh2R)TaATs6Z(>hu5I5hEVWc|UfhvZexzR-3eBJp zE>)`AYLT^!KV+uMxfVR#Q}6V74%c$u=b04b&o$XpBVQo zfq%6}|LBAMKmFtXvr1^*#CGfAchy3Fd}T(w$9``L$B+mD?^_J%V%cujc&<=1xJ#<@M4_O@}WzuwlznRVm{6*#JMJXpgEB? zAeTD=CYySFN*XeCXwz@OK%#f*qbP+(hK;V~?OjUG>e>zGihDaPO}L;zjPTK2B^bEUvjq3$-_Iet_r{ zC~*#fECDDjfhMJ^Kr%1&2A9%8eIUBAnu4_lR3m+6cITS~^Or9!E}U)$RtM8XbZH+1 z-q}!Vd!CFT<1uc`HC{!@3210*?|3|4aBTyl%(ZPQa^DB(jD*rMy8uPVb-Sp#j(+jCNm_f}Jb<8n z`XdkIxak4W(!a+u05mRqU6;kZ*?O}tYr@xuon$p-qr&s9>nY3-P(R^0wAAgNm( zxV$0WQkoYpzy&&jzMq*e2OnBLThFVLZBE;zw%jx?9sz+SFzC1cad)hPGX*|}{#q*n z-J=7Z54?FkwT zi)YMnZjy+4A)VUhg}-zS8=%Sd23L6cQJuiq_}o%mw>Hw}1<&&Sd462`Sm+c4mJBt!x1W6W##K=6s zOomn~*x*3OnP#Sa?}D1HD?*IvYnrh%9BU$P`=`W~(^W-4sFdR!faPe-3(oT4&IB!Q zE>99(|3F?h1XyR=3xUfG%Jodp6nYx}txJlf@ssok3s?RQSe{J`oM255a(!4p<(z3T z7{(tgZ0tO|-6|}J_wzntycZM%%8S?fi8C`Zon+q0G3eSCv0By%g5F-+<3;f65qrK_ zXJ>Qn;hg=%%yk#f_<)g>vA zsMq|Y=3oOu2sY>jL2u4J4b?@tw{Ksw!#|NyXzvAgZ6R`8$@JRISsbk2Vm2d)f$|EC zROh=<f@N6lf-_T>1b>Moe&Dzk5!^{N}gVpQ}YcW5LVf-DC+QV|$xGkNPf+oRyQQ4M+? z@4$*15WM5Fnv=IaR%^{#Wu6@M?V&&>=s=lw+h!_GPE8GqEd)A&u^91mKYOHV9Qou{P|#aRa^O>6zI#opL>#ynt{$JVA1b~K}&vwb&w7E?fS9O zhv|Y{mV#)k>o#8`f6!w7h$g-V?ZR)f_}*5Fz%ygq{V0CymTkC{7R(W`+*NNJ}8D>Z?bd{(I>P zAU4FAPrD2|*_v)Iw|M-1{AQ#jEfHA%WW2t>RA#pUOK+w z4TSQ0_X{1v`a>XBL;dE{-KU>54IWAYsDTn4dmXLD>ea$e;9$v$lJNT_p0r5)GPa^e zO^d2XUk{)k2ZHO^_r9_cyvXhZZT`%CFBppt>sciq#}ku3Zoz&MQMHNJr@wHF-x6Lt zUt^bpV>_CU0Bt-8It0#|>+k6;13RT=NPx4)z3q_6f%)|4xqofh>l5KWTnkxAl3d(c zwe~NNlw6~5Nee?ebI*g>4G6l|N$fXyt`8u&?2uqZmsD97+Y{`Nq$jsJTyNM}y)yAf zI3&LNKBT_U)kFH?Wi}&{4PfTRxy*? z!Yc$n-XYpLw}ZaaReOd$v8NhoaP@jc!F*fe)SDtYM`Z!-E}WV)Gr|ZyhTfmGS=3s1 z?%2L^9K=ft*3Twp+0_M6x|$0l87L?yIOFq5Zp*N_v8{U#b{IJ7@P}LfPWwpV-FNoU z(OdaRF|tn-_lhq+?L`jNQ|8ok|2Xo+H-YpXpJP_a>;=2NRlQK~SonePZ;wahn|CT= zpr(XDTq8k%4B`-Ks8*yUfV1`c64+ut8<)U)y6GzGs&DrD(!ekL4h`hBO{()3hF3Fa zks?obpF$;6qQ|vh!zpdiq~f+NGu-SsiC^u6=UjS{LbB|P%NjmX34cVn^L>7VQ@8KT z`tF!y#Hv{{qssGovi-Vr<#B<;R=B2(OP{Rfgk^tbQxQxgPZ zq)|^rJF?7Y9K>w&4fV%VPczpU6a^mX+9;15N@#CK8=ZN+jz}SiXRzR%G}0T|)4 zUq3msV-bA=;mSgVylgdI9(Yu`n#o|qAtI5B`p{k7=eOC|bFys0jI2){yL!z(0$&m* zp$*6Fj?5AsJema!&sXU}H}H-9WaOrb>EL1p9L=*HIpDH-TwCVzJi(DlgZEVD8s$US zT6BcNEg$Jj?R^}!*qc$qn-)=#US@ImVS(l(R5bsX>;=bqW9-r^(<=}N_V*R3{@dOC zX&1Vn`vtQR^<{@e_3AU-UtfG3y+~QVOs-S~s1Mns@;kDpzG&_7kG6FS0?k}wl427D zg`abdvBd1+&A}xb9`0;2mseMH*uIa{G8}Rae{aSQnjoK>lngMM=bng@x%kGQQOW!I z@uJG6xidcyEn9k6sM?1??$p?&^=ewzV%mZw1wV9_}cJb#!(vU$O~^iFF|$`$!E6nw=m} z%%(V=mv6Mft*1-Vr3`kvUjH`6h#^~S6cK4KdiKx@6P`7%x;mb;U~;|BubI<0{H3(5 zh9Xso!*KR%!gZ2!j^tPUPnJlAR!Fw1%}7pfZrpziP1XziJegPsC7o^XhQ&AlO3~ap zRRa#6?7g9F*?VjykbY+Uc#8uxIERM7 zADci0e0K`~p|Y~FhW^3gyeQD>lSy0lDGOfj+qZ861)}Oe%^%HWf`O@LV1e1U;D(Cz z{v`3wEHetx8TpStb|s*_-3JxeMsc=-cr<(z3=E-BpkF_+z{<^at|EpEBNqxR+L{4pV&Whr1K_#)3>Z3+uG3q05GFlr* zkxGD|#wR53xE!s>BypBZ5*%VoV_^)EOU*08Vn$=JI0{Q~+CI`S{Rx`RfbgH)x3{N9 z@A;$$dOtuy<5-LrVQb{92FO*Q+hKsi5sm2G*|hb(s>s4ScbXMytg^s%edCx3uhdu= zu)3vJllx;Ke*6^-f3Iu;ua*EIj?;{dc)!^k<3C+#eT5O8CYX$cF13eSD#7|~(6Y1E zviL?8`5c0Tiiwssp2PgfGRwDu8s5Z5h8LIXX)1>+EIKtD9=$C3mgDw%=pZydd81xq zYxqGnlk>2_#`|{ywMk?i+8k*8aqOej-_<3&l|&Q%y^}HQ8$sk1n%JEFI2*csk>!L~ zqk!ttm~&C-(`}dMt$|5fHXD`q)FZm+q8&vA*ekZlXTjJsR|4vJ+$#qt23Zo4u5f+B?1) zKJApltuuSG{X~Y>$t0@6pyDOv3(OO>`e*lDB{*{lZN5oO6K)?>6v{)*raL*TM@mf{ z=fs=_8YR)sadZkyvIwI^3A(Iy(;y^W%4^@PGky5WJH9w>ApMG@9OKlI_x=;GIG8% zH?SG1n!1>6CRMy0jf2cIQXpb5;Ue1#4&jXZ`4zh?Sf8Ub4Pw{S2? zU4y8|uPOYQBO>e|C4Ph3byYViRKr402*-|*2&mljG=vX z_&%m-P0i!bZOMu&MHbK}bhmd9t#OB>erln2A!3 z$tgFk6v1a%KhQ)+)X&lgllITAyA5pXDq=zH^|-1y7YRn$=z=|OOrvfB3E-9jT6G1} zn4Sa|_Bftfl#!z$R`sse{A^S!IJ#&$L4VM{IjU^3oX$42^=B|X1C6CpE7Atnf0_xA zh4-%7@{`S5CLuw;<}DcBETFPV)BK=}{6IlLPqP<61g*eWgogzJIG<^s~ZvcChm{no;vg+iP)oS)YCx zF^HYmZLLC(m?0If>~LCKH}(~JK5mw%DB+;ZA+uHGf@UDh*yK&*7OwGr?g zoSvot0s&a7;&%z%rDBjLk_osb3wg~|r+}mn>=!E*_JO6w0HPnl<>$N8V6N76unK}H z@LVdE`w%F_N~nY2we5p2nCusg39N=ePVRtoN{*WMyzZ~(^z`&>55lYSyuFH~6jxF> z2YvIQX+fID+2kh#RQXNtkSwv0VD}P8xE%Io627wbxK9-8YJ1(Df+ujvd-WL+Ymj>YY27cp{XqT$L?y`su(H8692+HoqEnbd zLq^V3Eu%JurjK`bcf_0)3x9w@sB*4+%LIJhBS!D`V0=)aLukIhyFXSyy!iz*xTW*D zy8(L;KvP;Yq#7!MARhY-EYPFk_3J{78urY)^S^;g#B+c@EKH(+m&oHMR`KRep)Gn__1q zRCbFsWIu_HHD}`iQb!> z*;#%m3yYs@$nxbT3Qnk}AVr8ONT24JJ0#_E)M#{1@IERnL9~5xauZI#vIH8*bPyq; z5eRo)%L&~=H&R9sNSuQai>hhv@o{z2_#+dP10F58+;=H0&TPC;hPRJLT_8eA(% zVt~2Dq<#WhGZW!8>Yaao0F50y;|1ItbJESi12llSgp=}dT28U+CutI3{Vsx=XTd%y>>F-r;MG%j~fr-VueE!so=5zvpl#UX&3t$=fWMHp*v+Ong7)yooJVUHaUii9Ge3 z_63f`RC)K~j4(B~{f6^+F)|LbQ9w;kPB^Xh;5~l#Ju3u(*+V8uNEO9>AXEk(5wY~z zo5D2CZIHNHLTj@6Ff&|Gt!5UWu5W0K2G9t@=Lp)nBi>CmRg8qs*3Y+w_< zY~)j$eT>P2cnVl7IsQKu=IIB>){TvCA6p^id}ACx=X!{geSyh~0d*9~Xxb8>4f1ng zl192YKI?D*c(9UZ+d~;}WqZ=D;7!Jxt^=x*IP!)ow!(To)%!QZ*nJjp!?yEX7_krc zn;=~J?WOGzd`o)o4EvoS5mC`YdgfT4q9hkW&}r~l#+}$-w{am+B3B3MdO&=xOOkTv zaE3^u8LD0V@#%EsW|KMR4``cf{ z{J-wdpR(~hBr%bkmTKRp0I!%A1Qri=q00tb)?^4`%P9a7h8fiXt*}JydExVoWcG-f z@R`XO(6_?!vZ~KBbzKkqP-cX#Pa3B9S^a6#TKr8}4zq%$T9c>8`txK;RTv!&B$O9B zGoY7U_g(O6ZyYgGF-8MWAchAzQwJ->$=-{BO@>lg|Hz`4%+>QaC}|XJIs*+2qmLhp zmw&9HYin!IeO6>2EZTt=TmF5ON@8C8Y3vIaSSUOV7^}@&+7UJrhe!$h_Qmzshj)HX zbiO3L0Azb+oEK+vu(-`BG`fM$Ot{dIdhSz&ZC|No&>M?x-40y88^+h6m7Z5waSZC5 zshIQTlUS1f@C7}u0pk40S8l+Kv1zz)^;}Jtb@*-R-|=0!YsNK7^Dn7S4Du zm4nd`?D^bb%W;D>_Xn+*X=X;mN>VT=JKQ@$2tW7gXt-f~2g@&$+oO49uBT==0l*VW zGv#P>9ymJ3mvS8V8PhM88yF zq@bXnqJl4T+VcY&ZS5lB?S6UqFxR=AGn&#bYn|=STh|NM#6zA2src0;8CL)5mIUyq zQ-w`RDq|`@NB5``eOf{(B3y9ej!EB>1EhbnbaTG6_Mj<--~y25Xk4h)c^%w~#Xri#*ZehEIRegQXm50 zurgR``+{aeFBn1x6i^pYB6uW3je-6njy1MLkTCukMprCo>1Yu(r4>N z4&Uc`Op0$GTMn?eZ^B17D2`SEc90+OneAHN+rC#v@ztGA7kE-l)L~xmR}KXP1hBn~ z1QW%TWkXi4YCcOUPFingvJ5F>E?b89#QoVd{quh$rm}59)*5$Szbq*3U^wvzDj}fr zd1s=Y`YYC=9LHmyJ!EKQr<``WuPIH>0#>^(mO-8ODj{DEhwCiAmTt#xJ$GX>&6{b}f)G^XLu(t%FKMHz zwe;yMSlU*M%kSkd7Uzfwm*&Lx7tM=ja;%IA7x7fdi-_}vr=oy)ro0iJdOGO&*$yy2nuY?5fNq(%)r zyD)4J(CXLOy~CDMu&d%82U)ajb93GMswTRP20MmpG62O|{CIC3 z?&2r-Z7~S8>-K72R-U-+)zFYYlyDy|Ui=NC_@W#W_fh-M;Q78M?M|AvODDO&axUc+ zBEiV$!P1&atIzfiK*p~nngiAd*q!73?RlERm}&;EL1_(~-G6Rx#&+F9T!x40#IEN{ zXTSU4N(GYz#x?Q6dehZy+E@ebS-I7SkLsvCPt)aEQqxHmlY^6!*I8NGZ40I+vwlZN zaT6REXo8El3(P)G?TI3v`^@}SaiOc<6T`=s?)9%cKur1c736{pycj*6`?IPhj}Ag( zs`+6U@DLDTIk`a~ra^$g4f*i@-+T;#=Eth->FKEl96KlHS%WE1ydh2p^`=U6e%J`! z;QY;@Kn}J0d7Lkd=Xu?{pXc25+_@kKrZUtZ6Iame7<$!(VJ+Qz1n-CT-T!M#{f|+n zEA{1={e5@xf1sZRrC6G#hCs~}g%*^S&~DKMJ=iQbgzNBkAfdYGem8}5iU0Z9sl%i~ z&DHPBIew8uh&eQ0dM; zRq1!@_i*O_*-&@++rvS;r8Nr~$CF9_C<(GoY7k&#=`~JFz88FSshK6B_3#Y1rwxEC z@&BSote)+Mjg>F!p~z3|ueGbrWnXS3Z3wGKq@GQa45r+IUGta|u$VA2GOpDNTd5*% zZm2IvY=r;!IMic#SNn2%PVLnCmt=fe`e-x6UH;F@a09fEnyU*63%1P$*Z2tZbxS<_ zAp5QOe}Babn>MQ{g(yKdfg?~40Q?sz#CsuWqDL-NQX^R``L7iyIY6&ft6?WeL-`*c z1N8tP(k`f)yGq%2Uk59Rf}7u?ek`!q$|wsGKFs_s{}UL94p0)~nzbJRtf#iRI`P%z z<)D}6q8~ocntY+;9|ScZVc7S;L3J6G<-aeeUHF8`njmel211Ue+cS`u&Xb8;3A5PvQ^skzZobG#{yMn6yuAtPKtNGNJNf~r8QCz5(;OQaVY6H7 z15K>`;FPG7Q1KKJ`I$Dmsf0`lTKb$ooSd51aCr}g?Fkj7MW2-s&}57xqCtLg#-@PgZ+4zH2tY(oFM zAlH0z++Ry`U4Y;nLUZd1B#hf&sfp)IAR}%5e$kJaYV{b6TY0t5b#SE>Gj)io*|$VS z_a5E?#JpBcnAWQ?Dq1Mll2Y@O)76Dq_w%wSrV!wlZ;rg~m%VP1E)_A&H;EV05B0N2 z1vicFx9@#lU2U!7H&t)`5hv7nc_0vIO%jlqWNNJ0_!bNVCW*d&%YuXYqhz}Qo^fq2 z4;h($s8zL+-!Y?oCEafw8-{^`oZxAm92j8DlB{{hY0EfGM^yrLh6W>NHC}HwaM=%l zMHp|oJC&xk0|LPwm%Z9gB-};Z)@r=E1Z%zx^Uc9PG3w)TC|8Fk*}R79X4YzKVOHn( z@b4V_bxn;L(tQXNIx8wF0J-0}8mFB)xGr?~MXpVKM09AF9L>-}vjG;I#liy#LJ`+{ zyFw$xv;DISsJRkqgS2Q7OI3<#d;X7Tpxc7DV<+E(LkE>%Zd0Z?6_u5#E^7&Z$gr}q z!ho}Pa&oe__uU#2u(R1P)=?pEiN*LAdoOrFMH5(dqOT9VPy~fz$bT{%cL$fu&yxom zL{;Q|du%@3Wv%PlYL1uz11WS;r)On7NBdex7A{$350L}6C#+KBv2|9A-(6|{5b|gW zT>ia6dVWsR-*>&S)eXK31%>~1FkDFr2|9uRI50Ev!{w#IquwgRevuXfzUyzaKC(vUTB)Ml$2>O2mMi4YWamRpK$xx-C=6{cMaQU2`SDyme z6Pmw1-1Nm3q~3TdBbR27 zLsIXSYb(PBhU9vES<-~o%lB{2k$zEl?B`&Td8{mEq+RX{x$fEQ-|m*(lgyDqwzsCa z3asL}D@qL23{#0X4m_0}+?|By8&dCeE%%!HlFikER)-(EeABH9FwT`4+qE=Kjpf<; z(;bDCWA9kV{onE{^Xu|k^1F~M&7R>r{2Cfzc<)>Ec4k_tPiQ|xX#XRWhj?2^Z~>$k zUEQ+npHz@prHtzLTa>3;3r?|I&5W2=rd#cOmCV(l~8s%!&*W38h=pZV- z-JwLyoK<4z`U`q1f=Eys_uk1}vr!CVsycZT2-gmiH)i~dUD5BI;{j6mOngEWJFY5TR?h35_X9vNwcg@qrcm_S+Oz`%e!;os;71q$#w zi@*GuuKpD1Ne!+ahw~qGf$lkg>DvPg-Prher^6{I;A+Uu7E@4B_-oL3u#jfps(D;b zHiT{#F#|{)Cy<0LTDF1a?oQcYDq#IV4IRG71@ID=KA7$lBT6O40pG-n#;SbGO*L5PFv)T+`hwL9 z?nXeB$heq#+It0SPbcZF&dbZFRWR}~p@_l1or4>t$InxQWP zENW;1<{$X4u<*!fu>Q~~cvb?EQ{ShpVdpTcMf{|!lMxugoIB_cn_If10`yzl;91=j z7=8eVBiS;j4YhT3*42ytF)vj*3dzo39P2SHppbx;r8mI@F4UauHR-tVYB^Th-f<&m zV%B4~%9BWpKzL>~wcm)Cm>6WpAau9}frFqgmdZG)p9lffjfgXTGe=``d#qskuYm308gkRVN6A5*StPTO@`{EBM z2?3@;>VD9YG5!jP)E<=8LNkSa16HtISqt#`a&mIHMD~LkBv)t~Ta?wyqz9)K5Xg-C zaX(m;zur=5A|l_t+m!RVYRA_O>A$I74$ChnK!1uG+>Xjr&&*g8U?#FVmDWDK$CKu^ zT}UQ!){RG5u}u1a>fi~;Jx+d4{K2RH0L`VaPT!v(kvUV`Es)<|bTUK!LXuf0AJnW4 z_tw zbFmLjK0TiXBwbK=vOhhke0ZOKfeMNM>G@Egf*Ln1-m$V^0`~@1?GNMLci#AGP37g!3Y-=WCyS7Y5<5vZ^&Eg34Iq@t6hkfp4F z=ggx0Hy?uhUy#idlf!oX87CFeca@N}q&~*S$?YBUza6Yo6=d2HONzWTBP1eE+I$1zD>xO7=;^A&4;{a!3SLQNM(g=e$6 zUdKCWT=n}PlMmo=Rl3z4OsHAf_r&&xyRdhqRe}LorFYuh(ji^EFsm*Zujw!Gdq+8AwZkF+hXdfB$yg;)6g8wrm}0)^>8Uk0QI*etiTZ4Tkhcp=+NG z?+7|JAOqM3no{i2$x{=o3?beh9JZ2{SXfTX=4&%D9rWpN;)f?#1s0(>O&VNfBN#_V zrQ_-sopI`}2yH&lWa;EN^S{TTchL5{?Qq0soFfDs4>utAb4SL%s0r?CY`9k{@Jpa* zQ%x!`8vv2eAF#{t(lI<_5)Ww;L@xa0zP*fmvT(BhKUo-1#hPz>?`fvAw8Xyj-(#QA z)x`79-G4D23IgJSN0IFfMuVvy!a`z&c|HH6Sr6$bG%6OlI}8^s;@hj%2XrxjhK!^C z;#MHdpqd8M(A)SqbWv8}E<;qC9JdH-f~j^odd%q+}X zBB&?f7u^fizR}B&M{Y$ZKY{u25wqSakMQK-0v}KRsB{{46{uBgMT(Q5v?A>|lF=Ub zj678&o`d=K3SePnYHqF(EE+C)_>)ZR@)u_qk~gwO2R$YIh;ATy1!QlnC5wuRnm{7L zX+1~ck1}y6813(G-V7Z!sJD_6yj)oatvNtdIZ7+c&rFPxjEt<8U_P^Rae>RXbH!=c zz7|F!^?h zL9xib4-y%2v${Zr!10WbL7Ikw4_M29>jqrgMI1xX{Vh`(vfFW_mk`(4C6{BlUrW5( zjGNu*mPFMo7mk(d@`YfD3e&8hE68D=@;qk_zv6`|^=X5)81&+?|{EAt2Is)y@RY|tNQmXq>}DS>r6 z>#FQTm&?4M!px-yi@A_4I(RQE7c8zuL{td#Ag_9zhGS_`jR-YzVL2%;@Zb*)#8vGG z9ew=4t!$WfbFphYAWeEsR({6f!>J$wmfxW#fkKO9yL*frH3pr})01*+GZPj766Sw% z6I{OOVa|jTOgbN1xovY36q*I1kLXb7q1_6qh1Y|A7F7H2*buzum#?17TS5O$e-z}3 zz_kGfsh&*)lyHpPUld{8R6R$66mv`e?|>wT_kWY}1n~l9OnLXgt4L5pRiXnEx2npX zsCn5^&}6g0{os-wOE@WzJ2$~YAe1h~ls4P@#Kft22Xo`x8YJu(q+kCMHwhW@OfZmU z181%huId42~NK8y*mcZBe z_zF<3&BtgG=|nJ)wy$&7+F&9B^hia?gVOpW&CuZlXaoL(+TuS%Kh)X$^Y_D{hrj=> z0d)EI^cdJpYKU5Th`P_u{!!?2iVnII?y;p7i?bvl54UK&sO>;WxcaTj9bM~)Kc&s& zSg&Al? zqgDOG5(WYMnX0ndFqtJddquxV`C)XMsDo2FUSpn}1x*Z)nVs87fLw*e8%(fR_Fc={ zFEY16iFr3s;V|CeMPJz@$bU@!XNG+<*ZHllFSyqd;|fbvm7STG!f#}8j^c9h$>xHz zXv&`Bm9r&cdvk=jO5kQV%WRIc{iw>V?{dym#`cayuA)0)H=p7GT5Qm@Lt$?WlGO zoqCK;h=S#f6ylM`|E9sh1C;c8&hteySWfi;i(qkt>Zt0ZkGZmQO*9;Xk|OoJO5Aav z8Nd7c%f=J16n z5Wu2R+-V9Z#!02;Vtx}a5xw*9jOMUQM z1A#?u^ZZ0vjA?jQrtFJ`b!*8068t&IwLiveOgF4$dl?5|J#Y?t&dO5cEZyrrbJypc zT_VCG%^#V9pBjjBtWH-dTf7kn8?PTfn-I9Z`PI3{n0m6>7%M1$lXro-#1!1*Ttr&B zl`1zEcia_WSE=hhMQuj`W%cDpU)G*B=>A$cI9sYb`}Iw|F8o$bAah>%Jg|xWZt2&z zdm^u8EyMy?ylcwdnnD|qAJlAZ&^U^A3s_oxE0=Ffn8t?-%$IIzMt-lhOcPWuhI%fh z=NIeRmWDDUPgeQ$R+96`8?@!90Z~v63xTjsJ`$9+I`Qq^9~ut6-+QUsb(_J7@8!nd zt>s^c!Lq(ZNCYNZUqllIw)}ed7JTTYF1Hit5 zuI>%@>6oK6ifhp(Qcw?+@+@@<;6s%en$)b4S8s>mDr2H8eVC2g>C_?d6MgUa{QY_XN9}8%p634_B3?tydGy$xC7Z01n*MZol)EwB)APe6b85^o>Bw~QJ7f4CH_;TLm$|-jbUVTrB{_c%v+Cr)xaxbSCz!_$MhsZ3w>KjDn=61l1c4D zecl(jz2x~`jY-hxGL&S7&AA8u>`#PX%FAd5)0@~G)iS95n!``@<5q@D#Pgr36|jQ} z*^Sas*)nBU7?f9K)ln&8XInGb!i_W3*Oueop1%BLM8@?~JL-yq&9E=s{;P{b6$Zo1 zj-|1jlM@+RwPF%=0$Vq_(hKGK;&}D2jA74U+@AR+aU|=w-x-38j+GvXoVi&}qO2&k zPgK@k$i{J62D}e#@0GP7OC%(ukCMdYdBkpVvsedZ|QFWMreueq{agL*e(Jy4NoiOKC?Z@U?{gpj>G*y;ojl z>u^7E3`BaRNTP~A@jO|?G>0B5$Kl)ZN7=paD3n+Pwg$aswoEZhim(F+NH#=l$fbRU zDpO1|L2-WPEs7Mq2O0#vhw3^#6+e`H6-qG^$maw!Ys-TWetd23m^i^WAsQ6j@juH` zDGN%jTZsRe$1OhaLwNUD=LL7*H$)s={R=r; z@AYTQ!WAn+$!0MV)H%&It(Y$r38a<8b zKZA|Tplo2<o*>PqZ>T-CqdWiyXY!-FG7A-dbea-uoojSoMk-TpjN0RZ zLi@+D4LO&)4%e%-y}A3$k_wsOZLVQr5-~LII0CP!c_yvcLa$`{CEhTNjqUBz4Er!HH7>JWy(yo!?@*CpKoSP z$ozDUxw`N7lg(iUO`O5nE^UqCXQkMzPr4+p-CXU@6C7^WNOzf%IC2rba$WXmwQ69H z@t8hNZtjp@HDP1s>y3CAHOzo>sJ=oY65+#2mq zd9__MQ1}K4%e^=AxJhk53h&m|fR}tNH%iF6mAahc<#3+jvUqelIAC$wgZe8w-hB-@ z$MoEhpLLF@Cr@V|MF%Zqx#{DiKOr{Dm$z@Pe5}GOSQZ=UBQET=_k1&!3Bx}j`r4He zC-2%}uPcq*)X#u{M}w{zfU?f2qB2Zi$lt zjq!QF)N4Oym?Nhf=dKnudDA9ZY5lbeGYr3!X?xBuByD_C*>O3`2cvoK`n8Jn*24p0 zg09muAxxd1cl$97>Qr?UdUVf9s4yxr@{f{rCM_Pffa2#D?;4*yPJP$(9?vr9@XllD zCTm;dSzO~QG`65v{a?2cpRMN_t(Oi;FmQP$?P6WQ&pdqNM!I|&_%=6= z&Tj2zW}U_g_|CJcR(hjQ%TcUW)RMjqCRbS)jj|@xlbz;QZO7Nwcn&SrSPWd2Y%kQf zDv+2@V=ZIBh<5e zK3z(;{A=kqb|&`Ft9qfdtM)&9J3XgK{;_|ut?}ctv06dB*L>HMKc0g9r?m^W_XQu+ z0@gb$r;*?cE74UoginzPW}eTLf2r67yyoh8Z<1`iA^(DeBLUI zN!vvV)~}j7T`qcss{0sfods#V99CH7BQBFF_t~gon^EPJOubz87c)I6XPNHL>XJP- zF(CMDTqTvCBIt2-dwmY7!tLzs`8{u(f#xufjklfmKlIyG|2#vV%3&!#7?$@=)d%vq zHLk625_V}7(fja+-zx}z9-~)#y|Db1_F(Gq@+KSp-N~`3GlK-2)Ohvc?TWdsg6-#F zpg9nbPO<(ZcX5sMI*Z)rtsqsx6QUt5@xe2gETN0#w8SQw!_+xG>E8FkiP2H3`n+1Eht*7cEYg z8eV6Zwr3rdLWq4@Av>TIYsgeG(sO^Z*}h)=)i(pl58mUyW;B7@6gWx+`ia_6BzJogho3j6{)wJ=9XGf7Mt?vsCvjK|r)2p4&Sk(N~ogTEdm|szcfnywceA%AT#RV^0}fNd+ZUUiNX;+-5zXokDRKXHQULh)FJaVuhTv=(F^XVIIS(P z)Og(Stkg%vVxnW|8C5YmHwgQG4_o|O7=Jb9iSBkS>pesozITR*K+PWgUY>lYQkxSD%`jgrS^qW9) zXdpMPRH$)zu-IzY6YT<8dW<8MIJa20Ui>;M2rb?8E27sZyEi!p$le}z(4KKyE9+1t zS{E|@WJfjW{tdh|d|fK{@pgJiRO;O+m-aXOfTM3r7Vnx6Z;W~e;AjhErnIn#A|S;L z>6A?Gn6;0^9HhfIT@7cZ`s=>Wx4y2Kunrn&=9fnow)FXwsp-C%$fRpptc&>h7%zeV z<}kd|%M)X?%(g^lvo`*Z`lt-+4=biPg%1J7Od4OzgbA=d{mR@P%OxS+45#knrM5GylFd~m3pcHPWFAXtd`?+suwrBH&#bT4chseoZp{)vTLUz z?Bc|DuO*N^7vYznq^IB*GZE~MPaEPEg}Aq7*E+BIcSxaY8*;y|dlEXuX^q@DJQMM9 z{rrZhnhC4$SQyDtlq3=s+Xv@xUUUTJfRk(hi)%M1O0(>H7SYW^WfAs@3#*t{JN z@C}E@+IB~6ymV4k`cQ>MU^mXlcYVCGMo~x(%_Ydq8Jkf)w62HzUZLMBAd3&HqusvtC zZ7D^UTxFZ#RuG1;y| zk>0^sb~$iPuTm`}Oj6$Ap@luBr(M@;CK!Cdn5iJzTZ4^8$lK2&dSzd|Jtq-?Aoi%V?4}-zk1^D`n=BE^pO|W@P$W-WOUXa_IzFbhxy@+%KN`U{9>u zL>WEa5kCAmA&$nlX2OsU)k)x?rzzsA`GX<~E| z=~XC)XT)@s8NB*BJbD_l@^Uu3(AHGr8>KFTGZYM~Wg3LdnKx9huVaEupDNUylk3{> zsNTDV@OCj|!fZRKvxQ1teRz4>DnI=g2M6pT`2cj5K`k3j(ca?XA)W}m)`6EE!Ms`| ztV>TEeX_u|O3rU@XPD$_;nAUV`$b1Tfn(FsMD0$u8ij_fKvom!!;{b9W^R`qKf`b& z#f2l^8edN!3(51w!gGIX3s)424g$Jl4vUWP?>RUjJIyRTLMqvYC5kbscqD&&`P!g%!`&wg z)48uU*(cDpR`|}zK8A)NZi=ss_@C|fAT(}UU@pf8#bogrV93{2W>O|S3TClI@zxI5 ze}q+Pd+SK5gqGUmao&hr-M-l>(FB#`;%nXw{0y5F?BB!hcxsf9op)Y?*PbnByz)j1 zDKnt51`iuO2}niYDpPz|W@D?CGakZ)Oz=6UauRi-T5a#8`6gz|x752@Qs_1^CNr#n zh1L{9L!J+~^l#kRgL@&xoZ45rh~wzyD&k}@_w0AG^&ViS1sPJ);764)Y)lvMv^EVU zmtq-=d1`q-Wsic`(zgva^DQ_2WDLlg&)M@fzoV zo~lGx^F!06=un5WLaZ#*^d)cX5KRLi7D#)P`#ZQ{hy=3(GI_G z6F0BoJYgnUzC@0nSt@+qd<6M$Pf2;_)L%#l+VqyjC?DhQXrDA*;oH zl0}e}$*;*ejTG_ukx_ZsV3O0m!5s1=Rpz7oW%lQGswPhC5YwLK>;*Vg0G~TSZ(V$g8*B*?^YA7}FS{_Z!!DpDmQf7t` ztwtj~W!%ONIesTl8!u{k0o2Ei5qP_`C;PoDNij*IsO(w7Bi8-+t6x&w=hGQX^uY9K zu??^1Reg}`)9Z$SnqL?l)mJ{l6`!%R}}RAQ~?icqU>nAu@Gs7ah#xUhwYn9rd5i_`OM=0Ywpq zPhW<-nWF~;FC|4Y^4Xgcf{jum%gNHL{Cx8dAL2tpWk8k{I`lJykd4!9w5z4%DLZ?)N|Bb( z4Mk+Ha$i|{(rUodl&z@PA4iZMZQvs`MBUw_$moE}IOjmsH zS_+8AE~dPBk%wxV1m+~A#yhrWyq(J(HejnciF6uk`szZ6oSVPN56Z zphG-CpaCU%hbZFX#QoB&Aj#Q#=6N6YCvAn|-xW)$0WRv&QimxZ(J-TxRJR8|>5Er* z&&g-NJ^E)S$Z)$du}x_Vj>s!6gPv1ahR!x~JwQ+a%6i^?_|^DQidg z88yka?)K~IQrt(ZkH0Nt@hRQ_IYD%H+^j&{w<29!9e?JZMzRFHkU)EP`{t$)?x)Dn zb!>EEb_)T8JNs+Y=m6=m^j4A_y&oy$WqZr(!BXMzoA8crh@y_!4aAk+>;z?PFUdHf zyZ;*dQSdzz#p}spYQeH?I3HZ|+E5AGtyryqds~g-rLv^eW_2mvG+d~trw=ac+#KT6vFcI5C4^1sazB_ z2P0fPYk+K{2lvMy$_Au9wR*dG?5zFZab6d8ZktxpoM&Hqny`tnQ*o2E`JH^3V!rw7 z_Pcru7+mgL_CBt5P#{?kx;m0~4I>YOV{0sm50vQ$ks$rJc2Ng+)IHWR;C`~gbcwO@ z|Fm?@@o{{Q*N<)6wrw2rT)Y!Hf+j{2n{XO&N%cEJ5SZ*!z~q_UMK;E0tFb}ll1B2^w~{P~caz6Z#1D8oMg;HoH^Y1H>8-=lYPGlaaMLnR2hPlY@> z_g}dM9hFA+<(@~!v0)g&) z97n3_m5is11Wrxm=O$fCewUE>ScXfKB!tj@KY5=gNBnIwCg5@>D)W2q#uQkV2R_2+iSx&=qR)u7E7|J@Ga|M@o%POo_o~ z_WY<}Z=aH7S5I0Ag>S6MLKq6m-zzbf=6>Hhw5=iVDW2+GDP{s=e%K;uKKH?&a@j#7 zqn7*^933G9#@H3`SzWii2_3&t-}82G!cN_LY0FWQ3tm!cH)hY=3o|Sxe8FhVweqyFHfH z!2YLN51Iq2fS#0=TOMA7CG;icfabt?t@*r<*pu7CtHOn;a$wYCCDzBKdAZcpR9T;bYKGlE*lGMMj=i?;Is!>QpNC@0yQF?wx(0; zh$r%uC#LtTv%JXD>-*tPV7BQ`M5`u8LsB0on}oxLd=5K}G)X|`u4%nhkA$637x35! z1NEIQeY4%28C927lxWwGG8*$hERsPf4>gU{KccEX>4}B8LPQ)8P-2+)MRHjBZHI&M z347kj!(via@uL*A_nxAzer4V^&3aTpxp_y(4hE_WqBqL ztY^rw}G9LwxZMuBrAZL8AmFXZ#HE9xKTd5Yofo{0H#n_DD_V=x-5loWSx9skNV zeofvYtV$z_j!Vq%e`$4^odTGC1#U6h(z(3Xm(M-@&EU^~QT`Jh9#&LrsN$gf+PfkE z%TXp}2exB>$LuiwYNuLiSzp{rnVYbs4A}r{s^O?8i^g8}4S|w26(mb4K9I`!)8(cb zW?e2SV$x6K329*F=KaOePQ6%AWqx#SM5=1$n;DfcUJzN)naXVG>hHtU!ZYK|=#&r- zkxh?qxS~yEAI<$&P8d1kCP+yJ*0r1iC=clBBDIzJ?`4E&)HO{hmNens zsYHF}1PxxHGk8C(k~!!bPkqGjNn_^+v0$prx2R}9WR303X*#X%Q{-LJ;LJ-sq*o|) z^I&OJS$;bSsp?3$IuYBBVz7E@3KxtCj6r#Lb&@6!aoK1@q>&27iVv}(T4Ot@&OZ(W zjmBL6q*i)(qVK+T`M4HrRt$fvb)SE=;*iKEytv}6|D`6bhD3n)ZxNL&3Z`g39CRCZ zmxJ?A6=OeVa4(-X@bI7`21?!K$Pos|Y--U@sW~-z}_2ugCwts%0ejRpMPP6qG{ZlrMpdlTsO5E*TG86v;j~EbS;yT0JF{E_z6Bk7W=UwHYR)?@221 z99I;n(xpCRL_d*O?w4k92&FWHu>I%|ur#RRn51<1FW&q&;kGOsJ$4sqaiW1NhY_+1 zUFyL=+L_r=0Y1mcask(;i_=GTIQwW5NR+)B%?EJ`o7%enml(JH-AO=t>5k&h?{?eB4 zJt^I=F2_5r_tGU}L=|(n$TkDzm#C}GXP5poI9tmo!%c6?`aI215O6$-fu#I>m>oW8 zE_&zuZu0mR6kU~md!?QiGh&X`d>Ggah>Cn{ZE$A;V?%B-Q>_J_Ow<%O6}A~wMSStF z-Y$m+d(*%3ap8QFWaUlNJ6!#l*@MCrSxFOnjkjXQgdKEXhDSK_U@Y^(wg8@v{I~i* ze9%)n0f!9jy_|q3Dv(B1!k~@dALR$9>#o;U(R0K+3?IpNvEsq0CYJNi?u<#Ex5=TE z8D4S%9O>qO4K+=MjataMXZa0XW+iH;aeOF6N|3z{Y*p{ zZ9{J?n+X`uiFl++iOT1GSNKc7H5hP>C9k{5-n6p&FR@wMm zsdnlcq2EOfWGrGrL0UG-Kbg4Ag}aGY5Rf{ZUZ8lh^xxcUM<7p(IYQO3Z|G&8K%YIY zgy|l7D9X6_U}T-Fv8PDO^V}NSE(Me~nTd}6fWiqkZ#!-;!X`t^1?8c5usYZZ7`;Ty zGy<*x+FP0=tQo8qUzpWCa&b%N-LuNR${V>}s9pb&Jusga6k6`ET#+!4N}EAww+b*6 z!Knm&W=oYn2Z@u4sD0(~YnLr5?Zmd-f5wpN?d-ef;LPY`mB{^V-nNrTf*`~O5NIc35U% z%v)=t4|L?&UUr|a8=2I^xGz|K&up|iAlA5gJ%g)-Rw(Z1+{eHrBb(uxJd6)*Pi)nV zmPOJ52r~)QK_r*uIvH#5LjEyA$u$_vxcgulXcfkjXXnIj@I`!;f8E&5%WJF9T2`KK zMJNr(#6n~0Ma7;%?YugnE>X$k;-_ov`g85zNp=IuTB3Vz6njx&o!W}Lf{nY%vkEDe z(84fqB0=e^&3vgW@FJT|ze6I}18|D3$GEqK6YzrW!jF_H1!?q4tz>3f&EkeV3U$P^l>>SmHwAg867R#3_ZxOrD)MY5};9!$Y8|4FCT2VYj<$d{=ERhE)(~b!aU{xmp-|Wp(w_ z7CMIofy&G4@Sj#%0Kx9>;gL-|j_B3G_yw$=Q^^xAJ8Wq5`-{TE4|Geu`1monkK##2 zN$lZrv4miJH~zcOH2f?AK^TO-i8yq((8C;a$m2tTZI(-B+e7djwYM;v0PYswJa-IZ z(%aG$rf<3&>@H{1g+8tFUn(;8KoVwc%8&79S)G%Ep>P}JgIKZ&vuis2WjuSZWWGir zcZf>x(g6sY#%qdSqju`IU}9R=osM&>t+Mm^6gLCbSy#>*MgkUr+D8RVQOjeaqJmne zr#>3?OFyGp7_B)`vRA*<iMWDDpPbUO$+irBUueuAO5gcdwcVq1(p6kG%}hO@8!HzWK%`!NS4{l`7G5BEzG;A z$c|*O8uY46YRGl;{|ai@Z4eg5O!JJL#j_bt@!4A9dgo0g#uUtRLY1i*^) zX+(v@rUoC7ORqGVJ-xn!5O(`NDVA3~iqAneH@JbepvDV7n-rQP9)*FkjMpGiRTzHr z`r1YB#L|UHpQKMT7daJ6W2&_lQlvd^z7@zf==K^K9~jLPDPk6>8OTqXyN51e{eWv8 z+jzWO^EgP%CzwlBl#3k!{n#6%97Sblsc_FpwSd0jI45CMp*b{$em*?Gd!H}m@~0b` zKJXT}$u@BgKLKOLZ`L0>v18a|JEW@~F3!Kd+y*&iCd$T(eWhyVgh;ndZZq9KJU5@p z&C+tSw(*od1QQGyh5@!J>!vx_YN~+ z0-cVbT1ZtDl0SzaijMA23=3Bf{UIe}Q=3T1IeN>UMDq91G8<5AM~@(MQeE;qzh}); zD`=reP!z4o;Fdz6>N>zzad^ps@w3W3r(Aaa+Qgw)gzy}l15#`rH?SN57w9S4Dh+`C=BYvBC&cD>UcQ7)K$DufOCgc}M7hDJ?-SBrTkCiu8D&flpbA4%2e87G1P`>k;Ma3W`jdV2CrRJvE;W|*fDdTKXfR4 z#fn(MF>I+ZGIX*G0G+dv`9kfuW^q={?@D7TgX@{$Kfg1ha#iS zDbEd%VKL~DWG=$9T5V^_clLw94I0>wD#=qK@=vMSfed)OjO$(qJr5KjGp7|q3kcUp zH9|QHek9u!gX1Kahp?C0jfsz?BoZve=5IY#wrt{Gu)gKG4n^onl1eU(NT!WLNbk@>n{@RGGlHy{L++i=RfH zeQ_-=*8h1h20{f;^Tv%D9`CO**s<(k4B8FuIuu+~zO{U{KW6-FC3=Qa;REQ!pG5M6 zs?0+L@>?JavH2I`-H?7wI!J7nr+U zKVxa-@g5{AAELV{M;uXh`gxw#Wx00`^&DFnkPFh(1p|qlEfVvHiT2-a7q3 zq|hXc8x+ycLir#-5TEiwz|jXE$|^&m{Q*zbfVc=DdNMaBv_rs!sq!k@wCRGzn;-$d z?h9dLlej-GI_X-TEvbT7t0G>g+~;zZCePIAZWXoP02wb_5upWDy~bqNmUoi9#}-}K zAD9;qk`5WvQCfFI{7pJ24#u6!R~8lZLov>(ssbx z^q4`fUvd}h<@R{8mL|^c9|txMD%Gp=(i~@FLyNVcA6yI?`s&vZOqWMTJuoibzlJ_D+RG@k= zf|&O`&TThVvB;u^p(T+!pW)&n8isS34e``uUA%A}w z|C8qozloK90I12OlFNX4MUO#gmP3x6M@fCKdY4fY!)+CKDd08n#E+Ce^XW3#8dir` zwht*ql@HiV_w^#@`MZ`&4R8Lw1f!VDj0UmhQy~N$DP66~QlN))SC1DqSs1fStt77X zl5YY!khQulrDICzLU$At$a*_PjnSuo7vm$*Wpum<^Ycpr&TEOm4;Si=Z#s~#-&O62 z8e(yLpW!Ubvcki|hA`J>(`t#mk{CiZ*#wZtEN5+fmTbgn_U`V|WUkz`a~Xk)%1qOnhVN`+;2(-^6-YB|;UB!3 zS_fT5@ua)4@ezRXUEq~{W{877CV&=Oic!>F3aE+5Lyu(*ai zb5-Q`HmaTiNQgFMasnA2UMY24&60ZYz~Xp~D}ur^Nj~#p#LQ>Fr|QBQLxq{zZU_6w zHhOiJ(TR`h$DPfv{qpltQ7rjmG>6@JnFk|Y5q)qcTvu6VtM_rRWuUMn0#1N1^eNeI zA0+YSybYt7G2z+ZYO#76DxRoHla{n12AbGKr{BdyJVDo#t)A|w!I}0bh2@bQy4v-Ix25&%MiwsNR!K1u!F|pMtc~p^$dz~RhDm-X z%0CKA+9+f-kr#x!151ci4A;}Zz_AiO%-nEJ@fDYWx}yUz(<^@fh1ui#BoYMJJ!9B5 z6brBp{laMtc=~c0ssj7Jii}wnpBva0SEdi;TZRkJjg-KI#gGBMa)QHVr^@K0nc+4b zk(-%gL|lJD1JhrXJ5#K0*oww0OraxRez(|i@#$g`2h9EdI=^{W;j1{t_G{K^VRdwz z8A;83Ri>=Z)WOqg2R!mOgRiKw{=O%=G@U4e4KQqb(esUkD^gVPF)j(q2PwsL#Ftt-~QfE#eI?{Fx)+@ZrRuESSdA{ISiwtBgpTTQy8Hn94f<6ySQ+XOICXm zD@4NHe|z^7f?MYBy`&oQ(99j_$Xee8Ms^D%a#7=1`Vh))OnUQ8QJb-twx20m_A=#* z$o{

(0mF>7dZ`AffVDmCB}Ns}28B({27DtNv-W_>I*bk#-+-iq_|fPKV{Uh27~| z>n5z2NDE6D8EpJf55tnv_fTi}#tDAinr%a7eezDJ`=5-}sL8Zc{eMMH}-1I2Tqi}7-_QlU7ofv8gCc0dw88BljD}MkOX+UozU+rQUg>U=e zIH_zMr%bH5w?V>TcGy2SdBC`W&_G}!OhNOXCvfLiIJEp2`cJd8?r63JiC2|_Sk1oj z%}EO|r>WABlC5IdO2zQ`w?kX*!x_)NgA8$*@vdxmAI3;(2KY$sgJjW35D;>*?EWA; z{-1swk}BN1v-t}sWG5iEx$DhYTCs;Q=JISN+u~f3x%RTAYiE*Y;t$l%W4i7iH;cz6qUS#He{Rp_>U2=T z5?~>9pki-W&`u-rA=wLmNX&G#Np|Sxavm@+NrJu$Ty$K+<|V8!5>ucoMEAx025B`c z110x?Www-9ct;njU&S9r5kHe`2gODv1~2~nph`CNw3$zpoc&3L5r1=Zff2*2JC(=} zp50Ht+vwta(uPla-B?hM!d0pEojJ$or^vqpiZ_bor?nx{KaV9Z7Z&@{&Z7GtVoo^= zKV>kYwqz_K_p(A-=_#?W5wXK&XZ8$wU%NV5gdKS*?5KN0DYe2x2`%6l8uOUWm^yrrS ze24$9t@HX_b1T@#qc3)`QqMqwMk|@HPY@n+bYzIQfSJio5#on=^1-6%mJWCA$WVIT zDRzbx6@lO%i`M^rFQRV?aNB)sSv$DCDyji?l}@*ly@P{4;dOP)OZss`|0&q-G4q@_ z2AbtHIu8?B>;Q{>(NBEXrQRQ~vq;-9DHM1B(CHw`WxC_lkjdLY6t&4A01^72$QeH0 zQjx6z49M$xO2v{T|Bq0z4QA0pV#&K}1G|w)93%$j;fq%=E-|jJe&?DyVT0m>) z0#qOEMl*|yJqCN#cF_B85K1Abk&=vq!7Q_t3ixqRBCWMKiy0B8EjBuJM!nrX*Z>1B z*NL|x1GvFPW~+2sq=Ig*7E}pkUu+EKSu1S|DyeIzjy6>)iC_dDscX(ch^wed7T)*q zvqfyDV%$)fbJ-(0b(TMLrt?%(%8~}+-+KLi32Qj(5m_*6G2Jn#&O|*srRsS&1ON@< z+?Q055~FM+FR1-R^P(l4oI%|0P>y~n{a@-|WOBsm945FD;leLdNFTm#C^AzZUeU>@ zM9~RFVJ!xcV5q!yk9K?n#4ZHNHu(=}TYWJzQAoCM7QL0UMveY;k<&C^cx|DHl4z4;=^}6<1|8Et1McH-00y2RW1Pn>X90bm zFLviUhOspC@_v!i#=`6(B}0#d=06EZJ$kNPBOTL6`%|xoqyI%!krd-Q^;DL(bHl-v z&~;N^A`0$Uk{!h2K-7+a`T_?3518CAaHM!6@nHo6!Zx)bnOqj1Li;Z!fndF-FoDc> z!I8Vs$FfSUQo@}Z#j*Q?6kl!MyqSjLPzz`KH;*DILO}*=H7$*OYln`E+$xMk>}vG9 z;nq?!W`x7{<9xTSN5b8>%C(v5CXOR}_Q~-6gA8EoDft2AO%1q69 z@4i0tl5nn6W|CCUbC=zt{%VFb9eE0=Ectrl)asG6NY`1A1k^q|@9x|mjRVGi% zWD4?CRIb?V!F>VUP}ukDcG^wb3$Jyup%Q6YOgE_B2S8(yO$0cw9Z->F#wxZovFRJq{< zlqx3>%XlzCA)BLUj}Hzz52=?kS`+kr zrgd$_x1S_9+{eG0(^)$edkqC0YLbj%F~EexN#%-h6!BRTKN4=OjDLkhB}=L$W%(&` zbog;7o|{=37s3h`Y&AS3%FMgnLn{uei+zypT_Y3m z4yluSDCgqJ#5CK`FWIqcOJXHh6=#T=nCkfl3V9pcHV#wa8e>O<`I0^cmbJR6lVXmi z4P*;$IMb)2470WNno5IRc?J-B0z91*<2y3OD;dVIkl#k2|P09nvXW z?iNJFj_ge;vjB%1}4wG?#|gySs42p;*2AbFz}{ zc;Z6M2K@A-W4sRjXu}|ZSt*@qaE+;9G#&1d1gxstF|r2XCN&&L z^@Rqx_|JTI;t%T)y|77Sp5b@pr?mRzjEOcoU=_eR*ZAXr&QxtS!{CAPu101Cv&ZL7 zYh5U{dv7Ec8$mlZJx-y4HFeaf88?Y_u2=qiqS?f|q{@UgBMGN2o$*oTe^DUK}H!K9u)t zLB{d0t_dk(@0SpS@Q7}(BWVa{20QQ@!`y?3(I)TJS~k_>(LxPs8w%&37s8DY8=KQ( zli8R=Kct^31i8afC0D-M1Wp~hut%*7uKc}R#W_>TTktR|b!ovEDN(RFn!7R2sKiA7 zXeNNjKI6JdmsFWA9m|!$;wQO*SbYngWVkFn*>zrj5(W1rSqJ~kg&|G`Uxx&4Zl?@; z3csgvd-|~cyb0~ac`0@Uj(udZ{5gnBEXZ}quMA{5!yxn?H~cLK`Tg@Hjd$zITx+T+ z`8AV6vy4y%G17)Ozo{%1xn+Of?3|1z-y{n@`zuOB{GaQH}+3<<%lASK9r4hEon2_sk zGEb&!*NTl1H=1{NT|9~p0DM(JzE&OW!+e+FWEMj{0?S4l$i(*X!W+FGsT6YL6*=dr zb`!R-bmyM6aco%(guZrpt>A9$c&+!~&a;Wa@)3+0NCN9T&Sgg3x&L!|Jj2N6`RdsP%t$)fC!)m`j!k5B8D1|IvuStT`VJMmCp zLdoD{-UQGFz_)U5F?Sg2KH$)%jUhS2L)npdqazksB{v9A*hNjH&PwcRz`=LCO}v+; zojJTx_-bWm!X2=%NrF56L6jEokLbb+G%Sa2Y8~6X;pk;YBw5})7wG&7a4)1oX%j0Ut)el9sm@r0G zica<|(6KV-R~D0Mv9i%j$y2r|!jNnj28^w=h2mvxHUcaSW*DxlL+9e{b4hxHC3kT6 zk9OmtzKL&m*;GouSF?q2seNH{Ns5z~P|24E@wJm&L$j@6##aWY2gp14!e7M-LQ!C6IfWBglVWICPA%g!VR z_OPob=zj#PXAZysx-y5hu7SGAyhm>N040jFTNo%}VF-AAqR)lk$G&Hl^fFwr^bbRm zMgneFyfLa;Lf&wbkREEjz2?2&j4;@;GNWwUnFuLGYd^;FVCPDfB0N?XfOxk_bLAk6 z-ZBMixTtHQLi)5oS0Le;N#ho#IrF{Few3WlKKMbXL*1W3M*ir`o3wEbZ2hwL48*%S3ELZ+&DfG#aBQC5J?i-Qo!4kq*M`%&SQ(LAi+*fwIsO2Kf_&yh>@wFMHmS>mD zIy4M!+nLypcW|kLq-}72bhemQhC8e+8UF>f8!6GA~@yuMt%@hxBVS8K{EgW38@P@!#H0|JAG4+w)!HD4a%sC`m+?*-o1(}h%PI(A#xm_$EkqiLhyHtWys3< ztRdx$+D$xy28f*`u@9350pCxTnN-++kjbRl4vFlgY;Fz=7FwXl6I&z_2NeQ-dkm3n z(*1tzda&fs%`rAHw3=`bDmje7l6j1vsV2!oMriT5x4A;P>htnZ5f)AEbt?0M7yQi* zvHr0EkW`|qgeO!_&IRRAe}qpC~vut^fTzNBheT(?MuwLCvn_}T$Tb` zm}SRd>G5v)OZ-I_I5}I1@b^V{Z7rNR9!czwG(Wk|QF{=^z*G=ZX>?GKXf)nOZ<>D1 zTfha`cQ=6YA-fc+sY}NiqR&nAB*`r$Ql^6bd+^0OIp4qF!&Ca@=k1bQqz@uYmOINm zMmjE`-*U=32N)KJ@^r+io_{PC#oH-+aZJk;74Nn0rE1;bVbajtpC3*>Xg>Zwz6 z`ik2JI}Y^^QV%1Y#k>h-lgH_RLXtHr{wtr{T8aFp&62SGcom%!HB$;hcsWpp@ATJ{ z@PUmPdRpYxA(=0-OH1#DCO2QY%1RZIyx4l}9#4Tlyk0Fipz2iON!)42 zvckEqk1ZhCK9C+8>?`?78yX4= z35UbSCL#_ZyXIByXN#g3!-OC)16dCI9hx(?EMTe?1)E!hMQ*}}0sd^06#$wTL^h$J zr5?ro5tS0ub)tsc?w#LsLexVlT7%8@|t(^6JkLAaX82cL#8|5%k$Ru5v(k{V;`$IfDXe8u zTAa<(8(R_}%$Kb&mFs$|$t9eZ!hYK?eI|gv(DAs6{jG>1ril1uvi8cH0NY`MQ(hMf z4WK;*1rS_*y_~_S`rs`fW(6(#P+nCSuXjGVf8MlFzgy&Z%ug-#)ZGs?Pz$~_zNS^V zPTS|54E+(+!~$4iAVEC;@)^o1V#kio+_r==lJ3_$&bpW<4SQKnkq-s$rPf5dtSxb6 zD&}Pl#gmu3xhh@HTd+M@ErtJsg@QmlG^lp6nX~m9>leu3bDL9`zDe1eU_l=*LCfL4 zVkGIc1#Iv+y6;lUCk&nliCE`V#PN+d_&Q^|K8F6sj}uWQrZ1y+{e{bhbg$LLHMie< zDlbc2`7{vEKnENKDRRfG8(z4gUQTc%?p}f82NKY| zze4{h^A!g1O&grIZfGV@kn^=L84U=-wl$Dj68|^8xJBVHF=3Cl%k^zrWf!yQoaVix zzdkhTM8lNRQ+{i}m+_S4jyqqTdPRDa_Z#zrnZ%Lh&VQ#<>>f9B@x1g4`1(|0V^41~ zNlU+jq&B3ZMlf?Rv-(=@I*gUSw9QCdjWb)VZ8O~>+U!S)mJ`JLLl$v$_?q;19TbP? zG~>i%%7I%;unp7qVnxtF=3vYYC*6Kk&f;dH9=Dv4{l5`KN;C-EA{jr>)~ykfpM4hp zZua^=tu{r9?u=LccN_$yqE-8se$v>%+ioZMH=Ng2{nYdS?H7^N^p@c$#TP|c@sMf! z@4f*6q1Dfd2s1X4P)WRq|L;x!0V#D$BmOfY+Oz2ZFv8_L{|8z0OwfFNsW+4cO>MM!BMkRwS3w9DmB{5O!)1v{fqN8>M zfaLx=>VxKOODRs?0d>bwiM)7LS4^|9(M{_5-zNrKNRP=k4b4x*0RJMCHd7Fnw*sx?joZhQ3=|J$xIU#Z=s}zR+29l9fl=vlP6!QN7zr+0# literal 0 HcmV?d00001 diff --git a/doc/5-wave/wave-editor-shapes.png b/doc/5-wave/wave-editor-shapes.png new file mode 100644 index 0000000000000000000000000000000000000000..6fbffa028403a2d2c83f635df8fbd00a1f394716 GIT binary patch literal 121344 zcma&MWl&^G(=Lj;ySux)yA2F5gS!sy?yiHoyE_96?(Xg`4UN0QVej{QzZ>_*i8v7} zs-v?it8-;#r99aY-xZ}1;qc%>Wh7KUKp=TQKp=j>fPGPF92qV_Kv#!lB}9L? z>z!?CTdTSd^jzz=*PMpbH-MemZVV0iz~GCA@Q^BY1R3ICqDcH6kXZ2dLi1tCfY}!{ zW}zb2BaaAw$)jO{!c=B66hBDm4bWRNX<{K)mHjZeY$FI$gwB|LbNiP2-OY3Y0b;#oY<>UV8GowQ(AJ~p?);n_&Fu{`b=ZHYGc0b!<`Ln&@d@eK z>h>LNiZw+4(ph+UufJ=S&!%&fZ0*DIL;p);x>#3Zu}irNU|NpCL4bCe3MyFR>N!|nT*N2AMjUpg~Nt!n$}TnV$Y(pk3#8#Y7?4Rq4! zx3l<#&G_kCD3!hl+11wR>BYo!a@X_G@H>4Jmwc|F%kDWj+Mb)QzH1e*N@6Ge6^kNP z?(HHq2s&16G%g8<_dK)t?CZC49@}k+2qhV@Qk~+3Jyp%8`hI$u%=Y)6pBFKH?caM) zW8Tzeoq-P<9Hhz+mx@|ELT;8diEQzu^Jy-ph>VK$t6 zq?%8zeXZ{c(gx20H!xYnm^G%o3@O834Q!s>anbJDa!> zf*OJMspf1YLGRSNlrPQ745&!)%jH)Eo`<0g9uFqmH`Y zCK{qrH^nVWJLg%w=Ys!?-yT(^F3?MvM@0THBazCm^MF|U;dZ9z2jU8=B784aV{1l(K45}>#HJGwlg8|h;c_<^)mQn znzLz%s$)e={?CSQlUumbi%GtAZ$B|r@{;2G<0EAkC`51}(Gehjp@2Xsp!6b;qd1&*rd0q<;r#B4wrB>;Dw^gFo~t$=$OlA zZQ`em^QvjFP+q*)(vFFDGtB?k%wp5q_&649o1M|gr`;3R?GChmi~kKgZHR>Mg`Q85 zVhZwP%H@UIhBW$2BbbSbX<29uDmQ^#ep(8BpCR0Kd-rs?s^!^fH6V+F^vCfKFnXbp z?odfz)01y()0KAe)_>ZLs9mTjU!1H!TuLW^2_*T?gDmi;4K2p4xcbs)N@4&yC&pjH zC^8_E6*Jlgb2-7b%jZ#1To+OD-j7M=y=aMH(mqs9srzx~qwo^}5xD!r4aomqCO)DY z*-A<|>mvwgX1Aas;Qrik_((u}4V;oncCU^y`;BX0Y`9l{-P0`IyI1x+Nu)a2#riU8 zlT9&H<=Iq0?@PqlH>)OjIA1FnzIk`3%_K!Fr`Cb3WT`>szY7=+fJcyb!U4og!Z7Kl zPUD@k^~6L;O|7?FdV^0hZT{8Y8t{5$I(K{HQhg$KU!PC0Q5N_HV)?Ml%d`e&5ot~e zI_0H5^IAZrwzcEp@xiuKuB1K+m$P@&s%_Rrgz>i)K+rMK{7!X?c}bZV&o)w9UmO_3 z&-|jKMU}LjV{05wH3D%U@MaeorevZs24LTHeyGiaKJ`t_cd8#|-CE5L(v**eJ!YL_ ze17Owz=9A(`S*!~)?@MfUFj@4)ZS1=!)iKN%dmO!F~%WGT~x9Ub`t@6Y-AXCTWrN` z9~1qpw-w#_(j3#pn0A|=t~jjoNIQ)y4CHppk3i+Y{z|fJ^`*^)i#glUb}nl>RQ68P zyug08yR<2^&DmnD>L>bwMLj19ZYslUu&>ZXA=FB57;-Hr#GBMMNpM@CoVR_@I!c(5 z*0qVdLQgpi)1@+Sm^0>99gRZSP2M~@ZRfyW@q@hAkX_CCehnYw9B%fQZ-A)DmMY3F zgG*=s2o8LR?NZob2U~2VP~xoH!-3J}TM0u`GmEO<&o$Fgw0j_E7&fB5t_BzbG zqHc>(ldk*kF+2M^O(MnEm_9r%w62Fs{ZX9{&tZD5xom%o`O!;2sQZPwq^xIlUTh!4 zKk)i%sOr%SLSR-q11s+aGSlg~h$VbMi`>CG+x(sx9EYF+81m*i1*vW~6W>aiyE?@G zN6jb@7A_Y7sR~AkJX`do1xU8rldFHZQHbo+@cy2wnQ^HGjy!SvDcnb)tLGZ}rcBa# zd+EcV6Z0+9XRV}jG=C@R4eFQOgaNbKP41m`qSOoGy-Pl9DbqSaayaHO_m2_sm>PD= zvRK$Ha~n8!b*7+Xa%t2SKWy*(p4-2WyG9dGp#QxVQE5NiBo3jXKTl zTaKng?Aq$>b7Tv|;rl?@sqeE&Io$&<1uk9=dR$Hz3OB6Wwn}=nZ(S22kx zro!$M*y=X?Kvv^}q+OrV-;NueEKj42sb@}7d}~O#omxEYQ)?UUpKBg4D^3^lHm~uC zXHjW?ehE1Iy5xooAwP1LZb26?*h-918f;j8G~U99z%=vw_aEA@P` z#|AHz756FoRRRLPe9`N1&upBDyVUI{=<;$?edbgWdl5To6HYo%5@bTK^Tz2Vh5Sd{ z&3`x=H9yrTSHO#O(YEdlpv)f|O6JKzb%OOnkRI{B?qmc!R*dg#O>Ef9RBhq8jH`ya zTSU>8$kuqwfs)7uh;ZAMANLH$(OMBncc}<1fJxAMDYnf&MbjoWaf@locFFGxOSky_ zr0@H+|2(QrgIak(ANF-?jgC_PzVGpMc9MC!9k+ba#=97^AC1L%4qE}S?`XljS4cV_ zuB$yZq|5ytPw#OS+=~s;{k^2eW2gc&F;%{Ev0E{8Kca2}pWA9c;tW{;KA8!#+f4|_ z$27#dYPC#LJiBHSm>Amj5>rSjb_z-aed|5(TbrhI+KI5LLZ$7tAk?gh93MMttzE_Y zt##{LWf3nyEk}NCAX_lYv|i_65)c`Sc^}Cx5W`>$R>yDLID#l+&4c zw5ti&6Y-HBkZtUBS3NQ5`^kU31WGc^f(QPs?WfAX8ABCW*Mh)gmj#)u zX@7~NyKGZdOSyP{KHa!FLsSS~QpXAm2rM-hqew;pOl`@_Nl4yRj8pD~THlu4gcm!< z%=pOIZ>83L^|kkFSNUn)B(uuC!;)9ezo^KL6)507j)#Ub&5J=E-pEi=4pWVRmyW0GUo?*OOvNG-nMC<)8+74kMwV${pIRE?3 zXv6I+&|L}Dmjuq7$S0eSie^+%;eRnUdXkP#Zq-qAZL~QhGx=(X_9IYqcLSSEbPCT` zhYC2S-CHczBd;lVpR?y)_?ncVhnhq?+XP0wrQ!Rr@`0Y8R%dVp)&)moF!veMR%lUD zoMjXp!##5PUJZ_OpU>rbqTKPQe zGnd{YJP2WN9Wb097R=aLvi&13+V zYB4_a-3RA<2*O@haB38Ef0)FGv@YE{4hq|q6Ls_fyt^gfJ3!MJ#v-UdygWU*$GkNE zjNy_JL@--Rfi*8O?EW+~-un1AK(OyvUW1B<&6y#kKVg4xL3M-^G zUU_5$?x#AXKLwNF6!|~G!dwx*{h=>}6l7%t$24Fn^256mL`Svfo13j6FUhXmaD_lT zAY8{aF5zCwNrb$1tc8cOA8K@c%{FqRp>ZF_0Fk;A*R#C>%bWA!XQw7*+_#+)*E1%% zJn)x2sXE)4HoKvvVPJUqe)mDBeQ`@&v7vy(&F-Y}?7#eTBuV61x`(}2{_!p974Cme zYnUH`Hxj_+wBwQ9nGnK9yvVhZ-`JLs!IFt8Cr9q`O`w}iW#}S}6JqsV)4B*=4aKK$bhjhIrlCaeivOJP;2s-Ri z;+ALl@cDr2*r*DQ_G;x3_PfW+x4nvEr^Mm^i~WO-?xE9HE4a& zIXq%&CTwyu>KhlPY5S9t158Q!#>ib#btB*t)CZcT`j++ba4Ng~(P1bBE-}QGu&VIq z?tOnpY1P?(k4=;=Ti(Hg_X-VHpV!b)Bq6@bHuV!w;?~U|({KY$K4xcTa%%zf{+qh8 zM5MP7AH(G`qU(@Az_#m-wHYe-A(o7E;IYFx{Wfvv{^QcitudIkGpZPu!t*NRS9z7= z@$C?lXU1X$Ys@h>Jq#Pby$1hB+g`V{*_^f#{%wX<##5T6e)Qom7lgvilRwVT6we+Y zh2Gk8}pO&W^CA2Rd$UpwqPCxfZuw^vpe=fC?Z#X!gWJIFaYBoSMS6RasV6 zh85OU8R6Nj-NKSoDibs%B^I`i>GtPWb*(Bj6R#j4v8sr-9AC*m;nd|uOQptPjRQX5 zP$+&{h8|M`jl!I?=?^F3=_wm8NjMR5f+y1p&;d2k8Q<_pLFnn1Z$9eZo)L~5cjh8} zx4?4v%T>v5@7Hc&P!dEM3IU z)X~Yf|BbCQ`?@u9*j_u=GCubJXkX6go@L*+zfH>aAFHMyIbM6#3;a2VOlhfI zp0BJ7Oj&0FZ($dI!)nQArfmA+P-B{#myTX?zuwmSF=jLnd(O+H9>sEhZH<$QF(={i zM~!?(=FfH6ejy(+j7sx11pjAZOyk`5;azL&2XYqFOmA>QQE8d2idN%YytoOi{>aqV zqUND*liJ+IkpZ#M+a$OC%eoDmJB`9HcIz{FTMg;ttkvIcB%+afdND%%I9OpwM0!Xk zK)R>#+2@Oe6VB_yCj#}d8NI)X4eL1;PQl8vewhF7qP7==y8dz*ooJTB0^$hxG6DIJ zbn4(h$cIUUS`WmD_|fA(?+gsjM45!3dqQFS^Oo6VC&is5O80>( zR`A?zZ$YyUzVeZ~t3C7klQq)wyPC{WH^vOMq}odXtc2GmSc=mS@SJ=vU+w*)HoM7< zG?L4KOxzXt9>g5m8d}nINj<2~F2O1zFmA$Iy<@;Wk)P3cpsb=jBFXzlUou2_hhWxb zDN?^UpzVVOwk7xVRq-vm5PEG_^CNTfu_h;x;AXfN(N-&C(RbbP=~#GI0d(h2?2A=@ zwo|()(aMnlB?-l}mp%&95Mq5Se;4lMXWeq36=C!D`q!lcBI1;M`X~a z@iTY2My+Ngg6D4A_~;Jwx&ruPgJo7BkRYC$wBG z?IJP%#ETz%`Ut&D?*qmi{@!_@_Sq;J(T7OTxLQYx=Y<>0L z+l`H)=l3uVT+LSf$6sZSON@Axl@&v!B~Hd3l0nsQ)*=)|CAUH$ks#o=-?VT9UoJ|GpVh;A$~zP?p~ET;9s9*1pfd!FfLyM3h-<2|Nalung0&}`+p}IM5purvsR|| zYXn(x`x->bzIOW$b1>i6_kWp1%KZLWAodRu{O|503;&?Z|L*?(P83)*taod!|Mt@X zN7%Wu)5dPyg>VqG(u>^~0YDHq;tXPjN-h?7(>p2SY*c{bV2u(GjH=)d3+sT2MjlBq zgdF!v=EuU7XwLuy2Oj1u4SXM$t^sq;lC7?t_NNI2r}62!CU5EF?fA0@kKm(F>E~M` zpw+E?Ij=0zk~_nuu;1XvstL;6`^(kNG0YRR7^v_*`M3$EB`AZQ(qX&{9RnjA4%&vM z3+qLkNehp~!0U8GvEP%So!1Jl3B1;OUtji$pp4>jib>ITpmuis0G_`vs=C~q4of}V z-xg|QM-GZY(Ttn0W>l#*L>prT2ek?9!N(xP`@kY>!aUW~!+;E;L4n-xWATvjkonh0 zs5^prDUoK=m*G#kuKz(B%$U5u0NuXtr9XeU5;5ZAOzq5*t8c%|nhK}{PxYPa^kG27 zm^4k)p#FSh_>?+eh7ew;Q%fB-88?k!VOu#pJ$nCh2$k=h;qnYCB=WwwbuhE_KXR1;@Kl zpW%CBjT|&|h!%yCDWm-I%)`-kW)O!!Me3hGM0|(IwopeeIS$-xL3AUs$hxNxmXt_0 zC3<=rCvLSy8^v32LI-~IY zC;|nIq$C0v;Ky1e`2Pt!Vf`)(QwmUvnnY<~uD;q_VkcKU#a*4n zU9&_8!bl{UFw-y9B7^J^!V{nppo*v$&{Gqxc!@hI`Jj9}Qc(Dt40vn#8@aLP$AKio zU-0qX8IyOsJO}0ayfCDI9oL2XK}1sG{UgMGPv!>9YnpfgF_Lby5my!}BI4%YeT4lz zSd2|Zq3!fwHum?`DFE5mXrK5?AD|*C!(h@7(hxJr$8x>~m(M|M1#9W+Dj6(5AfcE78;)e<3; zEK6CdMXe`KqLJpEe(IbchV&71b4#ri5tvB8NUatAS;geccUi$f|7Y}5B+!Asdv>fBeAp(d#IeiC3qB^mEdjtW#~`bJia&b!*2dYaA8b!`QnB7Ks zf$16@vPUU0b*x`|$Jg`7)mwEhP1(CF`2D%q{8l-uGL0OTK(6=MEm8DgMeml=O>*)8 z5zPp|uXVLC;D(V=p$37n-UTL|FGt;*$cpY1T5t+PN;f*47TzJJfwLtPPh>I}Te}8G zxZA(SIrl%uSz>QSgg;*W3XD2Zhnb)L>aE_=$?*zN(QK)Anb8hfcbdV=ueIV$z7$kr+!to! zQD`vKmqPs$R6y3(h4}n-Z~kh&e?JW!L{?N(OC>7wY^>}a4OWL4K{NpZ9DaiYZ$Og=D ztXQN>Gt(AOfAh9i;fE=3x{5-(ePS7rXvk>YLS+^@S8ELvuL!AVO1S|X^uf@2RBaAa zPFH1y6?iio8#5&jm3v4APs;JqC@f8UXmTh{<}DG9eghfQ*K@tPm2U>e$(PBPx(zOycO9=2YPv4 z6sWj0uIP|N*)=sDg~dpxXz%Q7G7L@Zje?8YYBrf+yV-_24{{-FG7`75V{p`PjY!08 zyK%MKPx`!ct-i9(ZKFY5<`+9dECmsJyj^J3jy4Eadc8dnU)UPE+@qHpqVQyPR_Cwe zqm9L^B7+73yPumr7=;ZWh}(t2V9>B%Z?@Up-DNpPKzAtta&LPZ@7Hd!70bcUDev~P zN$IIn$%s10u45tU>dqOC#Kq}!p5~3(yZHFJ{PpA_1!k`|t#rA5G_3aSsdoH=+BQT( zE0)L5CDOtk-ncxOcUNLG;bKL&S!~Up66f$Y!*N2l zv%54Njv?f+H+VQ(1&2l=U@-uJkBP!&7V>?2N>mb>y=JG;ZBs6ky6m?5=4kcN+kl~f zTeVcJZMXKvVl;u$g6Rki9sM~bDyq?FST2c1*(ebXf`=w{sp6+Rfoa=G2Z30Z!@iWY zxe*6C8k&l#>Lgp0f=3BU)k;a*c%xiM|9by2V+NnMfl@b8^{dbI$=zib(fU34wxUep zv6#)YTzn>0wI&Z_bU-SENMD+{SvH?b+OMn>!-T9Xh2(W^ zEZ+m8AOkF8(>e|FW=j>nN2RD8)Vd4pmP=eG*%*QcoK1*V4K%i?PUq1(E)g+DmwwhO z&788wn@bkA8yS-ew=D&>RAgmkd0l`D3+1ZapC7Nf?XH7ELr2rO#8{{}IAt!fA|gFq zKCf?YZw8x)mFoPDD=*y?vIyKyYBa+Gi&iSi7mYx?LPDNR9|6M){~if)%l~E zl{TmCsMJ~-=|FuSvn!TEO3d;0YF(ZtN65#t6~Hcj^E->d)g02Fpm5EuLV3+7!&)rASK0EGLzx>q!+V35rgXI zbG1xkaH zuX`dvC=a*o_kNGP&2ZfmBR2cx38$g<%eFjr`$D$;J_$3m9!@KJecqgooZIZ5Ju!0? z3B8cAQL(nXe%Xh-oRdEi?$UssH&v*=xFzd`c_c~$CT}mU=K(<9h4)Mc()_4pd&6oh zU+CDJyjW)+Id z)Xm{&@?>(0HB}J{t>$oHcShLyxwx>9Ky%D=ws>Ww*WY?}O$RE`qLIEv<*F;Mbdk2K zd(ZAk9bdR_$ZBYi0tj|r=k1l%HDqcE5z;7 zcP#^#W(krlwC!U{!Hz^&lkU;sER}w~qg%!1{`id=3wugIr za|M#{VvJ@}S*x91Zs2r5U!|2qT*Lyb6ri%U%gEcQXEnG=Jf2MQPv6=}jSpR& zxa@)?j=Zz;U6a-F0)M)HGzl*)A7nkwjKzEjDXeU8$JCqCh;W5gBDGRzS>+{^bIlX( z;g`&ikdO{aL%MIX6aAIT4&>CqTV7W(R-f_v=EQ;#hK2U|ewsfXKYa`OvBjx^8M-`9 zYg}`Qd2w&gc=5$9$F$9~G>xu3^~(d+bVN{QnX^5EH;%;e^DQ-O>;9DjSh-MuYawOB%H z_kM1utz`~$%>anIe8tIOPnkp-A-|6fx63UV&~y$BwGhWTh2bx&hs`Pp%ijZLe+Ao6 zvv~m7A!u`nn%Eu(+FG(hW)*EJv%ccARj3xr9)ot%9&p#|YK^2QrtD}vqEI@P zijvZ>tk1c@MY`f9=|qh%(~ zY{-JM#C$p@tz|)7QbHnpg)IF2%NJH3x&V;UCKkMGx4R}0LpFO8%CxTg120<}9IkH= ztK-W0l}n$G>n5lu5}GbDrhm*r!@@eM%y=?rHWYaxM^K;TU+s>kGILHBjX?ozuYg~+ zX?ZbR6@9J}Wqm7Sz1`J0#p7Yv-9J~o<@EmIf?+6uhPZ>$pIi#1MLT`f33`ht6pr>?`4ypjk4FOg%!G${qs&3w!&txEvNkMk7+H-+8(->VDY} zDxK}N5`NuyU+)YXfnB>72&gH)Q{JwnX3%J+WxJUf?UbIzd9J58;T+QTJy`Gnuck!Z z>_c?5A1jWPHz!k`*5%Rtjr#ZNaK0SiipU9Jpv_;O5a!8cdquS6<=!azZU|!15*@hQx~Urga5rG1vFyuRoslW9yv7_`ZVTW|NhA7H~yV zKu{3&5<|GU1>Kq1Nfh@TNm(6`+wTiW`Q39NBq7Ui=Ps0jh2Sdzre@-i3R{5|aQu7u zX9~j!OFf6A&4@4P%OWhYWs7O(6h&G7@*)Hz;%?lZF2i@XQO>q|Nn68x3i!4$Ce*ON zU6{(HFllD}uH?2g{R@hQrcpu@4#WC!n5xeL?f0;1K9G8CHLx={ak!XxM971lNgE)E zQyamBwlwh-#YIl)U4V`CHzG$iBe224@ax=42KToqtC3k0^C_=&L0=S*%3o$l@(5>( z$-imy$8!XJbAE_%9DH?;U7oe>5{Sts)+t~|7l$X{{BEg!ei?z!t>@BXStb%}MCI&b z8$t%daV2?84)9POlledf#yRxrN|qtu@ESIv!5Fi`Amz5q$*J?V2d43k*a%Jy`x2u2 zgGSQMFH^<>oxee0$ixk2COL37nhgJY(FeW3P8oWa!PE^P_j`fb2IA3g} z%P2Ob;(NhCMDMtgF|PIy6e2ALm%`dHRw~pd{$6XcYP23Km!IA*z<#|(YHe%VOAhf< zk&G5(pmad2r=wH>m%i4{DN%d~qf#!dWid2xBy*HNaWMl$hiHdQ(r^~4bmC0p1~DU( z!U~N@kII%0p8%iG(8>`Z`Nd0+BLa(x5kx3zuKY_3BrXL*6?09=8H$m#4s0JOWQo10 zy)5wJDn>lujcL*c*levcU8s{jtYqYgNE8Ov5%2bEej^h}xqR51WnWedEOVhqHgRXA zW4y1gZ$OdNXXm^K-jHx8!e$3aQBkpjY`3ig#bY8eJ8jfmQN<~~l-?<&rQ(QEVhX*y zXi74J)fh_Y$Js0nJdCz672IuGcx2zhH=MPKpOt^Lsa(KRN6&*E_xJX>ZPqt8hAY!! z)%RbTbaie>UY^$Tg}?HP@RW8TL|!0sp7HOezSG%a~jRMN4 zaesYZ1MIeUhFPbb_;{gQKY8-TrAsR16twDuIJ~XY&JZKc*IN>=pi)CSn$$!WEc(a4 z*)&(G*W&rntLQ}}ha{7VLd!2B)JcmF5rJhJ-`AF`ip=;{BRp%j*!F_J4h`AFm@CEM zdY_>PuQ^?8@J=TB{pfv?lIz(vILQbJxvCOdAa!Bk;8X@sgTe;eS-CLxhw&3WAPG~9 zBt9vTa`$lmeE(XR0qB!wzCSotaA!VCWpUpX2m?XcsmK8{Dox=hTu+B_X9X$H)2y0b z{0`Q|$)C%kVWtD+yzdT{hm~*fotz=iBg`S-IdgTh$;IW@D4OITm(_b*NM&mEDuOz9 zv#ZZ+-zHzPq498z__FPmk+>MxEo;0!lnGCg1z@G9EEueIXsxXE^UEt8)Y&J=b{Y-B z?RDR6VrjFxnQ@_B6__&j4=At*1ZS<4Y2B}|np<63^?oKcY%>}j( zClK02{o<3<`aN+4&^*%-o9StBL0j~4zi@kJ@9Ztzc^f~rYfZl>l-qen%!Qe9k-+`9 z7Po8Smg%dcP~jDmRlh`YZ5DPX8hq9)TKtNr zwrtl(qMT~)=v}JaJ~a03q;As~0{flCuS>HKJn7Y<(X25xr^|pG-JdT-KL(-I^%Qh* zP+fu05-SC15RcDdbX&ck8lBGCuG`>EWZO*dq%9H-2x=^*s=^jkNlmR0RMzbtS2IK- z8c2Tz4^60pCr!f&bgy&3Lvfb2Ze=Y&L`!}SRYb?G7Jy3)DoZS}HNmw%IJ}}KOJ#fz zfw3TsVsv&62O}xS3DzEgMPzrWt3o*ChO%(@iz}han4in6F9a3UWeWZprE(E|0Fxyd zY|hRk)`mcj{5fzbhX>|LECC&y5&%(@Itn>X38IJMLIvYfNd@$`>C;?g4sUA<^vt-8 zA@S&v1WK0#(%|Ef-#D^k5YHl4bJ#afQc^DK8}M`tJO=A3hdv06VIt?$(sG)b(SEetZZ z!UAiqA;=-pSNge(kwD>|liAoSK9@F>Sgt7V&Z8YrmP$M7*5AenY`*Xne2H0!c;nAy ze)BH%Irq*nep{fNAr<~uHr0JG zkJ^6_`Oz8O? zk}c)hqJQPO9{n}7WR1O&!0_g>IEfC7#{~C3G`(BJ=K%ebJ7dx337B(6M=2F z3hZwn91PuX>R|xm4=ESOIVSJd5y6|^hj`kJ=L&+9H|+E9LzKj zeq@4Ai)BM?E5@VFdw!%k*0Qi#eQn}f3iJzJKAUT*$~88^T{H}fQzDM@qwGHs+;86d zS+lOL4>HH57mfVa_xw{Hm|pwm#95QkD!{MO_|#e|^imdqh;kAYfgAxd3|vC+TLbhc zw(=>InCqypk~;gqo)m~Vxx=MNA9^yPZrFLAEo3JtDz;I7+i+2D1Ji)PDgsOti8fj- zRclf~Xs+US7p2bMGa#*}KVXOO74RU}ZV*sXZ}%#z) zc`)`ZUe_2y9fC$Bk%JL8e-lj{96BQON_Ue}T|2bmCIu1^rx@Z6!Agw85=MsV$X(rq zNHm!w*QYe9NOfrEmdVRluX|aK75ujL-YA+1_h&L|1&lhW)10Rmp=x_i6EhmwbMD& ziVDi6gZZY5u>-3)kQgfp`b?h)HQt62WXev`key7aiOdsN_Sfv-=kXk)s%WsuzBCzA zPzWt(0S#)$p#)*5Y#_HSU+)m-{ubz#3n}hk?CKMQOa6jJhr0u-W&^$NrVmcRl(d_I z=q{KQwqmu$7NRnJw-7m#E@#t#e=vlUxt^Z_y_hb{+Srv(+JXihE$3K=?R?4ax`2zV z&diFiqW%?kY5~9N$OLQ1EhiteTLm}fa*R#%#Du|{{`E>IJjYSHE$_wiL}p>D+T`2Z z`O(p>E!({^5hJ}gIG`W}&(sllHANA#uBP`iafK)<9fD~l#r7&Zij@7w}Zt}{>fNfe)C}UsWE=cF)CI@Pi!I~s9 zIl7F>2e}YgelNw_M*f(MchW2Q5iz;jXm|o(*1bLdXwuYZ3x-(bgYaWTgoPhl0sk(7 z(MW-vjkp)>o|Ui?7^GY0ydE|p|7f$R0r*TEv+-uX5AKE{ntJ39-tq8ASgLup_+H9) za=KCEd3bYn{ZMhS({nra5*Np?`68h!R^T<`e3m*Gg`vU8IKo?+h>LYyyZ07UBJBC1 zunmhQ>oASz-aUD)xSbqe2D1|{R0P(gdZ=75ruh?wkk(i$P!B2JC%8ntQtjI*N;61b zk@DEjIarM5B!1cGu*$qX$a&RUk8ol<0l97FE;-BZ>1-O!kD2vdMImKlQhdcK!U)Zy zN@A+Uu$5z9P%P|<8n7&41-i>LgKyh?vCbsEm2PZ@ws3nk!%PargFekWBRo8OhwjaV z1@!!}&X?J)mT<5XgIt}4(b9{Pvm znq`7+flHb1x#dOiw)y_kiIdTEeqpJW%gOT1eAig4-J__3!*$w1SakYSj_ZehH7t@kWS@a_Lu{A+YLRM@cjEP~$YUI3Ez`3l{j2f`^e-EX7TaKW6oKwu-*$TAw?k)0Yo>Oqmnc*QgcYfzg_8 z$>*2dW6|{NzaYf*eM_a%BqSsx-+I`F-}MYe{zs#m^U3Zr56uLN#!}F3uL_^jcaWv@ zD*5dUd5>SuH-|nicZRZX#^wqRb@0@TlAv*<1`4FWI9bDx028#+7(J~o&|v{1$%=NR zWa=PEBHQ+CsT$u$=B&~&?O0)J@a?Id?;0Na>$2oM#za6U5hj5Mi9`mp-C{Fn^hAqe z$)BR(7r}8>cw#WBuzWSb9(8Uf(}+KgWus>rEP=YE(y6X@8s6T0dyA+Ux@uGBLE*`y zN%rerES5XRw7=-WLw;lZW#N5)fk8vV$RAJ`-H$;`Vzb-sV$A8BV5l-qBJZWJ;i1a` zvknfuPQc@|#JK!^AAJNXOA<}fZwAJ|8n0fnjyKb3{8jv5PZHpF8LnS|52K->$zw3S z$!3;xzVWlL`b7x%r=NA7%rMuLoOB>}kV_V8cs_gn;TdM3ppb&{*7}oY(dE2O4KxnB zKe_dwKKvN@4bSQ3-gJZA%UB|A^!DcRe0zkY63G?PE4Z%Cpgo2FmQc?O7S#VhB$#=W zj#itywKV)r5HqD{$$t4spb#VXEyj(9ZCwO>t^+hU;JY?Q&sCW!KGuy$4zFPw59rlk z1cJt1N=R8Wo_lL1r&Z_@-zoC0lL0L`QhlO^Xv59bTw+zsA5Jw8WMt$K*bQ*uRuv)W zrLbYlULtoPX${?E1SgpTh z^Zle%KuiY^ln7XhYMdGn_SqXS1~YEQF)LksbyvJznl6{>Cnwh*_t4SkQkJORwuo1HlvTN`S51>qQ`1nIzW}i@N0O#Ub^I&>F{a2M zHmh(~)LHYdLS4!~2(iE7a-sU5np*|3y6_7sm9k2FHE{=CIEvhh-+>KcvkQFu}$@ zx2K?JCEb{#ut#s#*fbq|n&;?A%M|G`&=*UV&I6H<0s<&A}dBeUtH_H5K^1D{6FhOkVa)2UZoLEM&X6q|RBv(XCBnaV>uouF+Pwz{O$+leh7{~1u6>_o4JH5~>4xCBXZaxB}!>du6DWbB$ z^3tnIybV*@UD$cLP((|jUfXi6ESAsH(9sdr0s;?xtmT;j1s7n7AwcJ*a@P;^CL#G2 zbdB2grO()^45Ed(_E6kRY>(pu!1e@7=et}U<%LQ`TUQ}%F+TM&euikbr(yzUP&mdkC!}S5Ecco2!X^9ZcnUxjF?>MBk6M=NYK_DSr!;DtV?{ zLrVY<7}=lV?Ot@0L|LVP#}FmTtHFg38d?XF5){lX(v29)FGXN2_ysweIuDF~Rh7Wl z34Kq!5k4)*l3I=L=}ej(FsxTC7Xo=C>_US3_$2BQj*>~ZB0k`_NDY77LGXwh6!FFr zDCNJJqmh?{r)fu<;r#tsldvlb24`jRYfn`ebPiuYt%}L+P1AAvHgX<~3R-e-w;CR38CKTblniagY} zK93cNuuLfgaDytKqR0GLd}MrNK0D3WQ%SouC^13r-$1CB=T12As)|%-2@*=_%H@ud znl<0A3tL|wZ3VqPfa_9HslsBORj=?BlI9Z_0Ra=;Fh4rQm(y8;sYej_lzx7^;CnnD zy@%bsB%gdhRAg@m4G=3xxj*udyzU}&ygyjHU!eOv7?S4zf1$0v@H@wzUiGn)GN%wQ zV2K7FYaE9khu;O1kZ(i^~ z^dtB@wXy%h*jGl?5o}$O1PehEENHM09D=*M2e)9s-Q6v?ySuyVg%I4`-QDeC(~$SR znfWto{)Dx1yQ`~C**^Q6+SN=Z2MO`rXIL&7^d!_~pDpPvPSW?;w*b2`z+L^%`dwe z%_}$jFKd3>H+!yns^4|^kIMNBdtoz-8YP@8!WKobcDbgFZChL|7S1j# zjIv8qy?Kj!c8S=UipH3hh=#mdp$UqoOU!?`DFH?HVh}1czOeniGb<*yn#aN)9J_G@T;518-*^MXm#GZN`;7%% zLHJkO1no*Aiad!;&>aU+ujaCZ)bc035 zX9>}mc!7_+8M5V5VYw-ErzUTPp2g*s{)_%W@lQqy_Leq zrCB}9i9JDt8FLBA?e4q~uEtv*Cz*c{!lDO%xx@{|u@!jWmjHT=c$3u0xwz(G9$KJFeSa%YQshjERAJq0QK^erz<#b*mSqaFAd^$Q=XHdxeuDryN zmcayLkOWdFf+7%G?eFI)dSTDIAl)WDO zvsseWuxX3-)**?SQIlibcI~(8)yi4HaYQXi^c0GTO}A?5Bc*83%u=(d+WQyJKT z339##ivg*1_&f4Mc1K)}fN&VuavtG}!i|r(!Ip%xFemEfgI^0YnpAX?{!V`rH&fy1 zUnFZ1{g59?QJ%P@G(9qfYEP`=svcrK+nEC@&>@`4A|nye&CViwKp{D{y?D`&)51Pw zmqZ#y^BgtldXN0Z#zg~LG*+uc=042i@dqP{P=_Wk}DS>jm3 z`b+O!4}SYfcb(a{Ef3bOVq-AGOB$|viX2}uuZK%+QSraDmtjsCdM>V6yOmQU=&gR-RJt!HJ<|1^hjUjxnZ62TW&z&l%7^Ov|Ti-C{V92E${M|HDv=h zhRO~~if=rLag9eg7?SiJeHP>K0=>qN_UYmTpn#%xaajDgXmC*$1Hc}e4Ymcm7o&!8 zSK-fRc($6(x4$yrXY^hM;+KLONeGDKp}dY$F8r4P`!cw^*+xDB4cTpdM+))Z5vfdx zUh3cTO%iy7W$Dw^wQN|+`+aV0TXPQw|D%W4h34Y2qT_e8_VUZog2y(sS7-}2#fERd z?k^u{?=^KXSN);-%;M8wSv72vb*-iNxX zj-kwAxzh193A*K@rI>mT+lOa)_L!W;Le zgl`J)j8602=$gb)My$Io#nM&xEBsEB>*q>*7UI{v2xmtkFP$rWUyK1Vh~WMTKL7%| z_w^%)@&7Y_Vwi7k^@mS`k8h8T3=Y%~QybT=Rva*a1s!%2 zTKBJ7cV1b^QCOI$l8OjgXJ4Mwldp{xruYtyYY36O)^H`mW4)IjECRoUM?sAq!W3{0_cET>Kxw=>Wr4Gl=`BIn~3_1m-ut6PLHu}VW zRoWh%93H2>qA|ievyljjx|cnOO$Aa`$8RDvFCVJ7aJPq3*ux;;BqdH)&V$OURP87c2QFuwfnbmUgu8{%aPP?gP`dY;jcfUWf#1m3?wP2`~sE;8G%!-MDF-Y ztpoL*5LW;-VmZelW*N|15-po?@%j?T)uZ*?jcagNf+HpVl;~2*h_S!i*uYW&>nm`)Avkl?$1M%0iuet z=8n%Eq}13p@7O7bWVLyVm}{m`&ny^)`m6t<@aB8ogGsRC*d;13{d9aiW|WY^nY$VQ3nVhRAlDvg$(1jy0V6apA~&S2T;~X5>v;FMN|c z4D|THUE$dpYwF1i&31ezzpcrhO%*YH7h%%B)rnr<)=@_x*OW%CABQq~jedH$v5Z01 zKW;nh(*z5IFMA#J0}*f755LnUH^nMU9kZRA@QA?U?(QDWH|U`I9v|zv&-!cCC*90% zz5U?UgO8}!O0C_i8h#Q-ooF;rqOYw}KfD3Ck&S?58e^)$Iiq)GyO@OHs+OM5--BpbFeU=FR;yd42S%6crG0#RqkCReEl@oH0JGk@==7gd!e-#f}AtIWNjSZ z={P=GmNG(Co|LrP<5z5;SJk(x#5dTD*x`f`Qo@87bq4Sl_3zQ44V(N!^0~fC4wZ`T zH7Y0U8`1XkT;+@srM3Q{13R&W8OnKZXP1TQ%JUiDu&>xnjj|Z3wdkde4@Nf zi}L+;v=Mt?2@&@Kp`fU=)3RYecrilvIe>rZ0ntnaWcyW~ZSd&%z~)`kBD>0MMbez<8owr*PVRIU(u3U#V`rp?6 z{$tigQ|^m#3%NxJCfQe)L0p&Vorfa~nTW7Zib8jdRBN86KX%mG&fdxGIsQe-fgBs5 z@s1NTsHlgDL8`VM(>X1p-!+!t!#r*-fW{}^tl?_4>P&tfk9^u)q%&yHG(5Vww(Xm+ zvKx(wEO*I}`!>90Q^rk0^DoYnS-w5o*6}7)}~V znv!fT?y#RN8uxYXm*0l^bR~EDPlu#zEIC}Rw5?RouFe9bBzjPA_d;NU#t~{1#K?`U z;lxmWd+Q$?$rz>)+(Z+ zZD#rySMkY+6+&68S&Tf)&T8_Ir$5?(7P!O3k%Z%v3Lm zZ_9qMlFDDKhJI*-huOw`Al{y4s<(qXwf<14cVacSzONtm#n)EZ8VbF_Lzj4Mh~e*^ zz3ufIeGlT;jlBrdnFx$5qJq{QtC%26dzb=g#2C^|^Kx#KwI?>j-A&f0`e6N#fH&Cv z$YB~Sq2mtw(a7;JV{=nb$qsIkdTcsoMLir2q2_V{#EN(X{KA9IzHCSI!gP_3O^~=J zlru_-23oF(Y!%@?jv>A>EV6#dfQcs-(w?Z@Mh)_=YOG4xUVCCp1v{VfDI0a>+TZt_1*2g9m}?K#Yyaa3fr2ppxs6M z?2c`dGJ$WK4)RIeN*^MEd`lNi^tzGre93Ua=G+Xh$j`QS3o3jN;QtJ}d6 z($DiS5}_~5rr{Z1Ow(hR(8`}EhZEC)j5I8ag?8}fW89BD!~7uODAcvCJP1O#k8dya z);OdqWi?jFN&XZQll(S=bvDV!%S5>0+%m>{D*FDlmAt+r(!BH;lIOg1*4}p?p~mcn z``tsq$&rt_RE31kEPvw7m2l)_{@X$=4m^%=T1ieiMi>+dU0lOSONN4HK}XmA2O}oM z?)lw3AuU_!R1T@#fpEOqo_N zxC5W=Rd?s1vt<>13+6U8H1*=e?GkdAbqd#R9?bA#GgtEK_UYCSLXgj7uCLLCXUBSD z2`2_GkD7xr(kVIDzLT|dG9}zE`)JL~V1AcELr2Vg-oMr!kx+4qn((|KC&Q2Pzt;-i z>Fct1CyP_|$1nE_M*El%*InNdJ!c683P9OPX3!dsv0cAKyRFANot{ODD#{8|kHv+> zaQJZhrKD2uBe(2}8v_syy_uj@$GX&%-g8jC~Sf9g% z)m&gSNVs6jIDad1xqSTC`>kCl-&W4%!`1shQ3)TJ9tOM3>n{HfM#bcW%){!_VLxqQ z?ZiK6Jhzi#)1m3fdXS$N0EY`xO#YJ^YodA~B zz;?v_)4j8Ej~T;=_iv2>io)Wck*RNojU)WjmzZ zZ0}C&jS5^?4eP}vOHU`=bW@ZgF1(#wEE-?zled*vHe*+6YkF;sM;;%nM*rvz*F7lQ z`3bITYBC?n-CF7*f85;~GsT~S{(I}7!w5U2(caZ?6rJV*@eT zUPRF`lhj~yYMODJ56cA^6}#R$8&p-DVyIX1Jc-uQmRngD)394yGE+DwDABrGTEqNw zhs`UGUsG3ybe&v}Fp8Oet!`*!W`Me1?c@Ch2d1iX-GlVfUAd*T6lxIGb~4s>619@o z2fqz8ah-iTjQW2di_gn(=it!Dpal5yai2d%Rao+DW!3%kh+zkVM%R1W=g9l`40WmI zish~gV!}bi$uha=inmO#)3)#48RN9s-fD-USjkCl#Y@V6CZjSeAje9Um2@kE6ei{h zlw`AB`}(~Fu!hm{@iDaoMyDffF&^U+19f690Z!_^2yeC|?)k^QbJ#jtFmHXV%$^ae zUo=jAy@M?+5?+rbqm0#q6Q?M;t$>I|9($#U`Kk!-H#Qgdz=jIWL5Y18O~XV1bzSlaqx=Q1EJkoD5St zb)cq&-)aEk;kwe`A&5@G*9OqK_$pj*bRcu8H?YrJ(XXq{PjQHNHMJbZNO|rxv2fuqj)5r|Zuah63ErOPJVhMnVF72@ZDxXzqB^$xN5F z`4^TR$3hF1vGU0lXo3?o+4Cu7?ycE(`|e4*W4K*CtWO|#G%h>SJa z*i^f_;@Sx!=1jP3TyGd|_K~V!t0nFBiu@+c+uqi(X8%;-G15;Xl;+V4J0$#kOSk`cFf34SsrD0c_SVo4gRePMm?e?xy`bFjm1G z(qAEdP{yd+(GQnvDrhh@2S;Z?CWII4|M*PZ$+|dL#-P>|Nm5La&e&9|KbU5HT^qtW zUdNXhKE^U9yNB)?W52uP>7BMIbUE1dLBM#pn)2i75wl83L*3B~5{!sI))!vr@| z?hDdS`5dqENLdWM1;I^4|Cceb+(WA|GN@*iMT3mZTk-mFK&Zq1xSd(3pvfTRy=Ifq z_PKHn+VmBP_j|g)J(l5A4)ngYirHU5p&}AkC;NN`jNRec(GQYtMB?F`rIj<3Dcu7( zOms=ZMo*{@1XI{&qKSUFXi4NmZ8o;;4d=}Sbg3ulflhlc!|ME&$rs*T*Pk%C{JtqU zsBxYgB-YjGhWyI?Tfpn}=Z!i}&s7+`Lld?CC-ziq;rO;0a||_ceoTq)@lO zWyoKvG`NfK*c>fiIXOJ09?0@Jrui1qn_TgAuQ7KVY%AIr`?oF9=R;kbj;)0{IEl=2 ziuDcjd%t;htP(%4=s}hBZ3|tA9PCkMELrPW zHc_(^Q{-~vgZx@wX|+0NheIb!bu{)F^lZ-B;>Osr^;YU=`C(!%--?W7ZR&AC*j>Fd zs}tXso4=14eg`{Q4bPWU#+1Vm%j3y80saAVYI$TL5}W!o~gw@an)g(4kCQ_8Chfh5{P)u8L#mZL6YhsFKhtr zy76SgD<1rOpSBR}3>otn(EU{w@Lm2l%-f7%D&f2{EvqGj8y+i19ASbIg=46*T?`?A zINCeNo9@6)AdovcPCw+3%;f*f#|Pda|89{&(-b5@g~CA3@ezua@fp|Dzyuns#+?` zu)Gap!=2N%uLqnsxCic7p>m@^b8BtdeI=rb3FU|=VOrAbkHd2mNLGw-O^-eXYmB$x4I%^Z6c^hRlQd?8h!U>C9ZnusG=GpLBH7W!?#ixo|Mj z{>O2Fjos76pZ?u;MaM|Hx(;1BJTRo9Jmsqn29Y4h(8HfNgm9KSPN*tR&5r2#+{_a; z@46DE9S32cZOTS};(O%Se9(lXU#9CWX{lmr&bZW^sp<5Cx||eds;$Y> z$KWfLE2(Ji>FXfnrZ0z$yY>0*uqq=P(J?F{>oj$+4u^^X{{T9Fu5`d}msQZj=^0sA zLXCp(iWqgV7|$hwCCu<7{Px!VnNSA`iZ|%@Y*&zHkT(>nY|^*4c7~%kfqzkZH6e&sVM z7jI`Q;D_F-`uV=lcMuiIl+U4sC$FFVZyfPIp~wGc@$FnM;mV3zs9UJbdMN(}d~`KW zbxsJ+fyhIVAP4TlJ`DBi&6ZCufh4IDbsbFqUuptZ)7FBpa1_`$Kc%V=ATpTQ9{Dd( zz7~GWLL}+N`C8!e0VuJq{eb`l?a>=fY}n^BjyZanslS5tvk5d>B)k@}5H{U?$ZYGe zN2u?&z9EQKgnfMVZ%>2n!sAfhU~WLmJ|p7iSC*ER2a45}!usmv&U5LE8=6ak++-2* zgS@`!(iL%Y=-r`FzO8*y0bGd?RzCVh3ZRA=aZe{Xwh7t_hq&E8)x-kYEWpQmF5en) zGVmM09pW;tK``6y=1mS3lNAe)O^QlQojS#CbN)%@Mc&V-057DUuf|{6cuKX~n;cKQ z!jC=h83z;TM;1j5c(I;>&wxT8f#$JSKC*KzuNhwEsB>E~98xsm?^5OC|AD-+1%Ax9 z&m91HA6w11b+g;7UTMT~K)b@eno#Qld}KD@x2o~SYuOmpRH;BE2kdin0+%6 z2JQ;2?U8IGe~n2(K%nE&V&Gc-4$#jHb!s*9@6E2p9SgKZ82;Lqj`ifm!{g~ORD@Ql zc#!56Fs$)A9vdnn@l{+-zD(w;b;sl#cUU^})r8QMvFJ~ze}kNXOs}TgFos-9gq%B= zfm~aj+Ek=S6$LDpt%}0`9rikYfgH2Et_~5@HNW#U_#XCiEww?7$rMY8WkFbHC!F1h z^};TJH1~48T5bOpi3ww|g6r*58F+9fZP!h_+TX#hWy;)vQngJ8f2`7=>q7_cohnn| z^JMnT9Ny8PXj1uPX>CmdiVZtzoavbIg|3N8 zFr0eTs>BLGOHa!UWcVI*Q(M-70dMbogc00}b~JEH!4gQ^!%5GFqxBMUEn_(ylIgAY zVukC1TOTJF3;-LqD>zUd?5y zPJlE?j;R?uWaC~nZMRyII3f+rRLwCqN|-oyw=c~-ZF63u!vFKxaQY-z?#JPiyE}in zM@whvP?wK3rmjEN!hIV1ut1Mg!ubSeIJ~3rX@VvOWj#BNs=UQmLz-je0!W+0 z4$6o4($6gGt!?i#)#{8}p|9{X=0~2ciArmN!`~IW=1Mr`RWhD89GtP1DF_dJ!jR}w z?SL4Adl>#!eZU|rpVbhmOt^7Ub6uk6RGDaZt{<;BLt|rbuUKz);=)EQ)Dzv7UP!+N z!hhC(OfCXVKCk(SE14k1%Of02AKDwJ;lg7vUWbzu6HkS(ejxSu-Xzx?*9m=jw0QrS zs!M#j=F4-x=kr|2w9@kJ=D>-2q&&TcntH34-wA1pO0)Bp@G6x8@lBaf}=ad$E%tsC`DyICCO?lD)rfZ($$r(GR;Zgbb)?#DVqKg1$= z@?;uKPxT-5iVDd?_NTpPXeLZS0VnQBJJyXgx#}I>cUwWWS1x-WyDn!yNo?XAhx0aC z$M^%br;|iC{^-3lZSemROGdu~)Re;EoIUw+%;j`CZT6?G74j}`l5BqAaB*ig+@&>J z@SG_Lr(zTaSqYh@R7y}&LJTEd7!J2lJ-h9+b0!6}U2xZUkr6-cpjr)|PiqeIL46l^ zh%Xb0B8Gyb4PI-X9T?i4sQK#eiU|b0i5W&|;rk3e#gmEkEZ@33Yiksm4;Uc4M~o7m zHk~a}0q0@G1`GP8a^OUMp%Z%_ zBfanV!L}fN7>XHlHhg>SF9wK2;P_eoVKz>kd?y3I2pJ>03EJ+&w^do0$25~8N$i}CBxi<9S zjsLHa2kvL*if4+6uWh(^iUlq^3j)LhXX`bZ?j8rxTuB`4e zT`ooQQl_5+u6(gN?{{5H|4ovNtk>iurr>Yf!RlWLXJL_;h+TpNQ9m=@7WPWAFW;Vu z#}C*c94vbzRcA;BC*vQ_Z6)LHq%M7CYU zr61F}pi3Qs?%9P>0~#@=sRC7AW>$;EjBEZIyRo{XD~qCI_ZTV-zW73MXT*HD?+NrC zd;V1HV)2wrd)czN!5EShIZEX}wEOeRfj@0#1Km*uo1AVQOmUsl{ff&qewk|F;sQ}x{AEr1(@fLQ zi7WVO9$|ras-F=X|HREG5FtKDv zJ7>z}TIG!mpY@j0iI%ZQxe))E4>NFV9Tz8v-sNd?^tXAn$VOCmryUi77^bF~H4rjp z)C)HGQO%7(ZKg-S)XIBZH^$*Zr{@uzcNLlm|=5)nKjU@|&39g4{2{9C{?D0Sq-vR`dQDOiIQsduKmJMcLztRyvluf&TuM;%l2?;GLxu4OCG_}SFRV-N-bQ~h5m*0z0l#$ z#-t2Hxy@eIi(c09Wi=YPCTdEL)3#w3Bpj8BU$Hsyj&w!}n{j8+`5v^+GJe*%0`L}L zd$vta%MK#=QJer6Dm-~f5o?b`rD25^8stKevxYq>btqCG$M}dNUnhSyI{G&8xWwKh zI2nQe8lMe}e;W?P<@^2x$3ohX?*j;GdLg6DWhL|0_b;$;En{7)H!Dhd`<#oCYj~Fx zPXut>DEHV?)rfF;oN4BfIemuRe+BAa3OYr8SD7cRm)umSD^-{$nG&a7bxI4);26-`jOqzk%InMh0pC0A}Kyj;1RYr9f!? zv3cv%c9vGj6@^AD?!gq0>y>{q+wy#c`B23ls1F$AyS6O#shk*SHi`~<$rnB239~GX zmF)qvufUz!#hPz2bM@3Zs#7aCMGHWy>f9~q)>puy4L&@;?_!1kpB3Z(K@aw0+E zq2YZL13npnX|s8l8Tver}`G0#FaQdu))HX0m5q=KXstCUbJm!2vmioF@xYUsx5OJwK0WC!Da{{XN;-&q| z$Nc~Cg8q|_`QKOmlaJZ{^_c{)#^o@HzqZJ=fV>4&8~lHLyI@UEO&)hOt>5?Bl~BKF z-mF>^vq!BjA-SAG#dETbK)0k0OaS^mKMXk6UCtv%I)dYk^W1({alGZvqE|?SIz9jy5Fg+2 zw#>RTB{Yq}=MM=deZg<89NSO0*nzUsz3=1r2S{#wG-W#e$3u$3Ab*)45Ve;rG2^19 zVfycI>fs&oFDXVzcPB3Uz!XuWJT$*rQ*7K`vssZp%F3R@{b*`Ez$oj-?J@!Xa+#s& zqET+&IwX2EXogFQR8lO*8^qLOJiv+RgGY2=*r#xU0{oq<;jY(5v`Fh(COO0G_CA~J zEze9{&5)D>F3->T#_zAK7=0WkvGDY$un&(m9n~D!a9eGx*#iT8<{5-WFJ*i;m$rP0DC-S_+PK)>?<3W?lzo1gmH z8HND0w2>r6likrw44R)YrXN0hC0%Br;rv(W50+BF0 z;*)n8FMdUa!exgKOmg1cq?~jtnVb+cnM?*xzErAt^AQtOi?}mzdUF~dkail@sT3(< zGMm5L9n01Px%+Di2ng7UO|>}Lppa>{wS*-z7!j%%E;ZG1Wg3oUWxcGOKocGS*&@J> zAK>99WB08ChketTEtaLZALT2wcty(rtxa$?zWZ&*%8KR>x4W(QiF|1X(hEQ-ZAd&f zdS6iB(`^bMk2aE?&h6pemtng%?(uLIz*J+if_MtW^AxcfC%F$g-F$b_#cMDivdq;$*a| z!zKi$Hs?{q>X*KE?UOI;h#@KK&>$MQ{HVNEQl2_V=sH&K0if}iEffkUaP0>ARo4YQx5FqtLg%m9jRlJ`kd(kUziTo9ur_d^YIfKT_g6MziPH`vxs zd@YVUWyamT(~5n6DlhaWM8iJxStsr!EBi0$)PBy&5p@u~>Z<1scfF?%m>~P?i{ATu zWMIKyE~Cbg0*W~8t?pDTnImq*dR$uS=7qz?Sknp3ldvF!2v)PM5cZ(00Z( z&AEa8YkQh)3l|%*GJG^p?lwiV3N9M)3bgT!cYsn34>hBq>Dt4tK^p$Jc)2yehS z_{wPHI6*ap^>pIp^7h@kpYBKe&7A~zLB427OlL=IjKwLO4(?|`K*r|r__<5+c4(0z z`3=w}F7P7kW8UV^R}lS$i)* zVQ)yeRnuU%y1 zoaG1Sj>8cbYj=3&(@(o*BXJk%Fz@HZCD}PG&vc?TjkQ8w;3S}hL<|Ec=@=|E*)>5w zrMVoVAaS}}*UXu+nE|K6F08ZP;F_ELEYR{wm~H5RY1xCDJ*pF#~#&82}{bz$o7N0Dm~2S&Qi3@+7M&rbI?| z?M~B*hlZ<`rR;d1k3e$s_H}JMhR+xnATe+SmJ+sxleYWuBGyvt(UW-a%NpU!?pXq%b}oL3-UURMllxKt#mg#muosOAB_DNT zsaZ%y{fkjRuM)8XQCB>Ju5b$C_NCp^8VP%F+!C<4oSe zLomqF)u2}Dcp&gjC z-(~KCfj|+26EE7GW$6onzOBjEQ1O&}YZhe~Pux6L=1q4;eQ<2>5QcE7c9|im)(msk zrxyer%$9CyT&I)>eKPQqjj-huO-dntj$MPg)v+@eHEI#|b!COeWn*AqxSXxjO{-*O zV^g)U6A=*sCO-)$H#c{qGwAVp0T`RLLoQQA3JbL+7$_)}fDW?RLR}yd#NwFJ+!12r zAjN`_-Vw!zDkDYak4O6X{c$HvbH_#c?*~Xbp2jPD=^7gK_B!kK1>w(R7zE%^^2H_O z${xo3*PPS{4&-XtB_<@;)!GRg;ed{4vOi+eYKOpWxt=$9*(1f**VlD)bl^K1fQpc` z3WZ|zO^J($B(U1#;Z?}xOL011j&=ZRDlTHcEyNcVmUKq~Viu7n(TsEyt*Kixcw_U= zExjrpS=qbI*U8=N-INYrb3|fkH+&GMd6Et2SvwEnnX0Vn+F)Ld;jFiHlcAR9us5#P zA47(fX17r@RjdTaz|O=Ul>l3&7_RNXbg6VY7jQn_27fb*{n+uavWJ-dxnbTFkTh1! zospcs%ssMib|-6l^E;4}^rz(r6OaLcF%*x%x}vtVf7xo&uRr5eoi`Cfv@__S)X1fJ zfEwAI8$zu>Wi4u(W#oJ@Zm{u}p?uBsP4X={U=VPVL6b(~*PWYXmy=kfpJVISdMhuB zTinDI#!KfQaX-l1@Xc@?<%-2(v0CRm1^uc3HcstMf1{`wvSY&YDJ4w=VAJNH)NFeI zWEUip7{<=Lp+1D+b5pmy8MRwAQrMl4rHkSf5s5%tHt6GXu0k1CQw>GAz5vvAb&`3CSq=X$=b)~u*QBNlj=L6Nt)V-k`OJI>3Dm00n@ChO3iA29)c)_9!^W? zzxSO!tw$ zkj&E&A82jbjFJFGetK&qYB{_0xN5FM&Ea^i%_ZIOw0e#_hKgiwDumW{;F8X)6rG>i z#zl~yzJ=_g)8Zv%^>ag~UeIM*-$$%(X%CMNcunQOT{?Gm9aS&xc^|-=T_19zBCnQn zx0*VO0|d4jLzzL+Hd6JrxZ*zt$M*h`G|iDuZF&#Yxpag^rAojRzQSn1Jaj#&)xedp zPQNzDNcN#Gz?tJlzyeqLPCLwTlr6sq9iskX}-k$*3H0nqwD=d zIr!wl&4v4{ozpogsz|X`?1d1+#LU>bS#m)VQ*6h2fucOvW36Z1*vIn93 z%d6sr!$z*vE8~}$?5PY`e;byl5N`yO`O*tIt+rSFhEek!+1!^8AFFluIqTx|LQ|#h z_ats(hSO&NChJoJb=nE{ZRh~`o$>e$y*PTX7Hoxa^-lVi{G**lA}XY_qL#H*Tkr% zpBe%+L-#?9*f6bZOw${#5ZP86(3V z?5kK*UeTulY@$;|F)Iyv@k?tF^4GwXy&0B`IffO6RGc23hk=pVJ1xeTCl;})P{UAE z9(D`EZmLokG^lV?8PUtqDM4(Ow+ZD(&cFXJ_K2cTojTr8lAn$Du?TQ#kS9?T3j+ZL zsSzKizHOU)NxrJb+BVee%(Cwh@T}80D~|nP?1I$+cN_vDEXT3$lh)tkW;~cVia8i+ zv!*tn{(%1i5Fc)vU%nK)hT4FR_-pN49EnLXmjNn+iNyZ54MSXSeu!fJLehc7%3HPXX~ zIo{Ouz8CuQxlv4rFNVrG3wUTig~nL6k@?lN{mosi33$&*D~Hb-3K|;ehs^g@m87r@ zDQ?8CzgrS(i2P>z<^-j(RA*dbHn;0>NR=-=n!gu)r3CcB&t7NN9luxl0w8sI=lHQN z#&H~{i%pD{>KuLT2I}LoO+x+}isrt1`~fQD9w*(*wc8tXoDo;1`E^FZ)b|o4^lWlo zj2!w$K#1ab#y|q@a#r{=L{pDbnfQna+RF^{T(+jWpGo1aBccOain)diL{f4=d;Uyt zcG?##iZA+Ekw(U8q4?VFYg6%QVb>Z6h}ReY9V&b&;s1wYspz``9))OM$5_RPSV6~J zcLyBdb#VxQ&jGsfrZdg8Lr;3R`n3;uWR&Ls%4@w7V)uyt8QINOw8*!BKaeiqLiLrG z(E2cl|50{~rXVBdyHDrPpV*-av15;+3_|PJ5F6ppSvR4L$&f5j1j*!0YU z&xfw`xf6iWGI)*c@({F2SUNg2{&kYGFlY@RaT)Z^y&~9J*qv>_Gzm@7Vr>wxhJa}8 zQY=t^^eylSq!T{pqi20-tE#iA7;|UQEPIGu{^ddMpwjG^aY6Q7@H##r>$`uQ27a{% zk3ow#xxvx>kwX}L@N`_tT9IvY>p^_kM+D*?2=(DcuGc|e8$KageXQD4{gfTmIha9f zkWDHG-}f2f|7K8X@Jip-tM{Tpo=If8-J?_`#uF4s?fNAIy%7lmlw(fGjgE zr?V{pj5-1T&jirp0OXSv4hoOk?vFo`h>7R}a5B4xMzJIT2mp7U0M|lP>h^HmECN?5 z4~PMG5ZE1iru8+Sv@J9{oQTDKmj)e!y4=#hACWjt+XDb98I~+KfFPvDQSHod8fWpI?alGhy7ukq z8bJ7rS=1Sh@%>n`8R0x>xfljCq-`?}>H!{uC>|hH@&GXW0fRc`vP7}?tJ%;F*8Nr# zAP>493E(N+35KrD7&1Arcu#uJa^!>m52!BR=TkY?aumTXNpqQGU*A>W$VqdID6vFiU&wE`l}Je z)XrdHwhv&+JvX=;o0d0|#TupZfmu<;ef_<+z5;i`|CR;A)1Sa@2WVmg_Qya*{t{nf zGII=29U>AEt^j-o+>5_HAkgzpx`#PtH-JdNHz(zV$_~!KzkiSN6z5(I`~WLyy5%-1 z$$s*0!6=?aO71#wAA z587}(10em<6Pw9&)CwR70c4z^*|gCV?+JvWpV{mdbC84^@kxUGg5&>f?L%w#*GJfB zXt@)^jLl-?R5H>Dw7j1Vfx7^jjHk#&rSscP=4*@HAHi7p-1mp{L#<7riT(@i?d_37 z!p_|r0HwGOZ)0XMlPew%P=g3~9JhcjH#NY>xfi}s_OM+rs~3e{YIc}m11-NDWhqr~ zF0G`|Y*QDw+~OEGcg9IQF1xx&19*%5DHCh*RE!MS#U&AGii;>OcRA9x9M2CI&bnEE z=s4h4&9yjH|2AyoD(x=w@WYcCxMy2#b|_EtaWA}h+d`TDF8pTix-XgLey-#Kof?Dr zbuITK6bvdE@tzLg6rTgyIk8$4sPwMLUwH^n)F@Z3Tz{D$W5W2N(5l=X8==e`4wMs# z7l4(vtc`SLG!`e|PS^^6!hlZ}8*L`^OAmNJlYOU5$&x1Ls#NipZMW;eM8aXcB&s7b zDhF6m6iQ|40I%36Ko63FOklIyrvW_>;wb`mJQ$75D^tY zN>J&NkdW?@?gnY4k?syfQc9#-y1P@PyW>!YhC_G9-N5hvzW0vtj&bj&dxjs3g}x!CY4bg<*gMk$Z#M#FTqn#6tZ;^wG&KlpaP4a^?58Z?}4)Xy519AdamzTNL2ba^ZdQ`d?%gJVtzeS0-JZ$xNc(+l4My#$=i ze*zY6dg>)``!%=uY?u5wBlxUwtm8rx8Kb`i7-q%I2##%pCrnp3J{a8$8wPJ&-K^5Zj)hkgNGn?hW7ssJ* zI;pI+AuD*1=ZTtx23c}gn3Z`Iaruiv0j=p-69etiVux+@^D4MZ6I6gv*3kX;4F&5e zjkthOgAAf2q%?z0Wnylt_Mc|`D&3!~KE2z^-KJUE6}ymHLs*dH`tjx4%A#!tF9JD= z0UTO&B}eJ$TKl|Zayb6`10CzViqt9XMB-SvVy%U$$I-g{tmtfmhK9%+jhy#=y&xRs zab?G6!YojWIQS?jvz2lb!Ugsh+w7&QRc4PXmN!f!CRVq7zl`RqG4%wxTRi@s>{KF%@d%u4DntCnkhV&pD^h!)E9BkLb zt;sMqD~voW)T;X$>WDwN?Qoi8RNJBkrUo)sZT_?0PVs=`+g~^Go)7*J440_V$7Rs{ z(YOe1LFQ$7mVt>DutdanM@<%QqZ2LMZ1Cal^%`D~t=f6b(v$_~gXJ#H!4xi+BjZyK zGxZsno=N(~GhGmXnUzc@$4i_h%S}cA@aV8LS>k@_km#vR6*=~Gw#pLvzNmijO}*pJ zOiqV0i0{Yqvb^W%ygH$pSu*&Jc{MoNPG7*0X;aXj$d%PGDm4cT$$)hjY}ke8&FmMM zTPq$U_aT7b$d-NqCMUJdu7CoVse(Hq5K88Cw<#9peh^@$Y|oVA;bUN=#;SN{JFz<- zq#JI5$fo^s3>>ke92<)Zzy)Ru3I@>c_OU@}NZuMBPb51nK1*axF4-w48|(a}^`OxMas^k9Y}q0cKYu zBqYnZ`t#@UB_=czwGF&|T9OmPjw%|ZcPtQNTBLbmH(l(|n@eDkF+O)Nr7Tlc-WX+Q z-zBAj3~#5^;4suv<)nnYHu2|(H+Hwx&`jZ*0yVxX#(KkMYTfd{=!0RE= z_iAcNZc0wp#E78(V2*}?M;1+{EoE~m*D3Izrh)T)xix*-yc6F1J^5XrUnV}`r006J zKIQ{2ldn;=ljt}X3uq{NNX8353eTVgFpy*}JHXwGyFRN?*T{Ts-D2_%>}=}ypQYeH zXn|fS5r)_OrvQm2IscwsYH>XWnE4yp@kAyXigC#h z`08@o`vEF&^Q9C(RT{1YO1#^tbVt+WwQwf5b>Z#OwJAeT8o2uf1bV>uq4ZDr%lTF6(%s>0iu%6al8wa^t~ z2_(S1M;B{%rXEP$t9Mcg2^{rpABwFVp6MfEQPqF}gmr?o8akdL<@=J)rKfF6$M$A2 zq;sZlJS3W>=SpzJKXLu-{-*sa$-u9f;xaX*HWhBtu-ZDHzrO(1C(*CD3daHc*6@2WfC77*PU4~!KQov%r z{W0tkNquP`TP`e94A6iZ$$1>5V!4`&XYxpxdLhd`_qqdq+SyY@&IFW8P77B_#S6Z7 ztfFP`A>%E5^H%4f-|h?fhnkRxEH(9vb#fO}Y7njZOxyWwttMvV2rMg(wZex=pE5sR zChisC6Qc&v-eT&B4{y}hx_Ufc{y)k=R&zme-WNbuGQ}xV7?7ugX;e{o02Q?4XefWS zF$aXxa1v28=YYdiEl^i>^V*4~YeWgzQf{lrw!o&Ob;hV~0`CLw0t|KCT9Rum7;gwl zv@UhPLmYElNX7TPd$ZgVT?C3f5OvU>+(qHugL1 zJm9o(+FK4N3ZVduJB36LKG(@l5a<{D2#@4=2SwgDU- zkOlf|~5&g=jp0<|`Q>L>E&@pqabuq0vd2u~7t-KU^X&&Ae&*r<@Z zAWwA-kbIDy4IB8_1@8b4riM(rYuFKNs(EZEd~!H3#x`=DoYI&b#!08y7Ad1IJ7OMH(C9k#RT*@B$k@ zO*ey-I#;KnsxEYgn*)8pqwk#efw;B3o%eF93>155{oK3YT>9}0vsEyZfCun6x#G%s zBWnZ6I4^-E+zs^hX3dV1B>@b?(rl>#KHZj$=b7NBVlwO z=dHG$Ij}7QA_sSOt^I#dv>Kdt>qKA>y=j~FEm~sOEnvz4pTSK>CsQ~Flm+GW^o`BB z$Y_L5nDU2@sd_Zb7H!0m9`Dkd->>1I?io0|?DtmVEwSmfHR-4{tM^0+-IoiW4J7mF za03Mbm|tPb`8uMTDI*Wm!KT1C(bMzWBSc?R{EchwE9b*iz#m9<6sT7WGd5~sjxZlT z<^}aF{-*hNm*b7DV7)Sk-Nv_N=cmtqZ#KW6A$xv*3eD$aC2_i*{Iz~_Mum}rLG?@A}82u9)9n|I6(kJ+9k1dR3)7^$g(uw()nzibk_` z3T78Tk8pn)>v)`JR9abrsr1-g01C=#R*-CsGhxY*aDj)1S0^9e%1s<2H>Hb~jIT14 z1Epo^sxrNI6&=k)^H7>7NC2rIDfGFfv$I;8%NW})vhwyTQVVU5SrUrWD0P(X7UgNw!A9W9G4r$-@sse*+cO{`oh9%f zUOGNF@n3r%^`2JkHJ|fEXBFS?{8!IuKiDs7w2=g^pI!ON{?^c;=t3W zFSt9`a7>1YiD~cQF%{&vEuVEbR#AToObkUuMeEok0olljq^(zJ@u-MFqdw)EP8Naj zNtBYh6Cp_K`)zh1Wm$zdgEtN&rIgCB;f&)szbE$FvAc~$zw-XLZ)X1H#e0P|-{iX6 zOztU6z~hlCkob1n!eltztg|<1m4}aW5hx}Qryf?3|LIn_RCHhN{Kc80p;+tc$tYbG{_TmSBkIKK ztv+rCS_{c1weE54S_3NEz(=<%Qzy8u_FIi>i-V;xWaf~4CwdcDJOZ4@?y3z(CtZ28 zBJ1vM+L!(fBNoI%_0vq9u7QOzYnk?rW7`4ufN0NBAUuM4T=v<7wO?I?09P&DyJLW>zqU{Yx`oEC`MdvfP(61Bd=)VuRAHf z>TI2Af1P42#kHh8#y4Jp_!ei((UARs$gEa`iI%Tx(2QJmX!``RUFdUD97rGna88)n+=VKnPfuJL^?#Jmgz z2|GM_V+R*uMn^aQfW{^?koSGf(}(n)_UY!y5_lTB)oHM4MK?9=y5@mnvJDHP)>(t; zL?K7Cv6BPN8VFH9*$(K)rgw|@Jwprq&@W|P{2i3de`mXN02OD6I*I@on37tF0x=y8wvD_R>C-|}KC zw>dB^YIp|&zQ}*I(#Cne)`n=6s+1ecp9Z;f@vjAjzF`uf15+LAE2Ag0M#?Ya zEVkcik2vKUSXrtxZBDu3>)TsxAFZNpK-8A$opG2`tG*8NBi*4DFT(LxH}nks0^18# zf}y8@F#DG^mtWOn+IqM=Ioh_Lzq02;*-+JzpCGUiOmgjAVXPR_w2=s0CV9|_CkpZ! z4-(<8H%%c*U*^G_fD92};se#u)4*7|Kqaq`qRFOsC})1>^KB@xifb|K`w0NC`A#PF z!Vv&1=HSwF0Li4y9eDbbHcV$Cdy|NIHD7;q6p;xm{n&9Ba&bVWUEhW(Hb%mLVMP)b zVRGdvi8<86(xvnIYV#ipZlxF<$QwXT!)(8qxjvQP1}QbD6|eSR->}fKEBL)&|ET^( zi97w+)e@L;N1lEFp1r=P^)QFRFCO3^jEe&Ps%?XVay4^X4t0$}>c9BX{QaLg>Tz_v zK$A}|P7e$T{@t4(j`j-OOjG$~%`ru<$+YHy?DO=U6arkwekk{TO{R1A9habPw-q?o*H?@uVPGJQ$u{w6^O>b=Qb^Q)kNoU;M~T?8cgQR-x2RBel2CXH{g&`s(NpGTNrKHU*T2cH`WZW z{j5CbipwR4=CiY_$0q{qW#*&VLp8Q*z+jdt7XG{UVF1p@E0q0zJ+w4!g3DR>g=3=9 z`yT-ZtJ`@!%ekR@e0hmlIF&AW{v?reW@2|>#Z)+5_LR%TewPe(yguA$eLXex>!xSd z^VbaGeUviJa!kxDENg^1Euo0-0*GSbOdU8ggB(A=FCqeK)>_MS7r3lA>g($RfW{68 zYz)mnJutGf`F0+h!$9S!6F6~~A=2h zZ=g?kGiO+4BCd04QnwT7<{nLUDk!zZvH#I76(;?%CaHpEDBz%7!g4K*{@V1qv#LGV z!*bF4T4%_runYnuW9Bopl1J8sbgEL`j}FUr^h6?lGEl-bO_83{ zS>g7W!`i)SxE=gK#V37b*lsXg28%w#E*um9nm!Wic&pJ6wWD zT_IJ#zzeixh2cq|hByCwkY55pQX!i(tQJdWdZ|X|96D8ih#g#N18_?yQElM=7pG(9+cqcxV;(Htecb zP_K}WNwef~JMAXoEMjUCUiyrj4-`H6KX;|D`C|uy1;fY1%|p~Tm!N3u(=Az_vvJW7 z7*LViTtslYy$vkcEK>X8BbfY_*|2@aCp@X4V-%W1IaeOR;83wyVIb2rmFj1P@)P%R zAWn3k%RmArBG4zOY^~pNx<*xKwO8k&@2KWLmRgmv%(#8+`~dL;|7@xkiv6?Gl>XH7 z;12;qi8bi!riZ=iBup)sPo$gJ=%fV#j44;Ev-sq06rcZxI3d20I0I-CYNZcIKoCuk zA+Pz-voe(^QU7FJ>HxI-ap~@r% z@Om5srN6yBK1%k~B8$z@YB-oC)fSVG**R||GH1-XY!IhIynoc-%%&<`H~V?)zp5ne zk4+%@DaizA*rm9j4Fii@Nja~hnfP;g^xqOU3FJAPt&8TSG+?eiPz;SV)Z0tG$*fGNW5}vmStP}eN>sq zu%t-V)U-Zt7Ovj`)UORmZs!3=wYR(9ENXY-3i%v&sfuq0<;}Nmrhx)C>XzuCJDH#9 zkaQsA!;3WC8U^w|VYBH9)h4~BB}^&>>EWL;5$eg1JRAnrggt5z&+7JLwcF{om9SnQ zoN_x@8GZIUE1F7Kvr0;~-f4fg;l3d{?~mln&xx<^A^&~vXi^BM&6D9BiM0sByZs@i zU*!hoHN*2c3c2~BxOoW|-pz!D6IG}``|wHkRJ`&Hg!5_j z13(1Q`J^^0269ñvL0l5L(ySIMl6wo4sBp{e4%#hVQdO+|%!&7TjGd57u07*?V zyQdQ1@83VHBWQ#n-bgw7@H(qXtxEd0sV+xld#!8bRRMJNyO?PaMb1^AUIcCdCwXqggBdMMq$+`PirF;US>Wx$3y@ZfP@`Y+Zwg^Gaoz z@-KQ61D70b#R`Bq9XWx0<>G|N&#~8hs$KCwQ)0N#eNpsAK}skno!uO@=rZGOV!`?> zko=tQerc~8AD*S+*}Xqr|L;PZfS2AYXPiTRNWfCTR?8(R?!M~hpO*Xo1xY2Dcy+f9 z{{z4Nhqpce;r}m+ormh}e|nq#kDrhN&^}U;pz7_)=~nOLWGFbD+}9EvfzaT5!hUB) zO;%h-tMK=o@&X=+KItJJ%qELL9}5@h1;n2x$}h{mUhv`O7qy_;QUs;1J-7YFD6jiPOePgCJx~xL(M9+0 zh+$%245tWqpPik7ykIquPv{lRr()rs*w)t8fRH}A3I$?G<#y zfmpm_+OmnqY47uX2(Ox_aG@VCiGt00zvLYh06j{~d9v}W(r!uP!)#`gM9$y)H$ZDC zVCY@jso;RqdlP6Zpo@q9b4v71I!>v~un&A(<<~I@%hFDJBrle`r)H46TV3uI{ap)~ z4Ue&8rRz?ODAL=0081g^A8kPt(^8hzIZ62iiy;&|Wy;edtAm7wj^68jCU4@NTvhELElh3C{hB(-WcwuqMkOl6%<)1C>Y zRJ@7%B7m62Af@{QUV`fN2P+gW424k_zSAVVeQqyOkWQ*FIHRly_>1T4s|&i2`3OWOa@QcxHH z9E?4=%3^j(HNN3<^LL=ikxt@PlR1CQsQ>g7HkOBDO82<|z@uOT1mkf4(M{AZWE+ej zZoXHSHu|7&JNrwoS&jB*E>jw}-^Pf0tseKq>knR}-)Ip4*t)9Z=2mZ=0!w^uD>XIg zu(QhhXZn!OYW^?4oCC2+OH21WmgJ?4T~4+jK$rql8Q@O-73%H!O#u?u{*OrzpM$gV za_0J%Ao+(BXs}^EItg@K<2XDx_)wmvJom#8Y;!jV7){3-d%8I71FJO}$wpg%2L<*x z*qSjxHa};Vv;^l6NLcawfvTHw*%ZUs_CzsH0J@19hp` zXn;UHhvO%iNU`|h+df}ip+Z#^6>#|#_4Rl>TREk!r#t(Yc0ss50pgE=N7}xVfP@-Wabh;6Q}5JV^dmeGpVN*Kz{^rn%-CaNV`h&| zEdTr?^!GgZ=9m*5J+}*OQbP;3syGF@nc}!Zf&-ufiH(f~(v(9jaWcd)>2xq_0XS4Q ztze!x#K$3bZ*Ak;UL3#Q_a7csfSUhW2W&v*=G>0rXN}?x%uDarg7VC1g?H-}n2NaP zSr5nZs(^Yw0F%OotvnkKsBDwhtM*XFmc@{tKOgiEGx%Cr^O6M3T38y&XLLlP`|XauyHX8HzKCY9}ZXVSOrE!LM-d-Khp>eKrb7bkJ$Q^4+WL}^N} z3PdlRm!R)4k=I>45Lx$`@K8|g{jLi9uE&x3l-%t5JQhw2W%FyRa%_}=r;@VSX~NlNzrV z^*m{Xsj1%|v!|lNEH=6T`TU9K>ApHpvAn_9Z>nx&GaV1IaeU0N0ym1BBHv&G=kXoK z@%>y)(s$csN^fYB`(?WTvz3DbD~=*%^R#>bzh=v)4~Gd^?w2R#?nnjJf=5j9a5~N0 z!Eh0!imtMw-U#bozb=(DXH9;i_|n3&-PeNlHYLRC^*6lqi$uy7o(dxZXK)$YZ(z1R z&|V}WzG(RET~kxjYFfPj1Y2A4$T|Z091e~)R$#Zc>P$YTUNh>ADFNXevxPFyaP*b1 zJeIILbIwjuAc21WkkW7*YIst{8D8)P6(>&aqWK6H8H2$z%@k;Z*ssa9d-W-IiaxPL*L8aQg zl^&e9F$l^d>}=gCEy4ns{2%EJ&%QtH)cGt>1fQ#2e}R5mQBlF0SA&Af>MwyKcd%T( z@;+stLEY~8o4r)b$erd$K*ETcOv4=0d7nAdt}`(1`2@d{is9F4aQyL9610%|PlV;M zeYR(|3Y%UO;=im7pv4mQ`EMV5^xzs)4)IQ4l>csH{I;!&!?kATX&w}4tG2jEKH^)8 z8BTs0tn*mue#O9Q8(*un%Y1InOr|dLLl^Vozc+ji3geB&kI;guJ#QN|jJ@JA&}U}} z-z}3`L*T!{W@{Zo{Bm3=BHdrmP%86(w&l@v0&m&X_kcZbsa zOcaE26b3@^6RoZ6E8J*oV7kU@BIHg~r9184uL*&TV^%N%@kz7qWRv7hVx4?nzyI;m z>8T9u#A-px9v2c)r2?ir*#zYDaOh1VJ8D=MeUW#iryCMg_-mh5r;`SH8qzPO2H%iN4 zH5n=i!oQL2U3S|d`h}icC?=c`UGeZ(O)ar>RsA$|Cvw9#5%dr1YC_^i|5Qej-*?pJ#Lj?h#Gx+$#cihV)XO5`TEC9bR2 zOBZ|{{LChf@`d?^n-1sRIbl%wIS7gDKDGpjha$zj$l0!0s^7&Alr%nIglgMu!BItj z1}$}u)t>H$RT)t?s`}28%%YV9re@2j-Y?0}Bc~s=G*U{$;*z2aD@QSTq+^qXm*@86PmRV~!w=Iyk|mYq zjR=RiK zCXV}u9m^+RF`M`Em(=Hxy^AvrIxemL=s`w;{1PlqcFw0e ziPfz&e6-sTRu&c(nu`f^_V2uM*(3fI->n*sVxrs8SV|#BuJIyB8eEUis$0=j&X&?@ zuddF2cbzL(5tkvb`!DfWKOg_XMtmZV^=jjPb~F;EawmplH?!0))-1C9IjhCMoGD}B zsyXda2)L)rLn2p`;^%;kp3bV(G7r$ejoe5NFw~@5uIcc%f{>U{5U|&!uG)!b4p}9or6a|c zu=J*X|J@w>M;ko;EhVsq_U$W30DYX1QzM{%tE<_ojbwL0<8He08kQ2@onWqXQcH4okEuO^CtwuZ*$%5rR-jH09BvCY!D$OHmc zY;y8IUP<1O!2D}JRlE@E$6V{&9sAmNNHD#%wl}`A5(&AF1Lw}Q&2|AReWr>B<3|1q z6s?D)kKe5)eXg5)+o9Ul+R@8jR>AZa4VmtS2Hm& z;r@$CaD7KXL5eQ#%SaGJVijHmfk0yXaDRdXiy%G>J`Q4z>UiA+N}8zf@PDQxLhht9 zU9U;YhN8%R)KEMz0mh~Jk>V~$QA|XdAhCUFPNcYSxHizKWHt#V9bmAx2N`|?Ik5I0 zNBqS=zgOHWk7&&3+0^%kO6&sSGvQphS^3!5qCHo}jJejFUo(3VYl?_Gaf$zoRI$(4 z;||C-%^+!akcLb33nnO{{`DZ4bti^9SVKfa1XpVj=IikFO|=tn&%N4d+L~pM(o%kn zw0DS>;yjqG@cB=Mh32JJ z6>34eGNzxdmT@yh=;_tsPZowu=NZ?9k7w5hz7sb6ea}vpeLed*pwd}SlnOOt>%p9X zjmbe=RYfBpkGfchSHdGH6J`&c9ksq{)KV@~$rFCk=n16Mk88v(tueS*GemQD)9c-~ zo`r_n{8HEZ*3ja9CeOjw-_UWa*LLHn6HaK_^J`6Sh&PhjP zl$20U=NkHa@(ANckF9T^C-N34?qLg*&1DzJ+i8@}W@cvGuBQSUpMD(e*fGMx@zV%( zoUf63h`XA#^A6UW`mYPW!tuA=DhVJOkJ4k{i=;iNf&xN<&L+mGwr&TL(D2#1vj zXp!=FUo9EMdw*_i$Qy}#hiQ3%&X2DZZ|%1n932&F?okB)(i8a(I@Ii}B{;de`Id{3 zTGlQcUxTs~VG~UmdXMh@8~)%r5K1s3 zA^f_LIaUJH`k(GKXZIR|dsyuO=fL`n0OwVh)R@#*Hf!``D!Fi{G2$gN?r}Sz>{N2Y zg@bL8#fd|!7B=|lDV$D!JlDsRDEvb)M(H+x?QarQOfhvUEBq8e936_?AS6h}4f?Y6W#+D;DlSreUv9-#G8u zc--|%6^Ehr{oLK1D6GmAyfqojP5LRzc`M^qv}M+?cfF?dvS~Y~@$8^2AWMU9hx?`` z3=;q41uX2>HJt{yw!i8w{ShJj<4%^1*7iAxVacR#h02Wav{D76>SH|4jVwG0hK*^PP?O@h*RX$VXP!35MpS_pvSIn z=Ms$wddB?OWU_iwsyv$Ax%zZOcWT?I3@(r_n(eVQ*BzO1kUPhOlF8tk1 zs|l_@7swlvFz`|@U}a?;zwrPE&i3{;V|S;)0L37lbDdTVZQ1%9o^#%2^sX-Tc=A=$ zmlJGu-_`{_s}lzgzRdRkrTD6&;>qTO-;zKwb?vBZUPPS! zrQ!p!$iFk7U2;lYR(9ax;-c%i?u~j;5RUb)ErEtkh6MGyOQfNtEy&MTqK*U(?QLys zO1XF20-9s1?}^USj^@NEcr?~7leePnf6s1Ng!?>p z@-Q0UffO^v_FQWAyC3vl_JbywF)-l;z*oadhy!DJkd?O2BRz@*sc!pLj+W!uJH=J5 z{jrQLa?pvh1PPz-ThI3nVWW-56oieqtY6OZ=zXHzV^ThSv?B8k({v(xc=wpj_FX6e zOS)xzib!T2t-{|P#Xi9ngjVtS=3M25Qp!NF(cd3s)b7AVI5Ke;fRC-0coS%G(Iha) z5J$^rjwfE~)VlmW@SpAv?csfoxh{)+#%=k6!0~bip>*Z?TLVQ1UZ->bja!-F$TCC+ zhVrCTp+06JuE>zpQZtl>j6AFH_$D1na+{e7U%gp)qw5kcDXdeo}DK*o+oH< zvSll8R!S$7oOMX^@%e`oXlMLuw_H=s`PA>i_uZQGi5vIV6r#Z)zT4Qtwp`d@)12p7 zj7-s5zzF2GDAlq}cmG6|&{@@Cbp{35JM*cU+of2^r~=d`rxg(fdKSlmLDs(Pr(USU zv>uulZ>o-vql@UZD!%>x>m3=B@DBl}(S{C3Zw<5JOBA`5KJy*EecSWk{I=k zw5`dQmQuQ`?E8?W{q*h<;O1NYT(>vgvZ70$yHQoeMe7(#I#Wb<@x~v)Lv7p+j+~SD zWSwG!Vymle%XLxN^1AeVj|h3i!^wKyvg*oN(y21x6n?tuQy(GR$C<6GFD5cGgAyd3 zisDVX&sX6^Po>Bl$5j8=LEz=~guOLTpne7IP42DY97tx(Y?E{!8DYxagL~N#u7)`? zJ9~o1e$n6Ar5PSz-1aj5eEhTz+GnZMG~P*UX)~N4713lVE`v3Y+(ALMDmEHXB6t{= zjo?S>xXl+%Di3CB7R!tVA}VtFR>rZ}OUY%iF)=d7$a}ioUuJQ~O@wue6UMM{gor2O zY2L0!>Q$~r9(9w#$gp2Xtc3+^YsY&X9n;8K!*uqlrZfYg^Pg#=&OFDVJ93;kvv>{*frq?e-x-EJN5oY$kYp|AR?%aG73zO|yE&&!|n){Q@HNWsAsm0+a;>cLAsg~Uc7dNTn@$gY#2$fZU1vAk_F zF8@W@&>R+<4Y!7AaAS@hc%gSE0EUB`KE=DRm>p;Hlb+d^eEdqv>Sw!<-PtMTYOE9! zomlBd3~klf;v1zxshOE!CdSV01-=`S zRBUNn%18-nvcCwUtp30G(VLQq-gD3Vq8LC!msRBYl`WEa_&S&s)4n@`GUSJHs?4;s z5`GW%Ht*54CM8jm1O~_wX*eN#2tbsR2kT4SeGjOItg|p26?P^+~5B(c_Z?JNo)m)Oz`ZobmS7 zmAJ=;#hg+RiHAaMtqM07E2gCK`iKGHBcyjf^QPkCIywT}o|0=e4>u#1tgc0(AKAfy zlF_c%Bk0qDF_)_`#GXqtvE$RByFFwDe=W1yc z|KQpCB^58RgHv9gPre>8QHKCCLy3}Ie&&`T?S||=2Epw}x+yZC!|XV9D_5BU$dX7a z%*0b=7$<#(9)_69$qR>*EBp$BM`Qtf4OkR;m%+|}i_ZY$)7{bd+ss2gd zg#O$s{Nd&UG;L}U@T|)|@f51KhGAA0p+9rxO%L-r)LT9f$~k!ZlC1muVXWu;>guY2 zK!J+lyPJPK-zfu?nE9!9e-#Xck(!vmuuor6gk$Pr5`>ejY=@osDT)0(XRvWjT}+h4 z5==e#PqPnn50Ux{0K$z3Xc8-xtN5x+{g#M45?9eTu||}>B2G+9M!HP%1C99dPG&@p ze&x%3C4-$e*c57bx@)4@$^@yDaj1X(!L2edk~iNJ*OJIbkje|mkOwAR{Ll69fBov> z;^J$vW?oAEw<(=SPFEpNSTv9{Jn+?_5;c~7Tm1)i&UbSMXd@!fN07hJ=zvt2A~y3K zEy};#+6j+cTi)XC+NDY?M^Tb&3jJykYMYe6B9=dKyq~cS_c}5l2`^;~Mj+G?Nw1MB zl>=9r;TEePWVfar(Y92vcuqOT6citM{BE1P{^x!w5=pK5DZByb&WZEyjyS*(5@3`V z=lh8EBYT}aQAXD1@!7ZKdNt0fO`8makd>sz1S&=t%6~Lrr5WZFxtcJ3t^f%!scshe zRY57gcntEV;rdMSx=MDvn6Z?-54Z2{i4Ll`P~u08_mR)L3uB@=tCcZHrfM&V3~HQK zE;91iRv6WyalE@EHdEHl0%lIrbV+5C{?OE&;4{1q5(wTzIy(=K1knS-)9@BOSVhw3 znYceuDjmJA)n&%kqigqg=6u1cSRTL5U-+)1QUNx$ic{iPI9qrDi)8Gv*~zz(5utrT z&mLK)R^O&&hLo_L_o|eV5bK{Z5)wIT1qxz4?;>U;L5BqXyyLEbRO=9Cgb(s? z`}U>(dax>&wKoZ}gik7TQ%gvGriP7#gFLb{f!qCNcnnu_`r>peF75Hbfcfkd$Bx-% z)y|)fhK%JpsZg9O1(?sb%a5YRS&|m+bc0vEEBW$%w44e4o(irWw4BV zF(V@qbQ80yT!lxQ$$R7Z<=p*{;8HX9mb3U~GKp}U zF4+KLzB3cbX+;)pIvJhpIa6q_II?zbUL~__=*bz*S7SfXEc7!(KTc(3tZ6Jy>C9oj z9Ih#R70H-BftR(~05e#m&ce1bq_x0A`b_3#;FtGh{q!k|g=0jHMPKe_)WB+O+wTk< zI-RVKh@Qzcf0YwNDcn}-r&w}h^3K9;ctq-_{rpvmpcZjYs${Sj@`T(OE|&&bIWWls zPcV*W!#{XJZ!s!}wr(*iJbDN=h2EHxf4!ba#*s-azN@0Z>s>Gbce{~YkCiIH0<6#rm?R-+{Gv`MSg21^pG!V3T53%wF{Cp#`-`5D&F6efWzNCd z22BhWt7ZM9Y*Bgo;rk2r>S5yJ{JAzcpk4hHlJgpxc>|IQ#SRqL5-rI>?fSGBGVyvH z9D1^(igk^6WOveA&Jo+e7^)>-9@VNRjvq$obZ@U*t;ZK5kwUM;RnC4WuF)-terbYS zuSl%)>}}|hEQ*hkcF5S|FzLwtX%U>#hVj|VjlR@i@eGlqZ(@I@S_suH`_eJ2jC&A~ z4NvISy}uq4Ep+VSNaI)hXCxqw)lm%(!$8=0j+>0}0F9%s$nXQl{oG|;Iw3iDujAX> z$4RV3Y7N6(ifi^RJS*R`$eqU+WKDSeL&zy8Up-GcSE7Dp)UUPBC2R9BV6p8C+dw

LcqNmE#Q7crYNja-0KZQ7`c81Zr zM>8aGsAE98g50~bAu*YyaP9P;cT!HcK+ZEI6pR&%2zt1S!4G;hMAWbMPZ-XdWrJL# zXCn2^#fp%Nz7a6Y%ga=s82!Pq{}uS*e&yXop0D6|p?N~cDY|s9&e2r0TYjV7&VRnQ zm4M@yM?zbq!xTs46~Fme`@RTXZZ!GM!T?AlP>Dnmv4?fD;m)iWHl!MV)|%e^D>CR- z1OF=XTk8BtigYBtj&|5HbI86yy7c|0|1?ect|Pe6!pBZ|FUo)uNqon$$_c)K(^9dM zGusL>VW%Ia{MTNT5Z#;M%{Wu1(ZA>NBKIXdoY>F03`xoKt4CpkI&|snas>OtHc8#@ z7SA%;W@$dVoGYC-`HH?c;O_qKv#EwubKu~}rAo{{C#{yBx41ne{Iqwrzkt}_oBHIo zGvy%=uQP=sJ0WnVMs%KF(ECQf>`ru2mUD#*@(4Zh zbP~%)%Xg~-*PF*ze;nGK^?j;{WaXt7t8)D{(tgiSqi((KxqQZi8;TE{CQo}N0=NhM-Iurc-$=~dWbtVNV z`owpT>=B{{nP$qghlR{`>(0BT{v`=-^+mzSqawEp|NPrkA@*(S?`l?(0f|-i_@x0!K`ECa-Nw?6aRE)&gRVV8SJ6x`}Ca!rPJe01aSYxn7Z6AjMa z+z3b8nd{!XPU@NC@2#(rijTS@E>cdNv1bJ&jdtcPSeK3qI)25otxS}$cUM0cyZjw# zdj5*U>Tultvi(yA0!QDcw6em@2{K#3D*{Is#Mr_f1-;+SC z?wAtqD=cbDYVMS^=~*5(%1|dxRp^nH%YpS3`wv+O7ZmPKb1PJ#WT%V1@4Ts+k_!H3 z_i_gWv$>`ILul{bc5aV6@L98W&Nvz=*?cWpvYs{Zsj}wJ`1^DGb-58~%mI=$(Kio! zhks#J*ypJeitWfB#Q1r9DaI-z;y|BdZTWuBX~ZV`N4N7>Ll3E@9N-CotcOOk+6pLa zfFKBrkhLc^CtVZwK??eYf_(Ovz7c-zwOnYD8(teplJ<>jRA40SeAG9EoF_`m+&#DR zom6+QpFgjszb0{t1DAOC`m@LL+uJ-q$x#LM(0KRzmk(%RzH2>S?Ta6fkw@yviZhk5 z*?k;%k@hY!UxEzljBIRuN&xGaggNcLSD7ff2k2iuSk3dxW7WjUCUHB1v4H@FCrbqo zH<-pDnWMzZC5)dQuB@p@M2LW8pPWm_C%z!~@cg}I`8tYBU!O8$e8yL22V*p!Vs3QTtWfJREtEI4LyTtB$l4oyc0dM8%TsLO_G4=&g|qK|*sFqvg&_)D1R^B* zryoCkngm1VC?!Qi+Ci_Oo}OO7I*?Fj8a?ieSYDMze3#<}8$uA@mPE`tBCA0XK&SdI zP^OhA=c%~WT~6qDN<(1B7oa~5Qyyr7s=F}Z;JAJJ9zu%+w6U+M?l&!vb+`*@kbRHN zs{JziR{HHY75=*8>dea0Z9;?7itmtddOh0XKQLQ+b!HQ}Ml-5l5!db2#5B(v+nZsQ zOTTinsTA!3kmN^74h(A6+AIw;-8R@AzvF1uk{M9NHXzB^OuwEW(~}Q;;#4D_rtooO z_+zZ@zZ|6DWXBBTlJhrdHU^KTAA^CsIc_DoNvf7JypH=*mK`!1C{Mbzw%;lzZ zGdZ7_qNavQ^d*dIxqyIRXGedbmCvX{Mr&`^=e>T06GM?~`wQ6hSBhAv@_JdLu?zE& zRXSP80U~5lq^5JXX`<5i3>+EiW&PF1v#fW))a&^xYG#X>7=NcFM;rWgv|-)tQni_3 zdd8n&eCI!}ep={Fs(LzXZ85^^5{2z1etnQnT^Z=4g#ikLRZF4KUJ(I zdz)fww}V!T-A3_ile*`@HzDCCcKOu#yZy9@{T*UDHzs&h185%oF);!H20jH1%WboX zvxT}-lcypmu+5#-la2sIqrAKv zP;+5Fy%cgjT|u0ZYL_ovkwW6~$6)smlc{o1y}{Ubkz>(4Fcu+FgST_mmWKM_m z%~|cOp#s6PlZK{5c1e{hrK2U&($cmcf^6y9xW{i?s5$>ii%4?y@GO^amX_RNd-sc( zo$Dw~4^%f))mu|7q(zm=qP`{bGv_N;Zc0i#e$p~Yb?7-$-c!D6FqL4SrTs#i+m_qU z{2vm6s8oV5_8j48olGA!RgQ@u?{hAvW!aJK{bX8oNVUK=y^-|t2au~~6it|=ZZ&Gv z8MIV3=o>6m9r~}&TYBm;aZKNB9XQbHx^#1WiHW}Z%yQ~-mfUMo?%A%c_5MqwkR;u& zuy^&vZkm%H#Zv+DO1W%f^J8sc&8=o*8$CHjgL5hKXtuT>lWWqsG?8XBTY({68Ph^1 zjW1Tu0v1%X9M2NZ3`X!=0l=~|P(cK07pY23w->kOp%JJl=_fPRim`(gFM?2MBS}$a z%P<_H^Frvz1z(<+b8hQ1|E{V&BLozMJZi`(uuLZ^)@SyooD!ImpoW(>bA@ z0&3k+&-RX#(1%WVn$&l{>`(BmDGU!^xl5|h=)@)LsSFf8uenP0q`%R7WrFpPNV!~Y zSAoWR^1#Jt9S;sL`~=5>6iIVv`U%UsQ~-PZeibZ&>wNG6y~1=X;vi2dg%2t$D+J0+ z02(GXlc9_R zmydjGL&i(Izq!kH(D;8b_m*){zU{v*f{21ji6GtGf^<4`hajy864ISRiKKLhq(cly z34(NppoG$hba!_R!@3B6&-1MRf33at-ftGK=A+CoanF5U*KvN&K z#I0W_pe%DHETuJ#hu8PeH6~yG!)?=~lF8)3adMEoztvs@*)lG6u~C6c*#0Tvd-m4D z<>Dg&?Ik^+MYYr0p)*AQsNm=lQS0wK&C|q*{>3)*V53zkNh4AC`q7BR*`BuHtY?h- z&?ol?pB@VbO?)y`qOSHjy>H4lDNs@=BsCuYJC#el2dzcqI^TnmMa|MBiBbsdbq{q3 zC-Xsd97cN4sprOcrta_F{ltC3e6N<;dtp2{MeSgJyLGhllh;shTwq1mXR_fUGXAn! zg6Vycp{{@j7jLmI$f((iEkOA}cvz;V*Kgx`j$+9cc3Wswt9t*Y1Jfp<{*QB6aY7?KqaBo6Zf{Shu9=?i8aUeDAWm`$sk4C>-FO%I? z?oHnYBf0jhz9mOJcboTW3~+A&&*`nYSJ+m_m%WRw?l!Tms92{H;?Qvtfgm&Pp!(Bl zN*pnsl>@OeDy%)U>tY1iD~m5-y9!1}3|~t#O8w;}%1>X9?={odc6bgDMj z27n!U(ga6q`0+@c(UiEQbM;*Qx3;FS6E%330X6Ik8;O{9agM2WvHpkE*~l9mkmz8= zWU}F&dTYn}Fhg6;0;Gjd($w#B$Npw9fn*ma3Ljqu1(5oe4;q3L#Pr!{gO8X9amG2H z6dqu9Am+F+A5>PwL{Qt4$QXL&Syk8bY__El@yx{mlBc@)dD?9|){L7$(Wu!p+(f=z zy*!H&(@989w_k4mz&oUZ<>aWeBqF`BCfGHf>DaBi_HOBnYj*xEJOaE;O~E5Bzir^g zClvJnbMM(sZO}G$6JERu2vbL-)ph=ZsVqTy17ps0%R#ox8|b=nieEh7PH>y-m4WPAl0C%*;j zpg(8b1uTj3wbUtL%c4!A6L0&lNf`S|gnCPa-Uu|1MBa@`+|AgsZg#C8p=Bn;Nn{s@ z&*cf(j!6Hpe>AIxS>-i|q;YJ}rIU-<+d)09`(|q2F;JQC1%=RLux}g+Z`jS-HMd zFhYO5+4?^cRfnH%**0v+zma}uj4&hlaw_>{@hu*1DwNV7BDS^th$tkO`5s|ECNnEe zJhd9V5y8;CNsILz_f2_t_Y;Mt!?b<2n!EKUJ!NIPx7*3I?Z@$-FOQmL(*K%bwO6n` z)*s9GzNgXGtBt5^K|XRWc6Lt-hTfjY>sx8NeYrnJfkL6XPsKf?rS%byjneVvj{NG^sA@%=``^s_3|*+>w@dYCyy+i1_ggv zL&lR%p*NndeihCu!0yVWO(dO#XC99#oW^~Me)vWzvWXnP*m2c59Q=O;c|Kv2%jq&% z%*FZo8%vtnBRhxkDMP!4xvn&)&vz=ddop*Sj`KfgOkn(uQ=uwmKJk$u>mO|E#&e-; z(C$fCu}|Eph=t^AJYnjPmFh#VojAyd^ z@Nj^R6X@isWlwifG`tgXE|X->Ts@T2<@oYS4l-Cs|F9zg^ABRotDfy>dVr*Om!eH9 zcy-uEN&a_~y7ZXk&A8Mcf5onsLheSr5AtxnRzC#KyFRpa1gYkNMiR#Drz{fH36V3A zpi+;=^WWR~DRyMOd5DX4+XKy(y_HvpqNZlgeQmB}qv6*cw7I#_=sqc`T(m{Lr_nJFSSo1a=%v)!W4J)~iV9Uk$drGhw= z*E=xi!R`=lCM59Ip(~w!3(0j%J+LsQ{0}4GZT``>2)Woj1-2E z1H*Vj>_LqLrWZkVBOAPi5y&Y9N2tJV&@VT;;xC^KPs=;Ix{gg~36T~%2^9PYh9TaJ zE*9>j)q@#$r%}ChAl4O>sB#vI{rJ<)I|oE<+_-BP>wCsu%x^>P@&iVFq5{C$L% zLITsA3Ix%=+bZ&ki4dznhmTj8^Prl}hxmOw?ywe4PI;`-T`Kn1!uTs|%B%)5FZPLT z800kn_@3-17;X zS|NyQe>bu7w7F9&@R!hjG;Q0l=zjD@y@1D4F$tW*H1|G^kk!c%xx+;Hq=tmymz+d- z-G3{1RMun1-kd(eGHl7bvm-r6Ex#7p_eNelT77CcV0ZZFFs`2`ZG5)MbsYJ&6kKXg z@;(#F+*vyDvWq(U?zS$>fE4IZT8hMnw-VqzTpZXt#`bT1u4G!zY^fhw=JHD*dPKW}N?zSeL&sVm($x!z^ z!e2xOy*t);u^OW9_&atznTiCCTawL^_!-#O zGYBif^fxt1EwzfBw)@>v4Q+QGXwOxxoW$2s5P0r=CmVm7hABP5k}?_^8{cavsbmzY zlo?hM^9=)z)pl?%`9y5(EQ}qoyKJb#P(D-zKI&GZ!Dcj7?5T5t zWv&soVwi3AbTpeLE)Qf>H95gZCQc4~O1QmTq6Y4b^Do}B{#TGH5)m{-{3Qf+jT@{N z!OHgvJ2r+QO@V$8cczF)fscyiAST;W`HYlmy}b|joK8_8LrvBAl(LK>T)i@zxx&n< zLbi@53e1e-N-n}_D88aJftc7gx3mrHV>-IT?_r76Nsh)#^4~&!mAJer-E(%U9oyK( z?>klPcjr#Yf*(~dU-4iae30~9dRQg~_jgepN z98&3%FmVB$jO55hjUqErzVYibPD_(Yu^NXEl9#|2amY<20-*h$fJ@f&tlvWYMUlqT zwXNZ6Tgylmjw-Z;7Z9rrv8SkI&hPpiu2C|=JtOW4T_zr1VIeOHCV z1ld3oOrD;0zPb)~|AV$4TMA({M_F0g-vi>^!nS2w-C8l!p(2j|+y~D)9uwCbk6`4+ zoJIAM+DeU*Qv+^uoQ5B%hHV*txZ*ZUDdAgW_@UNlfxB)9niYPQVp?92XiX62<}^XT($QN_PY+Y1JW74)X|I! z9y^U<{feHM6#1|;hdcE(hT;&i-(`u^m3^C|DD22S9x{tNYp=Qe%s@yS-5-!;0g-dN zHwwzmGPdn$=5}7je!grVI*;7ww-6(hlM7}aqEal;G17tCKu#9UeZ}1?MOzF+5}X#S zbXS$ENjl1T zcvj)qcl!38!q*xijjG9C9kKcJJuO0K(%dbZd1nuhePFC1AID$5?|$?5*%^-00@b^h z=G}u&*@IPN0>M)ZZiJ=t{>d(LaeP1~f zk*#`yj049BnR{Pj3-qd;U-SogHBmqxT`+ob4Ue(f-NNsSBg#DGPC~R@DBd+p$M-4j zb@mHNUxDv)L@#1&zEo{vM3V1J4c`iK9;=@!ia4yAx8t)#p73a`i7P+U+9+75PBDqB z%YX6rkgWN^q&dFJ=vUwNOm0raoi^`uPbo+k%T>nlCWW)dp3+3TFrfCd#l2pXBFcY1 zi^kYLVP;?`&36ri0zJFEBxhLejybBEAPyi!9 z*ph_XL>4&FF)c_0R6ODuiBu=1*6r^eBs{$F8xALv*}^3=zHLQWMF>ZYs+Hg3#}j7z zOXyL20e=S;*=pd)YMk4Z0*96b8+C5G}K9v4GM?0VSU%v%8@;aMGxZT_+6nAQ&t= z^?Iy!kSCA+T=dp6={i{e4gbA7@ZbigMK5iJuMUsi~=9Fj(-p z3s|%ApYj?ppA9JvjyMGT7DpAaoBKyV#))~eHd2@}PwK|Awf5R!jOyd@Ecky;$`k2* zcudC2BH!Fue9-$bPoF+>^p<~jbqZ%eUS&q6)k{yEh8xCrDEvsbG}H!(jVs+xpK7N6g*xSsU= z%%Gf4svw`ua8us``*Ud#l8d7ZXw;t+=?gG2GP1I+0xilC=s$OIa_UMGamR4`Uom(w zfbHF9JV>;DPR-BEI&Q$PgG{Pvb$b=sj7G!Yp}2cy5UQgGtovLr6nUZm-} zQ9bv$swaEJ{Iucwr<>op62pnnm`t1TSJTBf@X<@Z@2m-VIw}x?-Gq&3T&}Dn>>?NH zv;qk%PFXR55vim(qE=-)G8mQHkfbkMW>V)KIEZ4a1ZcQ0V;`{P6Yj4exhtHIf3`RH z*!7X$_Vio@QqVjas@(@r5p=?(Q^XL!(fu8I(@)bPVZx?I7H}B&kiA z@fXkfLIp+#;NfJ$K8Lg#y^8+@xGU4(dVevet62XJ-p(DMxQlbb= z|C53nVOW?CzuoRV4Fx^{pQYi-Pk}KIpx{((oijakc+1COm%*`^o`^}oikMC4>&`MQ;nI;jn8c~0{AV~!Pw>>? z$#T8K8D~H!H?3uNkcRWIRS2<|H!iL{jFg?*3`~rk)3($-{#5etCtK>6H_oqyttpqW z>LW)}1q{{u{}YVQ%YN8N#8LlFc!4G0EC2MEXu{4Bz#`nn)2nK+^Y3)4hrWz8YzqtH z@>-}0jj(N9ty_VwV6tYy+uK|3ihj7##h5QsAZy?uI4dZM11V_iA9ljOwqH+(6#RT35)jGx_OZcWELkOV0? zbB6kzAWHDzwxjh5L#d*kA&C~7sBZN}0i|(l_>)U{)UY*A=r3H!{YzBu2CX+f>NS}H zOrfb_BYU-Sc4OG*b+DJWeCsUZvev~}uyW}XDEsm06&DAKDR2YdytzyAC|v(qC9L9( zr6MFKf}DXxzl>TXjSA*c_&$b4c;RU8fTT(^I{rkB%cLXkb7Dfl(^qNV`5wyNiXBweQTr|Gc?R{}kkG@^*Qt=eGAqf5>lNlEf{{IpI)qD^K55jj?%%(Dj(pZ; zHj<`F+))%d!_^5~Zlk9XH}@zfN(n!YvDI`}udCq~DFI0-0IV__62%p8#H zN_${Zs@(uq&-ZGp-t%#(+lo?aoQId-#_dQ7^%u1;8ZG$a>L_}QBreL&5F zhwEKoliyBCyf{ zSmPGk7ONKVh_ObcA0WT=b{+cJdHJ_Y6pYm~pw`qsy!hC*x3;G4%vvd%YB5N2*R|pm=|cgl1HuH zX=A%7=-V-VPw&PfJ9}!GIBwnJ(gKN$sDckAo_BQ7M3SQzv(>2*JKAvhvSUh#Zhr@0 z8*XN@xqG<2XC!5H;xaqQ2d?M!3-D|iA0%=y{VXfnZ1K(wsG^|G6hrlxC(bu45k1PPL5n*(~12r*LS? z#Xwv_P?c;sJoDb?KJ3jEdDB24yE`iZi_wqC)wCHPehb%^a>>rljtvB>hNXk?EP+^= zo!{%VtzOfuemOFDUL_7=g+kbF5y;Nd+}Svu(o!pD{su*C3!e`LVVxXh-cwL!*pg?B32D*uq#zoeP8<5i&AA#cb;9MNMvWH;Y1Dc3f78H)% z@`v9n>dX0==_9st4OVjU>)&+_n0NVQB1o+YwPakFAjb&wp$uu*Z}E){ZYyEiEI2OS z+?+nlEi^g5x^5?T01}t-{k>4P?n9sMqxsREyLQa?1#(BBR5(jS6(BR;A{PxCnc6Q*YGU99R zf>AF!kB5OTLV+E%hifaYZ4iD4!k}&PzP4=z`*hKLhD-OV?Y=)Xd{JvU_%)iMYraaY zw8KDI!7yeomn~-{EiD0b#eDwNidn4OB_Ah}m*=&j_-09N-bBGyj3E{;R=pKddrL{^ zU?;4U$GeEV*kD2Dm_W>iiUD*auph;W5%=ao3%Rq=Y{u(@uC{EzR&XwN0po#>ln=of zXXnv|WqCDFxD{D1HDYukyE!Fc+1S)o4-}ZPBiv&q@Sxw(lNVI`&>biszDQrze-Zm!jwN0R=v=0X{qi1TKFEP=l2)}*}6C9ZsDN2aS8=b<>CqjU4C-{ z>y*-$&D*t-?0jN}r(0Jn$T$7V`qalhP(6bN^O*8w9I!Z4h4b}Ii&VFw5)uJ|ZF=6$ z^A|0DlZjohXW}M)Yoa}*el58nd1ALpkkB|g(T69+L)RtpF)Wy|0@7vziw&9H1GXTh zS_XaDj_-d1+g&GRGNd9BE&xoMLf47A-bTJV94Zt#a1@?88MxPO*0>r+2c z(6)X4_V~rcrVItq&%>?^qP>3(*ASq=Dz(mTKr>~0X=fQ@W1k8(uu`#*%NOM8^+O}` z1e;>zp^3k{sN-_wa|Ea5IEocmyzG-7f14+NrayLs7T4AVZ~ne9Z!aR`y}qp%#L|)_ z2ck7OyqjT)CaCU=t$02`Or}{{cp4v{yi;L(|!ci(kYgOIxe@-s<1OUONbVX z|Is7-0nbgh_9me+3Vyt!hQtvc5UKu3p)BIG%h8E_faoOiH}erxzZY0obC;eTuDWix zfA6Utg;wAjM^S`sF1Eg_m|`*xXsy%z+ojZ?fM%CO)hw}yk< z#maVqj`s|Id`{O65!n-to*;dFQ3ynF%hIZVQv6KcSL=9r=g7qgYA-NF{Q2d#h-|Gp zLAJW{Cw}ftDGTFDsqMs+YM^)`V7nYt_+Csq3bKVOrc6e(U!7bu? z`hCq4F?+@)M!`uGuE}^+@u8f0-aA4gN^t#yd<$swI_*kn_&GtP=ccl;FkEN&*&{m^ z-l?bjsD9Lwe-3>D*PuuOqdjY-L|OePhNd=n?7)$1PEs~Z?e#&97fL_`H(pyEKS6lZ z{Z~mKxe7?c#^Cf|3YR4KUGjm;funaUCFFs0wEs7HQrp7TB!8Lj+s_UB=~S z>wjWcavW2GVC%;XB2?s3WKyRf+zfsIMV3x|#Ae&cN5w1cW%qs?OUl5@PQKMm7M+rI zV!@tPT+Qcv{4Qu)esAKDC7w(zRG)$=?&9@esTZdOK@k((>Jy}iQi|V^hjU;1MO=FB zui3^1Em>UQC%Z?FHJ@E89|2eL$q0S$nUoLy3t6ojx|3md;yK9 ztJOOPbuM{aeEgx2>~+)SXvRy@-GJ@}VJtm%@q&HJD)p+%ARckz zP~)Vi`>6-X@qn+*{CW&&w&+Q=LUj7Z)tvf_58U+P<+17pK!6CK~V;cUuO;p zgzHoWP@ewRYJRPPJI{*rS_ zE`Ie(&*auFc<`ZkDHcDJv;;0-S;@AJrdIwdm$F5QZUpjc;!YeIRR?8Lp1AUGjg zx16s57=-06*JD)5C51v>FfQj@!_$8#e)Zw27CbEh6SHx8fa);pr4VYK$rJ6AW_@~t zJ5qHfyo0xKK%n~kAn|3=$cbZqVhJXA0MlJ|6J`5YD|?jJ$Le|7$z{fEDwRp_=3=F% zY~Q3jMo>8f`VOzr%2D4yIn>V1MaH6{fNc|*sFxcU!3Q=@K$oX1g(u5wq@8-5Q(QI6 z?6MG)z^VgNWz11d^*BR%GwYw9954Q~$gF=r0N@iH&Z*>Fo78{0s9@D=WY+}C1i%8=#4Yg{ne%S(LH)Q23nY?N({;iuif>a190?Q`$;Jm zLwQqE^V&1)DqI@rMJGXzIN#*91EWsWeBoBfStGOK+o@4;DU!?|6Jlvso_ zS>zU2Ia(n+jw#`$ch3KBPI2F|h;0<`T9?r&TIuIW$P$YD+ z>M{F*xf~v`U5+cA-v9LCk0t*krCSclPT$%%hwFI6OzHhrnMjK*Yitxdm=B3rP%zF+3rVA??)QAyx zuje2K;{|p&`d}M)*uugG3e{Dw0>4;DOQGTI&GdFvX6rF9d(G)D;J3ssq=?%rjkbZ! z7SKI|{TMdvMZR`kBd~*gU^Qj9*;I*(CQ8n$in9o|$v=;bpF}{IKoj1#_NvidYxjs8TaUOlKjqE(3iC7ceB+)svGjF)z{P9OKofF08)RDsA z&ohGhB(Wr0=QJ^E#jwQV9Jrb42T54M&-at->)%5>%w|nekqr=Qqz#lDk1p2x(Gr@^ zL=1yU`ohr;7{}VKN#A`2CvdFHS9u^*cD4xw0Xp|ja05IX4brRZ&@tL}WfDRJ?f4x) z&I}wcpKR`|KnkC7X1_4cch~rF-Pz+I&Eu=QC5mpXTZ!ZcplAIKv_*?*s5Ug9eYT}V zjFjIaG%q{Z&f)>#7uA?tnZdg`N!BOJM_7BPuQl1~YQ8nHo!cvTtl$!6S&s;s9mY|0 z77b-IgLgX!EPA*yP5mY+UrZd3xZtQ2YYRCnmFnk@7QVQNtMsnEbu{mtRz8W~OAjl3 z$tCfIs05{4b8*-WZ~iCnu7upJK0;hvhW06HN39C2C(!JiY-|#tZ==0KpcRsaz7zEE z7bc5oe{n+5nUw6*86&i}Y72E9?JfMTJ^iPNm)}%iK?RmbP+0=XRG`R92TgR#O{Q); zT^N-qsuw-@=*xe5@C}P;n5B+SBb-DeV5`bfatkkLRp^}anN;tqK=lG9dXIhH-UxB{ zQ@VoUT05Dbt5M3Y0$q;`&RXs5eFz=*X@-Rm(9?zn^Q#fR{jCL4PgU$c z6KrVoMqXM3vM($G9XxK=yg-XE6IR38=!dJOe;!>1d0S2sdgjI>NRp4aZ=}tvi5u0>;I5Vw(6JV@?Pn}LHi&Nv_}6iVlKjUAD>Q6 z{Uz*Pm(yh>?j5(OJZK>TBGY=$0~?QK--IaU+k2)eSY5Mpv$|5y5-(WTS_MwNR;UQ8HKlm z_H7ogstqsGkI4N47K;USceS#w=CdE?7xer?iD}zCp052H`b^Dq-YMMEO&#x~g34+d zUiGg}!lWQ~h}^1M+gQz>AJO zeEU^42D0x{M!9KLDxZ<6Ft9`?$4%yNG|c7!qf$$=G(3!QCr?e?dG~TGNr3zYTH>qB z*yk>yY5*!jT`qe{#R26p;eIg04aWa~;chlVrE_2$2Mr8ZZ&bhf>aIyBPxeAwnC7yg zq95CEhdDB%!+pxcfkwbG-AOj?99EJsv>PV{Fh_2Q!&2BTR~2BiQkFiLR|Yt=;!iNg zxs8C5T{L?2;ly_>XvZ|I0 z(9k_+SnSLOo{I6cw8(?uIo zq0;)TN2$kbS2e#wD<3x}!Av1#C_i1KtabRtgoC4eNk$_<=pZvBSCPp_;+~Zu^A8$k zL1lQK#?)gNDzJF0f|DvXY$h1rbVYc+dAy3ybgS`;n`o%(hnXT9j=v5BAZFOIXTZG5 z{e3yZlYkfiD^$F=&jcu<;`D%0Qw)tB2N4}CG5?kgcl(ETB<1;xI6d<_c&1f2GzQ`E zv((P{R8jH2CAzlot2Sg>q|<~S>$t258FLZ^5D#K+?$EKM3YF0zeJT-XtZyTHTl#p$8j0#K1lh*;`eML)d$>1Zk|6G14n*cDgG9yB(9#aO$; z_U<`^v$I~A`1~pY?p-3maxP|Y_jK1~2z~f1L|$IGM7dwDu6iyZ*ICb{Z|3#o z*$m}eThJ1c+zVt!gLyhF`YCUAH@3dkcn6#B9j}@A!Rh`}ra&uqQuM$Sm2HF7)<_Nq z-59Yy@P4}XF2Z`)Nm>49E7vWK7P!V8is!%bx5f8-u)7WUy{+sz=!xIDjLln-h3NIY z-%LfM(_kF9S8TlUivBMi1=zI^Oe29}m$#sp86D3^4oME7#&TVkA>+quYe9w$Q3QRl zupAzIJK?g@Z?g}lky86pz48nuwem=*f5&5c`3bXE1#NhGw2gAV|EDvR{$n=J0({f5 z_}o1sA4BtyrpvjkDBWhQuis8|&M-BfC)r(^SAt0T^FD0bF~HC}e%r-TVcl8&JxTH| zB=^bZH?`}0$mi6i56XW`uF==3>ip@~Adi(!oS{v7#xbRA1q%ERg6;sp0&U&_{ps-F ztTpq^g*PmR%5K()bLFoz=j)plQYT3G9{#9h(L0(+;Pyj)yRmyanbQk_v5_L+lQhVg z$VMOz(Z4%!^sLm-HlyiA9Y!R!kw67Vg9Lq<7f=JM-8j>qaYt7|g}szNm9IMnZSCci zur;wR*`EOZ9%c2!W~4SpQfKTGoaWJX>LzhvXUhM|wea82zAD(JLQEFmg~dakUMzjQ z6GxV426ak+>pJf@J?T(xiQ(<{34=;|>cBrrX22)mcv(O1%(__|htu3B^eZ5%p{d)C zg?f1EWP)6*$kCI*WvBlTF*N0L8hnpzf_^^fh;L7v8fXEeGgl@ebynT1K20(RQrGJ< zTboDm#S*FShZi3sI2oV<4=4EztPkuvwP?cWL9V_t*-M}Ad%tpAas!(pk2(-CseBF$ zQj=OLkh}Q2*6XE}umr}dEZgKuFo#bCT_RV#gjI!eyVlqyGHY6-F8$Sk$FJphhX!+?slX{cKGsr1x>Ovhxt*0Gz4u; zy?#}i5nU+Zj9#4khwbuY*Pq(P=s(eB^)_Q?nbgok-=z#fq6whyk3k3EQ49W9ZA2{-tyfy(NOmxLib%@$fq1veYHB15$^5zei78ymTbyt&Z$O zZ&eY)T7yjPbUPTM6KC9G#{7rUU0NN6(5K&io1Pns76-y&GjTj$!_QfcGCDmIX1Qlj(kV?>ve+oFG>4=TVeX-Yz2*)XVKR%AKEs zDA{Ui0slq?g&fg@tE&+QN87=iL3)W>7>6$q&x7c2_}xew{NV>oqyP_HBAm$^hp$N( zj`lSjuCENZTN*$&HHPwdr`KLc>avkV-A=HlwXZ!h;xa5j1oxh3-og26aX`^epDrR-sCInBwx9Eet}}N~)$iK> zJ<(CZOnpj#RnFB}L+8gFbNV)*!L#Zp`tRb?5ZfOV0RrxwwxO=ue->AAxrr8EUw=~T z_4tPfMx5n~s{6qDu>0Y8HTg=}>A_Z5!(;_Q2}y%Q8A7*g2qk?KkNdI`P=`Y=NJJzO>>Fxy)PcE$$ zi1G~fN_8P+;Cphq=1A-=;tYZ#VoCyn|47aTwkNNmdiQ-jKR{*GPqzF_2)wu-8Lba&*Y z_S+7__PI9_P7~@S3l3j;t&D5iS!OqoU!yfHn=*mSz2JP@7PY7-a`J0Hq&%Z1qF>A?gA=QEVKX)v(u ze6C&((=z3ersFTUZZre33zYbp!PS?uJ<#Y9v4s+HC_}&+K0G9ZiiMEFkX3!{CNL)$ z*yCv=#kJ0<_A6*P{z4J?e1(kV;}|6%FTRI!jZGU?f&l&ydW_1G!IbOz;IXfx#bF;} z$k6JYA4VurB99&=q+O@X^D=P8YebRgx!f=K>P^f{PXhtsC+X7=@M~%dP=f1zm_Hk| zZW73$0BPV)DY9DO0dPey@BO3rD^7(gZzoF-&B&vdLoZW_##_u zL;g%!K^JWZcTw)GLYR^Q7J2rBko)pzh{nSDXjlwwhyzt@2+$T-_GY-$=?<(*wWJ&_ ztb(4MtgM_KjawlOuEp9lma#XSnV&E^f^rf0`e(u-OJ~7$1K)I&%;WO+JOzcC%mz_^ zuSiLX^K*0Yzyul{Es+FKD>Dx-!m#!y_CA}tUEUtR3PC#Obamg7Yh#)%6GS$>Suf>o zxn63=rMz77)s97tT2J~$NUXo9Lm#fh7*ikLt4AQ47C-)s@;nY%cx3q!cmi4_)g6}g zHdKz;wr!cYqjCp~6c04>9cVuuL~XS(<;|7>FBRgG(@Ri8Ht|~#OkV&tPLqxkG*1;$ zfv6h3Bmwz;Yy~jFE|(oIkav0i{G*txca^|V>{|je6UItjVECj)Md9G$Zhgg|u(M!D z^ano6-|WdPY^R_Wsi1BBj{n70PU;DMtVZQ++oxPXuWk##)tH`ZsDRpjGIn;n56O?U zhXn$rUQyHoo48;0W1g^4sk$Jb#w0`VuiVj#6?(k|6Sw;oeCp0Nxl#?qayMRmW{6a8 zor_B?Rted(UDyhwqov=Hf(kpfl@3e^tLXhy_+`LSru2}RI4YMS5&E5NOi&G!j0Mwk z7nTQRuRAC#DA!w#JreDTOol>n$n@&u(M452+?7d40_Xc%qRp*bKYwwVGlUsv9Cx6V zw_s5t(dwK_uz9GqHuC6OxW}XOH^Db`)@|_MyrKBv;L4suRjqXn7_Q^I)Z6mpm!E$y z-cY&`g6yj-5Rqep^pQ6zi>f?q;ERA9&8OYbE9(UKGRp$)Y!wu9|-8c&p6aTbRazl1O?KSjvFT` zX50D}+>yefRMFh=w|37gT1eNIHNM|n6Z49pl}l)WHfN0M51O&-f0f`qjW&hdC5;#@ zKa&L^l2GjbmIGdN*Zh%-SRTkf03(-ofe#uCDJLf<2i}yYr6wU?pWVd71ap~it8Utn zFkPB98+$Yq*~VTgbo@<7a{jU!(FW-YLdq;K?}6-w&C*NP*sSM5cLZt5^C%N`?zc)F z2*M<@jEZE#A|9||A-X;m#UmT{Ex`bzat6J^s;LhiHy0R1nI6;PzU}A( zp*2lRNZZ;$(%e@n;G_aMt&m>xvM+e?%O)`7;1T-z&JX33dixW0Q`h5FI>Vf=Sd%ri zM2b3x)(dy7Lm0hIIHWUs1l2qOs2-|a>ttw0jKIw5E*CDF)@z`p>J*H>bT<$*t$?0- z$i-AXePlbHf_b~ruk61KLQ)Z+Pw(jS$;ZWx%hp~`4Ib9UV^Xjd`)b5yvbeO!Msw~H zRd4!68y{3Nci%`jpq=pNFE$aHfC5tF3f_@=~~8 z@#lU~C1Yrn_a?}9WQOwU zOxLG(vgAw@oG`iAcV1qzG?sg>Pf^nO{{a~uDcrj%Ii{zl|6|O+e$m^Arf|qy$yLJf)!;fvID(m|^ehOgN9>!UKd5PF z@*2ep_bD0dEU0VZ9MoG|srZsw1mgYs#B^JC21J)Yq*0PRQAjzig&X6E;fx03a0t_7_mga2d|`Q_@IcUk@rjvz zCyyNUk4u#)c-Bs`_cp4FE-j~VbZIkFAYqRe55GhpIQQ@GpO3DE@td~Cog8eO?R1I# zh@?2590$&1b#-+|YoM&rO5m%#Hk|a3XF`{h0AI!>+ni40)`5Bq@6PhB$yztSU0`Cg}CnA_hJO|F6_nhFQJ#Q zY}e}PJ|BmhROD(@D|4^SDSx^CQI1l+|EUcJ18#Ih4d}Dy-D!GOf5j2?C`k@axYhcX z_HusJg)!2G1`Wh9)~IU$A^Ah@E{&#rcZ5Jv+`G6K8uFGivyl&aS&GMzh9{b-}f z&XM8=%$B@@3h^(Rt*nC;_;l^tl<|?&9CY^DKJTJ;RzZ=--91l9?0qRv6evMV<&Usn zln>}u6bP%8_K?rwmxChuC`i2DGkI|APwV-~0T80~gX?{^F+2t=$9b-cNUN ztw&B-1WNb()u=tH-cQnJl7?2P)r6wrT8nb1C17#&wmg+%SFa#O)v+MkB^8T4fofXK zwmpn91#X!e0bIHErzm1ZrM*>;^`^U4#b7;_d7r4%fcs}^KUoh3Y%!3C)juRuxXzf? zYx6ljlNdRi?egiH^G3Y8^*?bKRzblEvP$v0oE^8C*jXYYMREw<^=XLxnap1o_Iby9 z<#L(rNUiSNcHEfncya4oDQIpv^_;@g0c{2GEOFVZE`~8NJenOU=Gt$-A69HvymU`;Tr($N;;AkS55c7ffPA)+ws1V@6v2Te{HauMU>Q_3%p_WcPc1YHgix zA%08J6a?g_o-!;K8jgY7WHnpOlKmC-@NMYQpPX)1vH-!lmHq-_cIC&%2ZxhmqL4C= zQ?Ab#$#HGkPG7V(-LJ#jHPx9(0)IfX#LfOcc=E|$vHXRV~1Db*sFA*ZkbIV zM5LIZ7`WnHffeGx(maIi=E)hPaH160pQZIebkFt{0uvbjYAHk?(_4WacNHpRwJBW% zjiK0RhVq^GAffXt;hW^!I_9bsHzpbtA%*XGXPq0iu<@BXaz$>~@=XP{H|3;lzvHPU zW!SpzdCS#!<&3wJ-zsBuonEevZ)vce-d00`pHKg*YtyS5*5dy|t7{$#^|ZrbCr0d^ z2O7bnMG-fz!}hB3kJ_STyj>xs`i7$a`@G@*<;>AyCj>>~e*hF zMRI4JDmpr-)dI>J(00)xjN?eJdd9jUUJTQIA#4*{wavQ5zU=QdD7XT=h~(gv>@Y?m;W}k%ZO^ zbpV%uIMaD}G5@B|%oc4sv5M1F~nw{)}qCvIO2mc|k zcQXa~;aMK`C8_F*%XCI=fC}ikTbHgv^IqfuEJl+P5G>FeJ?#EWh-mM9CCiWPXhU!F zcP08!EH@VMJ$5` zr^~MR3^I^qtm;;tCJL>#@TCPApp0BX?~6^9%XQ;^3o5oZ7qO#m8eiCY^))8v(6jb&ofdZ|4M2B7`4=k*T#Nfjg{6Q2QA@VltbvG4P}+d0MvlydE`jvAPQS1 z?8e^*j{+U-q!L}KRwQw73q9l~;}P-R?B2}RKpv}u#=~iZ7AGxr=Wma&I4JJ@ytBEu zygjkdLDNKPWAL3~GIA@3EJ1v7(jI}CHV(G~0Ocx*L^6qQlj1Z_?pC0^kmn5iyr%Hq z!4;9WEJ}b`{R)NoelzWAd_L1^zp4Lz9401CG}pucXSnRHF+80r^a1wIekeiP-SdFp z86}heWuIV%pd*s;G8@Kq*-y~8$F#m&k|ku;XKZV!F&*x42Lw1VLS~vjOq<&`ZvUQ$ zGW;j{)@9YV$wHT)kA|{@ens8Dr}0ml`9C-Qn|||u{_md~lLD8OURaaT)I6Q*0Z$zO zKLodZ)rxz}vfddADwV3iB|K97|6*9xBTdzlC$x#ElT8J?vx(TI@^7o6@4MnJm1AUv zUyPweK>^%ldX7YJ{jvj{^FKP!5$Fwbw%Zh27_ID+7wzZlDjOQy|6+hwVp^L2o05UV zf3fnj1OETF5OMzJWsms(4~8h|tHvI4xdP45Ew>zdIFPSh%=snSv0DZ7fE%eFsa*r3 zW${q8Wumd|$4~|`&+p)IbqCPt;d8pD?dHZ$xCd?%eErX8Ag4IvGP_&Eg`%Jl#0}>= zQ(5GCf!$0L*t1|m5#r1`Am_{#FBhVJ_c9{b{qXMpVDGG>qF(p5k0=I6mq9m3sFXCq z&^dr0tq6#u42_flGIWQeLyLeQNQZPIEvi6 z4D+k|cYUwx`kYwIKy>X}1pCG6FK@-MGE+FgiOT-@q-rlW;~C^TapDK!rOx)r+W^t- zG1sj)CLd5ncQ74em45N8%+$iWP_*+GeGE{87T`sR;k8oF>>YDI5>k4nN{xUDq!kSCn$=x0#O?QD{obD!MKl$bcqB1&Q+n1-rg;Iu16!bV9DB4irWQ zO|u9zz5X4YaAFK&^35s3V1L>DC=-ZcT3Ul|xXtw3cBVKg_t%=kZwQuB;N#zVSbZ)< zMTQ-N92A@D@_?>A&zAnk?7^GX_2VFL#K@5L^y=sb1DyUVFdU_Lt9j;`2)FgO`D<1a zMXp}lX@0mW$=8Id`}FeO(VuG$7*jH*-Q&8?KyY9$_hvKZ;qHFU7Nu}%_kz>7?N4Ma zT5R6>CNuuwqF}`b5SyrI41COvtSKE2zYd~0!v$hPTuETeylXx880q#Ru7G8)!^fLI zlUSkc(qv|z#l-r4(cH|1&RjqQ_=Y6$MC1{kdpPWUhBELP(?#2j4);T5uhx-b-2Do;OGrNWz~h9~e#s;#QQfC#=gs*#CG|?Db%tVe zRJ_{3MsN^LaH^e)hkC2^c=nrGyi@QbBw_#4rCZO7v`oQs5o zR=VGUm zdu{H>1vgqON}R?1-=clq>{M3st~0J@wXdi7KUq~7+t|3wq9)yg0{h&7F8js4?>>r5 z2SlF^afD%B2ZQUkW$m@exphHzii9BP=;m{~NWhT8CTM^9i2@86hycJ)_5vqq_BX2N z!^Ydt3pi3sgYI-vh--aW5988_u~Ka>TX0*=MdfyQ%y#w7DT+GbyLid^IpFlN~4(S0fc2YgZ=OArd0*;gY z^)u{@ziU1X?qBeh4R))f)l~{&DpiGc?ObV6l^HR`466$r>-$!if2f~y7eV&v2Y(eg z2f$jy3#YJhygIiN#@Zg5ut!V7T$;b(m#{^dYhvJe2~ITPU2v@rk@Yp%9Bjx>G;5iDR%goBezG#vA(Fm!s1M5r5eYOdlX z#E-jU{US0|)gH;2f40SrZ~ZzA{p^31>2M9h#zwBAk?O|lqitVrEiMaXBKupS2pTt$ zhm6p6dDRQ3G&PH=PI2I&lMfPT#927|rk7>ab&YIrEHL3yOgevr!03Qd7@bTsTt)EY zjfroXp~h{fmMd~33iyaLi1|U!GY{xv6>!{ zMdPsTmyW`7#N5(hn;!Cc z;M(65LeE?Z9JklFP4PN`a;b=M-n8HX-*Y*_ft0XNF-e@_Cf4>0qS(VuvG|;yGOr=b z#IWLFYXoZqU;5f_z(-YqhNW%?B`O&@m2L-SIpxz!ONtPHWT)h{9L5#d2c7Ko{?}<~ zXws|x+JiW${H}dG_DKjNppHS1PNo^9RgT)}CQ(aub#*bz2{zO;L4Z3{3AMwEX7=J- z;{u%efP~NWar+=I5gZ(>GxE6Y&An9lXe?58WI@a%W>u#BV(Zm6R%`)2aN1Ip{E&=S z&zPIuIN3SpNg`OG8MVVxbc8m1bPG~)BpPNjD!nYYP@*;sZ7yo4o+1NFxPYSs+88&)=G94b1FAw^&b+7r?4-J)q;nnbG$AsdmoW@+BS|?MW z7#-433;Zh#+UPEF#+z50ETL((afX8}apsrQLPf*UbBFa5X=tn*z$(XV+ViuECrJTI zdwyr3D9_545hA^NK_TWDDO8#WHGDCgMJA}kNc?Oi0ltZF%Z;@Nd zh6QOOAlFarIfCj3t*}6r{p+iSscKBS<)acrb#c#VLsV6mTflgc zL$@3XI6;R(rR{oaS;cR2!I`(f@{r8^@j;&uFo!cK1~5a1V{PbU83^O#iH`J)Tc262 zHhSfo@3-W*2YLRHv2mgA{Vot0-M)U{yv0W^g*bQj8-#v!D~n)LJ;xGQJVzo%Htw8+ zHqel5;J$>sDZuQPqx#XO_zw2m*u-hSW)r%S+@alrgIpnz_qxI@u;vZL5YR&bW%#nz zzVQH&;lz0SPtX|!Rb5TY;t9ipq9B^}^3fgK!ubjxH}yN<{&Gd2Av`TiL>%&c zXsaExsys$eK#(EkdHQar+xxb)lJOn=CU(;h?CS!dXCK9-cRfE`o(f5SPRqCs5%O`S zM&8w-RnZodZ056D)DNN4Ep~g>=0y&S3W-081lN;33iiGOo((N~mWcOn z54ATq2V3~>5u*!Xh=fxaSyDB~bw4J%WrA(E_?3LFAYr3>s0Fm749_>^l&Gie&5Zee zB*_s3_5FF(q&#*@x7~<-#*FA^t5saiVP~L;hX}!b&_(jEZxZp-&4(Y-&L|vwxVJuo z8I~T_1F%y3M#CQh7PVl}GvwcP1k28naVR7piB@tANTSukNG?ELncXiuU+7E&i%NfD z6In+MRev?zCQd_(8{EBbdOp+!{E=ooXQ-yd&hcGXITHDc*AWpXr2>qz#6enSk#ub5cni!M)dMv3w4mj3P~!kiJHYrZVN|ejb~V z>LbKrvPS=-I8ej)0>8_E_`8*0JVbK>zSK4PtUg8h$Qp`v!zLPmxrMf*;6#VX1_< zTJN(r5})0;B;Xn&0i8GWm;|MtjH=h`GPC%iP=^t;IBHQS2PX2{$UJl& zZhi)chGO1++yb7`*o$}Uc#1%VCsUN69;XV`&3P`s3uGO`Zc00@K zQ}_>Y3fU)cR~oi!aO9|-uH13MP+IO50LoW?Xm=>bU#=C*(ucZevaER$0Jc!z|c|4do!tePi4p0zVbfxk`JD{rgv%UUXZ}YXJkvB)0*l_ zR@n+DYaMEFSXH)LqkWX_!jgW#5<;G+Kn$E_`z&ZbZq(n1poF3vZIAp>MV0+&MVSpD zOR);RO3%Ur6wr7QhZg!dSJMj@lgzOtga8W3c@XKteZW2v9TCv})`}k_ z?+N*;*`SpB@cYh%K*#P%fi8KxOjA$*ad*<2C^6Xjq^LH{ofvkGO}BsXy6Poc0{8p< z78uMur^eW<#(uPn-xw9aRt4|FkaRW%C!*&tOe$nB5SOmhW1@i?aMqmIkI| z5Crym`S+q{CuIUJ0iUpMNo*eYv(eCsii?5!%9E_vesQoVl##NZ2ZpnI>B`x8ITjHX zG~rk!n$g3TRhC5D%(+fNwRUIKmRB$1Noq!t=)XeUNO=?%IsnmUK4m`UsbMqg-G145#q2$y6<_hdc$~gEMuCmEoK*ql!oyzs} zZ3po|!DY!fUFvP-;Fh=Pk?*J9oN@kfvl$HYH`mwKS5^|sq?MFr&g$-*kkpbozkYnI zl&6wwP<-Dd82Z$}8Q1A8B6#Tt_v9m-Xq__x1o_K z*v`)S3@+InQHk@?EMro(z%BXtU~lVadm=D7nGS}299kC)INA5p)!sRbI=%LeVAo}l zAwYH_Iu?#|<8XX&3=DcYN z1(YLi0!2fCm6VWTRN7AH8dvR z;=E#Xh33a6Yd>-}&Y#s})@wCu)8LHZ&6Xkw2~iz$cJHzZc2^C4j;yZa?;jz9&kGs4 zfQdSv|K2*T+~Wuk>>y#+EpM7BwwnG5yq^ zdS+#ECp8{sImb&Wd*g^FVCeCEo91mQiFe;=%@^r2wZsyCY#-F}wvTV}NYP zw%B6lV7+*Ks8DL=0KdVWa@(KP7Ds&l_Lq=BB<{~_+k-9m}W zs57R=FhK0$kV!*;QU+YYll)cK$rj3wX!vb6?r45T5TD|l$S!3t;U&zJKoPMUlH>HV zke-SVX-(6;ONXY^;!09hSDTvWY$6FL7&1-~>lF_G=>FJWYcYLUCDt4GGJuv04 zjBgRz6HK*o***0|a@DhL*M;xRCZu^Y<=b)E_-2DX#xcf@w+9E?PfFqM9u-f-9YAD4 z!jPDCl&u-fs%+IOCnrZe6FD<$O!*gM)iEuUh({An6um<&-3KyE^T47imFMLA?nf*m zi&uwu1--AfIhKYFk;G`8VrK84Tv(1j1i!`Xbn_22S_~K6Vqx*o!E`(ya=WfxgigDN zAzH-vb!3PdCP<<`As8Tt6Z2~+)AV?AKSZ+Y8dKq$unDQXz3_aSj54Od2VAgw>+5WH zC9nyMgx=dby%Xx8pup*vn96AHEZOOE&Qi3R!K*}PILVzR0&&;>8aE9hr(zepW~+qf z9Bht95O=P1KP^&4nc4e^zhP+o1D1Joqf0AvZjWw;&;=h*vRx#h|I(f^zY#(go%6HF z3*z+7>AU!}ldXOGqc?Viey=}QO%2X6wPHx0crUBK3`h!sY#c<2m)U<_yo?QyI$RG# zt!R~jbckFg1TJNm|Do40O_Gb}JGG1oN&;QYUbu$M7ZMSns5#C@(N52Dv~$9jx^mml zTP4FUFc7r%u?eC7n^efiQ>_mmpzgjrkKgGq<{B9vuNa8-pR73^&Hf|vB8&lgaq(_l&;&ej1_bDG!+?Hp%7~5l=Oo73hPcxY>Fc4~IefdtD`M&=taiwd{gG^d zdHI7dZ@p}Wq9&%-29`b92vJB(hg{f{n4j$}^$_2lEH6=YtqVd8N|P0o#7J12(EupS z{rupvsg6ihN923kr7scNoO^*L`C1@1tQJWsUUV=Wkq%0BgJ}hRN=hSv^QFbiHVn;j z;MtQYE-x$5|;c{%T26QR;;~RRhLy)z9^FQz_~_R z^D@DKZN!*L!mfd}LtgS9i-w;IvfMke`D7memX#K-dw{^uZq~DO;HZRzX(J48cQ!#* zmyJR~R2&V(wRlp0=s8y?%%L4U!!@7@h)-nIDXuA8q(|`TElw^@J&9v#zs$(O%vZ+` zwc4DI&_?uG3@8K=o|shjU8m7W87*b6SH|h5857%BUjvNH(}KfLjQEKCg{s|0&)n{p zxWDt~gB{LzCO%?{;|13&r(rdSe6JFX z=V+_L1jaXOK+&VJQxRe33nR0lrXVD5X9c_UxRY9M>pJK)%<~(3v|>-Fm(FTF&m1U| z)M8Db^da&o?V!fbEDA80sA&EUVgApRAO45Th-r^QzLYsh8%|Qg z-srbIfE`zt)#`XtNueDbeFV_M^)%O>+aH;ujj!d579H0gX7VW83)A~jj+OSQX7R6f z3`9P|82UM&7>%VE1tM|0no4qq{_07yKH z8-<1sIKGZ}AOaA2bQfPJrx<9)KbUlq5CEBIj4JL-4SuKjGR2%Uc|h=>52w^*nqgC8 zzDsH_ch?7^sN9@xnG5Ot&WwWAGiFkaAzHD*b4JQ)4*X%S5!uHjiz=TlKFRf2;iXVQ zykCXz<~*8_SpyCVMS9t{-->NhRG_n9rs5!k-1ms=Rx&`>$q17}B5s^9YyS&O$ud`Y z5TX^pHNTLgN9ZthU$`q17n?Hz3s#5bDi%l~$@hIQ!BekCf~ER+=LIE+hNJ+sqXSSo z>{fH$G?WIrrFI}|h`)l1WAA!w>&d4DxO&n-88UGwFLu!6n=|M{n+ROwj{}*|kDo2M zPWjl_#pDuYRwMe= z%;v|hVA^VzhXwlN>RL*f^|wWZV}HB=)D*J1{S;K@_hfJjD&};xXa+FWCR#juOqyw_ zxxJ2Mlt>bXj~<}nP`}=N2-LnAsrCH&O6>GmozH^j~>WfUb`#K0~3ad${M~1c|E2YS})!f9vo=4Z%e+!2tcw-FrkhAXbc8 zsy;pIQtcnwj;by!U1_Xv{EUVapNp@dl)o<8 z^ItXmG+0Gm0-gOxf{y8~k|K`EJ$%YuFv3HN=Kf{Iy?2MBitS5EiM`=**O)e`6f~!v6 zvC|ryQL)F@(_5r8v#Zs=RRbMEFtSQO=`=V|K3*E3OUECJ&0GLL z+o+*(&0jg5`-xOhPT;OngZwc{20ji!A2-bb(P&QJlkBcsKaw*KBtw6Z_Y0q15SgCt zR_c>x9%^cTsUAeJ<@2yM6fVe_x;N9xEH+#S(XCpYz$3X??yMJ>__L)S4f`z(#;IM_ zk>I-3HYDJIZpo8(Je0@B13C`1)GhZp*LT3NcY>Bme7a7vCz>(c*5rMznL~IVYR(w| zdjEi-HtPQK^NpdH#7MR8STGiysP_k!y#t_gxo?d)bf+pjTgWN|a+Kzkp+>%0#s`o@XXCzAm!#n8z37{0o}~V76H`^O8Xq_zS8a&)V8rR4ur8NHU^3Ky)o* z`c3HY;Y%G{w^9Hx1|gK4-Q7dL5`fg| zj*N{ZP>}xK+Sqk`u%QmsL@>C2K}APL2eREhtR@A6z*eUM?mgt|TlzB^(SErcbx<kx;B*KC2NmkrsRH8}IvLa6ci~JvVR3UYI^VXIM&#Hr z^y24v?)n3?H|TAj1&uTr_sa9YGaM)|Fj)RdOyaxkC>j z;rU(;TKU;D7?knOHOI*_^kqXmIbeW7>hjrTAl{T%C<_dIwv7Gfah{bjCOlRi>yOXW zP|Z*d(mmrQ95+~aI9cOR!*E#&p>yIFM_az$P*|jqty2uFoS<`x1`EhSQIe8x0)OzDj^8atv z^^ECHdm=#|RuIsw9UZr0qM2H1;e@~kGgbXM{pH^YP47rfv1O8Qz0)`QlcDLBV6*=X z<%uvG8xU$tn4`Afk6Qvg`eAdM{rb2#I1K$~{+ISBet1{|4v@&l?e2#=k1eMG4tJ_K zJX7=I^lM7DbY-UPzAbRxM6M#xbaX+Pz~K&BBw@2<$LFLn(UFcc$lkZ<$;JgcNQ!jj zSa3F@bl6A+)0~UnWJapS)W?{no=zk-0ONZF*$L0PqT;J#OXhP0@IQowq*ez7!cWbR|6WAu3r zkL5Lkn?~OYk{{*nujIc%?12*#ZRrgbad_)U~ta}ze%`0ie2ynsWt!}6%h z)-wYG8hqU{N9)7=otNjaX%HYg`N?wR&41(6v|}IY^7}2-Vf0pjHwlNHc{#~Jpvd7i z2*)bumjBC6$QO1u?*n>$N0Re1rxKA%66A2$wlt5DQh3}tq;AEjvCz=k*W$a6FCaAZ zJt@$7245=(W-^dgvpktk0lVzh&z}qkFq{iv1D9!91X3VVdr-w06voVRNIg)^-L!v8 zpe!s|}J;`J~{?HwjjkSb#}k z;bB3G>f+m7==E`a<$+FRu_buq>cOOimiIT=~-- zjQ7Jt1tQ;d%Y_*J#tB(YxA3qR{f^}P}4y{0p*(W)zH z8R9xFGy{(-{0Fkt*oSvc@pZ>utNi-vHJywTc<S_hLDuI!7d8AYda^}n#KvPj+3D@b|p`KTG!}Z<$nJ5KZsPrqE3asrOB2Ewi~LcemOZDV`q=nS~!4RQ#(t29o*2bP%A-@TX($dm8EDqWo?mPKQif5l6a)(nPvdP68WfLo{LcQ#~O@@~An}V}Q z{Rlc+de8A*ol5;rpx0d1Axo*9!u-!qZhv%y@Z?+96IE zFSWU;6-Sm{PM5Q=|6hdq-xi^^`4mu_^#rg^4m*Nh?v)K~aaTw;s}&me+O<5k85wWt z!ivmM?esvR3pGk5K|rEWmIxQo1_|~AU71dhxQHb);jDS9=#svglz+=lpwWJD{;6C3!CRz%)dNa z*%9Gyj@v+k3vbJzZv5q2ZB)FOWK2ez8;W z2!(Z4?t%9Bp1X_pPK~vN&`&VOWF}ax46Vp`Q(L999x{k0?~X* z7S7LJ2BnQq#GOxX#*FOShm-(s*)cIR23#qAN13tsRuMo#=lt6 z{<-7C2S+E~DXe3tM9?*xmq}5T8^RW6U!B?=rF~0Ns6 zC^e9PfSkE)C7^#c-_Pe)j8#;2;~wmska(_za(t@AP7Ppv%S6ha(lDxAu$8YNTN+P# zI$b66IC-m*P%xH@Hbr(<-91F=Dedp0KR;>ZtNu$X-!XHgEBe{DT)nuozNrf$;c;5D zqrwcybt(*pFUHn*cLKN1;zSCaJtnT$JV9w@SP6eD_G_F)0k55F(T=Gqk8hS=F@h2` z^@6rIK7Y9qX(dz=JzL3IBanWCFNWlqY7D{S9<%f+v^&ydj5x$nzGv%iAsvgJ5{gPx z$Zhb~Xcc0VyA=3OQLB;PJOC;<^7-KF*MmQJlAsju&w`&)D`Flln_P-djRYRr7iU0g z=&m18w_KVG&pelOj_PTo{NK{Rs6F`)#9tx3DCrB2>OOg;iUU^nl%lk6Fu6X%=pFe0 zQB(s7 zE&38pY~mr9p$vE?m8g|*7@PJdpb&8yw{Gt)vNpalq(;%Pci1JHjw^YAz$2JJXPQRJ(5$6PoamOxgOnVA{f z#Z7$8eFTC9#4Ry?XP3sHv$b5Ful@o`@lBj3*$M$&<-Rx$~yC3Aj-K5zxF+ zQ2R9S@iE3-6Ofb?GX3TAb;)@Ka$<0ku?knimbMsPcsfY3>-0C-Rkf&&Fr;^;Q@hT{ zUmaQAWC!gg?PC;se^S-_a`>&;&|vY}y=|Hqd5SjD*2u*S_0Dcg(EVuDm%j9&@Y$Nv z`AyfwVrTAfOpF2IZ!_H)t_w=SF1+T|PwCzzmR20ESp;3SgBZJ}U`{}h{bW91upVsz znH)Tr{O?J5F=sqg@2>ez4;Gj~dVo`PVTU)~JV!MR2fwbNA=Fp&H6W)&wv9))eP7#5 z$>fob&2{Wv-|LkE-GJimeHqiE(Z&P8oAy%Gw{gW0~-%h4U=lDBuLQY{~>}fv$gZGuYKp+Pz^({EZ z+C;QmL>5k^NG-SfYC^a?Senq`tV{u1$qCvfzyw>{d*} z#&4X@x^ms&$DUga4$b_gsT8|zgjsm0-DPUTrEbz_0pe~`JMjkjKz}(V@>$ZZyi3H` z(mjc|Y4aNc1l(@BG&JK7J{`mM`M!6}IX(Fs4<9{_(&jJSqjIjT)zpg1wp*WRj=-V9 z(rM(&+@lF#)65OfF0n&6SF9nJ2BmwpKX~#Ha8D+EI3n;oxlV1LF$YjtTB!g$XogCP zWB}RDh{G5#t4|eh3w+p*lbR9#_*$2jjsG6H0k7bM}ZuIuo~#C}{n zP!JgjxyG#e2OW_Wi_xUGV>^64w^uK-=-=cm-0-`c2ip#;+}-*yhbO91^nx%a6jPY(ged1dQ)|C8+*J5^YoXt2b-Zr4tGvAY_2sM83J<1Rqrny( za<;xiP4iEKX<~*5Ar195#-KiJBwUtdE$C0RN(P>`O14JbqP=-@Ww_WTwc!&n*}s!U zlP!BQiI5iuI~nF+dU2h{ ze0HR?(9FvDf?k2yTGj9(wV`O`~R_ zQQr2J7Bf%}1J*~fa&okhFJ_}0*8>Is%o*UIg=q)a=EJf><)0OYvOPB?@Fw7n7;2G$ zOfIrB85y<#&Opu=gnC^x&n`*h`NIl%B0J~uCpOD8nDFt3-1N=aJv|#68-A_pVIN#6 z+!Yz<8B!*_rE(48Wj#R&E0Qb|D|4~~c+kn{^cY10s;tzlNSr@PZ+|%I&*A=ZKxAN4 zj**ulW?Wnm#{`YIkl{k)#0)Rx*91kttu1mE0MInmeqchwqS~yFhY=IWa#2jpHdZk$ zt@5ttaa2QHB*ZNXq&e(X^+GB6f1w9(=t3D~;^K#a$MntdNkYC9wA>eBx zD4ERXw?TOM$2C3ARaBc3J=%iF^i&0hIw2?|%JGgrg>S)HBfG9Q-3O)EVz?)LsySpr zvUMuUktAuPl~N~ur&BZDw08hYgH3=~u21=hZTHkd`659!=r(Tw0}QZ<_=x6&mYT3GiZlLX;c^Qu|!N=l8o2L zUzcXlL(@S4sTVe!kq~Nim7QQu*r-@Ifh~0eMY8ndx!-|h7{i$K{H&nUp3VK1qu)zB zk0gdd7!;d%AHfHDpI;Y^+{X1c;<>q4W_i{zvlPed!{VD{sH zBGddgRnY8G3SAPV z23CzQqCZZ7r%aln1d|q|V4{-FRCP+?KvAX*NxgY-d?OuHD^{Mn+!??)hD-0rEqC*P zi1W#{9R-rk!3h*bC;hgDmCW1IYUGh*V3FHrm` zL`-CA;p{OB=TckDYltKTP1;WHWri8#M=9Fsc@f5qYDP?!y8I#MO3r<^^WDBs5{Y1) zHWRLp?xJMh4ao6E`?gEuuz9~L?&FhO-U4Q8$#O5u%N{Rlt;dzE^Y1($;{*(7o%@p; z=Z=l8C;4jUd9*GpDwVg$*Ft{%(j$L8_yb|`*Y|!ssIoy(K_H$n)opT1OHZ8PFkY4` zXi0iW9n!bTLBc*s@#O~pFQ;JQ8gtIiOb9zhm8a%h69;8;*fkqkwk3uxGhE55pw|HT zRwkG9>RNbhhiybNcp}UmntWBFyp{^=#zXFumD^ZuEn|}+V7Y`F zl24*!GAc-Sdkv4TEywsK-?1CmfF1H>!V_+LQ{CLmgdYN04VPZ!Uje%x;1W$~a z@-3Zuw?T3MM2ikNOd7-Ux`UacS!b_1{eRPyaJuMDuCQo`jO~DHnp=t=K zx+^jHUPNRs{PfS&VuW4$R}$8nWfXT8BXiDN6O;HAO<$qo8C`*seJ-)>Rw; z0S1R%dt4yqTs$ihkBx>TC$oG2SS30cM4CoVu|CG#Dt)yxXV8Aflp$R{kQh|U!-DqA zaybc|;-cf|EHFU_gORS5OJ^KPyfXns>|3mQdN`OLsV;)PMCS>AUJ`blJ0M*PY;7rO z87kRdCEG)Ppo0zpP-}Z!v~Us0c0uN^1CJe@Curu~pNpliDOpdkyV0io*{)!m-0UgO zpk3y;3QR$bFFrrGB4Gam+#*vx5kLoR1ZIk`JzSU&kzF_1r7KiKo9JyQnE_d(l&PLI z9#P@6ZVY=RQXd|#T>`Rfz!?JC+eJW^JFpJI5qBQ9$0B_z!JlY@WYz8JWLk~7dVkNR z5#E^$mGC9G^kSt`iW1lY;AA^vM~D5D?(UXzR+R_#GwpF8$}MC!-}B{*a(6DkA6tG& zJTnEVfp03U-3Y3Hv_EYf&}j0w6lTunPRT!1Xhp(}WKt+F@{_(JHZ> z1NR|JzH~BWDoF8EaO@BS@VaQvhBWuzV?1*ik0=ZEE53jZ{mvvQGp;wFT5%QK4kk8` zXO!T62r}nKHMk;^j49iy>!MwDl?vl`edX&eruaYN;U|Qtv55*lcqYcnCi>@$k zetl3B&J@f>`?6N%^X=j}@5H6Bxft#khK@tP!3_!@uPf_w;r{3};cQ6F%(t1f#dtun z!%KWsx@Vpv)n=qEIDJ&So-r*MoKHoLPAECw0SnS4UfW?Qlx-RJhmiH8=+da+G!s;W z^}x@a^jqF38=8uWN)+!^RMeHcx(7D_(e%w;8TTw+m%(D5=5QB>PDO=nuNRz?#A>iu zoI3*&b zcpLQM6dOkU0?X?OGYtwNBHjU6dMW4$X)w>cJ^>xS>$kp5K+j@44>;WEh~-e9k`C)q zNASFlZDAWRMhq5OcwOBTJeIa^@;=Q{j~OB=p9_=?XOU36;H@uoHa|azhuY8 zNbW)q2K!`euPc5-#QXaVNTiaGz7v$lg3i?CYc(1C+8Xr!A)zPg6!oBFZiKqxO#J)* zDLk9`LW%afs7spT%D3lnPIgct1)I689_F7`JH3mKkf7ZcmCdk1*WnA zuhfXVJ8VXnpo-44s|2<*rus6;tOW<>+zW!~n8BT2!%U!|^Rijvn(02KqIO&}( z(S2SvB?M4tiC6b73BX~-Z}^KOXuF;K)T76nT{k*ZAw+{E(Zv$|@73YUp)+3&-S+cG zAicT6tL<(G&^ag{hHlmqhmQ75|Hdn_=SWoIlM84MMwf*O6+i_@aY6zmn3+pHC>Sfq zc(syDGf;iqA)3Oz&ecoKHx5Lwi}8JB=wp`BRz6Rr(QxXAQ-KaDYX9f&S2u+FS(bG7 zlbGc^INTL-S1f((oqz&7g2rEJWnpCBG{E9(3Hk`&(q2f3>nrONMnMq+?Vatm-3J5Dcx6^?!}EX7Hq z*u%pE6vyh2x` zBj2K;J8msoC1a%y9Cz+SX(Njq$;inS9+>rJ$MK1;XVpP(SsQBjsNW8M%0aKmnWL!G zCmlx55*}3=YiPj!+VH@nY1|Zis#;UjK8PJtUk1A7mL^CF>_W!}2a19>cc2+h_^N*_ zrG~W_;<#;0oNA0{9nru?eE^0Fke+0jP_T9~HZ&v?b}0f2JYXMZ96;~9wK`4yu_*#= zEJPDHO0;{Jbs3|7!WgyDd+G4#O<4;gL%kb^bU}9Ijnl)M`fnw&d^jyO*n+V4U89-i zH=IX@V|-YDL2-8aE&oxMB{lTti8mKinxA9TDY1p;4HcMafTf-q)NKP~OX-@-Y zxPXxa*?@6>R*>W)vPrTh#-JH=(L=D38YKJXhUfr;{kgm@{#iaZnyrKMuZ^LPnOd@4 zr7oX;q&%^E#;w9I#pd;=+JpgjNK&uLLvch&*_G-=-a?4Qn2k5tKrXKs%{PDrp{X;;ov<27)kuR!JI*Lx#3*@ zb;)LfpxLyK`w_c6q`sx0^eJMUzz~37S{l9{92+95sTeQpJ~xk@ZE^6-z72|Qrk>dY zu7<+{eO4plz=%qN7$%?(g)1`nxO0Stg;mpD@5;MnwAHn4A$wta>=_=R^~O1)@6F^D z799BHtEP-r97+oiYvVgmKy>VbJ7;PX0u!rci=}hy2@mtzGAC)#9c$IW?Zth5?#w9P z?w~^{pDdqD`M*c)MWx^_?DP!gMR$4THnvlm$ATSNjeIzer z2tS9?QaR15xhBq=gjKsn!HbnEUHhfg0|tb8+qJclvF+FJFryxdmxVDaVn%=@=_G$m zHz3sUMfod%TrdZHOa{b6zy}by`KF|;Cq2?M1M9kdXIp^&dqALm25rR zd@4{Iw3Xvt|H5fry|PMKW;4BSq*tT!NevC(n$KnCWs`IHbIj`-6oSGoQ^Q)lHz)_+ z%s>Eb5*l$!b=t-s*P`3}px?$|f`DDWvW|JG0}s0Wvz(JOt1&wLLg#^(dzo{SZTw{s z^4wtHAN{-SltQ6@9HQkz2e24Wz!)R4m=qDM(8Sg4xLF^^echf_x8Ql+I6s>1*Bz; z#JqRESde}`g?BXRN71O#Ro?fHN+Wcp6=sT`iM)wS?aB{zOej2#jqy>yqwY4RnjFaaVv z-9WpL=15FQY2SOVDpwr|jQkl(oX7=~Lcr~e9Sal_qa)=;xR00;5im+37vukjy!oGs zy!pe>bYrjRlOI^6q6#-Tm6ZnP)0 z_Uh&}mYZle1PyTUy3TPA>sA22fjtcFCIn<*G_;jg9_&gLgSm{e(i|Sro~TBE;||l~ zvlp_=6!icN6+{C6`Ssw}_kKM<>pa>>xdO!f&0{gBlyfC%G!$e4Zpj{t@2A zcy|Lyf6LS^COl_5~kBu-ZDJ|QXP)99Z~M*Jm5p178g z2wF*X6Rr5~tL&*#$e4;8m#0#`{@^j!yY76hK)>R=$;H1QxT`|HI@T}(AbXdBYQav` zF#_u8MLr)eBRI~#pXk({F{A?*WVx*rCFzviR=)=t#8{)c9X=zw9pcr#{snLShR0%1 z$Tu=_A_Aezq~|n6+a9zC!3FqN+nZz}nKi_uakWE?%oqdBv5VKY`Oqpl~B9Dyrr+ zu1LH6IhCe&*>~W@@+H@NoSRI6!yFwQ^$7s$@P^^;WT+Bwozh*bmZEe8kV!gNZAXU{ z;A|`o6;|5JD4Lke0+mrFo3?qRksY{7OQz|L|45}_V0%rNM&0=X2eNb5Q@#Z77V@8T z`$O%k)4$HejRrbLV|+(nK>2ng9Qt~YShFB{!IN!H=(gDH{@+4 z$A4)h5tuUQy>L%!nohsdIK|@?-A@xSW1!IKO=U zo`_?*U^+9p?Rq~gmP}KIRk_GqVPj=RqbNe%{Z`z9WdLN8;&2HNYfnXY^t0;CnvYRUDe5 zRp{TbK9QP0329KzQF-2>S}dlyK+gUrVBRGy$W7$I&UOoonfTEEuV)g~Gx^!C1nYR; zMdmip(F%h>)!FzsB@UTTT~C)nQNACRHj_+GlG%ms)=#dR`<~8c#NI`Wjy(JpVQJ4R zbL~@iBBx3WrU9STb5`3NS{BIelu!O_RA*k5)o||e9=3pYdVeN)v8`tIy`{ceue=%L z^$nNQi;2`d+KR0U#4~@OQlM8*K7Z{hn90cmR`={6Fz=oSJKy( za6_BlgY_x(%=;zZJD&{e_$}x9;PX4pz6cXq=tK?4v~*8UV6{&4N!C)@9~5s&Gcck( zwfla%Y-i@Ijv`a3(1pM?bUTp*g2k6Hp|m;u_~A4MZc-)jR8adgMDqHrkhGAJULq!6 z#>%YER!B+vQ z3ZqN@D-$IlbWfJXC}WXvJJa*B5P7)~-Ho&kU0KJdm3Lkg7pFWn{C~8a zQ*>kv*rj8mgN|+6w$-t1+qTV)ZQHhO+fK)s`u;UHb2Dqr#pE)pDy!<8Q^z4R= z<;II&sFFkvN(mXYQoq2Zmf}YQR@^;vty0d#l~RH9zW*8?qO|cPq@1m}=ZHW5zi@K2 z6aV+=2Kw`AH@?|2G5l;mLgZ$LQ8q^N`{YD3(8a z%G9-Woy8BUT*%rIyq>_2zBrE{5b9z$YHvA>fZ&xWxCdr8!2N!s&@HMW%@Dg@wqe}V z)a|Q3(7z>K&b6fT{f$9DBG-fLOJ^W0W4fpj7-%npPX`(Z>HO{IG7TQ^xpjZ~FCz5o zKi3Dr|IDWO|5-DH{_npO$k|9a7S(-HQ~jvd_vWe+8~qFKut=MpW&INEMrM|za` z0nEO?%2l#)W?~(k87Rf2e_dpMiVVol9(J+GGi)86U+H~qOc-B!o*&35N5ds1xLyH;l-{=X|Z z#D8IapQ;G>q?)rKHm$d$kO{SUAm@reh z0GQkh=q=q=c!ayX&L>WGrVV|_r~iAvNDH)+tvb(r4jSM!=P@;Kt{mb4579tao>Gje&a?chFlahGYGKWq6&{_uG$I^pepc6~l&UR=}X zs-6>mQ=$zus9Vqcchb$PIW}OQzgKK6*RKks%i1|djjoTw^x}43tv5Dh%zjN8I%FY} zf4iN~{CBbB@0?f9?)+M5XfxO5qRk9F;qf@`ekkN#%*H;)1gIRNZU@dzGY>?7hq9>R zT!(np25{Fzd7p!S&Wf3;-Fl~3x}8WNm5!1{F`EGnUBgCg5H&>Fq7!nOZbz%`tk2B- zo~O%4l(Q}1JTwzH0p34>-U4Fe&+v|hfJ%z1&*$==tDFCR1g-?Ekpu;yp+yxGeu1JY z(z41R z7D*%kc97>^udnxqkEgS}0NA}9ZuWP1OJ!a0XyZMyZi@G0-RtZlpuq_+vsz!}@OV5d zRj5QosZ^?d;l@dIG+9uk(*9{S%-G^=)M@*Cj5DLx>Gb^o6#9~7j90*lLOclsx&qxCN3wRzk9rX_G2hba=W)YU`DaC3 zE}zY&kNV|~bV`Fkwk7{(eDb9p9{qo_xp#|LGqcu z>~{e9p3P2KTIR&A)^FGEsOW-bxm>qi`F;TW@wCV1-~QVqzo4*g@7wm$t?5L1&OZkG z8jsl!YJMw^3ZaaHx5tx%gN0>m_S-FXyL>0pSJk#S#j=4)tXi6O3DV_M0p& zx3`0oOME`xr3dSgr*i;QoP=#816GYwiOjkJ?wmT6y5B9o!;D_{u#=`{!2Jzo>G!}) z{QBUQ-^FK&*{p18|HILgZn2@o&S0xMv>&3MM}a;6Xv{RwDxFRNLuT&B`SqsTtqgDb zFwF63Cr%__bjaaxgTA?YXkDEMOUtZOrbyzqlWW5uxtWk>2QQ!Bsj5m$qt!^B8C191 z>TrA5G70bd_=nH3ppFozPi-Bc<%VzmL+71uxSkk})81fq7!{6VO)G*M;>%{IUTL2H z3B?0g_8fo-vivvw5#JNed?5Tlm8-S!3wJcdT&mEk76El0>;&o6==OpWAO6w^#1~XQ z!yc7=Q<2Z<;c&g^dYRU47owy~9;adYjV6F6fy-7h0VL0EYloJW&!K{+ZWgj-)go6LbPF6`gvS|c5a9H3}9};i`LsrJ^ zsC5b?5&}I`A}xL1U{+0oEb=knE!6@ZP$|=IpD%Y4(qcc|Z)Uo~BA}NTA5EL2U`bw6 zV-V(I;{3{fy{lGhii(Jg`GrjY!jfj&9WH>x;%(l>u9{M=*+L8TP;n+w?F_6R2QPol z<0k+?2}n_3cb1C9U;(<VXkOL$|{4-izBX$FDyjbkk@j8tVtSP$?&NQms)nc61zV1LA zf}eFZv-^C)J}GMOd+4k-G+j!cbEE5Smo>sAGfoji;AzwFf~IKv(b+s!VoQ$8rLSjQ z3_sQy%uc-Arpsr0=cY7_4DJT*`YSXULx^&^hiWzq+GFu1&6itZZ#J@A8&-lM8cb%< z8GJRG#Xm%ME?WQK9AOz~7SrfC>8+#vwMI6A@5<)JL@V%3oZdUQIbO~OB#$6Tw%@Pc zeZ6h`*GpxSJV$~P%8-xf155(NMr5Ls;gx)>Xv3kZbgBbi4K8nleX_-|w=|EX(3LQl z%tlVvMI4h+Gcc4&4dJf_`wh=I zzy_KmCu5R@QD_sR|)AMLLhg7y71)P-ckx#hMqEz{VqaM*dDY-fmCqHlOJf9h@- z{41ZtNxmtWjfl{z{PibH`V(qix;vY|Z!T=A0aXe0t$JPWvM%Xa2@$?E*PARWg$w3e zU7)2Pura)A?K+Kj1a{j>&l@kIIm{NJ0eRA<;INkERzN3s2)b^!{E9wVoVRA1y4u_y zV72P4I)`r6S}guFXR;eF-QqY~pl}y63c515r|ac5O1wV!S`OzIv>T(BmuzDm`iQ)N z3?8N|BK}_%3cW3cHzf6MF7VetkHi}2&(GN?7pqOavfZ+*Lsp+B*^uyjAPnj(Mm$YA z?}zQu{OerqydEz?oh$C^S-%hxp2Q_mtAkoYeFAyrl;UZy;E-C%?mHE7-WErgEYIWm z;JC%{Ig3qbtu@&mTpH1(c)Rao5n=%!+d#^p^>#f(Y)S`Jg<;p*Ib^z2Twhv?vLmrr zSaEGe$+&g`o;=s)NNre0NPea=q)eU_T$7xKO&~`d$k;g{+JL;tQ<)(eLSGCNCZ`gl z@%SL()CK(@G4POStu9NHgW?xL`e>-3mV6##%{QiDmEzbI-mn}6unQbNwJ_b-uZ|+A z48?cu4d7b;a){$FJX|e@wZ<I-ewyQ_*X;opQ2T*!(Ip@|eKw)x#^sSriaSuqjXOfDO|WxO3AC;|Bv;6ew0w@x_OZZ;r7V39knJVg+Yjwc=V@%R7*<>&KF=W12CLTx=P~6A+ zjY?TH2Mn+=`lG+TO8Ot5lOV?Z=@cTPz!h!IX3q2L;~wy6!yO8Gxp|-kJ^lq8Vx2;E zM&3}T!{qXL1uTPKFP{`ILOxvq5ii}~H5l%YC~y&ExLJCDc@KZ5AtcGp#K8^K+pV_e zf2)osS+K~Zl-B{Q%&Xy6n=S12R)|OH5E|TX|w`);0va^4nM`K>FSxUkq;ZmMf&LU#?A{7;EcP zuj%H>>a~CQ!INXrT<$BTopWg6xlaV<-x`V|DfnaIQ{hJ@B9#ieo2?ZghZ#GuWQn*v zloh~EL9%I3Gp8Z^((>OckWjh=&-)PjcrKZ2W{^+9TnxGZ*JC3hE3#R#YMyh<(0Yr~ zWu1V$0$0hm#ymCow0gK#;a#l8FFJxU)wJ9-k0il!93tA!aHkkJQ-vX9<(ninq^QMK zR|5=+uc7lOtkrPbGI8Yj)j53B-7MnP{%%<;CZ(bHY5o3(UbWutKYZ=Lx^lL$-HIg= z4o^p%GkllB+R#2|j${?a-Qro08=(-ad1Rqbc@_oyxSK2)*e7=JEzHFb=;)bQ>ON<24{i>AheiGi8uW5EZF8Iw z8Zrc6C{nDBuYE&}Wk34hv@HUS>g+lEezD_f6~|IJJnhZR&j8x(WD2$0>)rmra1_4> zkyGBgF63*mL}P6^6ZutZ+4@Vd#9|ShBt*=P?j5VMNL`tS}~8^R-O zZm05Tbjp6VTQdpx_}IoA-#+h!gv7{wLuXCWFQX%n)&Cu$f#=Gn&e8gxj( zH>gwpQ7n>(B@sdVSCv7ojVNPz2EKRM$B&VJ7~WSsN&F|=a>-o4;|(1N7!--?R#Rp2 z5M&g716~AbIcXYS`xea?asbNtUzxHMt5Uv$K586u8q%lUbWSx^qXonivz|5S;1q^ z{wMJ5;Vy4Pau7UO7#K&h(*#i*W2e{h5CM8n)$N};3o{g?5D+MKiRd901xNBVkWqJ* z02x4O`v)KUi^A>1Pn95^^XWWrh`I0O)J*oWMbSET5ZeOoj- zPTAsDJ{0Il%)Rr~F-zh&3!9D+Z+0HU85zXCYbxhyO{`P8wN=s(6-SBU&fTLQE=rMF z5i@vJ;Zo4MP_3`!hxH1V#&>grE1gk+&EYtdQhJQ*n?8~c4~{ENXQ5?ER5#jq^1*&d z;4vy+u)D{00zLH7@9lJN?bu=&gQhT0ghBsJ1Roub-dKb=`8pOJ)SzoKRz2sBo$NNu zzCL53s!LNlDSt?`ZGv5ckGO2phhh|LG!78D&_i}Ug7{1RZs`+wv9zP>h23Sh12xua zk-XVo<_PbsQ;i+rh-0i&K&UMJg`usN$A;DpobA4NK@LGejk_HdI;m5rH+$2)K-f5) z!NRN^5L>tNSU{DboKJA+JX#fx@OM~=0~3*lBgPj%3lB>QI25hU z`Br>}bBbFKxv3cxeXP!&8h+#qZWUhSaQbNqgg#lUH_`3%YZ(zYS0U-%Y+s3=N%FZ{ zLB?Q(b{L=a-CVX_ht!k3#)HGU;xNv1Nr*kU`E)b~DY(bLgSJJEL#MOA(o@Y4Od(ud zTrmq?7=;r?4(qfVgjL>>Y!exZtan(81$ei&(D8?z$YPIBnqPRz)sJ7##Z?@i_uMX3HSpf?=0Fm8xBpMSCWbYLY9|NMbkyG=l zJ{$S5w_0yQKqjAZ&)dWZSa6xC)D2J~4daz=w?@x29hgK-rX#)2Qg5=_+8XX? zN2|n&wqFf6M0!k>l;j`rCMi>Rk+vaX-9Mi~Bnjp^JbdU@Yge@C&OVcQ1|N)JUU$AHTT{|yTVhEM>%un=13$x8^KUI|(#?n%HX^Z5NU z$vd$t`WJ_dYG~UoGN+Zc^LXU?!;p~RP!Z_-_WbOc2}l%i$rPYNolue~TqMYX7Mvb& z>cXHh_HlVZg8spv;TUSOYzA)!CE%M}wP8N*wE4RV32aFHa+f=RmLe9g?>8xmp4n?J zT*Ix!9wHqws{rc0oMPLF2G5#)pULck)0e&mzp$&J?OJ}9BKx2w%@Lhh zZ0u5`31n)WcZu;$t4$#9!dl3+;ekr0J*NUe;bMiw$d+7Z7thQg@-C5$mGW>h1I>fM zW06K^;^Aa)H*{!G=2nM_TVMZ2qk&nl=*}7Zwq?Yn67(Sh zCkd@p<&bL%yT{sD7wg6Q{=(%Gr0vXlTc#renhiqPDPS2lu+p1#}=E2tJ#NKTrt9cd59ybB)B5{lz}k zS_9Y=+x1HASp$eFXn_#d{NHyU{M;7A`5wu^K|eT3pwK!P+7^9}@QS$z5`tSL`;Ex5 zG`|bkJN)Lqgrf+nEzBZU+%gS-rcu|?`5~WBp}B@9t!jtFm0&3PQ0eF`fs>2PZW4=7 zyjWLO=sqAE9J^0em>tn-w;T=&X7`fWPzbgG9NS)a>RxUUpu}T$Z}|?&D`J%;QFA*^ zV`M1%Y0KmDzO=ZQ1WQg{`uPT5hJ>YD^|g31q?r zAie?3%%;ZL(rdB#9Hr!SL>Q$z@K9!8Wrgsh`>8jhTbvE~-(kpt;U?`AJ$HC?Tul~% zJDQkE`3})2V6Bs&j&)ap^jJ&ffo{2}giqHy2;VR+Wa!xs*=HQ{8VC8oH)!#B!$DS4 zP~s=#49+vbcW(_8l+~(ORq_%GbN%@{g0~rFN?2k#zxa+Y>?}>iC>%t?vr{?h_x_xn z_@yIb9^x}8h3BN&j`<<=@Ay+x(d~&59*_t*xm_$)3DUZYtp?x;MMjz#nT3ju^xf8{ z71aLe!EAMk2fazy4vwMNffu82udB#kGkXP^V^wHZ@^iyuTvpy}@!)khi}y)DaHuEj z5;{B-p+~8QsH<;rSxG;YFH$j5J=QVtYp^HE4Fn+v`P{RPb`L0JG(|z0$nRdRPz5Fu z%azQ4UJG3U3S!)`bpB0Nl7OJ^&@A3Kt|6B^^5K+zV7+kMWB;cZ*FVDasRt zJhIqH9X0>9A#~L~f!NUulJLj8Fq8irN)ii)PF1Euz#1*Ox&to|kP}9+?y*2g!0RdhX@qXWqg_`|Vld>AUjR-F;)(9|iqp z>jE6^AoscS7)YMfu-l#XpSz)qs84!1;}HTFveDX~H!ePFgt{RTnGmxqf$eBHx{JMF za3pi(){9*7v5CmiJ&;V}GgL``Am5cp-a;IHAsD|`ewko z6-~tIx>|U%6C^Z{-tPI`uavXiD&ob2(amU`PNK&KD>BmOc{DdUA!RaR%JSR>=kaGF z>}Qy+rbK`)mMrBTK$V|2**gTy4&D+0={5i_;J>6IujkWTXFh0bwC*(v z$BOA@lg**<*ixmQ`vW29blTyOky4p2@+%)7x4n>HVDTzBA#=iqm`uiNZ4Sgg%LSsa z-lWK?#Ol4Kzv;rGnA5cmUe95*St^ud{~1kN9#Z(sA$5B7X##(21 z{3M{9!a=}2`Z|{pp){KdDxJDsoRZy=!$=fy(V-37DuWl`83`eAc_F;apJ~Gz1Y#X5 z1$!hpWZ2-kJZ47cR-CReDCP4#pykXCyo*CZ*^Y*${>J83RfUK5S{VRoo)>?IH0-k%Fgy>cvkR^F>a>e%dn4reLU_ofk%xICkl3=b( zA(Yy`GXx~w!2jJ))$~~_^#(>nFG>NC%vd{YS|k2!G}#u-t6}m>M)R z(IMb+KMv1Xn0Q5bB_9&ow~pk9B?p&7*I2MsV+GJ6c?2lFDuR6W{Q-F{XH$T;7-v9T z1YXx@sS<%A$uy!cLQ`KNVBKv0p%CZuYMH`Nd&cWm)NHc%eeX58MS6T6eEC)XoBft2 z?F4j^-!68{Fh}3L&7xqY&(0tYYogWBwy~V^6OP+1X@!mZ% z#5ji;n6em|hlK!2?^gE@ESbsR*4-p}pm}nXxqcCdOJmX>84MY&X|ds%+REQTmGmd0 z3I`6RaE;aWUkZ5ia<~5WFZ{yHW!MKQM-&a3sAVpfjRD8xy<5 zk18>=bV;)Zk$d(*v&i87HDHj|-uE(^+KDs_y7xLkZ^RUxeL&}3OLFet3-Z>_7b%nD z5zGV74B@sEgY(dfC$;NckOy$|D>DN5rx1ToULPCT_z?JoG9*NT#C*YQ!JJ$%_kcSS ztk?jnDxq3s6}u^nT~cB!zBOo3JWf5z!>0)Ov-B52a@C+0p;UNB-Mm{rnFLJECG&Ak z)H?UIBHR`eXM&1A>-d9=F_{wGU6zuH z>#BTM@3}!mjtT(Wl?D9kt1H*5wFGuM-CuhEtD3cq4Ioqk|J+~G1BmtlOmGr*wmV&% z>0N*%bKm6Np8nM%ps5h7d$m^24^S?cHw}jUw{HQ>${ZNkZ{F+qqS&->uzUJ#OtKbAmt?CN4kY(9jB%%4g{M% zkh~QE;vv|KqKMH)%lQe}`z$lm++c`|dRM?Qhm;7{gdZdzo?L=&N>e|1?iIp$^A&^K z5fh{fJG}Q@N6V!i3~bq$3e9yJ%sTz{z#3d5TwA3L9yDQ6VzDLFG97|0PdiJQ8fVO) zAB33cI%8vFG+*`eDLByzQ0 zi7rD}NQW72V~q3_)*dI=3C0E&9i-dp(H9+huqU?zPZZ`D#S^|e8_`*0&j<^;AT?7V z@3WW3LrRe5kx%NOnu#VBOU^ z#Lq%v=#!qT1}Pjh1^&5J7i)kG4&|nBG-WQx{(cRLeF*=0`{SSe8k|%B^8u-34zJ(y z+oV|#)J1EXH+)%(3k9RricEzzxAc~Ma-*pI{ZspX8jsgK3{+%|!fE#~I1KpmZ3ErJ z$-2yu?NO(g-a~Xd$zIeW#p>CkL$6!ry$FjiIbbB>UdoMX@Dft>AQH)6S1C-LJ3AifOU1 z+9T@Vl-&9b#~*dJ&r&$lVA4}?_n0&wL3b(4@<7t>-DZi6-ev%ZP66Z+GoUOA6*btK z&3G&xfJ>hS(18FUa@kC_Vr7n#e^e?J92^`HXnf%8LFDb#0Q)7Nx-L38ddMS_#RcHY z9LB`E^=u4F9Yb@`%^8c5^9O27qtReuTz)*6PLgv0cu)gw{01@`%`$Y$egJ!S>0}B* z-zz}5=+F0;UYC1+ulhDhi&uxYsRD}8ivGC`OJp$cdM;+Y1hHkFVYC-X(uG@s(GK>! z7{Bj>_Et%S&GmLQE_yw-YdDkRPo3D9-h?t`04V_xF0pZ#K-9i V+Mw(kH`6;!zf zlZi|gJrPb)ZNeuV0h%%%DBT_=R^RmZW{}^TdR6VjU4w^Z`gBOVIL=p!`N~H?T zAb5L1NH$wPc8TWIc(^l{q}$>QD3GsW?eT2V7lq;({ zXdi~sZ*johwY_dViqR}%6g~iqglTCkMT8AfaT2@h=X&!Wk#4eha_^+^fTAG#$Ov^$ zF*AbMbQUFD)SYz5$NjizB{elv+poUmy43w`$b8f}&RWJnq8U{K-|$cc2;?E(C`sn; z#M<)1WLD3ifKP9Cw5eEKXedTIhXA@Ua+su&R>iY6^y&>6*VgO$MzQvdLjl1KOp3?% zbPLHO645uglD;9HtD#dT!4Oy^+}tS5Gs?Z5&w3{T$iWIPfYM2)01IBPm#ZZ^@#2G<8{fBvj1@!`Raxd# zVp%+9Vi+&1W)q)b@L09}yM{7H+2po(-;mqL8%mZfdWWkc-Y7$0=AR~rec}_8eGnXQ z@TFn!_z~zAXdm>DIohSMktU~Q96C79WksbSqH>&tA`M>tWN=mriS^NANvR_hpegTu z&!nvAhIW5;X#S(7a`~H2X})3O@ud1E^(+Qi0!qyp5&uVql<{zbgcUa8Ud`qSlk#RZ zr+!CicQ?CTueRQ5cu*1zx`6;&=e5q)V!4`(Gg|!|RqdG{ujSgP1Jo-=*nW0bg znc&n-2|%x8(OzIbHq?p>Ht%?}TjFq`*(n=Qb}de~0JY|`JPo?84A%45>66gtF?BK0 zr3ufic&iX!-?w*B>qbDqU0c4uUha@Me4Ls$d!t;}%wN*N*P4MZ0?9lCEL{^iYwJ4H zgMy!hd()Y8yakf3t=}i)jaUle=P3UYXT{Lfwo>_Mk?a>hz+^9${h6jTnyC2Lpt)-Q zEFrD8^L&!sZn*^qS1Awe$$!cfAs#o2MynLC0yRQGko?X_FBUQ94(@!lL9t5B-?<+d$IY6?eElpAG?C zJ2+bQ7mx_oVbbdv7@J((^U@TTk5f*L)*mlR`$ktgdina( z^$C}xX3R=Z3(HXBlSsyAw*ycelA+N09icb-+$-5`z?2xd>KKc0+^+fMUO1c;>GitF zoU?R+_h{?8B__g&NrRRfL6d+2S(c31V$(a99HG%QOo$;P<5OEtvggh)4LTSBDY*{t=T%?!TBo< z$SXTit5?6&o|F)B}B0?v7g1agTsDt{hu^`aw zfGq1vuN{^bCp}6x#|x@avWnM%GybRWgqdYd&t5{=0rt_WyO0MtKC zH3_ialg|>OauWIyFdSN=*J&o5pBl*CU^xz4vwJj8mRxA)j}e3)=-IZBC@80vH-|1C zRCnadM`CXNvtT|n*P|^$7fyHP^$`l*{eL`U-*t z%Qy&SRkMT(P+8OUi@F=NY!Q#o8%kBL+mjXHt_t@aoWM2TR(_An8}@hR79(C9t8AQo z=7O7wUcoo55P+OP3^NQ}bdP8yfKIY99fl|c58L#t^}>aCCV0h_;3zSR_RbaY1X4Q1 zc0y`S_yvRp2cyuu|cEOwJPO8`I9YS1I z5=thLyO|6L0<%m<65kF32h^k>FJ#bV07G^RGrpgq7wGdboylL!H0rxOhph+{$Kn_{ zJFIu)4oGY0T-l7vN-*If7a`Tk5g=oMC40V>uDMtU-}oR8MYa(}v9Y}rU>!Xb**O@-jN&CUp7N~A#e}jlA7Wu2^Pm%IPMW*Xqi{o%xAXUX?;vKug z;h`~mpV`m+Wf_4_fw4AOhz1`~VE;X7)pSOQs^wy(Y`s&)=Al@osM}>9uxIgy$AoHv z*e6IhccyN2oVj4W#fmY=&3rpNinNl==$lb%6bbJrp_ES2yo9zlK4UNn`!0~DuDNk(y45s^t{O))9vD6eguT1I%=X=1434-{X`??fgwdC@8 z6lbMLB+JDWz9sKEP>k=-|HPt29VCO2AH0doiCFgTB>kuP5sN|R11zv`xj2Ql^2(W;XNMD5I%|ICosB4Y6{^BQ?(UWwkjB+a zZ~cx$P9nmtw^*zRN`3Sy{q0EB~gp}`m19_nqGE%eM>@wsI45NH) zS9x)fpONJQO=!IUJ;~Z*4sHQU3W6MAz+(0appLas*c=YRJIoUayubPBV!}+#pN8@j z^udqIg2pX+iL4wjHBw=nV%9?KtavP;s3R!I$Gojdu*A%TLz~iC{pE_whpQvJ=HaZr z3zIi6c|rn7Q+`Ge0C7A7UaHrjPVc#o&}VgduD|wj5g`mY!1y^5L2-eC1t~LfghR|0 zhFbW64bZEz5YEz|_YUL4D#0@NE@fB0XlVv#>yHJ|PWyXIy#m|Rq z@-~1?PsnKqP0r19!`*5jLLPm+C(h1v#WIFQ7jk7~rI}YCmta}HqV&CDB%8JvYWsJ) z3{5O0$)fQ2hsO|L1}XL3wnq}pv`(b;vCpM5w%13Vr;yF=R-aTV${+vB%6tJ$w%*l$ zpo5He6qJ)K%saQ7>tp~S#m+DGwHU^qSSs~%J$(*+^iQtEl@6ei7dzEJ*bfMi$>-4c zvaHEvaS$TRa>J_EC!f46b{6$!HHuKW=uS@3vdlh^WnTb1w%a{ES_T!zqN`R*WrV1t z#+ijRnISY6cfQ$Saf0L{I3ljlWMLGQjU`zBpg`P{ZnJF)MMd&(5G1f6toJ(Cop)I+ z5wEstFwp@aC}i~m{FSm?Feha1zx;!Jg|aAGqp^FgX+*IK)D`yq-c!c01ZPPzQ0?ZXUcu-iAqD8`6`AvwY{V{n0f37j;$&r^5 zZqYlH1}+?en|Z8E2j^$>mG1`a>~_w64P(fQ`yUr!&cm_gZ2d~2#2j$&V|dl+PkF*) zR6PLeLyR-3>074SVxXJ#P^@r$48md`YF93@oK59Ss((X@$Ed1&)hV7i91D8n{Hv5L z`t>%stMH5Z$OZiiOp;1#NmQ0{#HtF7-4U@)n;awh#a=)KiO-Fauj9T+Qb6~Wt{}Ev z5(Sn@vk{{Cm98{;%z(3^2+pAOh1Om&FAz7?6&BYOq(5~ACO|jgXJMsZ%YS^x0`-Id zC=$NT{_WjP{Q#s*jt28TSDq@7AT1#hh-@Mai#4kR`V{-p#0o=wTPy-3~kDP0pC)t)y z;pcPz`XA8JxtI_bVJRhm^j0H*4d2=TiHL_vubZ`@4{)NZ`GA(VGmSt)x`(9tKW06> zyGjGO=vFe!rkcyJ`d$r3{@w(%tteG*N05?`1cUho2x5UGfL&D2sGsxeENVCJRhKIe#85nU5>vwm>C`fUh3YYL5uAb`N{3 zmbwd)T$Gv~IOd_r-e;|deA9;{j8U1v3SbB_Rq51_VT(jj`o1?ARva8ozlrkaEuvF2FUFM6F#I4ml%SM4;BKPG8E0dhr`-`tdMBT{U7lLE38&f9_tD4m>8FYz+bw%2PEhwLXkj;yZL zFH(@eDkfJG%3j~Ez%MqJi{ytfMFoe7HlXv)pC27Dswb#|&;rJquf2IA(MRH|XEnMz z8?kP>S=D%QH&+IrKXzPwQ|lr>2yQ2xFqZ>a6q@usze)th#Q2EmDdXAEUjtYR9y_Gq zwGaPvS$?Cob7e;HZQJ}EK9+idk8Jb&YGM3msv02T=HX<*Z6I+*v|5;MY{3go7as-3 zC92o$8&y?pCh{qAZgZI7j6&hT;fK@0-L6h4QF z(91T63$kl0_PKQm&H9#T<)}<_aCin1cF4(J?Ry1fHWDx0s8!+kT3G7gbrPMf7i6n5 z59sGWV}moM*=hUpDrg0r38DVbwV!*z^QNMcW6qCJ<3wy$OXW~F%rdZ&$?0zZY^Kxg zwgHi1y872*jTO7?)?NYNZam!sp39B&6)0(EE#v6=5zN)o?}O+(Mkk_ci-WjKzPZZf zawR74BDwr_z7TSJXMQwjGej$x6pJ*uz3QzSW8u8R((=mG?X7BN?Ok-m?I}pi$+HAl0h`aC~ zTthd_BvFemM;6073h#ufRrf8AY?L>qK~n%NB<;)lg09aPB!l^Zs1-e;oSJE6ugBAC zTym7;VR6gOv5o`9ua>8n*InUfoB7l0ewCZ_O>2p$0PP>`62TR7!&o{lP& z+F))OUtfmS;!s+!z;NLC7AXz?BDPO1G~!KNia$dJBa=E+1=?d}+Ro zr*S%B8CAIhIl7(&Sj-9|4jS2V?}12VfIWdiD+wtjfIPU0RdvSz%dX*}sA* zjCAHZ^LuT)UKz!7wrYxeBkT_VTBX~(6%J>Y{tL5Fq)p+X))Q-ZK8wBymGetr36k}AH+U|t3! zhpJ@6HxAtbu?ZMEw*YHagZ*38U-Z|ENqJlEdIVa4$QZO#rb~nB*^(v-DyQ%|d(Cjj zZ=}74w)S79PB}KrzIW%j*P|E{LH@q24wxNR5hQ@jZ!9x#Wu-0TBAfcug zUn(@BP9VPmzGdv8`=c~krGqgNk{{h~BMCAa=G);w-VZ6=%;}hzLZMmqC)?6-Z=z+W zPD~f{9@aui)6LCt-leXee;a+3LMKE z4?ajQtWZOQdv4tet%%?Z)`c5^R9s$MOVr7Nolx>g3^v2(a)r1<93*AZy8#};4|7{4 zO99j8-|ljHA5g)dtJ1E`g9uA_hmw)Nq5g?-qpKM^Gp9;fY{ki&E8-vegRkZ!vEKc| zF}_f)O0e|45PF|wtm%H$2<*(?QjZJpn$>C6wu9JarNKUh0=*CdWXWlex5%<{U{s^t|Po$_H@3b8~m*`o2rvRbMPu((81v$mj43 zQ1cm_zJ)D5-uwRit#%$rU(D4$36XlVt`~!6Q*2YKRE^;@s~GR5FTqNlQBD%8@pwFu zX{MXS=RA^V$N&9%cmax)Vf^S~sa&bo*N3X6&|dNmPmvddLN{Lq8kuGt;ibd*IrOef z@R+P3RE&N%kH5@i^QxmoG=SG7E6q#*V@}8YY#JULbYrw%rfez&+uW}$2p4S<94R1( z7le>~K$EH8%{^#C<^Jf8!Y`uV^`LP%JmRtrN=`B*&-k!`Jh~< zL`9?)g53A+6{xCre=M49z=xTIfL1^$9mGeDiHeu?bOYgnnG+FwbKC9VGfM;KBV>$< zBYy{)V@>*#-ty-jE)pf>I{us!vr~{rnK)VIo&pTs!|*&A?xo&2E{K6dM=I~dkA+o6 zsgaZA2SvIglj1Ha!e=d27~HV;r`B^SEhfi~#bYGFiJ8~Dbj}F7tUgwZ#wGJ-E66eA z7!A&vYdC-oc?d?=7F#kfpDu3|X`*^v#W!7J*Q4ev)b4;o;sZy*M4A%OR31VG10V(SF3b^G8u<+ni`5=cW0ksi1g` zcX)t;@zDUGM`QnLx#BuIUchH3%Ce4VTXatiX{VPPG=HUuD%lJhVM(7tY%Ef^bA29A zn6mOh$gzKcHbs~0CFLL95X*!M90q&OX>W)EUGM_vM&Bw6PLD!77d=y&Q3Jch(( z{i)Gof#;G>73Z48E-M~AA$i3A_p3Z=K|#I_;zP1EtgWv$lh2BTKs{YjY4$r8iD{zW z=xRrpygeghG?m*Tni?fG1Bs(FlZznL5y=s%yez3`D>jQ`bjGYDZ8l@g?2JMg=zyia z!qctMG=PEOqIxfJIrCl~cyb>cCjXas^m)u*F0>Gk-C?O(Qf%<^JPvRt;jirOAk4oC z$ufwT8uixD5KyzrQ3&cSp46myP=ESYB3HDkjGCoPBoSxM`y_+qE3uHzc$S4J{6eC* z=c@x*7(dU>51GxT`~&gafX<0ICh8OPzvhtwe6qx&gx=$|pZJKwHeng6{tEs@g_eN& zvf-A&yBwJdAJ8{EXO3uFtEah1&4w&?XoN8VAF}56eo7x+RvZmR%D;<@`76`KPM~)u z4zSdQNAF?rvxX9DB-?;qan*%0fHaYq8=s}vTMI_bFPCtxj>_ruac+?uz%8yiaB(`6 z)L#zCGIDBtJi`fcYkWLJE?nXw*r_&ym_XpT(iVDwd_~24zGtYJREc z9uz7zHfEVX+3wW2syu`yQ~n8l%iyv~z^DZewf23)-%7+4=q zLRO12l2KUeN?((`^4pGeJloc=->L3IsPxpPi^%ftfs=({M2e>j+6^JYh+b{H-U@9G z;k)8;-(vA`tHHrW3nRV|27_n^|GakaUo{=2k;SE?g0*NlPWbymq0RiStS)zh=U}6Tr z`GqSJ(MZ(&lgQuH2a`SkHj{W9UI33MlZP@80s_Lrzfr%OUQ?Ra`st@15^1C#A^UN5 zMA?T2*U!_8fFy?9HC|k9*P@cYc^tn`X_aJMo`69i&P)-}-Q?wG0kZyK#ogyyZZu2? z?4yh>S4BgiE29fYLyC2tH)w}nLGRrqiUX6x)SfG$egX=H8QvT57^!lizplV@-wUOZ zdVmcE2gSB)9Xrk+>Cs=W1;ir(A!}vnCS|>%7pNHILssExztCKaF8aEy3SU_azbrH6 z1zd{4T8AWTUPq=P+K?kI0SQC0_x6gO3;|qYVk35e>-v{J?7yHkPTFl7Y)e6 zUuzR+?n7=)4t0+~Hw$^swT3vREYQSgh)R4ha{$|tW<{=YJhVN)!f8feVl zIjq&`EhN48UjZ}=%k=y3<{0$cs`@E1uZU|BvEbaOJYd$B3}(~$Gkaq3g;OoPV=!Sa>iPN8#-eG$ zWuUL~Xzl8G;^!H%s_A>);x$C1bFn>k7AR;&)bg>DXDQq-ZbLwK_L-nSwKgr8uG&K| zn=Y@9>)>9PT$Jx8EgXo&*bS6}S{xay`qAOOAxl?p)hQInlZ;N2H49DTGDQWh1+yj=$HHe`CvDJ&A6!tVeE zSoxiM59Q&h_`jg;5v3eaegSxfa+90cA5yfZY8ieNx<74EfNeXjriJA>&C( ztTiz&b=&q)OVnq+$iX41-r~QDOZTP+k3`zxniNZ9dQX|s3TnfS5<0AI14pPjlS9K# z?OvfeQbcHk4Zf>)evumySEO>I_Q>{iF;i9LSk5Ei`r1)?;S5R4jGzX*y?^~QMLMY7 zH)wpC4w#?c^6}$yac#qf4F?Yzu5&}-ZooF+)7sj)W?TaY9yxLZ>n@gaP`X~2I?xdq zX=`g+wQ5y<0b3qFetgT8Eu@YYI5WZL8EBpCXy~VUKJlDPUc#%C=GlTxeX4b{*QQOI z;lqam<}tiWQ92FJh4$^+qX?KYXATST^5x3}FVbeydst|INA2nrfmr-;w$aipirt7) znK5EVVqQ;|{$)xOM&++FYm6EnA^haEG)y$z2YCi~58(~)PGJG#CEzg(M@Fl%b!!Jo zCqjD*-f{oH1`;TTr!H)A*S=%Q#XooKJ2ud+17$YQrwGT$e_Mj2nZL86ynboF>hIdC$XalnMfxFN>^4_0WWdJYfz^Op8u8z!kj)~Byt0yIaWl=1!02f z%a$t2g{r3yE(XLyio$imQ2h68;3c1N;oJ5c@pZEYYBC}ON&(TqU_n#ou>n-`75#YT zVvDYh)(G9PgsMx#%r)kGIIC1nC zSMsC_Myhz%aoG#pGuqq zdvz>YFh6=3Q7@f>)!qSN4-6IM0$0PegGUW-RJSVY!XGaIXQJMkI%l!Q{QylvN%ZLP z6EyzBhtqlC+q`x6!7-C&SuSlSV5~!5*U4kt5OrX({E6cbvtolmsE;bDUfaGt!v~Pi z8&?rghebdvd`Zy6aq$mhf0)E^&*p-SbnEW@Z`&D^O{8X^LLzBqYyRpwhQ7r{6h~ zXmRrRXO=8k5_fBIjK6;UTGinY>w19#1puE4#k~`7p1%}s{Hd0knRfNh?~@$~O?q>W z>O6nTskVH>qORRD98&;AFwm^)GM%crb(Jqu3|xU-9l77^n&G6hfe~J~d|hh0`?vku z{%!xZe_Q?g2-yd0{vfm_p{qZ6~%G<`1+2=9_N3P$cakQrj??y%B~eFo`TmvyapQ{1BnM< z!-h%-(M_R3R4F1#NJVO4Cr;u&^T#tW$AmIcBU(GQ#})a0bTymYJ0l$_i=XeAxpG~A zF-Cu)+PGaQ6bgE3hW2;#|LOiOYP*jIPwBd&e*K`X=GH%S=T;PAM;Ie94=N*{Uy z3|?mq+s@aY=70V&=QjpCiA^W#5?x50RUEVg00000CYd>HE+C4*&oF07fRD>z|+h z@yqGqPf_bwhNMe$p)S{(2&i+m4e;7oFk%lp00000I^Jb8xrYxwe)8m7nHa}ga7uIe zf|oCpY{ARr?Hdz%NgPL=B=VD}qoJ|eITcK`&hTz+mRIh`@Bjb+0Pyv=g0~ z2KC1xJ;{Wzu5~p`dLp8UF%CNyaVU7t>I~z!jyr3MGnYf_DOUgh004kStvG01j%%F6 zc6EpK-jb8s*?F|P`z#22xfTEb0002sEpL{W@5pHK7h%N{6NbL)7G-(>00000Af>Ck zBpT995CpDUlIZ~e0001hl&-9iFbqr-1=HP82LJ#70DzRP^1Zkp)EkZZ%1TA12LJ#7 z002^Y()Ab0B@OAu_dD%&b9uQU(*OVf0000fU3s~v-EL_}HzHE6SEse94gdfE001dn z%@?i4a}hBja&l64-Qv>HiewG|00000Qo0j09BWTbYX1avb#UW!(D z$_MM~8~gh&`fssM00000004YFPTxz{j`!Dl=_w;u=%l^9=NlXMbZdQF=c@w%0000$ zN>94J8=tM(_UfFc(K}7)Z{1N(>49w;)XU|WTCEy}K^XdaRU$ok7XSbN002noy(G4| zBrl20NnYZGa;e>J)$7%?hkf9no+_2R#l>aUE&e@9dX>Qd00000fPZ(sbu=1v-|xs< Z{RKOYYd4DMlpX*8002ovPDHLkV1m4~)J*^Y literal 0 HcmV?d00001 diff --git a/doc/5-wave/wave-editor-tools.png b/doc/5-wave/wave-editor-tools.png new file mode 100644 index 0000000000000000000000000000000000000000..64261f90da9b25aeae8fb720dc0f3d1160e2a7b2 GIT binary patch literal 97988 zcma&Mb98P?6FwN*wr$(Cb7DI=v8@x^wr$%wv2EKS#94hw|^1poj5D=8tO1ONca0RRAE4gvHdsdk{b1_1PlkQ5PAanrrn)cURL zjMICo*HL{QR96plZnH5w> z)Vvcm4a=Th{!98<{3p+B?^@U-O#gQ4{T5 zbwz$w{kJocvH`fS`Rxd;WrX|_@ZVk>zwL=Hl2rR|k(PBPv6+bU2$U}OG>`?iamRS_b;;5_1)ImBn41ov+v%K+;z z>)1d`cL&q`T8^|U`xwDLCISG!+D|{gI#Ltb#IRa)?L1%K|ELng6#2JFz(_kmdqDgC zBS*p2**YG! zC6eW=5qx!(2jks`N_(U<)Clym>tneLicibNhEL(E*HNq-GDHb!hcmsGi*i!3`J|fn zy8Zxmw#rwp2{7?a9WeBN)G#--`hBjiJ;1%D@m4@DlJcB>jCD%ZuzF!@9XpCs&GR|c zoF&iemGY4MgRI1$k{GwNuBV*HmHMZlXbR^ItTMS%Ca-h#(QyB9QAAP1QP0QU`iPWG zVat-Pc}B0fKaCTQut_CTV@#scYk-QEi!4mZs6ox> zebKoB28mT?W#%Bx+`P!DVw=TEYU<%{{AEY~)E1LjdguxAnljVe@nm-U=Q;0OSfBpr z#1v8-?>juaQ}Mw5W0m`9m2}gUe=BHii>9&pKj`=3z1%>+=M;tTrr@y_h}fP#>7a_s zNhLb%x6>t_TyncYJNnZ(1U1 zTj3M`GvLSM7N+=OqL1x|DymX$Vl03Xqs0P=KNA#)Fd!BP223ge5QaYmh5&|sxgi#) zf=a$r5foJc5eopwE3b#I&Is@G+0oKj%=K4g!xYzjyEE7E6#sNb&gbti@a_(4t=DMR zI^OP&ATD)ia8F8&u1{>OMB;s=T`gO6dH6gNnO^wqrpn4ZA4WFN=yM|MPD;xYCH!8g zr%!PWPWQc!20%F6^h z^}716@RNZ*m0dQm zRIIhIk30u`XT3;Go@r)PX&PmX`4d0{{CnN?3Rh6?x~Z+b(H%J%3(b^Cgpw9xyf738 z)Yd2t8kN@&s{lzK{42pqwGvBI=flNZNCj zE1St=|Lh-K^LupKeQxbA&kpIH_n#KLt*WQG*{t+D>W6Yz`Xn41H=v2}chn>erm9vc zxu4b$2Xn5Lm3np2wdO>%6Zz z&W^f2Uv=rtq={lbjb*r9&)mLWPLx3Ug4n*N3}v9oBm1T6u+6J#5y;xplk~n`zaX(*>X!Pl9bh(VlEC&KT-=~MAtQJ3ubni9T5h;PavNo&O*&T~+Lq-JM ztxtHf%z>o&ZGeBv-aSNx%YQnMWlnWBH5hDObGV^BaSXR}G&5U8GXm;_bd+qorHuU# z)}Q-bue4ZA7|!*0e*R!0>-U^f2{^_4M`miWKlCU$S#CiP

_1?g%Fp9qKXMOqZU@ zLR690b!j5rUAB?I8Vb-~vu#F+RbfK(T+{QjrL{)$`Zh`BFGiVscs|^yi0;iw)`cSJ zc~e^KJh_M}|I!zfFtUf|M~vBNJU%ZsSb`n)7f|8_T-80`^G$G0pQUheB12$#F$eb; zCH`vr%#KWouy!pL=M@e!ai=?cILMrKr-|A2*RT*B2aFbW@6BW~fO3C)J)%}}`yR70!9Q4o^(0M)dZ7^8 zttiz_CE4qfZMghCfFy1`Jet1mvaSPQfiwh)-reL5I>=*kx?kSlKm`#%{=Etp+rV`% z+-g$8xmumcT?euW+&VQRcZ}E@98!@)NDB*aaYGcsn%Hb2fle=duMKvUw4pBM*|sxq zVA^{50WPC3FGQuj8hor41bVu==~#9ThB9)I>VQ6jq1+GRn#3L-8T&j}B2~4SbHXE^ z-CowS)(=!(`mvE>QLSecwGBOfk6Gjo+?$WG@GUD$ZR%1vCfj zd0hjbRUQ(K>FfA>FYz+b<*@-1ye=NKkkQ|~KI*3;&lo{E=l=|GKI7jGC27UD$>H)@ znAhluaaeOA+#Re+Kamb(T?9|5lV<9eS=)5lPW}eDXnVNmyu5*YQk6+(#o=!q$p~l} zF!hjRV|@b6YHIkhnJ!NQZg=H)d3Nu{R{HgDvM^O_O|5c4?)m0`4qT$>?OkeK3~;}6 zG3>r*TabaV)a6BMJswYcAzd7K5iw>RN;p^?XpFOyx!588)FSTccf4R=fWDv2<4L$^ zQ+u$C$Q}KM(1U^Pl}v?~8uCAn9|ewS=ek%E8u1kUweVWXR!!a`WTVT+H=MZd0JOG% zdE_iic#mrCpo*%xl?CTU#SyR_)a{z7=oFNCOub>eWpyE>Ql2*#l(*+!Leyqlr6L(b zzt={mCp~ic=JY8z-mqEGKq__hWst&$*5V?MwS?Vc{MF9e|JPAsTSt6Ax6=c*=6&>Q zGZm=QM|p?I*`y~-x^U}EkDSO(T>UC4gGHa{2OfW^l<;kCbZ=O6qfFe!iliCyOTH-$ zVIA-HIEB1NfR;dazNa_Y#Cfw0SQS;uRd+?%hVflkNBJuaLcTBU<7(9noM^T1WmT30 z{_~Ypb20!$pju9bqCRL>M;AY5-=p!%{=Cl@y4ra}G{gwbCuMOiM!*kvXbyMC!_QFa zF{x6g|L_WhLOJV_=UgSSKc?$E1Z@N7ND`^JQgG zOfOB1GBgs-A|oVQy`X28GK>sVA6H!=N)ZhCMm@mjuxCpKL^m-qBE4f0JaLM=ygVog z@FZO0*R2qo=mR1kInhFW_?s_o_mw0BM~ayvrr|FP7M7*u!+JFHm718gFz5lYBL)IOdzT{W6H_#lDD1rZln*i=D@u{ah&Ks|84^XXb z&J#<#g#zNtzcOfXw`DH?%IPK3dV)?(7ONQ6XPq9A+(J&G5Nq-FQO#!95x;o$H_K(E zhZ7DCT&2#>7esI|mcg7Y?+MrqY(9cgMH*{bes2KuBavBlLj-n}TNcgSRK({~Z!Ev` zq0?_SibiyVR$lOA?pBx;ffQY=u{K&Q&K%M1Y0vFR3FhxB-{{-5YV-i*|ZH!(E5y{X|~uCacI1eoVv> zKM4uuqCR!L%(}FG8&GMQnFSL2kdwZYSZ?e`&sDdxn z!3e0W7Gv)+_`(>f4)L1|zpyVzPg1Df`y1byV8I;?S7eXzis+^-S4;0`;$(XC&&#!X+_zU4t zDi&5RiUK6Q&(j?$HU14My6WgQgGQ%Y&|?f1)>$h5$g@*7;D76qC@M&XOQKt>nF`#d z)-5gI$8k3j_Hd2U95EzW%bo~5d10O>@ryB z^vmPMjQJI%(_8DM?q_AjFv|d_JN1pW@gef zU*1>bc_;EIsWoSiH!QHMrN z4tezN#@cdXG;ESsSpwulZw=ktl^6WK5xv1Fs_q%DO{OlnpY4W|p%Q{@Xe$d;cOL^k zN-F=~i|a-iF-&vYQB9q@iF|0{2JU9*dN&h}Pr}7e^dV*rkykz*=o)N(3eAspYAh4J z3QstQg&b)(S-OTpD0d>5299w*DxoLM_1G?GAeA}ArJW?06`mTAFi8^5VP~0{uT%=M z7wORujO840+{~Rr{pMv#*lJ%*Ra>4LG&1#NO~zkGU>Ms6WQ@$RAAF`&zQZ??FW6De z?9O6E_E+#OW@-7F9BN~Pxv4jwoAFaMOg$@+;ph1;6xBNkGU7th}dq?0UK=S?QqG5p(;5F>O|u1VIBC z-w=znmLBZvoQ8uS5`!(diSGT_8YakV zP(3w-=kX~F_^E3e=Jo6ppG1aAc6ny{o|FUM4oU0a;*%j zi=Rz53rnXl@=?neh(mahMpI3)<)?)WdaS4OzS})AEz)zmx)b1jTG5O!1{ZpEMat;qPa=?XLDV!#iCTsKP(f#&q*pemCUs~T{ulC{#!Q^wqAW2I9SxfsLE z_ya5ryz?r)3UJ%I&uu*z%o$A{JKDlJp4-q10IrzfV|I|h4*)QP0r-Q@bAe^KTcUY{ zhzYuVUGv3#(sHZRM^nt@Gz9TKgao6Xkzg(j;k{hfn^9>N7~MX8Kd|Do>K_7#MGJa4 zjD_&HknP>hqmQvSynxf`c1?+Ve2plMdQwa`Cagczq;=VBweGK@f!aQPSTDLH8r=FH z#8#aj$E3{dlK0mL91+^#(cL2Ns-;1A0Z2O3cJG@ReX##%0<%_+(2~km{(YZPBR;7? zjhcq1=v0%PwN{j~=Dxo$^_l>7|A;Fte2>TLZ_L}XP5t}%Zt=>-Q*AmN_DQlmF02c{ zt9N3Pmena^bOK?fqC)le%y0qU{f9R%QnYP0cOPaoVBvO7PbNiDOGv}W0b%PYO-l~R z?o)%$wttVKv9IR_wSK}#wkor-&^JfzmX378_}yek^apYu3V_`ENzc+YUx)OOzct)1 z7@<3_C$N3PzXTyKMj1C>@b1%HSgrQMCxF>oo@xCAvIyH`oxpB5I1QU%^@ebt-n$YK zt#XrR_hfM0NUezeANGAeM!2@z1hdBKpiJy+JjkwEI_QZAMjp(_LS-P^is`Lq@;f zwg2XfOeMS1v+JU}$jmW2qJ1zm>SnDi9Su`F>Pb(gb{k?}02kG@lD}T@N2l^G{4Mf$ zyoD~IT@MfQb{vK+FR2N95d2awK&*3Iz8dy4E&9KU@o_gb?IP+NV7;-c6215a{JL*a z1qX2^p7!qf1_uQ_6BDTVRoM*eLtZa0>9AHNb7>f0aVB>q546GNy(ve>gyLts12fZO z@Aay@EiG27((3Z`*yO&b!$a6+s#?If)a1T*lyP8~zw8#K4>vvJKQ&U@tDfNhVFCgO z?(*Rs(y9sZgJ24 z!{Y2*Ryn}_{N_6R!|4z#{1CtbrNBRrLjN$gf4KfXg?@~tS3fTR5Ow{-WvOrf!yf1T zL)-q_8R6{b>E96n^1l9Qf^h!1{X4p!!arQ4~3ZaeqY1 z<^2Y--y5H0>x^D|u-|r<(sE5CjdG6LP}PRoB4oBqpM9k_uS(wIL-R+EpTB&lEFIU_ zk15hLaF&U7fWcIVqx9Uzc7|W(iIl;Mcc*Kd2mnPI17P%8eJ6A$6yRr~F~|$vAgAiw zp9NVnhpS4Hb*O@LE(g=Oo)8D#o;J2$ysDOOdY?PN>0)-i6kMH}-R5fQu!T9_>6yKv z6ZsOpi_>T;{S{(C!?~IKb6cwIO`?_~>Ef7g)}dbLN6?NqEBD`O zo=Lte(s0{a*HomP8|Ps_fw~s}0iO|~EyS^FmIGHC0G+T*qMj+1C3!*}$rrrT-m84& z1V4=HEC`vH#AlTX>R(xH_2&mYQ+MJK{4=ToAZabAA9rNbmrA zxDmgjC45Ql%XK; zGcIhGgZos6sPXyQG3)V0lLm59ALIubLW2EK0vZPq2T?#RpW5BO>lpqlyj=3mMl4>? zkj(ww=OD{Rh!B&6Y|;7dWJKuY@gAJN?iM~*{R4oY%*dZOfEegR%88V}_W46lXYoRY zXLbmqQbPtyLZC*fj`l=o9$EXkUrb(KCQhR~kDJ zI}r=uAHN98%*SHd@^U^5i*e!OFl$D6+t1doXS5(-?9SUtGzZugaHTpsumz}CWg%W4 z`x2(WIlDTY5A0je44pJ|>0r{}0de}gp7737NEP;V2-GWWrE^*~%FC2u!;_kpAAhY$ zjNVt=$G48uJ~u$}ON{>U|K7S@#6H9^xPNCy;w`#fYi<7u6K(W9saiT_zxq1rXaIUB zQC7&YOA*ZnBVeQ~N${02u`qZKMyHy9Vn#9fTaB3W9g(nYyX9T_eU|$HO?YN`oxs7uWVtWOhHwXdZ&$0wi@om>r~X3B6n>@qu`gON)g(7UM66_5If5c&jkIRg zM`=F(+Q;V8sh2@Jefz_@Fi7ru;FxRJUkfqC52Z8HCnGGR7c>$Vg#x3_!vE7`ZyEB( zy`)~9@8mUl-pYcD66c5&e(|>!6uDe&0zFdO%QKk&V|xlQ<^(XL1Wm5jlHVFyHJAl| zZtC|s%~ELNJqhEup&RWFR?1$f)73ibX>m-AUiO95E}73Q=%d!&V0&Dh=AsKmdN#kN z415U`G&5a|uT96#HVpF%(s2{KHmFq|?DWUJYCAHAAY~zG+__a+-QuVHX3H>lD1ISH zT>twy`^TJ;@c%PsR{sVLTXM$q;?GGGLU9f$Ai$AIqTPp#QnhC=z0;%9=lsOVO5xV( z{QL2c&6;$ekmvIu-`cFFv)y%G0e#cu{j06%BTh>KDdznkyfmfhc~gdZ|J0)X9J)<$ zDgNOCLAGHSFY8YT`8icuzu#@UPZ^#(17FX;Z}+vVZ$}Zt^{bS@j_`L+YG`iaW1n5Q za~Md7;nQcdqDgwaIA^xf+h%{${=CVim|lGCSua~Hk-wqef__Lx8;MBhXfU~nREG%q#aUF(&s`F;Mv$eG~DJdxpTX=Z*$#Ydz z6?Y%i9y$@)$=UpZcgTexU|1A@!mC+ z8Y9_bb&gN&Qy1^AZ8^sguP!#53v=>zA+BaH&|kb%FyR@qZCv)w)^MHkRxyMtgntHu zK5ri6s^`}gho&FTm*F8H)3}_^8ZG8m>{Ln>`UVDsRZBV+LB^A5-}}JvS!}no3xjif z-Yl**+6F@4(W?W2I#V8jOx@`N?{u19`fXO`-MZ`KF=y@2wwY9^&Rg_KYM5Ja7!Z3_$z&5qOCO(X>>`F_?bPQ zhG8wA&pzy5LVdQqRv!m)i%G-ZAVEPha?(hp5@UnO=AiWSwwtV$>9kuBinC1fqsyMo zm#5#BZIbJyK!+CB|3uaeE*=N9`Bjue0Aq*aaeAv&FO&c4I>Zg}@@dOi;|2nhP; z$6bd!TW*}5kHjP}v8bU77mLC`2Ukqv+=`y>#Er=-;o#=c?j)7Ol14q3_`o3#M`5dVxLEH?OlR}DM@2-OZ?xT)t5(R^1~QpQrOibR?gp#wpBy6w{S!o$B8Yj0Ru|vi{Pqi`?Ic~S_zJV~rIA(O3ge-Ap zc9aWWQ!p?vI<01yU>M@09QR)&AGam}YP_=ES;{Jv)kWz=fbt%FoOkQq-DpH)hN z_K!me;4dlECdn1PY<6=`J#c0_p&kj#dO9r3Fv&h23vHOt$*@?g&WG*WHrrk3>OUn< z8?x6OzhiiG0MJ3RsendYAD|jM9@;Gl>EWU!>b3<21r99roj&DZIz&jol1;O`aEw$E zRh3>3Uh-73_xIPQ(Ma^?)4DCY%|>c$tU|56V5o?lUF{fTyUS&}^Z8P<^|~n*X4_x( zDE2M4{Ym!ikE0CRKj*nO%X+@N9uJ|w7ZVp)V}TBb<8`}eT%AvAR&;_;qhd)^ zsu>?<3^13u})tk=AHi8xrsoprCGVZ&zNQ2kzGWV{pTkR+FV>g}MU9N<3@_#(I_r%ez z`68nGVv}n~w7Bf8=H)8n$w=-FQzY`!!(x1^6`g7@`05(K@qgoWIZyLn1nPNm3UFYp zb~&VxFPdQUPM+@U(DJS;jk7h|V|n~dVSVXwB6(A5Fr5Sh28*SaMaj3@?cetO z<~3*;OCTSB6*&TpNO~<6j07b+S_^FlqQR}U2`k-~+EYi`TDq*#{`=;KH04Dj>g#jSUiddxzotCik1NIGlYXmm!uj_1;<@HqV5 zHd%IDOBH;*JKFNx8LhB&Jz;0@8n48E9nzFt`>ONg$A>swyBeC4dn;E`;BdX#c)DCS zp;9jIZLd(R5SxTRop}G;nXZCu3G=4Cywb}svETH62?^B!ZyDH7?)7ovu$e-dWqWVlfpEfi&MTz~cQj!Nw$a-hXnab%%cFUCq80NLv;fl{;r$1jT`=cHu z%V+|*{1MR^=OW7-BvfI_{oBt6?o2jD5XV?T=!V8}rIzsTMxiOH874T$B+kVkyFe=@ z-|6dPr)b5R%Q=3EotAQ69E;JHQd$&_dO`TiPSe_A*e0(>;)-K0h9Ug$?Sxtly?T8* zFp-TQMq*e0(q~72-Rg!8uty)VpaL#S0N5*sdZsWVf zCz?0s?eO+@r{iE|e|8%I*0k6kyL=7n@)TW}n^j$`#snn{q zIayc|9qX^|_+|MO`nmK66Dt_mWrtlad7YTqvIB{Hf*SYNzdqhS<=-PAa-6^RQ0J|> zt@J)Fxp4@xsI|d^Bm>)Pi7i#gjjlsq!U0pv&pw~G$qk~!e&XcsT%g0jFl1BN8q3A<&-dpNB-lJCWK#FaCXEJDmd9QP zlUposKVXxjW?_w@aevpkR2&!G9v9ib)eBTEf^np-Z-)3t*z+YKMqOi7ijB5s{eh<* zcwBx@&LcEKihj0C4%by{qBD6-(3nb%HZM6_`UsLIi}A91wO8OQwZXm9%ec)*PX0+{ zl|t<7BibWG-zDQ%3x>4B0}%tjVG6E~%a_~zeky$+^Du162VdCO*_UblZ*6KETvSvO zB4b$@ylB_25BEy~o!pgfnH)Y`z8E7wYxpFrneTQyl|`r1 zP5^4WY}khKHdK$lKN3T3pH2;f$__V`&I+AA$(lk6U&~s6goIy^tCQ0*mhG_B=^iU% zT@Fe;)x1bjgV#OMDp|!^4qhuR$=X2Xa=n$6nE0mvY8kRUk__~$)~O8iF#ynb+A^%2 zFSFa@(ei|sOdwZxqSQVIF>SfMWhQrcPljy1OqqN`Yj|6;&7tToZNSR+`*jbQqU)63 z#9xj82NLo`A8@mL%U)jyDm%N61I~oR(yUqV7sif(Jia9#R6I~nOQjhHde!no`#=52 zV&-0M*IT@KfI4gnu7PS9Ze8}&`yDKV>pVzW&A%aO~JY3oR0woj3ZhcKWDpV9WZ={Q1NGN-MYj??BGm2a@iHURxbayV4Iuc~*dJJx~ z4p|{?)2x}O?OuI`40ONO-K}r-U@#h8-N|OST&&l>=SJ~Qk#seSOt@b1TbeYZvnm(-kHFK`UuwssS1Sc4k#RAv)eG(-RuZP13E0NJ#8x3 zsK5PeR*1#!=PggCgnT9RB}80YSZS7w9`NrHCG`AIuBk{&=9xO;9e{z^V2DtJdS1iz z!9R+(L0_Z_rM#Ar0?y}Aj~9^YTy^;A2yvAlWy zoz(ilK0ZFB(a3}$yVomqfkC;H0Cfbkv?7fkA^1LiMG149<}P4zv z9)BX`2-ae|&Gt%?m4Ctk+P8DS0K)fok4~drWOy+vj1E_GSGm=m+UBy@x}Po4vrZ*V ziIjuG`zl|88U^J>W)=a_@Z%>j+K2mk*^e2c-?tgu4LZDABvt~>88nkJM4Ym!k1Awm zW@AH>im928k4XY&vQg#}@aTN-z6W9Bv#tAeK*FdsH2@HU9u25FTdAJ}6?G0~IF6T%6hw11;)MNb=k4+QH#dp9U#DQq zSQsm17jZ|-=jTuQIOdkJ3zUk74R^vd)^#IH1pLQX)$APJ3B457#h`fySuA0 z$SBy@26h*F(kRv zvC7V1$6;?zB0m~cVBt1fAnb6+%+<#D?w23wp0Pn%t@ekuk};k>H(8tjfdwZ{wg_Yz zN+5pUZ)I~OfY{{3VyH`U?!dHIb$`bYv2!9`!5)+8V;*R9nwi$V3R&!>+nSrbs+E_i zu8_4Yg=Y7Ck6MU8IcF^D>>t}oM&a>dMNhhFh=}~bNjw6!EzyR!W)S>sfVs7`os{5}U%QO|HaLRO9fZ zBrAQd)w*?caPtIpb!#~nw_UHHV)Ph}r-WV(g@FkA!CUbLEG4+J%f#$j5| z8e3qznuCdfiNs9bTodFI#HjE>p5w(=6brV*8hq4pw05T(BA5gdn}H*dXZ&9TqmUQI z9Afck2v{EmffX3g7i=1xb@k9uDiK^IaA9U7XB$Wf0QIDcQJ1^gxAf1-< zeD1aC+E`BeZa}$cdCsMAX#ShK+PyxaTt>1BiAtmqV@B;zU%f!AEIz5C^IG`_Y>hTA>9`^IVf2QJBx$8P5U8mxcR|Bu8e1|_s0p}q%;6} z%x1&s5^jvQDZ7Sbn0P{Rwkioe7p)CV#PwXkHT>sNL>hxss3_9p*IniY_|h7;C3M=8 z3?jU)HW?s)?hjn7Hu>i;f`go9Vq(J8kuvN?aEqU>GMbu*eS$kme z{9o3e*L*qAl6496vPF0G6gO!vhW85#w_R{i=z#b%Bhg9%Yhgvc>dY{krO0{QKYBk@ zu7^UMfXQ3znLIu$0kk9Hk7D@VLOFUTz-oOX(?bqxvF-Q#X_CiKG zAr-}C#d~lR#6!U`{fuX&^W|{qNkUq=hCCT?#Oacici+Z(=N@J5`U1fVe<_jCZkynm z*sy6SeV!q>iK~|m>~iuuEIDf zA2$)~_2Rn%v>LSA9DKh&TTrh7;FY|V$S6hYzyua#k#qs939h!ftdt(3P6Zd-IUvXd zG>Yn_*iBC($hC}5tv%1*TG}`jW1>1}m4ajA8QB>D=BU582|oS~VYEj~bXP2Akw$nRAr67D<;Itf@}QV4i3(y7xy z-o%$05nv?7l>$dgnwe-LIU9jLs$52sxa+nZ5oHM`!zRQzx1EN!tUo2nlnqNKoiMH6 zRR!z$e2q~rQn!53EO-FBf9x}pdnp$wxfhmmKVy1awmTL|YWEPQx7$UnAr_r^<{ym2 zvo2Ps#VpWTE8w%i~32KV=?CPn4*#p>BI zYlPM2exQ?S7<_Y;9yZYi+(7XAh<*#Z&u9wC%h1ZeTDB$Quu`LV52|Pr!AN5JFu~H`@y|U zvW~s9UBj>9&k}; zA%c@6q#F$Oj?sxY)Epghz>Y-|Y$CZuwxl$H=Wob8&%VXT1GHQ|MUr7jWrAuv)FAN5 z$~ew)-HHR9)<7#ge7@Sm&Zp~Gbu>T>-BZU_90@SKh|a(%5Vn_slGO;Ikscci-Ew^y zOuI*&NV1r{cyy2Wl|f4T;6>EZ>@u0Mw`c2m!J4XvXamqhT&~^(?Wtz7M#+TY zrrUR!H*a36^=cXi$@I%_2WQ-o!tcg=e3?i)>x9cj0k2kqljtT6vQi1j*(B+Qm&mxy zz72gF5RWn3M}k77x$(NqH=Qg{2{Y`}ZAT)m2S8b}Sw(*OWP;oBCa(2ej`>4edp%02 z*-_LQQuFK}bI~@zw)Iy&OX>H=49)X|i-)oYg0md7G8;Fn0XT(SQD-tm4o#6XDuM+` zUkolc*`n(Oh^l)h5*7RHi7kVGT@sdb zA_ZX-X~SO1BzGRjN9r^sg_7Is-#S3l3EggwF6)*ra=G<&Ljgg+5@tQ$RMav$66>%= zpn_p#$qL1bcK7?EIq;vmX*-@0TtTIhV4rH8)AF+-czqY)6(=1D0Z)l+ z0|zFM8VM(~V=kWt)Qte)?~TM!woMOEom_mDU%=^EsPSz$nY^A$QZ#WCS3m5P!L{*F zhg3hU^7tB|xrhd!G#Ubee%TzMD*bdUq3}vPQHh9B%jjC~xgeq6MRD|T#AG{Pm5!3@ z7AYy9QC(c=#E1JEv0c_X26Z6*vphsU0b zLI^!hKR60X=@Q{9G&2b;g*ChYr5tRCvI(6&v&i&$xB8u zC^Tw3-c}vX=hx!w?n9JCYA^`mDK1!QR9b@SRJG8V1SGbfE?k*+VX<1pugL>iFTg^{ zg2ajvWQyOX;FOUe6}kPQ!L@PI!XGm`vm-w4GqZu?*+ULJp2LsvX!X?Chlw7a3@c>ymd*#p>V4H+AzSAw z$8(G4LV|sQ@B*tWiC2flx;;*tQ`IPtLN2D(RVHWta0pQs*##Kr z?k1Q#r_G`eN<1D3Pq!JLL$>!fPYkv=4w_t#dm=KFqy7)czX)R{6}LJwkPkU-(elUu zMbbyo$3Usj(zux0N5k8`>)f~;{2OCLa?)g8SjbjEA(qcaE&;o}`2FR@d=otJ$=>fS zS&@{Qh$w)@)e9=r!J^>yWNzRdQemY-oi6BcXb#-*P7}Zu65Qa2)ku_VAPuT30M&@#>?;i)4VRa(~_DvkYdGa(^e9y!~^tcZ;NZi97o z97M#@k0I7F0t^Ei(PKaeuaucwHiZD7Q0G@~d<#q(Iu^pA%|a=n=J^G`@n8R{NUsRN z`uEt007d1>=tkBIBwt_OQAkGhy#tR|9Cds|H4sk%^3I9lqC`4pZ#($?ksGX9vwQ>W zOS>0_>wb93?}RJ|Fjy?(Z^O1H8$>qXwa5_BRN&2v!iTueR^M+v(W6QlO>Y|Z3SlOm zI;T~57$kEP(49BO1RA~WOfU(rRCQ$8wVRUK6qC)i4r41K(S@ioeD&B>HgIjOa9Lxg z%_p4A*jR)}2q3=>sDcisQzS^H2dP4nft5O=$Nq&A&>Kt{-Qr0{di(X(Um9G7TXP@o z_YYS~-OB69mzu2nzNcpegM)rhhZ3Lrn+N3bZ!xJdSXDE*GN(w5PDg%?eFfaKTl!sK z!L&MEimgCU#6-y$t|FLliN6r#$c;dS=rRHgSvhh^En>pMh5Q16&&#hLst&Yl{Q$|X zvw7T+s${1UOy~C*`_T>6)iLOPgDI5kOP9s!I(41cgR{ zL0c}!bBX$KMr(pAkZs992q}pW-A=$sM6?d26@VN@o5My47lg>VnsGRwmQCA)U$pn0>{+~EWd_eCggAQ@bZksM>7=%D+_?KX+ikwJ2$?LdCeaAACRl>d zs8%vPE-&V_JN1Ea3e&!f;>)R><706V+#)Wd849|6LdrQ1V{ZGgS#x2s;{wGTi=XhU zarlwcgEpE{qIdkOuEa05B97y(*uwH`O)Hitu~3S#~X9Ew=xm`{hpqRIkk{qN`^JHi|#(r~o9G2dH(dceC!)8(beP?K{_JKhgzfvX&w zxd*Av=sEw6Wt+s7d27c8yTB;BOiY}aZ9uPDKZ<=lkE?0zaplvC2xkSA8d%JEd*ip# zX+N%(#Dhf3S`Blx*=qApX=Qv6`TSn#NY5hcrwA7|3vSN+WeC()8b(65kc!blhpqDt zGK_9FfLwcv`9m0Eq?jjW!RJx{g-P}6QAd49aR+_L@+Mq0K3%gp_Cy~a1j43Gy|!}N z$sJ{Q3KI}eCgVU2DFF(eaHDY%aS?gv?vqU=8YqxP2Y$)|kT1`GfWZEm$pH;HD3dCW z=Pa`L<@>QHGOg?E)nDW9bnmq$ttQ(a8D0}dfn?>46_T#6gZ`v)bk~# z+v)RdU~+k9>-? z)`x8@vl(190c z!wp?r(7-*8kB{xIvdRmo(v)Ei>EX`;2?3^adusogn)IVB?q)3WYkb#p{Urn;I_THU z<80X53Q2chZ<-LD`YSF(<-iX5MszH?G#FY0!2=F7E+TFmAA<|vD~<;4rJDD)xDz;_ zQ;Z`{$tDr9i`!aVxj7aC`7puYWcPn5LHK|`lLiFI+gCpc zJqd*+Fx-Q9x*cXyY?9TvHTeBa-_clSKEe=y8U%XC$B z)u(!Ds<+QiZMR6t?62(_F?r=)I}algWIqod=lJ|mt zstP$tF4KbUMo}`U=!gq&t=5<=7zh%vLNG^2pT&F)k`~bJ=T$N3lO-fQIQLONO(IddoO%7Ra zq5L?qS40GND749IAxoD=A5z~?zspaT;)7e)L0?Rf-WLVuiyu2Zpza#aAE-u&2D;Zj zpeyi{)9s&^#T=d&eT@yRAwkd(XGQU$a%;cbh}5Wmj5|}?kAFHRWDrnR_+5K@?C*Yd zozez^h^P*SVt-z4@i?_!dRkeONwaPkDQe09p4 zKmdBQ#Ag_z7_{#c$r{D%W;M)n#S8hdO(RXmH@7GCn#lM)jYAHrE3GZpPqu%Nb!5_c z=sGV~JdT8qUSp8~fS0+N-q*%6=ui?=D#Weq)!&`7O0Px@8 zUpzD5zr(-4=KtcG|0e_*;Hx6+pM9v@CT>Chpc|?}*Y_G*z2@tY*|GF;(cI#x(+~m< ziWcPg!b8r|P5f*EzKd3-V-i>4_!5{t`>@WWAkDgCL|ICqH zohw(07u1PZJzEt<1zAcNN8T)6!H9gk~jfsoo3cpW6h}z&?QQwgQgTa2g(#nz&Zr=^r@+SGHMzYVE z1Ia|@2?!aN>NYe5;(IRDCvLH^dXB;8GDmO=e{-U_i3SWOk1HJi;>UvGGnat6kMre@ ze{soeGO!@{KY-NT%|*)J@~gN>#RTW6DRY*kzn1d6`;DZ5AJELeqzi6`XfgQ9w~{D& zQZuKf;H(BV0Zj0i`bF}l4lh(f3!$>oW71I{P*1@nJz;bRlDgb-dTB|ZW>T>YJWyTE zXHylTf6+fQpae8$AKE;yt%7+?6eSf}jM*SY&PBsGu6{&Z&t`{d;}D+IOgf!L(#&62 z;vNfbt#cnQ)p55|MhNXzPABxGH|oB>vXWy^*wIgJ4ksKETq4K8HuMp`jrf+nC$C4_ zv&&1D)4+pD;5Ay_*B|vC^IyZ=!ClY5gUk2quD=7vzmtNw3jVzbRoob@I%rxbY{=wi zB_J`{!oySGF zoB2`g9PT0k@bD*g)*3B>0ZJF0mm_nFJ4C2nz`lZhliUHfx%O^g!%?HY*wU1Xm3D$L z%Ygc7j%)`nNj7D7wohBO8fj0>X-1KiBeYf;>%^nTw<^5y+H2)j2ZeX)`?VEXN<>W0 z^Y<8^Eb_n4ywrsEoRD5~AKN6Ttl^5!LC+Dp!}+D=ou_t;7=v|k^=>j2TKpx^Yv{LKYZ_2d$#)+OP}zE3$YA@{2$D|eIUzz?=LZs+p)_KO6K zPqeizH{7l6HCPO)E)@%j)yBOszbo4JaB<+CR|czlZ<~2^B1HC;l*1v%d@J%Nc82RP zj5Tl4%n=$jI60(|s_ifPu+!XT{by8r>Ft%jaj0-8&1hrVbmg{F@7eI0OQr}WOM2Y^dF0FtGs7b<*fI4w$knJ zkiQ?U&K`hBV8$6qCXdf0!@W6r$tudz4o`OQsT<0o@6Z0!{h_TGO{UuM%JmUKH#r02 ztnVmXNwWB14E0)5i{RfEAwD%OY4XZiR+zbBr$omjZNunBxnDBZxyQ zM0!!K(OIg9RM1F;bI9~mE#*noKH+LdM_$n$D?HV^v}^Ty(7hAy0%~wCsh4kU45udj z;q$ohzA@C#4F)hF$Y{4w+5agg-Ln?$Z*b(?UiNM)^V*XYL3*U0TOH057W^i^>h)`@ z*{0)e)S@d_7S_Cw@~0MSPw!1^yL0G8wG=k1;}&9AzAWyRv00bdlYC z6!nSzD!!%4w#4$rxVLkdal|!ftaJf2Xh}1LKJ=+B|gf=srD&a#BG%JwKjDnyUNermq;1{APRL0D5P6 zIYz?|(Y7edd2uyoqyU)#$6!fXMPu`6Ewa(SC^;;!IlI&}tX!TA`Vox~GJp>ep=M4* z`4Q7PNXFRwf-&aBvYf!$DZPcleo>p6$<6sP!16dGaJHU?O!q76dpH@&56wj&=8fyd~AKZh{vp#p>^R<`tTsll|~y- zeY5{JAxr~k)euwQ?dH6-1H1T-k(YNQEe^xf-*{f(vI*UgNs2d-+>OdjBJo}!#6nm6eo<1@+I8oi>P@> zW61LhrXVc8{S$Z}Ybj)J&ElLY^=~tt8ZG19mT|GPDI+93)(&c$ISD>< zu5@1(paa}408o}A8Ks-74;fzGO!a^cQV8GpiloVIe#JXnB zm7&dUz8k3YE*jdosv4w0yi=I|0H*RQvG040nga8%_;pUo3ubOmjf|RMN|Oj<4wmG2w+IeIIn)QgnTK9d{5AG^=x0(03+h(B->O^w#8vckGjmw{^ z%kFjc6TU&^^v-R_Z#)IrptH+1SO6FEgV@fmwQgi9fMoDd$76rDgUZ8;_udCJo@tN; zafrUXe|@o<6je{xjk?N+ zzI+*wYUaGcI$B0Gd(1pHcwub3mLA}!V5nr3!;p!ALf$JnF~VBHE`bv}vB9kLJe9Cl z&@@@nw2PCQ_2wpVP&DoO-S37zgNP%c?M1Hc-*(9->kBYkpDn?icGAbOEX)J6<6Q3j z-f5P&^SCBh5k*dTxEFai%&F?PHi}c8$>CT=t~tuAdKt;LPBEF6!Jo#b#^1OhW}DQ% z?iYb$j42^o^6i8#4W8~WX(v_VtOk;x!kt5q(B|OXLylq`!nUO~7yW(QiTpUGDpL(3 z86{2!-*)x-JWz3~6x(@+BeOwG5JQ&@ejC=eYXOCxf(jVA2A z!FWYNhg!4KT2)vIq>saeRxJlT+T{sU+EJ`ZzJmPq)Q!X<%ALEoOmNAa# zN4Xd|kr`j(U9O=Ja!HHcfWe{RmAqb1!>X+X<;g>E@u~DZZ zxnY0z{?S1A`8LdlyNBjFZQmd1?C#|3czA4HdlT`xHf%^o_O1^ZIJV~MdjXOMf1HTG zf$DsJgZlN5kkC0Om8PWdXllqaz`|zNEnyTts^eV4{vwQP9marhkfBollT+g4h!c7k zXj|M;<=0+k7IAwGYPn^z4}zCt7S*7ic*XTpyecJ z-OWx}o0OeHRA_t+>4x?T@$>pO24*W`fB>$!0 zm4W2O`;$f8P5Lw5GuOUISXYvFTRtq4R~4*1Q07?}L>vt$T+cX3ZcOU}wcN`m;kT*Jn~EP~5nKs3qs*jwb7AGSMnLqm3D znORxV?w_osX)srhbTEP*?}t;ns^D2Yn>x4Xq5=*w zGJ7r-cpvc^bws9==@y8x(uy9i3feg2vP? z=cX+lORh^iYd3BroRzxvq7PB`Ym(FkDThsn(!j{xwB`hw*&=?TY?*&nhQARVoom8l z>em5Prfbd?TOt<9kSC^86K=EDF`MEiGqM;VRu+~H-6QR|nBT#ES>9|no;varl#|dh z9U%6q=J>D+r!U_GHfpPiIC!{p^-zQ#x(Ma5<~36;zTF9Ux&OQzoPdT~@pG6vWn*I# zvOK-tQ-yLpt@_)$DxoSVUD^$SjfZ|gg8b#%f{M)d9tT_||1lnzdruCe&)9)5mF;0p z?>CtS&#gaQBK^cg^*nzRm!QZ9=?X)VC@mS~hQ_I(FznnOu8P)H@(*<}9-bXLFYzx* z(SGNBsm=?NxugAMZ6cH)Xs|F~jG_o)um7FUsq%In{9xk%$8X4lz;(imflEkV+y;2t z%B`&NIm8>M4R9Qn)?#wbFmjs%v!V$>f&6|4N!(d(DI7P^)%`5n2-Oy2pWn;g%R9)x zflsf78f-%3S|e~SjQAb{s2zLCJdjS2vs=!>Cfrx1_sSMlf*xzw?C2Oh)Uhc34uo!H zWTVWn%*3IiZ}SV$ce>kUj>NsIzsYmx8*=)sv?CaarnnnfA-Q8qI{UzyU%! zdR~sp&lmSxaKC;iUY2xD3P{9O>l*gWs-ffkULkt2lQ;-j<*XMeF3jKk`G-6GZr zjMU>;C`SpGO+RRfN!j8c%0hqIoSCQ#!3lyY(Qvy62gxRSfM_&{bXqN|NWK0D2( z5n`}4JhI;zutvLY@mIg4`tSjVwkD0}w%o#0Av!_f*YDCoH;}%htaki@(sx=t8MCt3 zL@ZeS>oO9?c3Vr`rxT3}(fG#YP^z9VX{y4ml8?Y`qL1?AZy1Q5$QF2b$|v1*zQ#k| zewz-{_q;IPPFzr7m@lorBM7KSKj3ie5>u_!6w-cJTW|i&ZKi>8g)5AYmLcAu$?76V zWn$;Ze2?K;=KJrhnq{(J8K|+bcqfJ;(Y&Ov_|x@y~$3^oDoG)mO|fvu8H`$%68j z`R(am*Tk4YFgDusA~<;Llp1k}RWrsRoHyS-;}T?KAS2T+&nt=A6{w!Z)AlmQTfnm8 z*kds>vvzCH9X2c=>}c)M@FhTTBpi`Mk~t?;S~Yf*^!)loJhqysX8ba*b7}URc?J@oab8=7+`P zl4N$OVPxPBSe={V9&h#9PGzZEj$#G_Y#48E)7Y^#@(**TyvOS+hEqfRw|nzv2*;+W zFlpG-$vl+ni9|(*hYyaktcBT_TSIRBeRVHChS8iPi7jPFq&z{hHk#za#CYN*_JB!JJ*3L38xoqC+1o3De9AUNXvJCeiyfZlX# z*^$1b{mbgCTo$&)MR1qP^Y==}xFY1VDwLl<%OtNu(*|UcLoz&kIRdnjJ@j~bChUcK zu|%JkUq9w=>SI@#=1w*`v1m5)T@h}Qj?Jud*Tz;4`<_e)?bg>6vF@JyWl5jP{TqUY z;k`qCSSf*Zr%CGxB=kmwggFsrjubxh;bykysbrt}k34^4pE?k6ODL|!lc|Z2}7K6qz!Mg&!oWHW5O2lx6AOUWUni*T3qX$p-sWv)QGy(G6*2{Z9HFlSee z54o+aGIc0-lNfJRP*%PU8bViDC%I_SW@z?nI3M9}e<;JA-fjdwqf7xG3+dLE(MgFk zetrA@=<&{UXrF=B9^#>v_!t4ok;?)WRZyBm@PPh3uNxw@wwNIrqSB*87|GdI&~3ju z%W`w}B%Co>L;{D2+3=?(kZycO_X&Y33HO!#XXk)!!V*3W-wZmfhFd#NiGG_1gv;SB z^L+7?v}j6%`LXes2x#wmJnzNJvCkZSLEH3&hPKqSfL=x^4MeBiDa2KP9E7AX5(vfk46vc=5J1M78^4kYH7&qYzX^}f3RkT%eI6NwDjK{BcpdJDi_65(U!=wcMMQP_BPL=vwK)N z$o1_iIO7eUnsv0v=Lb*h%&|{O8-5no`7wHPjdw#QQlcKxQV@%w>K*sV3U3-q5j)yr zN+R}57g2~C>Oy3LNcNmm^bs9*H`<&6)E{E1;)lr9n3!Ae|56tR#UNw)w&X;f1%F0c zVp_0K_GyQUi#DX6mcjkt012ewkO-WgW}st>P0+?qwahM&kiFB#zN`iT$M@oA$R(Q$}?Wkp-#FFkL9}<$-4qnbC<_9ARxC6G4%5Mk+mx+TH3R@t%S*a?B z2IRI_Ww}2)6_}%i-Axd>9C{VMQLV;vpYX@#RPC#j`h63c*FO9&xE11x#pe9yp*5TF z>!E;m*k;%58uDcaRxR(d!aChj2UG#gS6bahZ(T(PE}e55zSiQ|F zPj%jUEk(2e53cP()Ach(0IU^zjSr0&MoZK~a+)YBZ4$bZJ-I83_3puao1!Rk&=V-w zU;m)uVga{w86!0&2{_(Oz1b6`>i>jf{dlucw#5{FeU<0P?lth&1_w}qydssT>)t5Td=KPI^Un3KB z*8NF)d8M7)jcYDxK7Ke5u5hz52X=!loi`jeQk$6iOxhXRqhM-034b_?H&*Ki@GmB_$2Ls8Er}K1sVgc9oS0=)0;_ zVes<@VRub_JchYRiPI)dp3RTb*rzl&qgH+w8zuF1883dHrR!b96iCCI{@ePJ44>$u z$NEm3gf*%8gi-F7ccVW-PZknLDToOY^pY<^`xTuRSN0A+YYf-u#PO`adyCUm11{j%#qb zvWG85zmuAvhd1jp=?~}X+g2k*K{npwp+EzC=)$S>JTU|R3oYN)D<8D|I_u&bxyNSS zmc|Fdy;|_P1{r7Q*%@ggfmYjfukBx;*CJ<;RCZw)C{WH2YpgZ@IqIx7fEAl`{6Q z7;FQy$Vy!?bW?Hk=5;GjmtyH7dzK!h%b0B`!EJNFUC9`mqidVCj~~luJTxIV*3jZ^ zX?-NJTpB*ltKoNfVj;d_+ih zdmsl7Q)c?|K&W>(`WNSZIrnLs7kYicyiYZIquj*#LC#4^f!Q{7M#ghefe)A(>(pMt z8k{}KQScojOsjB9h72v^)cKQt)p_}zm}DPkT>t>GTl^Ii0JW#biBvC#q3>t#z+_*B z6=}geZBIFjc*T`#>O#?A_X7r)vB_mO@c_O1nru|_|JR-B^8rA7M?16vSax6m!=cTqedtWSs($O$Tuh4$%j`_PrzjoX!5$wZeu6m&Wz9|5XOR7CG3f$Y^(sr9AWj4*}dcS&+LZ zNsBZ-*Ch#U489V}3H9OsHiQKFB_}RxFUB}}6B+mGc*AK_Z4TQO$-H#tuJ^ad` zL7d;7J@>^296sr1jqlK|wEScw0P-CDn$%U9u?&$hH#f@)eOP;?h+s%Vi%=B7$|;{G z=g2}qadS`&1tld4A^vxUSuEH^RAjudk(QywkoWMX?=`82X@jIi$DEWaEGnB=tob4b zMT75>a1Pv>MtGb#fo66Tz>mZM!W9mfn85quhmi({CrTaV8dkv{KT=diTvE*mjXZ0G7KTplh&LV2w^7yFtHp+iXd!=3g zyIc8EnrF}I%4tO$QepW^?H<6yDzjjuF`Qxg+qbsz@>K^XEb=^uxcJ}Xxdgp0F4^VQ z+~)6qIPZy}K-Uv;*hCbou}%zyOh8jg!)Vt#JQs=J-FS?(vkW0a1rv`zJB5e2{jTfB z+Sr6jwOz}I8mQ8UMzyH%ozbuL8O^Sj`wJ!0QYVUUtbB(#qzY?8<8giw`&81@pxf>q z5$H*%-uDGKIC4PC`$yjH+m|sc+a}lRhB+3S%5kjh;bs`YzwveD>LAiSTwoQgabXNe za=E_U*Go81s+Gr7jl(G;f$3O&Iip_vZ?knqSY}Jr!;0}gYmibRh?+H~@f~}3(|f;R zzgE(b(~%pQu}+SSIHv{U<8)jf({$fq;Kg1XealmeIw9tj$tDp@mCm@InxLsDekgmH z%{6{TZkw8Ld7A)?f)-*n>Sojg+|=K-4Et89W6CoMuzRY|mFP7>hnNsgTIjF~zK_s}l$jcCIt^xHW&M)(f>X)z z0ltqg;Q*D@oy!>&eZw2I=QNFi9Gmc0ADiV;1Jifx(Pr5Uc21Tp6k2e)e~wSOi;bjB zSND=QV>i(MB(Y=*ftr%CB+|&3;kl3!SqY~wq<5R{_~sm%5q{j(3x(QsR!`?uZgcBBQl6yN$L)^-6D z)&C`u%IB+>&QE+9GfwjeARe$P+G4qM#h+dSMO@7%QR5(Nuqe#`+0sK@?TAk7G#yEi z8=tKIh)d_`h6nhoJU?{k>ipCKnD6m!t@$Oc=4a1yTG4a**$xuxR*bCH-ihO=cv&;?ki63TvyzogS2?3CroY*@G}V(EY)vr7s**YX zh$#sRiwa>!Wq?ZOx5LlU%ul}7tK3Yo^kKNRF0$&|b8n{T!xbKDE+p`3 zPAiD|IRhjQU^oxezH2r9am`c)RTBH#F5!t2Or)J}tmbUy=Zg@_%S(mRQTg=V2?+et zcv983J&-1I*FhGZY}QvwLse85TwXa`!_Iy-lEGd-860_>YdY$KaB%*%&?39*=nOnJ zy%z{bvEYe6pN~&mMgQS$gFQfFv4Vx{X?zmpMRV${`u=eFllwtmhKhA)zn?>`gXkKI zuo0f3YFt9^j_u6^(Kk0TUkt|z3*aI4n%qNtG+IJDT0bOis#=8a2xmY>p!$jFz^bec zjOZ-3t()>C2E0^jY{Ks@X%8@w4M5(#t=8Gu>?e`#-hbf|QXlKsH)I9U=>Dn9q{rPd z57$whR%g#OXH=m!w;R@TP*kO}o;jz&gR7#DmXV82H80yKEl89!x2TBYc2uY@r#&m$ z2|}6W6l~(YYaNwJ$qR`{G6m?*=A)rvw-Df|_v?N0gm; zh_}fydRKcU-_s||3>q*-QXFA;kI0eaMV$A1p+;0vV5Ql=7`$uy`0o0?9^;`~DS8<5 z-bNJGb$LK{hjWUL^TUiQ+@Y@To8j4hbS_vIpOE|gXLikNNk=XrKCbhpi_p^s*a)U3 zl=nAO`fv)42Uu1EVP=)H$}k|Y+o1D9~T zj2%Bte_$7Gh?3*+_#9)%=;#r&42A#fAKhF2OP87XZ1ko;#rl$tvch0XN+_$w4yfo7 z@LI$rwexCAmseLR*6lul#)t#P&+2jSkwt-KNc&XeomdLa^6JpfB}C*aIW1qyGiz>| zhOwgJBK?8!mveiVXbiNCFk`ZEFIY#KfdK)NGTP5_n*Gn(>^6ke%j(&xV@t?zTT?QI zCMJgi6uU6p!IXjbqbZvNcS&po<)?3a7uJw~yq#RwOT;=fIM2?GIhP}tei1WZR(K$U zmbJu7c*3OsOM7iFLVWyDFE8wdb3ii+hO9(7X@<~wP3UaAN-7doK5OE6=Zej&h^{0e ze62|bs4@`(6QVD{gpLvyC*@;JFeL?}PO9dKXJs8Twgr23Rgs(acR_70(!Ee&en%AZ zYg5tSXE)~bhhG=e7451kYtjdWx+rpJsvqsL2LVO9kL%)S!(9C^v%EBw>h(-b zWuhDMQ7}K8iJbt`6)&fE#|S%0J)X?e&kq`@+`-xU={&dc3K~PjP?TYvK*grmI}ufRPlK*V})K-&qVTh;{8fuxVFF`7SSBB8wZ8Y7>D2w zNO94zwN_9_ORVn2IW=`WyA&9$@C&5jz9}~S2U2Db<<-r#?JC;(il$0(4FxHUnFWuX z!#Z4C_~GH4WLJY*ntQ`O3XW@)6*Yacsx%PM z`c>CN{4i6)iYfx6wX4RsOEjx^^3JHaZ?8wfY@HAv9UZicSrD7ex3Nhg^pDScj0{%X z->n@ws^i#pyA8XJIAx{lqX|UV^>4xf2a*4wPqE<>sF=;R))oBS1=%#N z?&AHi>gEUcvaI67oXCo7Ug}yF9tp-*+QcWi)T_o+&t>Qn2PvaGxEvaa7s3 zBvbV|E-OFc?}j_F$i?@&ls)T@{Vc^T^}--~nB77=ABUiw9-SP$#E zj)-s7cg*V=^y7a>G(YQ_swb+#M!;eaGMHKapuhyTODu1v3)*oId@mDTQ+~LbV?Z?r zxLt@Rt(&P0Mp7Zj^bJBj}$HH~rl96O)a*x%@q>FJ$W$;e=AY1%l|_*A*POvwLsA?WY89hE{c zMiCtD_Tkn!@jP`P zAbd*QOESr|0XxFuwLUe4-(NaZ=#mJ{@06lEPp{ef!r(@encHhOVnukq%ed23|<_sg*8W=kx7In#S=lJPE6&EUhpidnwNEV_v<>+M{Aof3ka-7 z;+GHN*cwvJa|^Q9LR|EuKVFx8)S>mxf}v<)7;6(9D{3+hgPrw^-@Q8j)o}cBw*_*( z(*~1mqu#}Y`W^WGuN4IUsDRs|Kq2~YNc6f^K!gMLkaGCB8^C$wbS8;Rf)DXB!4m^n zi$FuR0+iBu3ORM~fO&23o#%B{=Uxm00RN2h$U|J9|0YNy<-432ghmkJ;lF}QZv|^v zX8Y;@2zRp&D~yG;0Nj}*S8yxLT(GaL1T1MjNe6#{0&q?{b>1H5mZLtC&iFS}HEF)cH8NXf6DpSsZcWGo^Qb=+js?VIx-t&QKY`dS3kz; zM5e>H3F2M|SFhQ%T5A`7D0SUr%ljXuf9}&(+od3&s)v$^g1}XAf;MQ#Wj`` zi`yb)QvaP5Fkwr-yo%8x_-EGi>sKhKx0V{=hq!wU^WXvWX$$I2?&y6ukJ3&Mx@}if zZ*8p)4-Da}oY?P4y6Cxu(%1wlvp7$Ig!9*Ifacll&c=KmQgYdR3tTPZ3o^^9 zSeI8RpCJBgTau@DD;G9I>DlW0B3}r;`Uy!}?swNwbdf(La!;Z19UpV+0_`KE6FTJ5PDRXgd7X;z3$OUc_Y#>HH4)_EZA+@eP6s@7f@KaK3f%&PgqB%V>Q9m#EPHjZxqU z?bU_D*aktL^p91uzYY-pv9!}3^QX2F65Dh60ey(pA2judTBsj;{vr7 z<(o+q80!wTA(wle(BUnsHTMN2_}cgX>hV!ilhQ2Ga-yIgdOMnj=(z6!@tLX$_= z`uojxQ;Xo9@V_kH)%zOYU2nMv?w+WC1`%T&)zF11{oEdO-GxJdr?n^a7YeGD51X3%`b?a)r+`wDRNn)NV``qm= zEg^Q_ZQPdF^PH${wL{hUC_7DEOZsF{v;oQPF?GBYh5MqYMg~Shbq5{TXUjc6t3KLI zZa_jNw!D7|2XI{#x7s@k19TKi&5zra4DbHcWE2$gxG_RAVfy$)*Y+H5q@E*!2KV+ubI+TZ=>9nccaZ#i73Nhr)W^azMfqN9o^`6d*=418Hzr$T_)P!#5f# zyq{ut|0&k^FY%6F?m2>7LQI=ybJlZBzT^Z+7ECgbCMapwomJnv3%8mjgSQ3#I30Dm zWQrB^*>XPDP24SxS2P9|p`Z_$OTsQZNc=$0v-WRX>Tbkp% z&%BhxpXz5-Z=?fuZOwSACH{}H`;_pC95vuE}OX_ zreOs5r?#JeEkXR7u&HnwBM=sj=~d@xXG!4!Vbt^+HG!OkcsKM4eD#f3ZMv@z>|EJmqo;QT+WsB>|A! zzGadBq^@v{ALSvojJTJy{Z5Kx@q7F4D=?m|L5E6g{N zbX8Pi$>UzmKw(`QA@f+B`fpok1bJ-lThSiK?AUZ6W@yGB`2C`Oy7!ObyPJZ(>|nV4 zad~r6VP(l{_uLdKia>=Dt!$y=z0daYCC$6eV1_A*hrY^SdDTVdaV>48pVxw#P?OQ> zFyPx9jiy4~S*Xp}(s^G^%IeC!|I$fSY5Q;3e^wOB&v;1tbJ{*4&-Bye>FU{-%NnQ8 z=y1~1*r({o$nkpZ86X>YOV#PiXfY+ugCqyG$L;ovRd26>{ZBp|Cix+FPuq7ZLxc;> zm;PkBVb5hlwCSizf@`Y(Y_;zOh`rbUVXGzEU7V2Gm6KYRe(T&;maorwG-reECMd*n zcE0s4-p8IJ%_NF$Xl%%k^q0VK-2V)lZ{{eDeP|{d*z&yEIWSea*S6=|B#5$~GTVq>0mGa8eT1u* za9J<(?qk=XNg82q@0WinMZls@dZVJR>DPUm;@FeUCI_Rw+fGB0_$!!kGhuR6akzh# z&t`%lGlnob&%Cx>PaCjX51z!2Ar25TQA1=%V}##eB^X7hG(+{Lvy|7d9Uz@aEuEjZ zAMXk*T_OEv+`*{!nhigmptAhrA!CVg&yvGS(Mvby-uDV3Q!!YED8}(0JYBaiE%G>( zyy?K! z-)U11eQj8nqN><7dW0XlUpym#bvKfs-)6Q@1WC;HN8S>D)O_ zRu_sK{6JjE^vx;*I^T{W z+Vzrzia{p#P?OXZXYX+|REH+2JB6KHXv{4r#x0cB9N+ z>p3Hpz~;~PJyblwwg1)Dm5`M@80M0;cXLS5`hzPp!b`&U$+$FK_T~fdSO0-^HxcQZ zbcdf*6>2cQyi>gkO|HKG=Ly(?-aLWXNieYd{GTIW7cr-(z~M?&_?tFr4&x2fb1gr= zA+@IN!7U8{jHk{*tw6aVe5F9zKsn*!1}pL_dLEzJVzlb#0%OAN_Bq877%%dAj7+2X zY%m!_J%g86Up<^oM}K1Lb&|o-Q!`dj#WtuuJ64WD%14=2(F%Wwn`%{fGRe#KDb%f7I;{n@b%o z*KwhYKiuKK8o%?U$^}zTy8MZ-)25F#7Z*)QNf-j2fu9;}DQ7-#ouRU&g~!>&#ihXj zmX!np1#*%Y0Sou+rHvQ!*@Zy>tp~9^1K89G-sN`1+r(q^I{oxy^W2p-0SaDEG2NHvPUvzZEUbT#uhy=d^yAq=M!(76 ztC0sBl`NHNGS?a0GfIgh3)`RF^lxCK0wZ6$%WRK96&`RWDW?r#mBYa_;VZgJw{5EG(?}+r|%^EEU z;dExDKARV9Lk$^v;8YKI> zRSqBFlYZgOk02eRk+ByWS_}^2c}j}HjXdlG)X9M??0@0U%|0(b28UZYsNhNm22?%n&%@e zq0@LT<}N}eGW|mMN|11ZX-)06fHjaTJ}CTWgzP$Jpx~byWd{vbyX+6gEyx+y-p|QK zpU&=q7&0>xv$-<2`cvRUX@w5g@lVXk-ZPKl5r+#=IQ!1KF>?i(ALFS2zRFWD6O|59 zkE$jO;?WG})M1oS4Xy@!w@9>?eXe({@Scx{_=>E!w;902Vm~+WoDWdVNNH`f{@INP z@D8ZSHnI`YuypT&zcH#~y+xt`qV%Vn@z@s}#y`{MIhZEKT|uVT5g6RVzr5MOIr=#vidlUOtfT z7?U2&;5|_fjf><&SSN?A$oW$ijb_P?go&vpEljA4Qe}Z4jXpChKFD3qv}e~J6YFit z0emgthseh?@i7Q~m%XizS{nbm!V?03U)Yg*&epCPgoW03AtI~kFt81AoWkk3hLMh9Bd4}Ktn5Lz z=0=ITyBBGHyT31}o@Nv+mg^zAme}M@$D+3SxKwn%JaJ+!=yw!0yktvHN4kMx_V5@H zj8vK^<+0dcDhF(xGc2#9@NfR`&hr6rvx~+CZ|vsv18qR(R2vfuNsi%|){TLiVwK>+ zh0#dSIRjDR4jh1po0FEBF`f%QVt22Gn|^YRqroY%B+T$pb)pTduiCc}SAfE>1*w(o- zK?kR4-y+43b31Y-=EjsPK$09$PM=TIEY+Jg)0WpwP8IPQWqPVwpQb=V`8nZcjxh7{ zn7!Ypw*{0;#qoQ{_Gmwy%f4$#^1L;_07QRcafF1V$&| zay-sn#mYG{f-xTy!1ns2CfyKY<>p3SUZ3{*$e9}F@?@}nhzm3PaEr3zVtKfyGshp^ zDnrI$jv{ZUygw`b9*Eo=r7J;^=C06d#v?*^#O_FtFxkQ7d_ar;Sv;)IiZb4aPpBwe zq)YXbSDHfmrj2&heG^2S_)^Sn1U;qCCf{Gv_ASGbX-N=&C(wzd*4bmM-H%NC$ zmvo0xBF)l`u*A}_^ulj|_xrv-_x-EyKKNrF%~;^SJ+rt)d&5Ae&!jm6-}#;pdF?gta}6p8H?hBMBmk&$Rwt6G|ABk$#ZcI zYjZokiV^1Mi$^RqWW0IcIQ@uEf4BQ_XdT4QerB*mDf9w2&g^P>ZVV`cYm&xi{YP0{ z#Nnf4Q6iKO)023^d~3^G$roI&E`yW;4Ox(i>&a32+b@kNjRCLZBZ`TVzyu!*j>$)= z&QxUtOG#)p9Fdp0tQQsx3v8wP=ifZ0gMmzOD!qA4XslgzW8!TD z1`XehSLbDV{p`CugEmQj7@qUH;UtBPjeclf=NbEvmpRzw63->{WvTtohX|*M(PM|3 zOs4V$)CU1#pEtb(C*xz|r-#0O&$FefkkM*LfzqEzk@pe1(GX?iixJf&7Sy9U;t-pt ziilpg(j1EW4e{QP0rUIB8BV>B2ZOo|O0E*zTOrWt$XHem@DDRvJd3`lA3^E9lr5J8 zztI65;eKsT`NCvf9Z+yn!kUO#KX4hcV z82n7{5n4ZnlvPyt+zzbmBx&iSr|$S1=os$c!b^G?9T34$h)qg3u&Vrn+SBfoR&vv3 z;k>Ca@+v&`DM-Flk=s+7;p8n?lZd0FPpL!T>=xY}l}kgu4!c=6P0x?(7CNDq4(9|=@#0sHLKw_TNDl9EKk$~~{i^__va z;62V{Lpr|A6^hWW!jak!X_lxPW)?bA{E_iBkjJpGpXg9Z6+uTi5_BaE(~TKQ}3wC=E~I|weGV4JTJm?sk|Ry>kaWtHbk{(a8rWy$=e2Yz1mVkfm$ zd_g>@h(R1($@wQer)fMh2GBtqVyx7BS?~|ft8A;je3z%&BaIeY0sMO?rhyG^99E|4 z>5^&94(r_IJ&$1C4{jeO{l}Ix;~z?@&P7T&-*QpmpRv=>`q#^+vbRHrkbI28*hHb1 zuM0}o``^!NO_mv}l-f0*8%d6KVbC#Eu z)bxiZE0ZZt(<8$^oOxNho+>=SkGP!dU6>fmL)Je2nqSWMu@&JbPyM5sdc461C1jVr z?<6PT*pVH0V8Y@#5FGUvGgOPG2E&KF=}xtHMB{~Ge9A*LmrR2?-Yy$g40)EyFJGpT z@g3^B8e7gMA~|^u5pUnh!k@uUUc5y`ENY4cTo?^V5y7_50{tF72+aFHUb2CFb*cY% z*q7$pZ}Gs`M%iye7yigdMvj_>*EDBOtj=X0r$YZo==S94PcQwDm@$liw)b~tdL${b zn_R=21e4!%df}_6bp82C#Dw4<*`i*1n9hT~>5<2LdoN!J)2bU~F62a|HP!FOEZ`?s$lxDw3dl0%Z@2|NAa#_cZTpuS~h}sr%@c zAs*mEMuYv$iM!vBmJRnSPD<&TcZmq{$3z^>u_ugQBJeE5c=UV$XY#XEmOua+&Z`#~S~vbX?5 zD?GhLUvTmM8inc4eXQ-<8$=Zxv=ktR;Wl_pODlN3MSphj<+3xx<*xZ4GxKa}S|2(3ADOE8 zhBm@CcF42UjmoPsVGqx-n%~_8S3%nh_$xR27C|<44)U6c(gDi-vClyBjSeUfD`_sa z`BB!U9>iL@3CqwBd2`!abWeteCj$~vdZ>OnAv#ey@j9ssUl$~RW-MLAg)4e29sUo~ zL|}+qxK@1q1SAt5Vq`QRdo2ORqF`fF!3#X?%&0*F^t-1H{PPg!K1>OGy}y$G{Bn=D zf&cv1m;c`B49M34wt(a^Ddiz+3B!LGNp-_%haW?ya(8aJ3)HTfkK9%2vhPg=dsju!pV({isjqVfCK47R$rOi1p3+sypUC7y>2idASbvenA3 zVm209mW>8w+~RG=7qW;~m)g|2_m(f;BMA1PrhUatnFtNfODZ)^f_di;4wZ}O*~GRC zdW>QDpK8lXth1or-B9*;9ce`{XddR!v2Gi7%9JPWWJAXLa5`(~V{aG@lfK%OW$+ zJmvxdz!aml9$tKkdv`x`Z1a18(mqgLkM#UK$^Da#QUM-02QIas;%&bKTIUg-jdy1# zcUw@C(WLe6y6kPkf706CcQaC51}!X*wO6`)8V$zn>!82XOFnAvE8cCfvD=S))IXpM zlu3!}V7kj*=Di+GS~h0PDp~NDr=~;>3v+8;miifU?tO?BG^3e}NwU*};iL<8FA=YE z;*6u+ci~l42Z5rS@=9~X#SlP*25Dwri~mHpAu1w zsmJrDCAaW4d99=_9}oZLFN0C|NwX+dRGLnXeYxH|cC1l<%04ayfG%OVstWoJ^rsm# zc(={X3~F_s_h690q+A(MBCC6?)JjQNA@CTK*%G=^*_+-tLo|J{C*aOzxYoG8@s3iw zU5#Y8CO4pQW}D-Zl`Tn`7B4rb&BV)1;?Mm(z_EEsRN|addze1>-T`As-6EN)4pO|B#X=%>CP`}i zG;m-)`TTjzOLG;TN~^;32+m73K$L;}^KUSswx8?+4Ie%0Nk2UB#tPhg(0<+|=h0>M zoXUN6%N?J?v1D*HmQH)LyON&Iq;EMC7%>-}j_r~~ezj)xTdEB5s-$-FRUe(H>}Cn{ zN9)mXkx9}kUavK_|CmBre43f-#_kdqy%=|x@mB{A-w*n zOWit}{#p8qSy6EXuaqpdoK|Zn+EpoiznDw42`k!(sdklQmx#ijF}$V?2zB0l&uerx z{U9tyHK>apT2;Q>?65-00CCO`KmwI*`omSE6h0AevbdBZ zJ08K1+IRy=oH3?EW0ZBeDGo>f9alGWNzzj$-%+JS$CHBokqS?s*_kK#xYcg`LtA79 zVSc@g=iQ7pWF!2gg+j+xI#-PF2x5edO!Mc$cQ2A;f+2KVkYJ!OyrANA%rRKxkKN~$ zWvQDbW=*Gs!7f|C1s{Wy+dr8ZOY;;?>D|%h{6dr+JEmFo7PyI!;xx9)07~p_ZT@JY zgW&AOG%*VDkb?PgsnA~EMw1lX&zmPP3P+qHkV>l-+0gZ-YV%jbD;;n20|qrum%6m# zjSwYz0OI?Y;zgesN_3ij;Y7+Wz!n4jI{HrZLJ}%9LOCQa9gpk;|975EOxa?*DNWxy=0lQ-fi^+E$ zqsuKU9ck@Wi`C}v%OPOGg*RKIuw$^5uOwltQQ)aJJ6BdpEY{h{v|u-8@T(H@a;S@f zT;Zqqq)dJlL_PjVU2|cgPt<4ghXG>pDP^+@t+>th;!+Tkk{Ky~?y-Wo*_uKvcas_J zNH6QeT74?6&6dQ7yI$ZE9E~&?6cG-%dY~b`Qb8n8ER9kBfW!^Ku|E{HV!~LE!Ym0( z+HTiiYBYW0<~yUJsMsZJQZ6Sq^l5c=iC3FlaYq?KNy9CA@_MvE1tlxWdtuAAt(UGL zy<^>mcyp^Hon`g+xmIOL}tmg7;$bq%WJT||bW}Js>|KN^+#!cp^1r9C(DGRJdpPj8kAj$J49t)@}ikA0- zc_IPv=kV0Ew7lF8_1KQ8nSeh*?cyDin*7Hu?%SI+u`$AgF>ipEs}(k%*9Gs&C53I& zms=a)o6O323D&8O9v^>@a*~%!n12w#Y3b~2B^fvT;BrTtsmepEe)G{leEuSRfeZwx z_GN3kgzeKiVOM5h;ozZ9g!(!WD6_OsHZ&wD6@cDdo!%;bho%f%F?ts}r}Y;#mg8d& zSYwR-mM^#ilTZ|Gk`ubyp@sjuiJ?buSgB5fNB#?Vq)u~8($Sp5B`qEh^jLW4cXn>F zIKn{94&;=3i}P%0s?hDea|7jGlpjj~j5RBu+YQJ>fT++O)Zc+`G@uDh2AMjx4Gk8IN-507$Ft^0Bk zF%}u`(a{lBUe$0V-o6!?ix|SuLfP2PG|~+n7H=eJn{#xf02k^Dx69X!AhcI@~zdqra~48x%io8Wo$kfF!lKYSO|VsP710M{{EGc~H& z2<&jXrgcx5{Z8{#x}N3!TvM!(HplD>&5R9x-RG>BU%F}P2xgGct=Tg4ugv|Z{W2eG z%ki|3$&;vy>;83bs7yb}%sO_2b=AL`NJMA|J(orUEe>8>^w`J$jX&iuJ3m>G4p5S@ zkj%$#ZjRJ#?ZbU+RUms=QJ5fKMQgHq`8o(%^39)+wE}m0d>*4L~U~PAUbq7k_0`hk?{&2BoLFkP1TQ%nK|` zTMH@s6*I)mNT{>M`y7&$yz)5&3w3{|{A7bQe6M(zjg1u;8_zscC-dKdcFLMt5W01)orLu6feEO^|_k}d_%8=#6HE}2-L)UN1{vFp@U;!A7WP7C6jJs#+&g=?N9slyBAp?#LEMK}j{FQK{-!K=||TG8ObDY?PThK1xV;^wo?Z$lIEFprUCuK}sL^FB`0|XR z;vg80q2DwCF307pGh4O4Sy*GkWV8I7qjbWW=aVroyF6Tn2WFblIY~hvG&gN+mEj8( z17WYRB1`8QKjDVQ*zmY%oQxf>B?Z&J}9DFX&nI4mf3}|ieg_v%hW!cwpk~PY|+JbM0o@6u-lHzgIs}b`MOA)oK zU*xQ`mQCBctydIJ2VC@A)j=1kxMChU*D-Ttg@S=;N`cAcNZ;Ih=gMZ%bIU33GJ_}i zirr{qS!I*}>Dyfxtku_0kc5?tUyhP*3h=6a8k|AQUK1;IG+t$rTB+{xYDoVx5_Ea* zaGAfr%+iXdvr--H?Ab$s{}4g~?NiWB*u!Ye2{yN;RI4QoX_o(m+P4*vizN3O0+R}{s5CD*P?N1 zhH00rsGfs+qA$4LoocT?C zS3%;zMF2nHVtD?LY!AnB_Kadms>cx9n#kG6K^11DsTTk}Ye|xg8gx|anMg5_VCWwnC!6nf5-c7TT zdNoc6pasIWEbHE*w!8PJB_bZjlT-cBNAa;fTTOfwPp7a!{10?eC@2R=(IdF;m2=kd z{oMY4Qzrn%tOC@DIaKIh>ZH$-1i})m1JCcfYHbkHU0j7AD2^xYm{TIaoV0QOxz@+~ z|6j-{hby=47L#OoMcpulXx<&Xz241Z|H+JDD4yv5Bu@F5jp$ZX%x*kxN`RaH3?NQ> z*{1izDO09a|BB2D^FSuzsiag$Z&XOqbL32|1nWgE%j8l78dC2?9lQ5+2p14jfIx$mIFLBp0g&JtJxe3? zt1Ma6QnG)-hIg{H+kJq~KN|V}`0}q@{=and|FNyzB;>A=y$dqOmt;vxf>&FWe31PP8@oRfvdVa}6k}J?*cFfC_5UChleOI{QjZgAg$8W3O z8}aH!6c_O?S~21X?_;BQ*uGNyxMqWO6)d9grI+RXwzFCCta*Id;57(6+g!+=!_&S@ z823MT(gprc1N6tY7ji~X4gWKP*BkpZ6QD2FHjTa0On)tiHf9@fHQmPOwZj)87L)<> zA%~DZ)AbAS^*zcF*P$N@Drck#pW!nSf6VbYpD@>c>2jBlW%(Jx3p)UqZ!X^-Mwy_b;Sj*G+)-dY`C3F8=Ax z0D?L$q;U9H<3Gel-J;@JroA3Ohsg0v! zCVXCaJe5=oxzHRB;Pkw$p}k+E(F~h|`P~LRNci7l)TIZKJsV#4S}6zW;BIn+j6WdV>$99gg7@W)M3yd+UVg|ZGhTC-LNFI zXLagK6_t|1z2M`NtyX;KRFO6y0fwzPvgH=uJ z{=_kw8EC|(S3&o?WF8Iyqdd3F8@)w&<62sibXwUJ(izt)+SB2T6~&?5)c`{F9vy!u zB^#UlW_*rr%(nq)475|z4lh4iXL~E5aE3sp2Y!BU3vO=j94L%nSV~Lks3U(#laUYX z5~kT`JsI`*sF-uZ;cCv&t=f}rT?}qs1+&ZYwxUJKvPN z`Dp)~;X?KZ@yzghd6mJ))Hktdxu;A-xK|J@N4H@}dB?wF7nyC-Q8nrq*pV ztQ9ZZK0xqTm=!3AEV`Y&nJBm+xNQ%{OCINyvbQaP(!qd#q+#k}zO`TggrChPOEto2 zXhE5A3!L#r^%~HSfENZ>o8$3BPq;|Z0o4y?Bpaa(LZEI}00`cOx;^HY!HJ+@mT}~W zHvvRmPeOx6Mn(xBbq2M8FU^&$A@#BVF3Xk?H-+(hy@B^vzZM# zDNf<5`u9W3yb4< zS@aR-u7LfKlrlX@l9MIEAvtugQvUK+ZG*NJS6EQ6ZZou?$IpoPBqLNxBKvD(S;|6p z!_z*y<#;ZtJ5$G5e3#Bv z+!lVb1A*lmrG?&yqa?>vX$;~#9rNC6K$XBX>3FKT7SFOd*9kz60ATiA-hc> zEvFuIFor(zKK8M+KatBmpJtJtZ(=#;YIu}xupB+IJ&6QTm*2mpxzmL=jtDN7mAR z_{Awqs~H|tWl&S3`r^3BM_e2q_OWT*LETWg;+{AOu9pp@vn%@>)qR3aBu<@KrYW%4 zf@d^gF!PH>a8XgSj`oaUWk1E1Q*K@eFpL9Oir*xbC#LFCM^@rld5An|Db$!%Ivle= zp)I~NIazQ`cm1sRG`*_rkDyv00v(Wx#A9s|66(G&C{|-!EEGlL;O`l(@p7ecxksm9`cZu&pQe77dY?o#1rw7mIIPWhLka9s~z5EZ#i&Esnm^a7gaF|AeOM+ zNnz%;aLQ2i%e1!4Y_fw1QU;pGGhF_(Z)+nR6aJOswR4WmLho%#!n5PGw=KUjv+HkS z&Fit>KQtupP9gSNsgKQNI~%NAD~B~k*nLb%zK&iggm``^-_d<&cwvt{Kn~^X#)_9* zQkG%&e%Ai|dEx2N&=A-**bFNESlA8P4pSv7vd7t2KikA0@6+OdXOf08dLyq^ByCkZ?osEi?I> zjNY@(IQL@(K(D7+^9@ydPRF5q!7E$OipU`UweyM0xy^d}c$u-IT?aB-X(8YoKT9=I^(E;iH?3(x%VyI(qf$Y`0) zDfOMlvQtX8BTTufvP?ctpSwy^rF0|v_2IO|iBV}1zS0VG)(2r?y;^DIZroZF?Md^v z?%-iuU}pO5dPbnnyML_EVR(PyjN2Z8e7^4fAMmLi0K8-Ep10*pnk`@aNuvTHSA}f* z_U|ax>itBB%<|tPW&ga#PnpG~6iY|bk?aoD`C<;zFE4?JX>2^r!_&>FFdlhCU_gCM zZo>h~0lVlGBb{lr!Q63NlK`535&;Z6S$ge>=VmOH7w1oyQT}IjSo8G*c-!5i-L(B` z=70gx?e?eKp!}0Uqn9?1dGpETZ(vMmuLyNs^u8#`DyuaV61a%z&H8yV;t7^F7%x+D zU(Re-aM=o1m?yIW~SZw~TkpDtA#(O6^jyW#`5&|TD)2P=Jad?fi@woUn< zRb#acDNqv1PO``fijK^mF^e!Atdyy?;Z;%wG23*!Mj>Ia?sG9he5pC| zE6hnlE=FPj)ep91nv_ChhvQl{@6BSjiv}|}Ke`YeuEuM^m)(%kD#6;AfuWLoyQY}= zSyt(v^tfeYO*p|wPDa3M)0&TGD}5)GYmkJ+Ro;1KYw)*Vex8*mk}e11>)!w7L2@^Y z3;+=to@iinwB-G44ZPF=(GmLX-7lGG-aBB+81bDa=AO|~q3&}Wjc#)$LT{eo1zZka?Id$4hs~9{{f;%?8gHnsbW$+SZPf)=fnQUNo-O~l{ftZ`vvts zTV|%F)xp!VUIMSI2GSusk=g^CV*+b!3_!Ab5^sHzf5T(#F~S$v!hExlI`KE-9b3^u z0f=>Q?dNQqkwRf@nILSqz@2J)*S+m~pP=q!wgb&ulomi%m+@6-6n$gN6y(FCP?4^r zrqBThgle-zh4jB=cuVZ&Yyjh!G>Q9K?3KOS#)U7wL*b!I+@F*L_KE!W@TDIGsS6uZ zxlIm)u+1sWIL!0sGHm-APd8ENVccnK}I;kdUyUFNym3 zX#GrH6J4%g5Elh62XFZlzBk(CFBA zOFmHjIYqYmKjH#rKwO|4dJii8mvjigEyMYmtM_hbB|7M9qr?|v^1D+RBVOUrxZZn< z!SAAxme$mwK*5<$@5w>bYwXZ$WOWvlS0U)%Q!=2h_!u;B4<%p2Fb=Z*+ZWxu_x%9v zkkmigArCw0XMJ(h$Km8GA%KJHLp`Pja2mgh_q(C;*Y~g457F0mattaoJ`-;|yiDM- zjR6n%n<)AHsK(Awktpr+FjFhd`mDJW9**bf)ph;+Uf4lj4G^C<|3aAuXZ7tSqV7+5 zKXvoWuR0W0@#6Tm1bcesMy(q|W=B#+wderiq$Kd$3tN==?%W)Ygvl=uuwjXW9c8x4hM4HMJ2 zr=fln!2N^&(*zyJ&jEotEm^58$d{F^fO zU%7Mtv2BU13+?vcykC+9Wm0s7LYrJXIPxq(tl#y`%%3}d=nN)&&eBJwl7*(Ll)=~< z&?*S=p;Bf_1Va=7$&{I0s`7DLXv8z7Z;oqi0R2M$M~?==?5Irch9-SOSvnZ^({v!T zBgrmk2Z-HC6Z?P_hm@L$HwaaMBdZ~~m3#6v`T@581+9D9c_|q>f^Lb$|4xQ@^?b(7 zXeY!_^5HN$qj(+H{OUIT0SD%>l{Pv9AAo|yY{YFe$?y3Q9xn9GRD8ZTAnZ<%F|}1i zkcM|;xVYzG^h4|emY7Ag&rFG{ghYVfNZOQcJ6>l2@-#Z?vlo}J7c-8hyxVvFA*Oe|_-+fnDG~F%Y7nsd;dPCFPP2bzeg}V#{9ymkqPx@3 z-jxiwwHVO(edh$eS*31;Z7ntg8#q3IXWV&HfAIR?n;cy3FVpvRcNr;rO zIFtLnDL#DDd`UhA@dD%n2vB*O>U5ZQ7yVZ*oh%>)+5Sm@e1t9pNc(ZlHo?cwPc9ig zNIJYbA&J$%G)~~j_LQbdT#w<$12<;Z-J&Qa>}~Neso1Gz4>3SS(hvb?>}OAi(vy;U z4>3UPBZF=ekGOzfZWX-8TZZUzG5$zrLHaT9;#Dq_2ZMLFE`6R!d)^q`o}gV#Wxm$V zX^+v$l^0KPO=@Cy&ht!KNdGzC*sq3#pQWMx$Um{F5DS=3sB?Qdy9Hbhzaao$3s5Xy z#>-{KrbkDg!?fFHT1?_C`J7gk`}{f$`AAAjZ^CyMYbcb2FwNDtE4;evy-22Q{&CVU zF6xTy5nwa3Z#uqI^IrR5TdA#|wcFB3)})Cvrz_`%z2?=d7J3W`h&FZ>>xWw#Wz=1i zBfsFdJD94JJ7J!L0(DPhh`*N>V&mM5Pg^M(O7r$*|5;&3pBifM?Q3Qs<=;alYjf)f zc^r24G6O~SZ0$`%6ZLWJ0x}zMdfC~=Qy0sR$TcZkPKK=gl0<;~=bPe1erD8DPq7xn zv#4HNd{8V0TSWzZPI2i^T&)6GdDv^R`)^Q=~QINwEacI>6WDuG=+OwrPT1fmD1Z5An9p{ zY-OZ4&3^Nnm^2!KcBH}|GN-WX$-{MJa(!!AUX_-&N$wkDM@d#Q2Mh;DR!aEpbZ4Gp~p(7RyX`WW|hK?(`ToGh{CIdh}RxA5$KpPC_8heOwd@z+Y`Gm?7aWnqgsRt8^uhFSslQ%<+xvR$LxQcL^L zg%;6No6KMRFxMzvG?h~0$HDMK2fo>WM=dgazs$rCscOC^1?5W8UQbrl#6v&7Dte1N zEY6{e@%F+zOzk;{=4r;yAdHKK<>NdJO(Gb5Uze7g*w7+DMq(H?{N){q3Z;}CJ0sZF zaAGVdZB_e`F$G@;@XcHrlDHok*QBcf~K3whwwF~=Q@Xr2P zd%S#rZ0EG8W1UH1EN^SABVqamn|+*XfW#K@voERm)SCBmy6UEq$yRp_pfAY%iVaV_ zeMo|4Y!D(9T7{LFoxp8i*i5W9yiBK9=}SuC2iL;ZauP1(7MqV&;gjDDu@&cd37x*cf6|Xkr6tkujLj z^6H$!fE=Ul+(*MJDz*QCryU^Gjk1;{U3^gapL%1lV( zXvR+?mn*NeUYu@^dKC5#Sd}IkNkA)9R8*?l;rV&1(kph(fWNHTsI9}o{M0m7HCtiY z-$Xadxc8B{DAMA5ltSaFDVYlqgNX*98Okpg_#Q04?&5U_Ljkf)sg)PKwgLQ&o@-&6+Z#Fy6}4p z%YgQ7gZhjU)1pe(c0HIWVU+uM@bOZE)||X|jpPdgg}eM<5CPj%i+iBzSR$)8N8Kq8 z$Rneg_ZEr>YsEqy7>iPur-i1|j#D85sOEhx?uns22|cZH$(kf5%1?RJaaRp!6`Y5`qN#66a^Q z^z0_K`AZ+YpPv$RhK_xaemYLCb>h4374mG%$N(19KukrypaYqxbe|PV(;Ukma#i1R zTFVRYH7*(GvpWT_KRQf$5Ezt**whlHSt7b&Kz@Kl$eEPk%NSvtJp-KQfL@?4k^hDWy7aQ zQ9*_5^Rw%GF>hsKm{FglL{%GZu~6oS&x%#6%r>M%(qQyqNQ$#`#n8&;{AXs_W2l zUjs5u%X@J5$+T^^sv9dWcN56}Nv^WQ0a6ygB=GfX-xA)73=W-PJAq`)4$Hv&> z*{t2q#sm)7FgfqiBz!hk&<4|){ql4N)$4c5^Bq9#%T|%PE%{NN&n#qIL zydWR*xIdd)<`rZB?k~{OPA94^b)%${z%4S1;4A&Y#l`W-PTJJ9F|Sdb{=603E&#-J zn$goJN9{%56yV=QAOPj9mRdh-KG#bBTfyYg2P&qyPu`>;K_I2yq^_U8$+dPm@`a1D z#k~B{Y^^zv6ZZodt`h69d4e+|x4SsVu)0=JjIl8hLR3e3=^?PT(;ps)yG=Y%sF}pG zB7>F&T5N7@5qZOB3nitaR?oR}|C%QHEheUJ>2KRxn=F@$tIwh$;!%V*4FX@bGxa=OoH&Totp_fAN~f6xp!*0zP&pW`=Wwr&Lh#t6~Vu; z(Z#b%^0AhI0Pxkj*e1yoq19YGh~cH_mbkLo?&YJpj7u*=)=_c*ZYX$xoIkBnw=1`AjVfGD<<0FwX0Rb&dEyIo+B)zHbPt|KJ?{LOBy< zzU$k%EWu)9b&Ld}0!OHRBEy_^?9u}ak0OI2$T&?<81PL{!;OoE)N7m<2qFO?x~qeO zE099%#aaMJ-ez6B)?h7T9ftG^cGcPbt}V$EKoZp;@y@|9{2`D(w#!~m#ZUIa8VpST zdJX4Jy!ggSwYAn8_sXsFBY^%gy5NG24@5q1vn8M@x5}vk+Q{4YhiQEx4K1M8w&F8+ z3R`G&;wUzc>l*=oZmj=#0;nC!XWcnzxcw1?m$Hj4aL!K$onGUmeOg-a%WFUd@wJ$E zOYd{eOG9@e*dEyKXT4Y2g@dJWX1)w$sgRBFwb@YNR$na%fORVIKJQJZaJb7kn<)T1 z4BPQ@e*KLj?bD}&k)*B_yll1B{fCc$%#xNVl-p1@L2r+@1=7+YK29L1bhHRR`1_dS zH;)M>+(*>K3Gz5z=+{D8t@txbegTb|aUn0*;RtwO`zkI=A0A(+G>yRp$Lm+*yzl5k zLX@w{eS}}9-FR=$zqe6VAwXN|aLDt2idp+TDhRn7Q}wmv8%t?w1#Q#6LJ5o?&v33x z7-gg-=cW>akkI}7Br1$XW>hWr1ZT70Z|NxuzbG@Zwb)>yW?=32P68cfw}Vr zZDs*J9&HqM-lF{prNGXcP(b(JzII`b+@TwdTv(6;?DM0hL5Kc2`h<}B#a`Eb{<=%$ z2<=*#%A55$I8X$UyD2qeu)t6eFa}eG`9(`U=}WZE_PTgu$P>IHE-p2ENO}mU>q;8! ze#ccMU`KVW`X->6XXDewW^$rF7Vds-QjI}H>3%cx!ZymPGQa%=Tgmk+70JQb7SLGbU*bQ)Y*MgDS)Lmln;T7 zUr;B7mXx#ixrucid~&;cW>nRk5B@r6BpfM%B|l$y7T%lf+hb@#=e ztBLNMcy5EYW~UTrHCW953GXzjpHR%%Q# z2H@kR190WR_NC7)+!x1eyfdZ|fZ?f9uBb z^Ycf`!ZR9TE75*@wxEr3^7wmra#B0+;DwFu2Pg&^-}p;`v_s^szbzA^mTnpYID4Fn z?`A_&?I%OelQ8V!|H#>#3P`#!s5}RO;J$Cm(>w(F;-9iiu`IBxZh9AS^@vgN?`pV* z`Tu*9AzO%YeL$!9>1?BS1hP)tA+DJBs+%W(SB!5*^9UYXamsHI7M4#M0@WW`3l0Vh zgFzq-F;oi}hD*Z2OK-XzlxI1lC~bYt%>!IRJM(l9`|ve&z_j8s2Cq%j0n>WtvAshg z*WMnPdhsdJUJS6U_}_BD2qtG>Ih>w_Bx(1DudE|yZKxJ((fGUhcjMg;N{!vUp7S7! zgz0-x`lf34$(h_7xdKDXQo_{9@{a8@1G0{ruey(lf0r6#zx{RWK4`s`Uv-moyWS3w z@LQgApXG8caU~;>M6aP~->XhzLa^Z~dxnW|(n2M;j3t@h=M!frd5dsN0$KkTjqsNk)} z(}#(Y$>U~zGJ!EwhNMt|?9Ah^Lrws!OGY4ZV{2%5mcqo;b8~T0EQbqEKmxV5ZKpj{ zj-8wcz@im>|NU(cbte0hS8rqEcd)s#X7laW+*QhrXRI|Yx zL4JNf!drKJbc9!{y&BWWS;EREM-`)qSBi??P%emFrJb?V+ora*4qh00=H}+AADJz- zrd51dI6la!E!D0bwx4-cj1<0GTa`*5ptoP^7`p%dt>?Vc&J4$vgE_5a3CHV4`hpoc zIWOrCU?Vc&HGFd~84^6#cTKJSIL;)Wa{n+}&MFOkc(TR7zA$Njh4_(4LdUybsIl8| zpyrQg8~x&G{_Mf~+dtg~%vtE3@5Zx5mMKRu^O<6_?}vGKM{P;G$y+6*rL)g|I1kx) zGus|-l)38PoVxKf*{2cOR8hK~edl%WO%D6&vHtdb3jM&PZJKCTuC9A|$cOr#PCXMV zFX~}Apa5(c+x1`vO?qn=MXtVFozeJLLY#R&**a^B;nt3PbvnUk4;;3?$UI>mkJHO& zc)nG`8Rdx%CJ9-k@wn&EYFzj@1QAwnQ@SnCX%97N%AK4Wg zKUp3rVIk*nn1a?}(6$scf_Z7ZR!$JJ$ljqXj&0gJ!8KBR*fqv)Hn!mJJU{a&U(A-w z8RRpaT_8Shh^Sv|Ni$%=mr#%pVQv+9S=l879>6|sK*jmZBWyY@ME$8evqP(g$#jl8 zCl3Z;r^sj9AACgBk7@a6;M}bu>dS4!Wc2im6|8%axp+;#p9CT(D7^Pizex6MmWg#E zP8|dgPDdvwsn#t++vlW8w-@afSNK}lP1Fc)d)aA?#OV8UzTlOwVL}q!L1V^o>Q)^m zn_^VLz@U5G&1zRm57U(`OEL#ZNCD3MGYf6WV>-G<>uxM{f0Ml;4xX(*`|0!8;A5u2 z`nff?{W8%a4K_Iv_7;!##5ZoXUpaqCDfn>0#J`=RWpMu{m;2z(?KSb-)Uj3fLq*?# z=i=K%uRa)RnNl;hwfP@anTw6}RlNG4j~L4gzYA_$do&I6_ojY~xph__9-{wrAtc}bJ zsKoKJPNo6No!*&htVQFXN$pT`((l=i)!glH>uD<-J4B!b3!*XO4e zBaeY4dz!#(Pv@H)Ye)=39w_WVQ-IB@__%J2)9M-;Mx#BMkzYtH!Xj8%bF{bhUcF+H z-vqI_Gr;=>=!Un8%x&1BY(Ep47ffPwYfgytxO<_p?b}xmMNPLqM`6SJ?_6G%NaKS0SZpy!r+Rw3l~oF#SXO`5I^0RH zSd$f7bmTtHTFT5XUFL9IE#i*pep-di`&Zw*B+%8Ny75;xXo}86E|teBHasc1I+omm zNMc0XoqJn%ts?{VsI`S9t~KICdAJc3Z%dczhm*vBA$AkC+~0WO+4U+dXn87_oKU zmrzC=^0ZISYX0aZurx!%CcT;LI1E9jL|zNjv(iJJX4-fh7}*}w8Z7=xSy_3ZG2yqC zTV}hNfgUXdjlaCN{dTWd1#^tD=N-&3eB zak#tLlL24j?Zk&kC&S%XgEtS{7mi1Y*QK9MSFO!m!!@;4)N$n1RNuY)u{y~RIu?s} zM(V-gQ+Re-0pHDXb+}}0o^Q1Lzxevfu&BDQ-BHQ{M5PfB5d<8%8!74TQW}Qt4pBlt zq?PXOZbSqe=^S9_?#=;b&IWwH>pSPi@$%2!v-e`vUeEp9PZ6}t>aw{$*kbuQCQIfM zYC1<(;(Jl_nfK;{LBF%y#XhcBu`Wc=J z)<>q&DI`3W)U^O&$)YfT;0czoJ-jN}xw$U#nx^J?Fi*6a49nJ}FI?uGP-YuUR!ZhA31|gCsvM#P>l7A1yLQ zYV<$hsHTw5E%>7iv>k{|P6=09*;zCz)i*m7Fn@{VY;9sXq9$JM&$$0}I9^m6^~B2r zF$CHyB`{ri_Wp7?z^DHFGvjR0r2Sq`gygS4`Qv@vr}v0w6U}qEP#@CkVCXH%n~_bUwXbJbQSBgs{UZlO(PsTh*L(fMQ$pe&l`xEj?ZBV2`zLRg zz*W_?#P74xbKV@$vG01oFy$ z>K=6cWwhfU@UBV7nFF))Q>20UTcuhuZo-xb;r9mYg(#W$Hs)`a1}Zv|uu2Zx>u;a( z-dp(^mCC>uN=Y!y5Q=8}9PExDto`KAyUyDrs%XI4J#X_Hqj=<4y~D7T@Bplok)Ph{tB_i%q!=&~9he zozW|7OJnV?D)B}|SVWCH+Rq=g(4TSM`Eh=Q?erkDyW}_fg~5aS&bQ0T4v5^V zH2BhxjKV|Rk5r_+{cao_9+Cv}%Tz^wTh~wmZ8gy)TM;H+KO4Ab%k2E-Z@4<42^3bPkTD6V|B#a| zU13Ji&)@!Hu1B7dO0SQa(r_;Ilvo~_h>O!aXcg0adv|(dP$hXZLYUmhr~C!WnbP1F zh5>tLGS|Y(>6~U+!bWyiqFJAQ=Ge1hX_vLMqH&DecIK1%w@nO;&Q~_p@M(P|=m}bG zJvk|x=)L36$XW%1kPp_6obfR>??^BY7(6dU(2u(!NvQNc)e0`-s}*J6zaQc3SmpHG z@_cdLF;-0t@Oc5!8rW7G814#wpt!>k{qlDDS!ay*vr03ns-8VfFHCsQ&?N#kGhOz+ zCp+5Q``3R^PbE1dGbj%8lPe9iQW+=Bdd6ExM@~|fyh3Fz-E)^2KU*bzEa~Nmn5!zK2 zLajcHr9QYPz7HpLEb|M0o{@&H=_KUogiN@92DqZm=BhbiCq3zk_Ro26ljT_I5h2Xm z%}#YP=ACCiUBQQQjhvsGV!fn07MGrs@n_p|pngQ_SqOlzw~OgP$y-J5lbVlcAz`8O zT$C5ZJr{+A1tYab9l@j@$#)fztECd{c8BxKlZGRozv?K{OCRiD=!loM*4()FiN)8R*9DR!i#mx1t2a7 zB9t0~ZXBkF4;_LN`5hRvh_~R|P0f4myIYI@1Jx)+uBKvNuZ`N$gp1H~FH+8Gxm>Qz zGs`E{0}$9CRQ?Z?0cgwFv79iWx6jf~q%`Go$E(NVx~mSk}Q9mlEsl20dh6jG3K{{gA@A3gg9A#!^gpGyAlzqh*@DA7F*J+kG4 z98z3*qZd!B#^U2*ATlR(fWlkjqpv&faBSTBZWLGyArvkYC2tR@#Sz8ao~R*-x=?6Ayl7FVb9i&|>jQvCZF2Kowyo|vwzcNE$zCW^E?$tF{BpZ@_Ng%hs$js3bNVFu zX>!%%vis)C^xXP4 zd|v?LqU$lbKcoG(Sp|a@d1;wajXZDx!tWh`i%z*jPVB+FXiY#rB!?i{YmFE$U;Ps~ z_mKJ1!ii&?^@FK}i&%IW%S*Dl+yLp+h2_xHtzmykPRwM*sCdzyn%?`)W)PzE;2$sr zhif4J=$>{S;_L!igwOrN16%t_e$D1nHK4#9Iajfd#>_?3xubrczwVsx+?K4%y&`p8 z4JkPx%(MX?!A}SCIYv3E-<=uoZ+0_b9*gRPmj%0>;J)}zn@QVhDk}PAHY58fU+#ir z&*P=Wly6MM5UNWO+W-AtY^>U$7nhmoDrE*vwzbiRyQ_fy%>Xlk0d6~ak8RRpPN<$^ zEY-VX(Dmy-xNmFjqdO!x>P_vis8otWJJ~cF+}h|gjpK#!Q%tzXrzZ@9kMm7=4YPk% z*TZOj&q$v$%azSnzSmM37^HX9yhw*LI+dS?V)%dz2Ij#4}BZjGAS) zz^p;Y_sKZ|=D- zjV+i0O3bAhuVYvApKipm%gg*-;F!2l`q$? zYMqVU?E>w+e0r{cX1R1g_s+N86r)BBTWNbz&;+=$F- zx{~Bo19MvND+|*i4Ncty#DcT6-gAh_w-evPi0y`Hj)5t1>qG6uR3XCPBOKI+T_5$t z;0*4s6dyG=Dc`*c&N82-Z*VlQqrF#xvH#o)%itH`<631!i~>!6RVf)b``ha8<2imT z_s`i>RwLTb?%egKsZXm1irLXr9*OHc+N3{@_Y^^; zC&a4!tRt243#&3pyg{}I1>EUG=_L$a(jw`2`;w9gyD>iur8vqW+3#i6`8N9S#yP~7 z8WT=V&m9MS6uFw#yiVNbx8&;j8YFz!9F#F@FA^;%FQ!<_YXy3-9k;Rmuqc$S7Q*tevO&US`n6Hz3Uc#7TsmeyO1lleN3~> zL)#PaIg~I((0vLuA2ND5*7X*e^}XcLwR2{0d!Hi6Z^cZ}Owm9~4|T9TcX*N_tJFx! z@3~JekMsglG;1;Zwl`FjmAomyQFg4C-0C#itsk#-_pI7MvGfnLX$sqRzve0_h|k9v z=AUGA&@O+4r8CRskN~s~q~Ht=i0gSIOzLwKQ*voZw=?pa9y@I~{wYuIVS5u&9(--| z6h2)=0^JDQRpsoU$DR|DKfGjSN`u^tIcoNK>xrHzkQSo^o9XGh%Rt{UaNOD;$xr{J z&hroYzGgRJwTwTxx=C$j{js=as-e}RCWc6*d?hcw<;Dq*B=Q1DzHh_aLT^T9f3aSN zX2(H)?8G&$n8g47@ZK|thskwVr^)04!*?_u@RFHllFm&<_Oy0cZ=qSiDQq9L44dZo zPf>6EYDzfEYRWk)YmNqDY@~xS5G&ndGYJOZz+Oux-ZuataJR`d~t?Lg0&&&+C5&_0lQVYQ|Iyw`gg1_r8zXs7WW8)V5Gd=_ zD{37hm4!v+BCe8=EH|v1{QG6-=sI^rvlZ`x*5Y#+`|dE#+=*%O-}ak8v&c{_5I{dy6gNv-yHEE*q8y& z!VIcSKYsvEEAy&u5rBkq_kVYrsT7rey(!25ib$JWcntD`PVL<6s5f_Bd{x@V0ge3J zjIlYr9-?3LcokkG_XAK`ZIb+!3mL%b1D$c}ZpH?E9}hRYcA&nFyyd?@J(l&@c#i8|cuGH2%?qSk1`56B5`u#cERi&9Y@9vTv-W?_*+f^T~+oH;q# zP-P?gE2P<}TCKE}e4Xo{Fjc*~_3mva2E>i=i1(EhT)8yf_U-?v)cJ+GHq>y*aJ$}q zi@E(aNVW`P``xyF=U89Df47|?BcoSyv$K!a$8x04h3oNcN*Kd8KOOB(Ae-+fSYRDn z;!Be{z_;}Ct%O4*stYu5q8@oDEIat0!t$tzFJ+z$3l$qwehGHd)J^rus&9c;PHS(@ z{zcl9i0f)|)bA#1q^w=IH72NaOOYS-GGLqw4OcJc`8OX0eCD|4%u4cVHere)gQ63b zn`b<5vWtNG)?HqCQRilm+aQ2yP7TA2rGoqBi8S~Dm&=TIWesn_IzLI0={1;o<`Q5F z5{(yb%IxOm%J_^FE4d%Qnc}dIqTj5quM3q<3!Vj7*lQF=g>!1`Ubw-5qPgUINJ7yI z!Lb^P>7i^d*DZmm`Eyq>>LQ=D-(0B?XPYC3w%!LP?e_xA=<&ngXrf5 zyVK&Wgmn|g+m)s9VHXFI#c&kd)YCfbw3gAtm-fHtYyT8nG>j^@HrIQCcS?<0&C>gOM%32e)nPJC1YA)O3-IC3D zlgq1;4ue()ut)_8~&hB{L@Dl^I~oAx;K+p$aY|NkUc&NxSj3 z@9I+WxLOZJUT!;*lV~v1{VFOijo&+-sWVD#fzFi=YuG%1MdX$$kX{u;#5Ybg6v7sK zwd+j=zx4Vxo{;e}QcY4J$Jg1SvK4B_Dm$^?tSr|XAFE^UF-0UbSf9SgY)dQcPvy=E z4%Iri|F4Eu`LLN8lji=EFZo!B0|RHEL8!vFCijL$Y~OwR=;-xKtx!Rf>3KE-Ow9Oi zQ)+dZcTbvr!U@*N4zKalBj|ct1BbkL*V-nqv&nhzVpAOFTYw~}vy3`I?mNm*X8V;4 z;2T||M}#U=?G6bO29 z61tL7k#))yKn`lg zGiwz~%LFLYqAR7nkt5rz@eqd1CoU#473%K(E^gwXM$Ovs%GT#X>O|2lDpv}AMyeP1 z0ZT5-B-ko5?n=H#lf|AiJ-U=y`d$?QCE2JZQ}{3ZL~2qnyJoX{JV8I;UfHOF2c^9g z8gqZE&rSs|X!OKjqt4cs6b;n^nx!5qm_oA_}EW~Bv*Wx^?VAs4e|6`_FPr(g`a+MbX4?3(|I}LmJ9)|E6i{v z1u$p4v8kC=3PiP{5qz_%U5YOJPfSPdY{z0Vv(tTeBcB_ z+BNj*J4nkb<&%sECDgyBIf+{Ouvrq|Q20+4*ct#|%T#Q1bVR5^*VX3j+%~$6;xP<(95hQ0$+j9>{kU?RI zKT_Z)$Z;7B=Z6Sjqaepm~j}muqVJ4SQ$9Qw;TCI7e$JU0ZrI)=N;iu$?NSCIkqzxDXG$$3v zw1OJBvj<4xf5X^~vjy}}MSI)+_>{Hx%u7R#^6V`&-UHpky)N-?b{%OC?KRY`XI{BR zp6J2)E!attlER`?>^fMLK`&xIcH-=S*Vjv1xYQa4R;%NYT?W2{ku%eib@dJJ$|8aBWw1OS^mQ&&&C`e`&Z>Qf9`6PjG(zn!+NHH zGkAgZd^5Wnwj0ybYfz!yA5^N(V}1a?s>VUBU=8^GWRh%tqMR=)x{aCY3-Y|iJ<{kw zQk6l&-h^SB!$6~-8k7qyh37PZ;(R!Sx$E`RmsK<=jTeYjScS=+S zSq^>lPcMzEj~<`NgGp?r>J=*8dkdDuvfvDvadM>Y2JbpV8FE?=*Ma)fu#i7-dRWJ; z8K%_-K^lGBPaVrAo}#L;4+~@pJC~*7pG4~GX$u~|dsx1Ib#UA+h@h{;YOyg$D}Ncq$m(LH)ParX_d&1>Sz`>UWs53OsRhN;2(}5y68Cdc$Y}htX)ZV$~m#U0W! zH}e7eZS|C%*wJriZ~M`eJ*Ji`@$RQfeQ1x4H@V<7*2znWwxc;wLV&F1_O)xCdeDlP zUM@ka8ua)~iBfKv!HP&0be)g7gilTDR(B_-Shifu?aOGCKwCxlHq;miHAkkcVQoej z{5d$m6DP$>iGfFNZxWN-+vtF}vhp^5$-DvJy7vscWJIQ4f4;`(QN`sBwrC(4m&|7* zz~#G;h^Jk5L9+j#?l;3`7=VnK%Sk&3fM2hVj+;Oo<>-atNYCFdfY8QBPiMp0-5ZDt zQ58EFJv3Yefp~xDWS)dpoL=AdE2M4j0G^Dle|eMgBumq%IQKMo8UXw3CDHYZH#api zUmBhkmvxc2fzlTAu2H-=kLGn8&wD)qM#E}OswWqBKu!_E`FxJxX_gzXUWGT55G9!I#RN&>6N-4lX8UZK4!)a= z-+r$s$Da_XsKw}xF21_@=h;pmzgJ~}^3%Nj zd^homejP&NxgHFaL8asPAiwMTo9sJ^H+o35lgzqexef@F-095PntPguCfwui?7$au z4@X8pA>J1sM3uHOxEM0qXy%;~GtR{oskzs%a zbmVDW_-+FJnYEghXE1>^mng>o#tAI&t-Ov-3;;4-0Se6O&FWTmNRk1?fy?1H`Ih|# zC)92)Z=JD0AeY-i3*;wtGr_-S`*Y=wr45aC)1T62^g*Z5Z+}m-3IA)*geqvG^kqA0 z{{i5nXN}5*+U{I1s(C!grzNys1ny?RK%4>=osy>V>0=}-WV6o)pwAQiBf&JIz zTokyQjpl#%SdlG;xj%1Q=0ID#c2+QdCh?`{d2faoI{bZDK1|Y=4&#pBZQW#M9-eyc z#EyCYo56r$|6*2|s}_1JQ{vfkCX&MLyH^^V0vNBxN*4|_kFh!;Z?1Hx7lwwrD!E<_ zOH>z1$qVX}Bh*VzcUTv)$s?+)R6A!C91ax&5_(C;4xC)09|7tOLhAC1`+5B99N()^ z{Rs59H#Ah(mTIG^85;Js3ikYIM>o00Qg)^;>rwnod$n?lW^Xhv&*3eC;;*_9+nxr* zJj+|3Wunsc&0}#f1u7kPBP9{diAhnGhl1eQwTtl#{6wxGif?a{bvQ`a@*?7M6OE;$ zKM=jQhT(>o8TAupRX zsuBch50}2kq2Bv3eoySp0T5_Zl*(7hlq-vl6@#(eo<}EzoV!E2caL}-8P&<~Z~NpI zL^8I;=XFrw41d)gH0VK9G1XDrh$9^+ErPuAtWl7b-w|+H*Z?=aYQN0h1XMy+v#m5hT5KqcEHO!ts`-~!L*XbiC2k$klV;W{FR&6DCv~JoL;uE2eZ2FCvfPTzKc6LItu4I zv7Yw+`JVaF*0gwh?<-Po`_-0qTlw$R2d6?D+1&yUZ_gy|=iY)GV7Uq&Jm;P9^-8KI z&lKNQ6iqkiFbpxoKfIT(Dp6rh>3i13OY#fQop|(O%csdTI?5q5mB7k zhgEe_oE8@A20j;|z1yJM@9JAQq8ZRW=f0Q#g5*{A`FJ;}>(1tQQ`<{0X`mz-e(Yd~ zKv~xApo-ZqT(am#lf}~jmI|mnF@;4rL#13(XlZCROV0eBbTH~SP-zXl5@4aza=^I# zx`m@F$2IE=Rf2*@s(jM=#+iJB72fq&ZI|F5wA!4#ocG8ueyueHAMFq~ND}n$TPk*< zn-vK9+Ku{0cl=xtdfBHR3>WPhDNYn z<+iF**c8t{#5k9$rsLGynJMm!MF(C~?;mgL;C}QVlwa*Y$%!QSg5d z!jC+I!2QGvkjNKr>Dd9m@q8|>6ppM#Za?&aQ&U}$+iq@IMI~LU;+s9E)eia(aBevi zzmspnWA^eAi=^JD#a#_qcq@gFx4Hx3GSS4WuZy$f>A}2jDtKd_hWMzIU$eFoh`$jn zj44!Uj#|=@w$%3UNEqk%cY<11HUz2na5p(fz|_OVv$O&d5RhgMr$^BrwJJOcIlmPW zYIm5k8(;FuISeh10kFY-{crWocEXM(x5eNHUS(%fi|hFa1x@q%vc(T($F?N#Lh9Kg z&AQ;sTyf)xsz}87DPyAcUzp?$tDmU@XilT}$1~tOX6EBrw>;^+nll{^pZyXXIzf$5 zxj9#!N!!0h;AFjl-0T#h=3?+gRUWgocOq*QGAGqYM@wj^CO_CLe)R^B=J!gw*py)( znV^P<^UKEg)QyoMeeB8!CxJm@c9P#dpg_cNqBXom{-C$kQUyu@{K+JtI(?hZ23I=( zMgg=9OZ>alhf^hJT~4Bn<3g0C@Y*O_k|8-|An)q6EN%m&@+mVV9gq8I+v0*Sz{GXk z0M~C;;rRa>)I6b}{fYtbciT4=2q-%|N&%+$y&=~ZFwoBR9N*#;nhu?pr@^8?&Bm9f z8^3L4Z&z?ALmkR)gmQz2?r%$LG3pb*7oR*=#dSry!RRJy zqWQ~=(0Lg~iW&3p$#^2d*ti%<0JRC{nj|MVe;EtW&V?jbW&e~_UIXB{ooS+e!cd1k z(BB)r=YKLA-YR_mQMLco(6|k_259&jJOqk;Hl{ z>;9;)j~~%8e=4^6R9eHwq*~6^t?AE!7W@veU@~o*cRzyt(+zP!kR^S{hz}h|;tml8 z$xyiRYoLEWjraF)Y-}XY(XT1oAax48>tDatNM6fC0@VA|jp82i7DEk?xB2nlDAso+ zkc7YJP0G!&A#k>6hs_-XXw#_YH7N6^$W7<@KU#)fp+&G{g2L|JPJ0>pCvJ&iraa_m z(2wiar*A9(@`l3_VhgW@HNf6kK+X4OT`FXwBZr-O1yGut6i~*Ph>zmMXMb5O}KLzzJ1ZVo7 z=Fdnm1JZqZ>Crr#$2{pV&qLAfr0fj6rP$ht4WRaIsD%`q!t(W{cC>Cb-Q#pVe$`f! z#mT5zpXrdX0wPX@YtNJ_VVB(APW|8gDB16LI6c_WMY^DM$~dGGn{(U*`n` zo!e@(PmX@^sDrlVYQ)DO-ouKt^$&6-yO^6>^~3)QM=Uw|=SCT|R2NevlwA6U;S z9M}Q+mqjKf(Ie%Iwnc|VXU{W#X%jSnAzCn21wa=W4;aO;#~eXEE@PFIn=6^pyw{CL z-c>lK0Z#pce>J-Z%??GeEx-I3;?UtjxeGsd^iEoS=BVoqRJjM9X?gw=AVgDlk$Y&) zg{{n6!=ha;Uupbfw-*co?G&9+cf|70|b&s0OR)lN^DdYe;x83Q)Px+{`y;qn}??N43K7MX6Bnd9GfihjuUH zIY63Mif3xeZGKMZ&FQh;L+CVnd4Ku)90+?sGy(*5Za15r&blZA=ajA<3GDv*Y~__F zTFS;?`i~kOcA`gynWm!-h_p#^1-nBQoCkZ+{BG_Iwbtsczhwlfa8O8+Sf_jg8zmN| z{y4dv;hMd6gRQ}QaZ&Jke4_CBbu^`7x@d%OKUH zi}|@kUj>yQV>i>aPs^JwK)2rLS1)hJdt%1C9?Le#lyZ!J$4QbX$R7W{EFyw=|uofHUAwo0hx{uPCkMpY~Gz*L@<fa;@Os3 z6g-;gw;t4YReU>cb$utUS#xf2B2+NtSVh{hU~2L9$ha#*kjiEMF4n=n5r-_1+i~9S zwDrtld4&PC(2Wt7gqZ1${fp=p;w61rc#3Lr@iZme!R9+*)GpU5U2`mV=mG_O75@^< z=s~(fvp&+)_NzY-BHfzuJ?jHWMXy>-wwc3lbZZ^j&dH}}q zT^%kZMAk?p&TtfK7!AhEH}o)`r>zqD@HV9~Uicm!HW1F9Tg_n&U-J);gMd_Tr@xI% z3CT%I3vglmnGmNq! znmL*lYeoT_@XlM9>)apc8UQs%(ikx#os`H4VDn7J%!#sy$3Dp30~zAYRh5-1-Fn?L zMgt)`-{7$yxa53#4{{`f%5pJ%>%99vKv@sF{yNe=8cQ9jG#WQEV2brs&GwpmI1RU~ z@pTw*yHb~7?a`@F&qOBKqw(>N%r%;9YS>PIac-;3oVmFdeHYL^NVA`GDJ>zWtz8$` z8qa5&fI}dEw9YS51$YBLt3HVOg%zw&$4CXx@zf0Yr#oZXt{%2HLd-sh2q4|&4eR^D zC>O;E88Nb^_lM~Y@r)68Vj#%MN>9cw11my zT$&^dRT8e2!fVU`4%lNWiC_t!xD*)XJ6LYf1~_e$K+7TYYf|tNQU9Cm3wYrT)embpHoap<1@oOpm`Z~zgq89Ku4CVlCeW3v*0|(oGy5Ql zrH_}GT>TgeQXAc*PJcq^kjR(rn8(1O%3F8Te&*rH*}$af(2(*yDJdy~t~n+(0w5x8 z#LBmrq>A(Y;HG$r>EYpy8bq_la++X7jm_cQ6+onNe3wbb0$vaK1I=^%;k--YCv)x| z8q6W6($3mQZ3(=S$m-jNX^*4=b?Y{*A9Q=^MN_!TC<0U z-ncUdzc4cM%$Sn89}*H(yD0^=ShvWhJ3yMvUbZKnI!V*sw5z=-XliFGw@&K1<+(LF zIB}Z6Wvf|ehv_Wb&pC{SAui^cA`^JFDFu*T>U6tt^(O7=fQpL8WsShd7ouew9RY8=~Q z|HkYcM_L{6pL{X7e1+>Q%0Ozpa0F;RU;gZ%byYnx03v`G9{ODAi6I1MW?X((16oWe z+YHc-#E$)qh#q*Q-))~9*16hP%fZ7ltvlvfW)lvSP059`PY(r@F4^4io}Ql8wbl19 zZjhLedWq;Izi6nOXaaP3TVH*qi8ltVM(`a`4|q{|0B{-(dy4%o{jSkUzAZ>T1~ZS5 zG609vyGd1CBD;L_f?)6&xB2n{d}$fX?Obj^bvHAsToPeJ$tbuuK^sI4Pr4Octegxu zp1+A+WgPwM0*y*Ypyw34K&(0vE+mWseu*p<-!36q#7uC7*9X5+;J*IJf@*wBD4pu0?a{QQxL_$ zpLT^jMoD&6MvPDgOk!E4LCDEn?H!OX+lfJ;*-`_efXU1dt{{r>mD!WA%HBX9ZpW4H zu%jY}W$BPf|EHRKH%!jpXHx^Q+v<( z!uPsb+G$C~N@(hVVEp~|4?yX8WLZmnu=y{T-aZ033!W`gj^C`DC^JgUL8Nql;p&o+<`F~3~2XMXs zQy+kE8qFK1(bAK92%K6zCQGWlFWQ9=8_T)8fup$1^-QPQUcYpnuJXf+oqH{pcUOG0 zg&)FB0ZR(ZmJ~JKu$i_*vrwv1oazo)^2>z>je=K}C+g&ba}2CmbHPMMJhjD-iCJAb zKaVpo@z1Cec0#Z;28NDOVK$_!Hl2VSks5bwGvq2zVd#~Io;b|;xThipYQXe^*Y?Mb zQoL1*R)ajQHiS@Jp|-TK?)$6mQc{`2H6O%D2QJv)=G1On3lM`vk&WzA=lZ>m`+1W%6$R=h4L zFCm%S{0-H2SY4ntpp7uf?Kl4wuam2G+J-N)iP|)5A(&@-fHWW(y1(HI@V1W~-zQJu z_>3k@TPiZ?H%4F6g&5!BV(eacT?6)yIuAA^=-2^x1Sn^*o}{-}nnkBag(s0WJZ|~n6qd!TW z<2BlT7Enih)Hd*TI=*B2>^1NX`1*(~D1#VRS-SN=u3gaN`)p`JPs6)=;a2-Byp7WH0G%%*{jR1n z=XY1Orme2WTx-CMLUgO9T`Ofr-718uAi`Y`^nLggBz$uB`wd0JtmV}dkO)@-Qs-#i zg{+MfKdsBW(Zn<_1H21rSv}9b=-mO`+?QX(xhc)3DN`GRga4nxi*=*$?%gW@pWuSN z_dLIuj}-Y|jJOd`-daoQ&uW0R4!~UahX>CZ7xYHF zg-iPowwo&(RHrmIi?HXrm29G_Wj2novz^7<78+4Ahbu^CB)t(&SOOaOo{C?yEDkT)H;e7+2ey( z1DH>nc)3cg<9XT@w2SW1sZiT$HYZ62(WqcH;%w|_c9*E;9I@nyxHv$wki$X8Yg?K+ z7YdOKl5uzRwCidi#x^#}b5(gptE1Hz4tlkQI^0ZfHMD0?&IZ z1M+VXiIpd*RP72D2v?iVnH=d4%6SiGx5%9TxoJIs6+ytHAfRl4|^ z3`2g%$eg{3=QQ{h)^O{gOqrGdl+)Rr$S3}_J{7AY!(~OmwOWft%h-h4OMi#dUBq&t zI?VUpT@K&9u7kiHX@~IF0&)?vfeRECK}=H_Ip(4w7N8mlK8&` z6xQS&E$k6Nl^@1P!}j^#{BlJcG)wj^m@LB^lTYNL>D^h`dSyc?vO||-;@FARc7_SD z)(f5LP-j}vrC%xE>ivsO_NcM`&aYJgsii=-nJ6R{*|qP-11#4Xe5cP#i6dX? zklan=SXFU+t=1GyKadwk(-U`s{sj($TJLneIu9!=;guWvFWErwG$OU-dxLpE8&!M5lpcw@ zi{%&ZS{s&w(ajI7jC$lv(a#EVu;`?-zD5V7%8@fHVSiLK+voT&lR(`4@jh1B-p;h= zk#auOIi`aCv&VJ4XH0$M6NT@|WmW|j?=j?wD_{9s@m3WW;Gbvl9g3_~rnFz3QV5~k z%hJwD1*gA_jZWfht?1%nm`8W9^0O9bHt)Rjs0Hg3`SD_7*H{gKa9(pWO;>So85YDO zP%PEHN8J=5%4szaxdLAe7t zr4T50Brmh+d<>^LKT_CXaYBSVgjM&?^L1gb{Yl=i?Yojx@We^ApIZ`NjHboiC=fCX zZ=LD4ooVh;;24ep>r(=3!YR4HQ*yj~@B0K{o87Thb`-!dNS>O~*PyX%?Q~Sf|7!9y(0Byn_V~V&-hJ;iP6?IwqTCR*P!I7msZuye{l(sW zR-coz8X@+FfGnsN%ejM}+hp?*C1b(CS-Mlx-MXhqAQ{(AP1W$`eRA0GD zJ0N@EwfAV%T_|`fkr7w4Ou8CW*v`LEHWQvbG16G_$g_Dgj*Tl`y6|LSqMhCjMF$jo zMS!TIcAu=(_{yc^i;~&BGopu;l6AE#Y+Mw47hFYyc1RVY9-tc50AV5hec64^`XfmN zgRoT6HP-i0sEXNjlRqgOMstr+F;9db9(#?2IUboq_s6WJ9i4i5)Y@|xv2l2UgI|4W zYiAb^m#(=y>i4D{FJQt_x}RuMyn%sk^PJscewPmiZ$RXnO=X*q}P&mHX2{OJ-Z(V%0YLoeI)8`8!eIs7NKb|TtGT1Bc zoN+v>>f7)B=)Be67EO4cIr8L`Vm@w|s!}Aq?bm)vPgQ7`2b;GA^Vn$}+Y_o6ouJY%iQRj1Q3=uu|eUZ*;2=I-_WGxH;2=-d4gPK6jFj5Wq&2PFLwLxGiv?u zNGn|I3&;fow2?P_#%QMGtCOD!ThY)8Z^B5ycXp{K@|3ov>J8juAJRw?>YZoz6%0OA ze*Ba4tSs){Th~>O5mKX0B0gC>)yCw`J>52Sp8u8BrZ0#!z~6*YgS4u%*CP7m@NrG3*T>G!)ri)S=U!{Ma%Dv zRNe+Bil*N6IUdK_;dY|m7$qI^e`Y^nh;cu;4j~J#xOmObfcW^+BEV=pyHvk~TfukL zUuBXo`$M(EiFNZxGn3{a7V&PZN>eC)k3d=CVo7`MOe^XSZ`pY;TzvDbgse{INBT!E ztoFMf!`5X!emhOD2u8=FUcj?!y=Q@ME=mQEcnNEhAWK7*-Uvyzxi!Q;wd@L$;mLjZja^(-!^%S?fZc`d)RyHSPVg85s3nWo_{lVATEx})Rk~fSgoyXR?`t(JT*o*XMC=U%v zrHR=e)!S5mG=BU1MOY-4bT$J~T%f**A?IXl7y|=lz7b;Eta#ihipMf|LyXz&;H@;; zV@hglsv@PQN=#Dr$pKryk!5?m3bM z@ndnzYFtdBH#3REP;26M3-aOlY0%X-U3U>ogbpSO^ueYOlGmyeF+im@xGgSyhLEL= z9|t`@*&bKD$O}&>e=CISU@DoaBVE1AyVuAwI$C^c2@B3^+rEg1$J$-+I70cSjgJ0x z{dDg?r1j@JqxD_gg@>A}X$G#lgN_M!K+i<9pFvakWIB%~SJN!8fpbtW{Cn0n{aH}h zhAYm721DW-ttZn|V>Z;$r9j+%YrHm{O>~ef4gLO`<=IqGcYe#%0A=w*NNW4JbSMqE z;hhl-RjYL=C}Ms%z7CRce}qJqVr)@(E(S3Y*M;$FY{k@ccc!)RYA(coqNM=Jbt3M0 z@J1H@*&3x{#l;>m&QbD^Rp^r3h0z9(nozgSMdyMH{l&+mfFbe2!pWUfAm4t85B)tu zkRFgwpQtS*oa%DB%FNfoW`@g4&E+|-oLIoz=RTA0OCc~W8GEso)Wt=XeC)^7j-7q! zvNVcrHzI^AexiBmQ@r!Zw4Zmr%XEpT&$u+7VF+xaN1UYAy|-hHh$Wo|q24C@8%!v4 zCAvJH|N5veHxGRgbGz}a^z1*mB_fsFDo);QCG;I7ELATZLFyNEKQvf6kykA)%wl&@ zlz@S-WLhNXoBSn<+5-Zo>e;#+!roMPC-3UI4A-dPp?2&y_C!|-5%NvO#b_4~fP;Tj z=d=1^lDyfttS|MVf=)Vy{CS^O-cl`tN|k6OiCds!7@aokg-WdTcRKl`))P*|^HFp) zU&aROUHfU?u}!g8+={Gx^6~~vZQ%9o7l}q9ftRlmRhrUFe~0to*1zNYX5kcUr;Tt& zzo+W8!tqkjAuxo+^pWW>QIE^qr;~nBE_b^)K1|S+CHxILlb0-nTq-hi}B&|&ZEElu6)Es z3T4-QGsHgQPi|=uiv6dC+=Z?xI{Rgl39Rlv*%%>BOm~|lrUj|pxrEmau2}RGfx+_n zb?F@sSZO4p&%L|)2G6GRBrsIJNngNyH$F>zUx%h!k;S}8a=q$FFMqT%)I#tHY?r{F^LH63xb)Vc_w=*dqIbbY0-J?Qpy z@C&^eIef(!n&+*&K?Ezr3MzvwU=w)YTUq~lssEhcU5agCl(t&7SvctfPI8I-&q7vj zDZ3irFZ2c~yr5895oYPwicQ?F4Hckje^=k0%k*+YhpQ;C6{Pm4V6#OH%~SeOFqaa4 zYS}{-Tbjm(zFA4OJKHz$-mFiFzY;?T;B&8kT!V-gdUwFeADq*=Vybk3K z$#~0`PU%_>D^SZH`RxvQtompyqnJ=mrn{=mB&pf!AuVUL;g($0$!I#aug~1ref?yM zXZ^`+@MTh0j$t=MuO8>bL$84i)3(>ZB+eKf5%g%E>-%Y?v@7K5c#?lP!eBdJ%f)2}n=I~2V?(B}g??^=@Sf$EO zZYF62r6Sc<<-Ubxmp*K0vbCjl?x3X@Y45Sv)1J%t^hJSg&FkjPPq=ounf_Gii71Ki z)5HeqcWie);7zUb%!SB2ZTO#4iMfYi!A5-L#}h-{Hjby?jIzkCP?$!zf99!%n0u8C zMN?y-(MrRiIQ8NA&TrG#5nAIiVSf)+`@TLa)u?_G%01c~?o+x;+<9lQkp-b{G>#Im znzDDZLVe9L16P`a>E#W7ni_CEi}O=Lm$saYRgN>NV!=&qIHKyEzyG=SgXFs*)-7a$1Nte7dl@PZyu|n9(d!8qj@ng{ zKFW!b7h2KdybAu2^p7tvr(c(@uimKSz$A908lSCHVO#p-@Y0j?Dz1nrd7K4b)$C{H z!z(fbyROV@CVdG*C5cY_jt+_f)duD}pMj5?_|FUK*L0AnR->)7G319oEeQw)U1s{^ z0EjEOW%*lY!~s;Fdj3b9>1=0V#Ubebu=bWgbv50(CYiO+-M!DLJAbUI*)?a+9z8~Puc6NgkRXe9h$bnUD(`*U zNLLyGdUddk3-q@u4$>44cWx-aVUK)h#gvUKS2*cgIMQaFcP8s!yL$U)geQ zW_JP}v9po!*~%1qA6@#odnPgmFA)Ei{P!?5)TYGETX?Z3kHO9zG##(D})}#;I zGu=J`3Jw+TZ18w+G9w$$L8>T>Gpv9QVKMoq)mhvWodzXprL5ahA}*h&^TWj>iD_-2 z3Zu7${OGJJ6PdeX{?XqEDOGO}#LAS&r}d`KvD6~kT#J!CN^&j)6;{zfvpza>4Q8%H zToG{_jfAnds^7Ong*Q1J(f&LhG|}SPO+{|=l})G-nxek5NZ~$mRO&{rF(Y&1gpg6O z4EwyjF02*5egY|6(p~8(g+9v7eX-6KN-dRIK@bPiep^LSRFSl5Ca}-?@Pf<&EoqU& zVwLW$)~e0!;PTxb?xeLhW1;8L>Wc!!FIl9C_Z`sUB%dj;4cSsP7)Oe%_v{Y}L(t&HB^wTn#{%)dVlf}#_3hO!Y5Ozp`< zcYj?M9C)>VV5dJ(T{I!1?jQn1Z5VZqeWO`19eqr|vO{&ha`Qxq2>qIKvEJi5>n_io z?~;#gv05VX2fjIo%k;qYv|yDQtH&dn=~sxf(ds<)nktdydm92hS}hm)Va+zY58rB2 zsZGfme&H6#mQd<;OAm$CzLwU^87e(UVXt1Z*zP4ndi{ybu@v=>ezOw3wVKNXg>E^h zAd%zDO5J@aKf`m2GH1?$=0{%Q%5IT_NmsH$YfxI3EyD0UIlJgvfE?T|{V-1?GayOp zf$dLhCBqLk#yott6!E50sbls{iW>4STGEieG$|&K3S|S2Z#S||8lu|W0=Ms9xqi=y zRXG~ZW(jx=QqVoX>7w!(?Bt${n=@=J{m>eA3%UKz!>q5+zbTQ~tF4(>Y!n9KMnXQD zRU1C25`K2gOLmb_k>BNNXnCaq=FT1nRB4GYBA`f1=u~NFWv(aW^c9I8i?L_}DqE)2R`GnFvhg zlfdIjq!iuB!xbLBP7FF(vRzB5^>9QKGjm#HD?hk6IoMx~AMfu*B9Z#|^lo$ZEU(X* z<~ztKcWi6(#o4L)P^BBb&7?Ws-(_l~RITez$~57zE$HunZXV|+r6?y>sM#Dxa`1>yUwN0;s3uB`OuyA!6Fg*YvDEUf4R{3epq z)XmBt>!zpYh}{>wr+{V>t$|_t(A8ZtkdZ>WgW*@-BjgV@?N^uC=PrVbXV1nnKszBn z!e|0{Zxg5}1Y`5s-z#>`yC= zNrZT(Zv`Xlinr2?cJK#miwbo-<4P@`0R-T95#aY4;N~V#ahm3|KawBpej(sMLTryW zH@jsljFRZ59O*wJww<7V_~aR#mO&q}9m+%Tw1PDyVfHe5vt*Q`wlwF%#vB?wX=Ngl z5?s(!^K{LLPu1QTk&;hk9SN1Qk_o>(=%77Ye{#BXuC7D*aP9JigI zOXvqB!?ZI^skO1E@R&))oj)W{g9?|h+3oEEKdES z+v?5oSc0%KsLu(>%!2q)mb%yiG};{RLy*trc4SR6$~9mA!wf6Tc)s|KtTEO!xARjF zlbL)bvSjEzy|DxfTXKx_sY>D4neIf>yPF?(t|(8AkR*Idk@~0xZm!y0=;U&w6r?P~ zQpVUtG>JTY4+Npq5wVyafMU8S*cGX2$lG?F?*)`I6!MBF>oueyRhF=)?8M-}G71La z(csgk%MdtyuG+7kIG~mNar-wuwVdWP@xQ-_&;@R9bDZ-EOI9!hGs2pD;(corC^r3# zQ-PIpR&K5}ve|;oOaQ7{Wp{T1b**VqQWc28^;B-tQOYp;89eAV=w`nUdS~a3$6>L~ zY%;Mrw~3sF?^a{^WBKul&A%R zqNp*3l7W^2yrOlJ3Mv!^R1Uh-Q91;xnh#j3Lu{p>U>GIql0wOHxuZNx6?PL=&G#7 zqi6Mb0e#9RuaD=KUu@g0L4HwUSBbdtmAYMz9jrAkYyD(e5N&t2Z?p`LH$>PvPG<9u zMS7t{!S0WBJoZ!ZYaAcHSxqqequ#Sl^xkEKI>|w(@L&*k=ZUzew2NqiyO+FxaCw;P z6oE;rG$xX%9K5LBMBIJH*dcJ6e zQrjSWJEJ~4e;sBWp5*nMs$31Et+8R>-G1Q6=${?qFH<7)c|76YX!Kq%O8ekW@8x&> z>joAg*h#P`(eu2?Z;+3QDIE^;%v6yO-E3-dS~}-bq{P}(TYEmcPh@t8B~%;hKFZ{A zJ05eI;^YFTb&n+b9c3%>bf{O0J)9wu-H!@FSaF^Viv_!|hkrQK2=O=;@U@VrZX zqHX~TWhU(JAO^MgiFU928**?I@ajfp!p^%T`*AHJmPeZTGCwGzO4gbI8PPQ_t z2~haj*lj6(j3LP781>C*sPVO$g@k@nSkTDXiW=@bHzo! z7biF3={{BG>TIv+(Bn3VvP9ec*<59rYt;rMI>051W5w&26;Wrg?63Iyd)3EG)y0E3 zQL-NkfUGrx;;xFQtRjWNylTLmi`QmcAlWDHA!IiPYpaJn%X`uFXF1Wo7u83!P!%Zt z$WwL~3-Z?4Sx}T-UboGa)!SufaU;aC)5A%HIJ}z6kIC#=9fh&QmxhMs`uu7og8fud z7CYRzu_<}t3h!V}I@?42&ivr;L~6VyqJ0a(CzvZl4zH?crS-s1qtSM)ye6Td0@K#? z%39A0FTy{x2?XNA`o@c{Hw|LT z)aReW3Mtuj->FGxyM`c>NmL>I%q=XbfIkDg|MVN}hpDQXGH-+SXc9}#L=Q))qx5mi zC9$l~R7FbCzt`7(dnPiiY|#Vno1Gp&*6LmkW7u~YA?vi*4kAVFYk;EDs~2|@ zn#+~zMU1tpv$x}Ay}M}P(eq+Q+SDAe9~U)}(!g(%!IIFqt6)Bu2GS+#v~I(jsrlR3 zvVz~PwKc3#dN`c6vvhi*$E_hv-+!n0JiQH$V*)Ls0w_GHB2ufv-L<}R3@=KW4EyDL z!DzBD(}cD>Ht_nWC-#Hk86S}!VxOIhT)os;E*}t(!#11+{|%-ZEYo-Wvy^Cc@QlxU z=OxFt%B85tOnMw2N-SlMgDkGg$_FR^wlec|t4J^E#hF@_mXN>Fv%u3PAZ z@lAPVQxpb{JZr7x7MB~QH;Y@8RXK%3^@4mkTe*`1^5~$^S zV-HKT+iD|VNcnod(A%6`%wu>!G{Jk!YZ`s4ZS~o;?bda*mKbdFoVgZek?cKBOUr(q zA`dnK3J)8E2_PsgC!-Y37WQ^V@<;smm|dgAME+pz4cf9Q*4peGL!@XzgH>Mv)les;Yp5&DX;f1Y6%5%HYcQd=0qI zTav`mXidTG!3w|L4wr)%#6SVThYY61IYYT3R(K2IInXzkF40Hd*WzTl*E9p-hORdS1cM@ov#^n&*p&~Nx>Qp^-HeA5uqN0yz z1zfX^Z{OyF^2c5t#%XNf#a>wJi+0c3xn-GRcZ`i!nFTpG^C0Uw6+lRJD@`I+m1wWt zd%qL{6o}Ax;y>7vDTEbg2U+rtBvl!p-Ak{-@tU|Nh{pK?g%DWD@<_iRckA{n8zeoP z%fDN@XhcnW7fAEa5#=_m5mfq6Nxj`Ythv!FEG%$0H>1_C>@2$dvpoBz&eC;y9T+-` zl5hitx&W+>!mY)vyMLHAYGm> zSP>4pHnq1xAX^8EU%-~CfhB*$&(s_~_Z$Fn$}zsr9`}8wCaF19>4ma*=rU&#y&De{ zP*JwRXyz4K1{yw@7pP4Wm!>DUAAea^U_p)7YXEjw#)UG0sm{i`y zCVf3$?B(bBCPD@Bs$P*nZ$ti$>mt1F-$~;gA`(+txGgUScAo>#k@U?h!oj1R)Bs)z zX8MdKRa(tVZyLPd**aV02*o+@W2;qgS&^;|Yks_u5SL9-n|W*m(C7fX0O^rLKNwPI z;6MAa$_>Wzd0^U+IKNfjNa9=CvKB+GxK7JnQ;xwnci+}-+mqiOrf#PL-pxp5%$;-G zmux;f3m%{T4WGG}qWSj?uZO|$0F#KV(Tf5?U0dJTOme+Nph}3J>buXhmfJ_X3ya=- zL2awdyy$^t2qS{@3lyz{t3Zqz53XU{^T9iXiL%?ZjYZ8Tn^ET=e%>9ue_|{hx z-px7(JQ#XjvIAhrD=DZvyIEI;zfdb%3RLHp=Wohj*Il*kjPICS;jf!z9_He;y!Z9K zXS7_vlLujs*ESGHlj!-h$g`oMMU0~Ty=Kb-c644?nNp8W!ko6QJA&J}fsIDB2Paud ziSPJ7w7bI!xw}4DLAuybFQJA@jaBau#`d?cuwqCdW}L8FmFwJW zV)u)tb9=16Jx-?bCK8l5T=ugq#}<|dc`Kg{HWg3VLD`q;R99Y0n1N)&PP4?a9qv?R z*y!A1Y7#uQCjf$%=y1ECd6oW&DDV%aLElYT&8`O9F@d>$KVwiH*j)%6vLm80LjUmL-r{;D@ zIEJ}}HEc7i=;3yleMGs`=0p2r+9z;-U#_GSQU*CoMm_-E(gX2#8&>@y;K`fR{e!c$!s zbpi;9a`wem*Y>Rzf=C*|yxRAr=C?t`XT$ztng`a5PIIyVW6|r@>jCx=g%EPV0AF(tpJkrwB*%mc4^zB>hs#6An8!sZLbEQAmz0jv;VHsD#Kg`gRSyeJ*kc=F$8@yXoet7$IEo%aShM=DbGW4-`M>)> zB{j^o-P+45&$|=2Ki4k_qk`|bgga*4V%J%LA!|I+HsV z5KPN3S07;3wr2wbVDm+Oc_5WW;2i{Y4VS3u zAmNA;%rQ0P%Al}11f=!dY}?*`@;3m4{8geD4);%Vow2~UhMZC#C_%~x)n@b*imuxg z60bk@1y_$#qy$g{)OBmcbTlAw*4G&ljH}Vfc@r@Af(_F760f$M9~K4=-Aue|Q=^u~ zD?sCRq&u{qLdy!|9(F-Kb8i%CBn0!fYu;z1<>USYh7HpAz)=5YyMo3H`UQ;N z#$W&Frhgm%QuQBC{vS3H{}U4YkLD))H-Yq@*ZH~xPR z_HU{Ew~haY)WY8V17tnQe>2X5MY(lQ5t7#biCp}*-2UJD|A*55I=ugTG5CK8%zu^df6MXzrt$xt z5C44q-xBv<8gJqMCA$AJ+0$DB0Wjy1N1%g&irjaB;^#FxIKlrC4O%XLKbeQ@ub@YN z01hT7jYSFe>)Er3Dk0&K3=C{+j}5y7l#$Ortt#7HSsu{}+6UU`e*7_Dg8<9RX6PmY z-P3C%gZjCK|Jvg4a{IFi@)A2d8aqoAq|J^bTPJl;*JlWa zi6~{t!WJsP7sa|J$mXs2{sQ~y8-aCA{fs2VzG3=fHNN`uYR6~bvIXh%$XsM$wqcKg zVUcGVcHSnaCVDp4!?SwNYuZ((c)bbkFsE+WY1l|E5qYP1>MbPH32URvP|b-!NBbE^ zWj*Z;)2Z98J!6-ewOG$5NTlk;#GV4AD5wCSqAF~X<4nF zcL`n(zVY+Rfbz3Nw)@1fYHBY^sRIEh@xZUg5`n&IBGT(V=n{Sij%Tc|tXl6YtI%Lb9DH1J;8%1Dfb!R@g^xL_qPx8snw4Y1IHO^RfU#~^fhy?-gcRa zC6AQ_oE0Ckk@D$FdAp~o@%WI-(VFd>O*7wE-zhs=DG)*T0@~kQHm8BeGwE?+HIQIu}6_}k;n<8>8m6LWfAC90h@Von$&ozdDhk7(%5X> znNMe-)RaQ7)ByL9)0hG19>E>qUBv%W;&x@TNXtnkrnd}*97;2}QZ7e%Kc~$cMs_qw zPOyae4(K5E+U*SL(I0AXag~LO_TpADxN!REV&EXB^D9*DpP5maI&>}OB~Ya$(2{uo zCZCP`s+9RtP!~3`w-$p_}QXMi3xBFW_Vs`rLi;TiWrzb@MQ&KqwCg?pd<+A&G zJ<|u#4V3`5;^E#TyPX;%%M;p`)}vUOy)tH=J=`xv@z6HLrsV z>>I9DMy{}UT^|;&gIS6<`7-A7>*3uU1t~Er(lQ~*`}XsP^D|}@rwq5obi0y@e`%|g z362`<%JIuE_HUOZIc={h9UZGPS0s$z3Uz2=f}(I} zka4k0wNR%$!garY>o}e1TG=eU`(?UKzaDTnTx4y3dY{^Sm`G!t&^~_waAY4o@l&Jq zyyg{;yIDT&IHKDojlcqT1|!^<%w0|vC*#P5TaKJJipO|ctXGtF(gUCn!k^?jrA; za+QfW;ukrgg3L6w79qYhHoI765$K4NnB&j8jLO#%`y7vTl0rjvz=cxVJGL@qEv8gG zKL*K*99!S8RHC&8Hcf(Wrkaz6h9`cf zS|*&Po2A8iIFp0SE*p+To$HCsOGjzGH^Yw(A@SS_vhs9^Rc9s_s?z^hPtRka-1P}T zI$Fsas=*vYuB+Uidg3cEE45niY^ zSMRZ`c{5XBPhkXdo33Mv)Lor=~i0=P>39~!mS zGQXI61Ecj={Bl(qyR^NYf$+}W3hU#YYmc}F<7(vs7T!mtk^>K|66~9pT zC$kcswq+csR-3F<3Ke|EW9&?ne)hRt})UQF*xjq0MTRcYLrmH2L`uLE>!XkS(4J~2~h zEl%L$yTaaP_Ab4hEy>{GcI-zPyn2DsY78kB;H+9kCNju$k~51PJERASv`}lNkd%pH zm|w%dnIa!*a-`g5Iy`Ph6DaZ8ZVsn8Y}Z3$Vjga9Uk`Kqlu*BoPCGerj2}J$I=!Ia zQ!yyY)oQw|S6GCgQa>;}GVPG!*~kYF&M~_Uepk4aYBb{cLKusM&%M2$2GNS}4qsKj zs!9q+m<9P`4dlEVu;%AuOKYR3M8jWC#BO)b`{A`*;2mLI4rTTuP^EtXCQ#_FpYE*g@Ugv;PgL8?@k50+PZE6N1Op0E3%vQV3jmPZ{h5XGQkp2GEL z)wx!z9Wm{Hn6KrNWUkHEw4ATk*sXy~JZh#$(D+Txs^;uSJMCT{21UVHsaQ@$)fRbx z&`%HVo@^t0TW=vKW4%Atu#h@+Ua%QbbJNm>vGcrpQctP1T%i-~$>(P2`Gu@r?t@fRxa(kce zdG+{^{^9&Sw{VV3*mA+A0AQRu+rcGyx_L+NOshz{QIpi(%yUVQ^mF4Y<2LwHO+vT} zc)d~e=sqebhV-)dDVl%`A(z$pwXVyT3?F92ZGLxE2)%%ELOqw1?^h*~(-E_`J>$>G zci-oZ=%}|q3HeA}M7U4ND@}G~Fd_&|H#c#M9SF9KL0HGFc{fj&b=J}Ny~X$tFQeg!zD#0I}#C@=GsaIvk9!Q<)Dj*_&l1$u!ljp{?r$pidn#f0A9i4Q*p4* z2y6}qeXNHc#y^+!Z;ByFYiJusC4$`VfY$xSEGSZ_^JB}B3f!T#PwDi!KNC!+w4$l{ zZ%HeOr>XG;I6X9+ai|D7dx)?Z#&u&90^}eQecui?mItqHf4lEPN25UJw;tKMruX@_ zO^+?ZdP->e+&j(RY6jyI_WVH2ZGtth;x9x*#VU?u5Sg$vu z^icaS2UwI|Fo6buF@k}^g;0eB6Oz-R{p^8wR)NI_sTJ+&wk7KKc!^d|j38%7`TTHf znZa)JMb+kf?prLGK6DA*hQx%ZpTjf)l0Y4yTv9LimRYNNZQ)=2T(orS3#+F>?R0LkAsZUPj=wrhNXZ}xPPX&v&>b5Dsqx6nG6dw&EF6&fL{3%_+ zOzb37;w761d7D53)Y>q9{v<_&Lpva)o{?0cukgJVS7G_8LrNQ2Z88f?DkOt0YI9^q zoKf55Zph}+N!uC67ajg3D2P4=38tuDhEcbT!HZ80KNj1w&HHSz@`+Y`y>%gD2H8HO zb;SVQr^eH@IgQnWj*5UQ8DgXmZX0!*#P=L>apO}vkhu|sDofEK3X9rQQ9g?y!9hW% zBdm0w*l;&^oqZvR{&Rn)!Sc2sz!>Rc&%UU@obsn%h^(2mVTrj#9Uu zVM(pwEC6dRf*ILUf(pkkTZJ4PG^lbYCw&3!zE>)t>6s=L=FiR+Ak3l%qz^SbJ~!Oz zBq}|;`D)9}L9AN_9^VdTH2+wN{*uYStW#Zrm#o4N{m%66`(slCAl@+%7bAm^)p<`F z?aUe+E6=^Rg%vN7o>V3tF6-wKf856J4OqQ8&2$+*nSiVl25aa2@K@~6d$YF43Ze%; zSD3V(r?Z0|aGiD!21n^S9mycfkp=?%5t18vMv;mj@X>r^-C(9mru9%df_3ODtFf-FJ~WN=Dh4EiG<;ROVus2CwdMw zYo@poK7CoLV0Ks^`7(KGyde0<#F+Z!7X%H{^wexZ14K`3u!wCfDPZ$v!7+zdTk%Yuh zhr?wZC|MNyzKAj2iiVa6P7|a%m;@le7WDLjud_^;bu6CzN{GmgyK3cLwgI#ek?E3h zFuhQ^H%dR;)4zMXEUwTdx@AjBu~n*;*-q+lO}W?SlB4O71{GLna`M57z-v{96sYaU zV;xoKzw=hISRuusjRT4JA$G#b(WhP5WM+slm( za=Oi?H%)kMg*?RX0fV2??;Wp$6T=uKpd{cp++8WOAu99jRa(X6k*~oB8-`!|iyOcv zTs{X=3#z-G^UMvexb?@E&0TY=kQz7AhNMus+{2?y1ieMFL_nD&pd8I+>rk#S%JgnJ z*{{x*DVFu9yH!PRXV1yhcI)sYV=^Kp+8X*?q0j!}YONjRxLA()23X%fU`W!cbUpnM zYbh^bN8cltK|wLFv`{TFUY9NR`<^J_N0vyC;Bh5J>F;rWv@A`I!D)>z_Kv1i2-yCb zu0RfapM-2ZrP3O!aa$4nrg}wIgyJY8p}e1+!I6C}pGMLWNxIf${HFS^D8HM1ic4y?eXftLbph3YRr5I<= z@>Z|aYpE)8dA36E{50@-ln1*rcuXRstV5i{TiPamaJmvPq3A-43MbfSpPB9YbF>ZN zcFN=Uc0izUfrF3Q(^IP7&gE_onae(>374}Cp_seg^`fTbrS=P)-edc#BM~7kc1%nr z9T&DVRtl7u&Ad~s+H&f)H<`^%%q0{Q>W`d^xvV`C6LclRl!A2BKo9)}mxqX% zJKTQS&$PdW004|~`blZ9iN_eBI9!@wGBE$oto$FXyawa1CUEVW{Z(jjP#WOvJqJ8BNN0d2T3|_!BVRkY72| zFba@xrm_dv=f+22GOf=WUd?r4QD_%!{;(qCJnxcviPXxdDm+ubqMv@}bWV9cPu)-I z!815C<_MT`*+A$W<%RMkb@5GLE-ygtp+J#>v2;D!1%aOXc$jU43nbAfCVIHy zK~lHBM;1zjpgkBTL;osvy4gW(#3d`Z$p~PBHxySGFrLahULUL=hW|MyCUSzo??QN) zZJLEz z2f=y{!B;WZp(7fF_9<(89$z3Y(O440)GYrqA=P!GTI@=x=HcY1lb%R>Mcf1Q;&JU-5oqy=9T5qr z38;Se+!W<>m9io6iBB0mN(0&;v)mP)>x9PVnhNdyF#W+*`o5bd6=jAn3 zm}Yw1E(EBqW+ihNHb5ahplM)>d~!;zJb-AFeimgazw)&O6Pq9mlz?=^PrOtn3?UFP zM>Lk=P&d^cpu<6;vB)UCGZv{+cnPRh>}_mv+wM+eGQ3BOIcA}OOstmHor%BEooFW} z465J4az>IG1_%Fv+&O-)RpD7A40YXWzap%eC?|p{77?xs=7zE|fzUd8HG)d(?3C-Q zbA`C_85_^t8QTd5snWjk5H%U)EfiH+38%D#+0sxpVOyDB#R|w_J3dJsY~bK^do&x0 zM)tUMbfjJ=iAMINxKab}o8{j5IUyRFft{URwKf;rr*vkcq17fU6asEGUfvF);b?)^ zTr-+R=j;VLY5~OKvh#%s>?>GLNpUgqTL{KjDyqZ-PIon#97#9dvo&BlD44}xUluhYfLz;*6$~#cfCyU zDm*S+n*c?7T?!~zT3o-_;^}NrGAOcNJ5Qrt@?8Fb^J3so~{1k+hhhuYKbqkp17v= zQ1MtdS@G`Yvb|dp-aNkTv7)OCM87DlPK~eHBeAWVWH2w$%SFuiD@fPE&uZ=v^N_qj zfRt6A)NfV?0}=vx!)PO}3+*<+-RIo)r#FZ4uuUFLl&bCec!7Ms01_>AEn?N-9c{)c z0$U)k>aFjyoZ2{q{Y@DUm*5g6&DEcAQ`l78)3=2Dg@^UKM@znBVQz;cuu4sU+ZqA7 zd}<0MUKgHfz0ZT?ymjqRKiHHwc zyH4KPc@1cpAh`QYfad@jffV{HBeaQQDt|;$kQ43jZcOGsN{MD~WfUy>Zk zO^#55qOgmb9b=69D~AXvHS$lD7xR`aQZ~k&0%oHr&XT)Ms$|UWh=ILd>jR6VQ$RD# zBNB|L^V@a74Wm*G6r10m)nbc;gyiDl5)~E2=kx3=BZC+_7rLanKQ{Obq~z{AkN~8 zF`n08UHrsfX}GQ)z{(qt46wub6f1v;VJwRvZ2$6L9TV99>llH_OoPea>w)Oq;hiPl zwMhyS(MEfLR!zS>?sMUwPh^55(}2~H*ueMk{SG59zXyP1D2%N;DJgMNVJ~Eofc>W$ z(;#0+UNlwDx&$P%3^RvN(%1783nONTQ>H0LwikYe|1^%rOgt_qrJhvBK*4Xp zOETHld34O$_ALozpb2aF7;N0qdUXYYdqDLK+9IHpc@$(tKsXs0K>Krm_lJWS&vf8( zzO`5Z{C8Xemcf8^=~{L+pJ zt9Ojd;9O(XCyhRV$Hs2L$d+CA`#>Xm*TAt@Q4>_ghx}?=Z~xQ|HNF@ZCc7u2I5*-Q zO)R=2uHU>}GeQ!X10-AwDpE?@qHQ$YtzL8$X^XkO{eW2un9L|fwK7oK?azV;D~m74K?@opHQJI5oO@^QD!*`gYXy%yw? zeU_%d7QYl_Wo;ZxW*}hFKz_n!(id#8-DtL18^Y?X25G|g zprvu5yWVJ_%=9EL@CMm|2oK-KFJK4Y4FHruwAgP8|72fCGrQ9oLn)SsA;jab#Zcbp z_VYVac{tz5C|jHYjHfWZ+?_n^3?k4Sg8EzFYw3Bp-XAC8b{<(;deGwc5P=yad21JJ zxb%QH)tCW~MKr1E$t>pGVY^^iFqsM6RW9`Y={pjK^i?pvp(NEOa>c0!NUBjPTH*}z z6&(vAh+dw-*8J{qlvRdYTx0y^knxGwYMzukT4?97B;^bXUl@%T+{oBQf@w>rljjP2 z9CO_4if|;Ii}iJPp@L&one69@vD1jjbTYZAVuxs-?(u*$j=O_rOz-Go{!pRKii@C; zw|U-Sm!v)8y?U|Fu4|e#+K3Fq#%mpPY6G(`>KD@HT19m745T1Q&F?W%U|{Svr!L`H zjej6A+1~h>O}^~&rD)?4Ds9H;1fbE#OHCh(Y~D_&dm3-e^h<=x<>-y5AP2N1ydI4z z7l;I*8Ymf^9DL9Gp7sKEhMI*guYcu^ZP0#`Lh)5o)JTgTou7=Do9unN%?a&&36o_!A`=Zzn>q5O!n)d=*Ca)U=<6sgn8&^fUW89~uTVubX54@owTBxqW zzg|6!tBGU6Pt>MzTdYDMIpFc>;=p0B6N>Uy&c4a}dMV*Bk*tv499~_#Y5QzbjA16W zIr)6pbr(}|nMI$@C3|NlDzLzZQnldKDHdU+NX%4186~QMg_Xh=#KAs&W1+XimaOZ; zcg$Sbhszl3E8=haE`SR;k%!sjI8|r&zY%BZJKan?bt=m+<1b=35X* z#Dl@WO;IQfm={1N%VHLg=0`c$MiX`puSfiGP#82cbTWgEruRy<&ToF7=hwG~%?=|M z`QVk2JD+Bz>Gy5!nw>?c$F2-npAANswH0q9tEKwy1WK;pj44$s!dJ}$h_iE@?w)=BU`C#`-Lr zQdfv(XRTq+GinF=!*gef70qTuYe-g2uvTk!hR=dRTZ1UzGgS{VIbzdm>l>}J<>xvW znmJ0&%h#*=lIvo){02&V_ZLwHe)gwblxyum)tk3G68%-_e;~PoVXvW-$ZL8q&}+XCG@w+gNN-Qv z;j^P_I_;@dqQwRojLDAzvs+YJy&kuqO}*{Z*`M(>-ak|@PIExgl+(DxzP zP&ra*gLFFz37MvjkH=j;I13*+6UNorZPA2$mYN|p0|)&oM$#Ohl835Qj*gC` zmRV)K_osR!nB=f|r_IgH-aU=kl=6hYmI5VE{2Pzkx%M@$X)|5gsU`;#hWz)}sS^Rt zvw^2F1azhK9!Bk$=5ub*Fl1mjoD;bHr-M(pwe4Ev-n(v*PwWljCmQcbX z29-!-j!viFFEgn4%h=b(M|rk}6J?<>NFq@z8#;+ZyS^*4rGR;nzp+>gk2xR~P$6SR zx?9*>yGzIGh_77#Fer#4f%ZlqT>t@m*mkToTT8-ku00qhGa8BKdTO3BebvA{VTRlq zUS=}fdL50hmtYat9j&Aa$sE?_kBrD9htzh`3d;`~Z614Xv)}&$3-eQ#7g05d-=1j#AKGf2b-mYs2keR;|+buWBFCu52h=DdOzO&_^G zOv3x>L7~?!+wk1_a;?GfdBIEFuh*49?{``J7pjxReb%_bCRGaOi1``edie>P5UzXC zA8*C_ zJP{&)PAeYOh2WD4`o}bfFjVweRwNkG7dGN_f{>usr<>_49=G?`r%`si2g3J_g>UK) zcsacrV@ZwC={dCz%YJ)dAd|KalI88EGYRNAv`7jniDocstH$E(!5VoAPkYR`ev$7p|^+9 zvHl&J;T2P_+gAjYn5%OO)r?Ws0BGDfj;cH8BiRETFj(z-#WJoIvQKu3>cceX(#|u2 zQ3ZCaD36)9?xK(4_<9b?y^_XVd-b5MW-ZiHtIvohFLm;ByKJyV=IXl)1=k|kKBHDk zLv(qp>OPOb<$46(tr;jfU1^X9@od5PfJ-hf`4B^`B@&lM{oOUgEY)Nu=HWS!Hn@TTJ9BAuhSz?BCJ+{ zr0DJc>FF%P;%K5Qj0Qq*2<{q!yX)ZY?(XgkZo%E%Ew}{@65JgIcX!w9|{g^BSk^ezs)ss_*H+c2KwTxjNq@ z*Cm7-Ss_g`(psYYMNfo#-DUA3B_uBD6GdT`)wg`-m&UCrH zl!&tcYE6Zxki-=2eA>LOZll%VX!9!k0@bZ#xVoI=BkVQeBk@Mzn4znSwF4{d!eqnC zDsNJ|CWDJKfd$>SqaXXE1}CcXf-(tG@&yB5eH5A(2J{P=7=tUz&v?^op-{Xcx-5)( zk)xlb8IrmKPVR<@Fag31g_C`y=dbk@hHsWL#gpqkD0PrqP!S7yQXr8AyaYy?u%91N z(=7W9EimQ&o>}NZ?*qPVy_y>#7w3!BI-tGtWzSxEn8KvJai(V8QfIw<2H-)hepluX z((=ESG%PsgrGRkcQa`aGVGgcqtr1m=(c}nxtC8d3H7jgcz&%6!9f~``ujr&j!X(n>X@RsvJV$ILD4NZ6S ze>~G-P2%?h7nGtP1tb`3m!UsVExXxx(80{&b#5??)SN=wgps(fbh266EcEf!LG62st*Q^@v5_2SrF#+} zRsx5e0l`Q|xqhAO0Kt z2{kT7;b1Do?lw%H;r%!hT7c2Mnv4;jE14=!cZzgFa!Q*y6Fb@NdK?vK2QEq^;Je}M zHr0S$EDDi)!Y10QMkWyq8mjzN=%;pe_ulcysNb2`Y-gOvJ`@aSF57BIPS89`TX-if zTR3`}mLFYA3k`G3h78!Nl@N%KXYMQ0xOQ?L{e?)hea zP7IVk1Onha*$GaGy`hTqe_JK0#%Shy_VT%{Pu{4xMqT6!#(zAD^)ubV*cl!Gs=yuF@=^1 zPT?ZGv&c%zD>r*De&+}46l;rqaS_qjBCN35O8bdX`eb>yPU?VTutL?-UX%h)*MlDsjfdCF{qA6Y$dXaM^iR?drLqp(vNQi>8s z4L_3$Jp?Wo9_Da$!CwE?ZhLR8RUP9A+@IyE)UKzT?CoZM(G*Bk6P;vWUEyl3t{VvD|Nhc}^IbXjo%||zCpu`|tO*LC-Who~988o0x z5&9?Smx$(yj!KhuF`-(kfn}+ckH81lulb7ZU!LA_oqvgrJ%Ya@B5R5&^7TE?vr-h=5l`jY|(5P(sy4OtP}Y}O3lqvt#$t;XOYAeZn7wd0#U41E=Yj1djx9O46sN`aPcsrPRo zlM~)S1FbR{8D;07Dw;stB4F8*KM-9)4LVH7-aF{7IA)3$oY{7_@qzE zwUW!$zr>^@HhW7yoAVsxVV+hx46g|S9p>#4lo}Brh}@9iJ?VBc=z>})-FLxH?xdYN zG?qXWwp05`160^o`FlSp71PlKbl#S;iXq`5wN}y*fJs4NvI-&kr|auy7*=wBa8Z*Xx_p5;i*V-5_Bo1!E2NCdYy#mS&&`&@ zcv83-)rbycMMkwgysKa|*>$@xqR4VGbR9|CO9~?f?uOmV7zk6gbiQLI3fT#+mXS!F zZ{%}THE@t-biYvnHc9U+BV?&CzeyK})#K6V5X4{JQIM3hl4z+g1~^BrO_Mb9r_k9j z1|R`JvVm1jiHo7U;vniQ0G}984t@9E}gD2UlGq%5>UPw zm5iZ&=+x(HTWzBVT=I`$~LuO8iMBhL~5S%VY@oxMGpDG9)AiJFeo`NZf&Qwu@3-PbrPkUl-xNM1K4jhVwb)41A&VpMF3Iv5wPOZgsiSW0sYKA9a_iSM)Q(J}xiGWk3y^F?=d(i0^Cp1)w&A%vU@b|?d! zV)cXpO24*lO-C~*61F(ID-^cxXpp{GGZ*aU599bP_DQTlL z1~s3LrqFhBTFt_+)+)7PZ2TStI)jD7Y_#!A>lq%83t3^e(Rq(^P>SiLB|`6cA3a$K zD39|Zm(OE=WDeVaR2|#txY{4v>Ldoo&x;x(%xvcJ&u2iWl+&H0U90l;U5CphT9_P^ zX;DH4PekV|m<+3Ox{#MdG|FMr(gM{;% zdZrpt&6`vGn@)q~jEJ~LQBV6Uk0)tIQrbd!olcV?Bx!hsbqSguYDYeYTcyT_KzKsA z48B|<6B!|@!ooSH(Hz4@M5s6&6Xr*{i@?u~Ux4%c#j;oA9Md?Zm?=vs`ow4E{&{l6 zM52_Vu zzeiHDNM{Z=*Su+wgi-J~xzz#Y4)<{RD7_=v@@100SS{vbvHige=lOf67E%0?`gOJp z9f5WFZuY&djg=k|EEq{Hd-0^GE4p5}s38)A~m zpf!I~Z~Xm%fCo8M1_31Y9WK%U{~%;YDg?y_K3KV`8)Zq^Tc4ziM#Yg zJy_{-BD2lJOVE`3$RzhskNx`H-0hWe>x-dQosj-dszI#Q8u3bQxElG$0XxMG?L|5* z#Ly(H7@J!oH*`9NcLd)qqf9kP*NMvCKL(luh!e>yl20L8#>bz>%*vuHF!}Ki#Bf%h zBTQmx$^6NT>rvuw}!O zn9ULuM?a79@7rwz26ZO8P3e1B^uFOMnOJ1})f$s8Xdnt3G8L$9l&fYBzI2%vfFz)` zBUKeHJ&#H&N}1GbHY;@M5HhbcMuXBLZy=BlT%e=Vq6aET#Lm=Gwo#y{g_y5I8Scb| zt`fMtYoUo9Uc3X9nYjx2+=C_iB}kH9r;+>9g2}9H99Z6cnl5xAY@Z`f=;~-RT)=&RzX z%zfxYTn1v!ZM>CzXg&u`7Ps*q!sF=$mhfvOMJ8n>_UJg=9a_|IMYRD}8Dw-Y4*cdoA*InKyyJr`m$SL>JnoPf#t#?4w)E=Oor{?;BS0Kia)_ zksbTGU2MAYrqva9GvN%-e=GU6ncgy-k%CifDz)SU8=~h8R53*FHhr!_UdkNqsWv`) zk%$9OvcRExfV72dZKY256$xs}m-CM0hFEWPGw0%Kqw7kf5m_;A@^m>4x?Jf@o_+(G z!Y@Smc(}0aiG?0F*y>4UpZ*f%% zxXjpI=H}Sl@wJf9F?|t&!gfTMKToqPBB!1d@iemL%Os*5dj9cQD;vh%33E1l3wt=L zW8(52slNh#AHt|+wX%Ujl*v-bv{z9nb`PQ_n73Si0OKqDGr6IkDcaKRQhbAcj$QgQ zIJKty0xehpL6cB(xkCqk<6bvj+s52a3Vm8O9oaH<`I|HU$vcHL?M@Mcdq=w+SixUj z_)I6E5M`sXd-Mj4Ldg|yj`(|#VywzJwKmbQW`=wi|&-4AIae^|8~s5 z6D^ohtE&AaH4yo=qDcOMYkId-F6+<0 z>%+N>q$J6zm!)MnSXBdS)-ctz>eZk^u_y#Ax^JC3YUzi?_W!i!qEGlVYvB0nXg2G@ z-UhY`lC(vo6!{WZXDijtI*cQ5E?$d^jWxFt1(J;HSua$owc6`_uPJhzIo;C9YV^Dh zAUdxf9EX1-9hyqjgDY_QhP>KhD{5t5iuVm3$WjGuxl(xCJM02ZrM@EI{cKt_{ZM#1 zi6DXIt}P8bJ%H_vE%>T3oXk*(z?gvxa;a;r>k3F74updUW1KWdC6R&LP6!a{*QP=g zZ#6(f*4DAqeH#=uu(nF=o%1QTsAIb7^Dc{h9P|!jr()0-r=X-9ne>$a=dVTzCjy_c zJT4A}Lq3{?t1I_1Ob(=IsQVNtwa0fn8#)@nI3?i00Hq<0(X-==b(xmHk?dR8c>~^y zvzfFZILV&Ot#Uq@VXj>em6UOmtM`EOGTvFXXSjdtNC8pYb25+1MaiB1$Q$fi07ve9 z+gk_HQkH$}$4*F;R@^Mb4lIC-Lt>MulF#xcvoEeTUlcuXmkAMskhv46qmiWkK(^_C zOHpf#wPQ5GxxtzV1D8p)ox)!m*ZwNeG3dyk(X74x^?L}SU?bB+4hWn@AjR4GuCoP_ zPWm0`u^vp~%zShqelad$L!AdJqQ_1SboJNx%@3!*gf70(B34wN3*ZtR!)O_9N12YQ zS&ZPOPD(uh@T~hCt7a(y9|KK_nf|o@vJ_`RMWYOzR*S(gj^q1NVZre<_;W6tGyf?+ zjVMlPF;n(4^4Z)(i}wD_nOB+;sYnearkQv;$}x_oazYkKLJZu@S8Fl8F^^1R5v>i= z*%~hBUBbKt&;l)_mHI@^78+CF*+q0JWw~y>?X7t8iB&dUkA_E5ek{XK9$##9?*yl= z=QTyQk!uiY=t~>caGuY>+J2t{u^VElLOVvW)r$4A=8M*LwP?3 zWCqr`KCMcJ29PRAWTG;qTtA{wb}t(C$Ty_FFS{JVJS#ekt8w_@%lYiUvm(7+q=cRs z4Cj)#!$9q(JXw|@ahit1hgOFd_HEDo9$~t`MmWk7-J1WE;-ah6Z|~5}KYOPSW1|h- zAT}gh{BJI6XLa_-?_xteNgfde3Toza#sPIfP(&_M zn9Dn*9B705fT3o28360{H;&ofcw7dBcYE-l%!6WbC4!M@F-^2$h!{u zEX|pW*(p0woKDM8!1X0U_?WHgWZ{&U$Fv1b5+u9gg84k~tCB@x=8?XrTP8{1n!R_n z#-+jAT377-?qKAxc3*7_b0?S2t3ebm{p!lGa}x=giaCzapqn8735?5~D^q|5>+0o7 zzZ{MH`ru_1o9rJNzy?s4YsTMiL5z>Y{%=l~8~pKQl2maBhFd*>pw}wSsgu?}Q>-ZR zeL$6^CYz<$OzRy}=bB_$_JtYnt+FbIUw2I+8pr8kja&CCc-MvJ5TRdgW%YR~8;abS zA8~J0FO74Vx&1GmDg+v8=!T~BjxX7Jp&ql~!c)`B;OSK2JdR}z8_9qLqGZ;Dbb++7 z`NNXbc0O(CDeEwg-?wP_0)9G!g?d<8BRLcJDe89WZJyD|g}wzbd2?AO$+WFJ{PF31XG zg7QDdhGMdwGi0#Mj$bNZ4UL5q<6gFPdrm(YMrTxM-9QAfB+hG0b8h%L=??=~nE zvtCkhZj?iTI{$c_z@0n&CP&D6N(d9oS!`+4FL*a>vk=nn(n!2CO8EW0WntbX{YI*a zhG&Q6F#$$Ul(7i0WLaH_-t&#*@4r$E!#xLsYP`rUXpA2~pbnPpS6GyHcf4G|umN8)SUg|%0{=GxbM$jrV2eG}?}GL?ER!C}ZK zo>d&WAt@t!VeHN?v-3*>*gEC5aAocccmih-dVssEx7I@3A$384~1SVH)@Aw3cZmd6Oi#4_}j_ z`Ee}>PWzspiM(egdV_EB8deXM7bz*K<8S$l2f~j&mO|>uSHV>hMci|BRRMow^XRtldjJi$Z@Sm}GMBkiq z*rieajSz3pODXV5{TF_D93M+OG8f~M`;VbtA#xpNuQ}*v3-xj;E6XvN^xi%0ZW8!M z=M;sdPCR3&>|bW2=oqFp?DF9vwD*HQTIdc$B@Kc_%DU|g&YjHX!lK;=tjSDeUML9^ z=53*6SXmn`pcUr{uN%$AaslsazWsuy^J3(0_!Hgmvw@uTQZO1NsL=|J$y$(>TQ18; zFsQmn#~7obf7sp<)h8o>*Eep@?dQ=@_u<&?G!n-H4LVvl!bqMzuRBUFKii^({+2Dx z5&U>k9AZs~8lUUf8M$3_pAGp*gFNYU@sE=VllyF~}^ zKDIY(FCsVt6g4ykkI*i((M<4nUv0qkO||J8?BEe12cwqR|$QKz1XU2Go4KzN;}|COCUfO{XQyx zrRK42OKDOv&rnrATfnrLhFK=`&=H*-#(-CXkp%S$XSImSw_HpdR&0A9iA*&)XQlAe zu&i58%bP&jE8;!iYYqirRBElpk{)gpX9Kx8aNZGY30a7-F=JU555EL?*n-WZLMlDc z4?cXZBH#m?O}0e}-M!3#D@gfgLB3LR{1z}#>9$JaJM}DG=*KO5ex?TyM|rKb7CT~P z?D-m;!S7Fr$Z(vCO%3H*R20tprrVO7uNUuh#Oef~bDb5#OB4=>c7pbC6QvGXQ9;FG zgD3jHxU&sDv2Q8J70$2Vm^cmIwpM@F3aFA>eS8g}D1Z+XnQKXtNzePI2T#M^8h^U7 z>Bo>(_+9HAqI&poVIq!PqgudrBG!8?9MGFo*s63vJA%iHCAK~Nu`UJZ`HcP(OA9tn zLXW=lWaEQ;P?TkX&@L0=W?)C8!TpRsdQzj@FtJcc4x-sJzEvg|q&EHK%GimSdn<;; z=m4rwk*5F>?c)M7DL4ac3sWG{l7uR$vQjEj;-(9Cqf8n+F-8)6;}{p|co}N7dXOk? zZ(lFYcpQu{joPHb*Uextb8Mv=dWynkH0|Q5tI^TXffk^Q^r>Q*I^lfwl7FsH|NS}2 zdz9^-{-mKsch9ij0_RzW5&uwQb9&wQww{XcF2lvYGV;e>wL*Z@0Rje0`EmoBDhaJF z^sj}ORS$>F=dlJJ0G+|e&f@dUUZ?BxV9qNR12F`2m~^0)D3;sYxi$w*)2AQU*o>P( z46U{rnBU`3#>Z##=XyUz>>ntb^ou~%!=kDClDAS^& zzO<3_^VxHem0tQ68YyYS&eoUfAkSN^ASBD>O%(592wV|cx1-kD+v|E~z9*#b%bgtN z3IhEe*Zwwp2zJ>eIYA8hJDqVkwbzV*DhN&1+ocvl;zzA`Z;*dob8NX?iO2tPT7hIB zaWYJcvHJ-^Ravxl(^lb!qB<8of-O3Uv(|UndO7HR+3=0urM2_?O`;3^98`e&00**;@s9M_UJa&%@ zKEFh7+RpXg8J=!nd+!V}C3H8qjf&0-z5CwN4B4C$RtLN! z#K@i(-Rm1{K{Pw_tp$u5`&)JS8v*>qD3&U6VjpxOf>{@L4ci~V)|;e3o*{c1KL_(` zz(0Zt$-G3RtJ!1|>8?;~^J%&&|Lln>Pr(M#D$7537DW1_Sedt)xRGonDf?CV&`ka0 ziVWG5@4e z5#Ji=%rN+8Q=x`SgFm3h=g683I)OzXbO}(jT*&;s9ZC;4ZX4=3% z*iBD7&C$!{Mwg%W+fG`!3kCVC%AF|qV`S;uJpI0nt8e!mxR-%T$F9mb+Jgs#UDG*e z8JFNyIhc`t&9FPN-gbNZ{wg>;uQ?g1rag(B4J+uI3 zu-Ouo_#Y+*qTDqlO_Y2cKB%Wf=Kq__@X*5o(ZqyX6#ilBz>uI>wQl0PFz>1bO&y)I zvwuwxLM7CC{w2DzlAORzm-UAeYmVxF@H_~Jl$vbay>`MFSAZy9jJ7(;!v9UNFi=?G z#XuJJgDnebl|jAAhQ;N-@ruXl^9{0%D?d?0^hSDn9XRc6r=IRF|F7@;%CxBArx630 zRe4@^6WF;|-`q^!_#X!eA|+RJV?VJ#s>Ucc>_w>hfhDqUVnT-nOpF6>ipO7B5da2B zy7Ph}zIBirY}Ko+9;IDyME~`=ch+KKNRh=jNlD2^NRPa{glp93u5S8o*e;qO{5Jx8 zmhe-|)~|B~WEAqs|3(otwYK`4gXDah1S&48{t=u0`w2E<6&SKE_RS>bc&qOU6y{YH zPBO#1+d5Tim-?9C0S5jlk_I0r|IMiCEf_JOo0#@zTuOf@#d*YyH%8oUnCKxpu|S%# yOj)b>e8-@u__s61|L*^idXq=HI_%PiWj+rl&y~geO<(0-kCd3aXpM+r(Ek9sY-%t7 literal 0 HcmV?d00001 diff --git a/doc/5-wave/wave-editor.png b/doc/5-wave/wave-editor.png new file mode 100644 index 0000000000000000000000000000000000000000..570a915fb8102aae72649cbb3f99df1cf908b2be GIT binary patch literal 45244 zcma&NWl)?=&^EfbdvFcz1c$|);4Z>4)hlQKy~1EQ6UvK z-P3g~D`jVb?kl~v%9G%lTJRH_wZTDeSbULSuCH?Kfd+V(sG`yRqH}(p=-%HlV0VR# zzEP6tl7)vo|DtAu##Cf65ZO!V3DD+@vvy8(v0j|tWI>YVG;6~T^b+8f=XuGcKbw7c zeLo!gRVV>Bf|32*sJziF(m8Fwf;Dp-9ru$V0ATCkMXNmr%QkUwR>SjZOmCj5NP_YS zWl*Dx&ZwA<@2^eWqNkVtf_}EZ(F4be7Ct%4KeBGC2<9Q2aeL&<^>m<%Qr+P}MqM(y zYF7hP`%LyZ6{vEoJ&L9dqNnls0IO+;>J9846_=Gg*=foWj?sM0bq0pX2QerlZ7FIQ zistw3#3Cn$+uO|M|BP-|#_cTn8hqoclAIb60CwC@o!w7CZgMD)#zk8JO7QffCH&XX z+{x0z($6x)GRjpzaZ$512RVJ`&-ysa<-bYP!WI43Bxt0a zsNJW1^O~#RYBGihxmr28y8ExikFq7C<>09+FDFNHbB$CT?qBWHH`gMw@UWivg!F86 zyY^PON}_-D-?+K26f}QNr?VAr>>}{M{HtU49ZIxQ2|tbJGn?^Y5s3|L8F(xoqIK= zbuH#8gwTNj$_x?l$oT`5#_z@vO+K{VjlanwBBNvpE8>eoX(+{8r-)s$vRTQbTNBx4 zrfYaP7RVQ~hln*)ZjHBZ%k9zAF(a`-7l(40)NdB`b#Ef)Ps4b*lxULD4j{e9(?1lH zv&mI2HN63x9OX}5f1xGXb)d2TW5e9k(&|KCyN_o@^SOXYH1#3l5bv0>Zu!*KI&PSt zlJ{+*F#ILG^#{kdjL#MjC7n_O6~8Bx56_U4qtsg=bA-A1#69hdQy)GJkc{ z2IV%KW0Wur3;t(Df@Ps+{;_sLZH!U(#D6Rc@jaPQsvJ3`s*ZYhDtO58_9f(=U%}8u zj%|>61KO1BDz)hY|Cw>cdr$Fwiw7jnMjtI7kTP7! zs7~GJW!||A5uIIUX=*Rt+`P!LY?IAWYT|Y*;jFE9VuQsjBkTxcMVV#ha6G5=?SyY8 zyhnfd?*w{0-wO(gQ*qz!U4{E`g>=KYe>2ptrXR-U|4F}_=}Fa$OTH>FlOkeizn-81vr{KMSjOM#x+gznzs!AXN`E=&t{8;W%)EPq^&&MAe}m$C7by$OT#% z`)c95X#Sl0T{Pd+hKYAQ#PiVj&AO-VVKm4lJEH@r)g9O62C{pNj|QF8MnL+&%%+Gl z26`~&a>H*z8GfV@Ohv{t&9wynHilY!TnKraBHVO+^Kibb;@WD_Cyj&h!*S;|e5RIY zS4v;em9A^mk#O|Vd)y4KnydUhKmG@4A)Np=faHH36yEw)^cdHoiVMRD(SDem7(Wfe z2>(oG%qVZn#RQv9?*};%9VD?kUq!Ug(qyAUb)u7|Dnf)7L_(DozeVV**%$goaC z%U6nNZ@$Au)}NFFoF7~E?+HjR0TbfMZWWQH(YX3X20JxZ-HjqWJEc$KM9Slx%+Dj% z+2n)e9u0r!e2Cb4r`5#vXRE}*)^GQ<7{#fi)Y`G-Ei_2|whxE=5fG&vaSmg~VHx#O zC-Kf$x?>{6Csvy-ydWkS*PHb=`aNG5&s<+Qlpo35R%cVJ6?tU=7Wa$Xj4R+jBh0>n zO?c`}z2uXsZEQKazq2g-mRBEv&)GR>(K2l%`qEr=NYFmk_(pkyc|nmF&oW$9Qxp)$ z!}KiwgEDC|$Hpk0au{-t_tiEcRNh#7^pJJi>Aorx=ENs8&#`8Rd1E;*P*XYz?udDY z;px6h1`ARM_1_Z*qx;Pxy3FbOU|Ve|HM7Zh75)10`zV_LRblZi_;onkk)c7rO_3$1 zT}+g0PYZ_Ag&C%^5zQtKZBb~)fmRwvDBxzpmq6*>?ozCD`MK4ZgDKm>W+rPheqVo@KkLN|zxR^KOjhe2q6`d>30e3UoqiLWJ0o$Vt3 zN6e^@Kb_D0Q)LVjxi;uZ@{w&e$CsNqQHgBT@S4xmOgWSTh9BAL3wBXyYd8jF6-hd7 zF1+crV`M|TSBg7E^0p#hq0Mc_^qJJIb8od0#h;Pxob%vH7*`RK!!VCHRffr8Dp@T` zW8pT)Osftuo}5IpUj8mx|6sSanIqZ1=cDYNp1>o$w-SAwHLH4re_cHr|Dk`nR;}4} z!`6_9T~)DphVm0>=q}*z^Ui%b3Iz z69Km|Y;|iM5VO%<(zbU=w8NUmx5tsX)Kf=s;0khX#}BTyiIp|Cj}>>=CCBqw>zDY% z)5x@Xb6$J%3r?tDvI93M4)%MPoVtd0mkSVgpocT?_5rFBUrG1&e5I#Y$5Q3A#N*Kp z8=~a9h}!8kFmOl}+7jiJXm;^l+!kau3)UCo}H<&go7d$?2wDStb zy$V-;vuN72YQOV)v9DSxwdMPEeU7fOlg!#|Ii-`<-h`Qasehj3u;df_jO5>W2B#m! zb+*L@ce>r-={`(Dc(Opby%l%65B>p5OqK4K?~)7I4X<9q=d|n>Jw@R~NM^+Ba^(jB z83(zSEf=YardO;35<^;_V+y_spMVj;+<1*eYf+a>Iue%uQEI)(4>4^Z!^h5AX;bog zZP}15E954q`chTqM(KNS<)|nF$cNN-)RzjxJ_i}2oV;hL@&t*zTCXoTZo_SAu&hd_S{v`cw z(pN0z_Prsi>9@$r`K?NFV{b#XDIi^cS$!yqSd}^od3IK z^r5zIFr5h%7X(i1D97tia;B7#Va;FGx|0r$Z`4tBthLz1GJ#b@yWyxh+X0Qn+68CJ zgZb=}ZcP@e5m)5gPuVlizy?K_!3Lp@R^DORG<;uXAlTVSMFvMebx?Q)Q?Gv2pC1(D zrx}Gu@DJ=hmjiz}&!%=5Nm=Qz%^pFTxI#2-HVkLLhI;o%uGIQwrMS}Oq;{%F#k0_l zTsrskUuJC{?9Pt}aW$crywjCJV4#_!ZqBK)NTe90CY)lX)dUo?&amDl+bf?(=g+{a z*f!|)s=Qr;7#75)j2E@vhnqKvV3=}n6zm=+eRX1VIhEn~f-NGaK)_u+KhN<)2ACay3L-ui56PlO-dIWdrmQ6E0_ z=ifmEZ=AD1NIMpYGhVLe9R0GnEH%`zIeBM7-)9DndwTh;_Rw57f7T% z!c|5^EhHDYZ&f*%$E1ISz-qlhX)6jfWhYZ1%WI7*H-(M4~pj{1yk2_JRAI z@|@E2@80k5?Q7*hQJyVa0={>6c{Z1EtQ0sr&DbhH+WQWlA3>c?lv@%nawh1YJ0}ZE z%%NcuQvu`a5udnFO&e8qHgI`~YeP3N69=CymU_yMSP3p&Cv1=E-WbHKs*{H3l@y$<=S99vp z0+H@od<=*4u#SBK0n4@<)_MrvyKpk{p8FQ_!p<;33-SGuS!wRdI@+X=YL6|91skyf-uS z>>Mc&?hNnnyCpIpw6_E_-7#=;0~{yi&VF#(Morxv=2IR@512kO5{~_HQ%p@^*(fV5 zEyW6LEerSP(rWsaR3aHTAub%ci|JZ#UQwk?#mLP^NUSXAB?T<*FPOMkYbw*&ue8TM z+~<#*WlX};c_&*VJJN4L8QJagTVUqRD_D}sxZ^SI<9wtq--i-r0NgVb6WG07t3b_b=F@Ohc3+?!sXC zX;JyNiHA%@tqm=MIa_Nm>stxVV<`BX{b0R0BRdwovds;OcJIq3fE>>qt2v$=B*wJV zPLCI6dd93%-q+Cc=Flp#sR`@eIJB6?#)X6D+|Si|J;aOzV9$6u*PvSLuB@NpSqX_@ls7;a^zeSx|_N_VrSliX9UmLaQWXu(^*P>A<-92AIeA$>`Nd&vU zjsdzR@mXh!1QO0_!o~u0vl+ZTlMSnxZ|rs3K^fi&!cx**yaZpv^&avx`)M!f5XiJQT4N&c!)OP7T+T`|=|9nq2_1vaR`^x&Ba@lSptq)PrR6BV*oY)#33-U|R-kt3LMG zvM<}Q&4g&_K%atye9}`7m2nWMCYGlY_u`{^F~AZm(=?WFZ@VFu25|=R%DR`wFE-F9 z=R{wvce{&;2nl)iw~piXUYzg1Evn{^Mi+aVM>9wgXiBP#= zNsLMS%&pE5%W2V|nVVK1?VfI@KTm9sG>Zf|~T_ z;ruXiB&I0rj=y!iUwhNLZ2I~;pD;q$rWS>sNj?hw@`On%@(}}^U{X}2E4MeKZ7O3jz;ccffevpg5-t8H~hg70EipeA2@u^ z7b6v81UB#LsQ>F)!q!Sfv`y(~?oM0@Du2naS{A;12)}(SH5lRh34O9yk}6G72zgj| ztA{CuV-S$esJ8No^a_+c|GQE@DxK%Q zzLHg+C1Anzvk)x(9PNLIgMgpE{}Bt8`u^8J_&+50-{>TB|DnwPM*sg`$-8V&KC_tJ;fD7Kr$E&6xo>&d!T zg!nF0FO`zTV-)ioC{pF#g23G*z)%$eG+fOmrrflEM2kn{aFy90dgpy!3x#}s4id3hz`7q`20opnXB z!KvI6A@3Dg#&A5pe&cMp_oP z56F!?gc*{F@s>tZa=G3eF9kJIZa)m&ytmWY9|zPL6-DK_%z&s+Zg}oxIf@g$@gIFP z>ASgT$dq~n@Q(vKthoc=fOx>CP9=S&l8>bJzW7K9EI5-DQE^&X>q-bbI5|s1rF}O{ z(nbO)b?5~48>fEqWr9hO+ur88A2IryCfm;ZejJ6v%gZTON0mZPGGRd$pGERm)WH8` zIptuo^?~Wfmb5$~M5+KuG1WF*G`|;@+G>K#ah))60m^JrP!)Y$Ah`4degqVN6pR2( z+RVoPQ_G|!mtE2it=T)2)Mc)`Z)xs^N%d4qY@e3O9I;OGsi=Q^c&}=)z0iO4ayQw! zl0Dhi9-gB^xV_mswmo0H-bukmCPz0!_tibJTx-p2oH4grzLAh;vgUF=SPi_$3KK1G z@*ErK^Q0+b?JtY~-A-IORJjd6Odk}4@8HE#vQezOy0m-sAy$DEh#+)Rr@-y0)BxfZ zYP!*S&_+;N(RISJdb@UYWb&+z^LcM>5ikH-IV-e-L*)A&cCW&lf}2G`Un!~XHvD_K z81HA*@V>0PFriF`^pq8Z#^!od-biv?`>N?_pJGt%X1)JaidYukl_oVhv~a|ExF{;k zJ&gW-q^NK%s)6+xG{Ui`l#1Be{5YW1>RoD$`HGu)_^Ebwb1M*LibDH>1dIBweXQUK~?ku}ZJY^W{2pT^I z9+Q@fn|lQ06q@0en!0F~>%GwKe#2Jvdbg-|IGHQpuqVmG=m*)JdIt{e&J?&qujLik zgw3R@t-S>TjdtVPw%1s%HWo>zHd-!DWWim&c6z_W;4p)0arpN3g`#|14H18Rx*sWW za`CC^^tFqP*)|du54t^CDi-^VJ}~oWW;(f1C?r@lp%)VKY+(LTnG3_gaC{suE8KhP zYC4iY5u8`#34VTlj?ZbM-R{OL!?P|*sQk7aN-XnnDpi&gN1v_H%A7*vik)I3rt#N* zy>;Nlz(_dh-QkY^{rNPQ?$|9RNVG*MM!O!f)X(;=+tMsWI^hl=3R@|}BxIWS^=wf6ruQ94HiKHU!vCoMe0$7kyJ2khgzgT# zD=8(lv$b4j=AsPE_cynj%$^2?cgrj5LaZ#@uXIB?e|#)kQlTU*t<-@d47C?cP=U@`-VN zYYXLj145>;WiZiUZt)qZ^^`bx~2;3z^~t;?WzP7ae&b zp)2-aD7;Zx`L~-_*p3Z*vB9(SC!xXYg>oZV0GVERw>6R?w=cu%27@OjF^?fLO$t93e zd!cUd+pT7Er`wR<0-f8H%alSUzqVNt^%bs^UHx6dUDFB7vHqdlHb6n$1KSpI7XeVs1A4vvO1^u z+*P5S-Syu0nB|?5?BM*x3awO#1x4J~i>?o30xpu?Elou4)`rPsxm&+Uir~uIJnleq?Qxlu6*Kp7akv-vRG=vo+V8@h zAC&BOCS7@nWLP_XO+fO|q|0BEnmw}6bk zxk$`YdYrLSEq+JYei$>wLaBOOD;m zFIOMF(x=f{pRau!`oZdEuguqSM+;8H9A+>UrEx>HKEJ3Kp*Ax*MCtn|okVZ@lWW)yzP3 zVE7FPN}!vcrNx&Z`r9}AX&{}Qox%t3!L)(cQN#j1hZEU5T=F@*araeS@2z5ySctzA z?(&e6X;o)NWfP@03nWnTudGC2sqp5C|NLn9W+vW2% z{7v*4-a`cFhl%x9c*z$pPA~=fiJ7lM5c>E09*)rCr|I#sv3=>N`O#pJ?xvC_9K7NC z;jP3_ER#NyCjx^(8`$EoFB}&>1E+SlYTISvy_{g`*&!4sI}CL5@BkGx+}NMZ_=APD za$IBwmZ?{fLgyhG`jwNg(?CPjXed~$#0Qa!{*0X~0CmccHmT*z$rd!+9QdxTy~mMfo~ky5r@$&Ut8^CXy`|?*wj2 z3$9Rz9JX${IM14I(UoDG4J78878F*YyhhvL-u=N9>k-kwtk~EY^ zB~knH)46b(j-|;9yd?#(<1t$;*9OBU)tOD=v2|F?{|OEXQV0)G2+m31U05mCWX=swrc$yRhz7(Rj*R{nBk-FmNH;0UBLOUY5EfOap z-8H*|;N%4_+q&>zpI>j0r|ZN=!uT=5oTp#{~%$OrVY%|Cw+3(1H&!7t6BM# zqC=>-$5=@jJ$k)Jbb8x%g)lG$?iWR+!jY{L>h$CJdRtj=0T~(DT~K-WNZ(bZc1u1{ zA;Oo{)O1#}-a`~ZVBtB1%lTSMcXv0d*+gkoD2QIKGwUrbgPPy#v06HnQ4&nEUc)8W zrG_2`j+?OPxenoB+ zZIJqKWZD_*Nq0=WQ_8=WAU=$}$1*-Fjdi9o|9un~1j$Zg-jYhAERLIZ8H9#M%ZOrmCv8G9g~PvWfVxnzF+@k`sOHHUb@~5%r7ViKeAW{>xbF;PywO0O7z6I zL1m(YBYz`U;`a^C_Zzqmv&IMlYpu228zgbxjgB&hP!Kq8)C|{rBwP zKwRq3w(D&W8%|fMdtq`i_Ev5oKHjQ|B8S&KfFVvLp8_QH#(kRl#+M{0Jr|si^%M1$ z@*4W;{V>;8qxkc16W(YSba7kRZyH>))Is|@m!!)z9e>;Lj%*;M8et~~Zm%EQ1?XwHiPa^jN=ZU;{5Fa!n&sLmxs+V3bJ8y; zjS1=xF5~Px;**0+*MYm6E7fQ$45g!)I_|CTgdVX?9*sS$@va;j?dks(wC8~p(rt2VULrLLxJ=bR05Nie~jmHGddEnfY zDi)Az+d*^?sqkWDluR_4QJzYNAmYw+dV4A&3?XhmiL&aGNLhi=_ClSS>L;YDewS<0 zP=j6-)n<@N%A9kB#qs24Vc_m2EGV?>ofWN5(J99Hd~w9cN(&BD%LZRV1%#BQqDA;9 zjKm?cqJTph&tQ*~C4bLYY?skNbv6l_gvW;FXW=JcR~+&Lx5gsoH`X$^ru3uOF*ZoA z)>ioyJj~nea!cy3--2vBFFYrv6eU#b4<{mLw*#wOwC8_{Xew+7X;)u7?j?Lfd8dyC%mz8B@qU+7IAL}<)$o~nWQYt4 zLk;Zp+B!=@n0|v4C=RFEnhPnqf%HLjACC~y{@G4dBAYq5ikZ2uuIi1Deq&5a(;%5h zC4UgzYBYqrW?Wcd5Z>FtSet+8Ei#u%uh~0`)6}8ra%?H~nKNiypTuBAzw$-4{%pII z$6v?}1KQuwOU_Y{GY<4a`Ec&oy!L5x>jVP5*6t!v7}S$-cr3*pcaWA@zLJOeDqTXS zhO~SC3Ot$>Ps;SeC(If5{eq_AU}9h*In^^W4|fkcEHanpc>0c+fdqNBSQbY`4hun! zo2ft)&nILWmMij_hGMUOH_&yY|4vS^Wn1m!Z1feKf&>%?#K{nzdy*0a-(K|~X8J4f zlj4-nM>2uxDOcFWPub}%u&9iEGT2N4G-9B4sk_9a2}hcX1uhs+W(aPmz?2npfyiR} zylvc3s~MUb*`58|M}>kFDIID>J-WgbFu$bsMAbj~e1rPcMLAFL2`=rNhPo-q9q;4Bh;t~nV*{XE-oHo}bgB1Zoas?Po69!k>&Go$~ztO_|)2Z zpa6Yw1U?Znb9U3Sd;dn&J%fNb+(dsX@u!W!*Hp>jI;OPitO5SfyhbAn*;H44FE*ogU6D6$=cR7Th14#Ezg!AXCJL@E{Pg#{_tryE#5QWLam zv)PGsMHZ?STbhVirGs2b)*pDvUt0{NSQ3MsAflbU!-o@-jP=)n>)lxY_y^^8B?`=m zr0bt6D1HVJXkT>oT#<#l(! zq>U5sbUBo>2FFE=)*$upN`v;!!76BfD%-{&BVl(5T0_HhWEvA%&*e90N z!mzG?G=%OkBe2w!5IrZuf}t`e!5k(3oqFQPcnkunvYH9p$?oS)(OxM=52d-_3}MUu zK{E%n$^ifA-pbfc zf-J<|4cJcrFogl31B7Tq3GHNnbh`nibcA!W^*jlC+^9vwYEYUSGSJ)*mQ3BD)}HXi zd+PHgngy*40_ICyFY31QrEAZt`m;!TSFw{hy!8?C?uCDN9teGI7SK&8)dfQU_%V2Wbmy)9>F{$kUFxmh;f%Hm7Rr4N?<3By2MFN47R+h1>R+P^gN zCarvyt`lSTn;yK6GvkH5KRp2#*)S!zt(Rm!V^ zwbs!(^1h~zg1gUPU&dV!@p_}HW@D%|&%kn^!8p_H#C_Qjwpx17sMoj;)}Rjs z`-!F^jV_RvqIA4n0;ThiWE2Els#7BUZCZbndMd@tzd4&}Qi9l<96m=+YpU>#>58310yVwLeCW9!9(8Ix*3#tSrWfhP!6aEx9+r)(a#cUaVp(JG>O$6ma!X%kb-P zrB*hucyaWs5DQ`NAz_8&A+S6LzSwsx!k}VC_{&0i^pT-Fzt?23NE5xV0SBD8<%x-e zKQQ1zPTH(Dj84Y~CnQR>;biftJ%dS*ib=4#j`_%ah25Fovh{aC?XH4O*+67?kw=zH zyuSD^w!KIU;Ss(a|OmC zRIn2oXWj^+@P-H~#A_^{HQ|Ym?$1_#{+8s9svjMLf=h?Eu!;_qk|cO}5Uz^<21=dx z?kGk%$>D2D+@FkUaWC18RnDQJbfh=vq)odSIFp-X;rc#*tjQZI+r)(nu1?NvIV6@> zi@N16oYq2vX5HEV-zvycsse$Rg_+PoFy*@{N*_;sJ{(VGaA7>KD&5Ni;uhkFPT{$R=%Zi9HB@BoQcOSusH%O5 zV*7v014{(sV?-?RB1vx4zCE$kxSahsC)I_)nBv*r-Bm8vsIf{1duJ~@{lO{FY$kE` zJ7WLu{2$%$z+I}z%MO)X;XI4Cgl`%`bK4lXPN(>eyJ{S8;fNk!5yg2T3?u$FwpO+2PRACbpMAlhUtSMjy-pFLB?#gglC z%z(k;9r(n=GjJ!8NbB;b2C{!;d1#>&2%^iNB6ff~8)+fojm;F`>q>Z94~MYGSukc2 zp?g3;Kr~!AW~@!IuaA$*p7H;xkA+BT`jT8876x0pc11%nS`;%_AdNCfC1~&&wK0pa zrSZo`Y$3BoOKBK1s{L4gHWq$!sRtyHFksFNqkcnHRB!(#8aNb{c^& zh>!eW7(~d|nD-XvX(^16+ozkK{VH>LxJ?r9?(*K+oL@k!XOE4lb@LEl<12ctcz^x( z<8Zhg-M7J?f|Bw@^5jcWl1Ed=0bnCR5<+C8E=0WX2g&I27emblbcRcFC?OP_x$)xz zJLPjphm48_l$3D?5G06&EBRo9;z1kLaWJ5<@3RzwFh8*|tM?d04(46a_HEXhnSY#| z3W$#RS443QkSu$v?Dv$?s7b73$c9%{G3^E6(Azsycp;a^hS3G^#bHc1bi~aRRid2d zX@@NO@nImXnuqocWCatGs!#&=5%JiD;Ui7x!UkCIr%ZY2q2;H?g>VV{Z7}D5p-6Z}I)PP(8y@dHP^;tl8WH|eDA(Ah=r{+SoZK}B}d3bBWvp*EB zm1DPrL``^DWoB-{YMZNV6fC%38+<`zc({;CfNprbRoXX$0JSu{4nSqk-uF!G4PYn7 zy=qE~Ms=jQM<15}j5;$^=2X z`sT;2Pk~;wF2qokcGo;39V0WXVQ?+ksMD|d2so!Iy$&$oQ8u6JIl+%iUaIxtAfbD30v`0+thHi)Y}IJ>cpbN8k3Au%+rBr_d2DA0#5J>BP~xii#yuX{OuULU zlw_e-wu%N9&xLCJfF#;U(r;$K^<~HScK8+b+fr&=;ozH|E=-L#)i2plj?v^h8_il{ zIGtvFll-!1;91tfGC3qE_9yfkOAACL58_p@`|Bg@q&*w(YS9e5auG%iAVv2N^$`@F zQ|*MLAPKd~3?mR`5Dg%;FndH;y45hKu>v=#AwW@~i&Nk;8bsuGhc6j8z{DOq7|*=Q zD_!SA{redec8a%aSAb#87VqpD(v3A|Am4(k<2Mb0H78fxmW&WF=$LaHJg?MJWAd>YExLj5IIT~izqmxPTI z=oCZmn9M`Ex_dNTP>-F?Vf`Z#iviq;BrLj_QULhMl5z8+Z}dnpp)`=?XnR{ol&}bh zK1I#AOOf7r{B<>4Iz}9yl$$u(<3+P5s9x&jq`PlM1p5`}J5H=-6?A&>VdHd5_sZL* zZndT1!EJ`fX?J~TyQ^^1PS`=JJc+Sn`+olsN}v8^tzLH=F>9mITcYdPpmzUe5uD*l z*u)ZtC-X<8fmF87aa36ec`E^;bEh%eX^P#Q8_*)h;IEzY^~iR|CA@TiRUs_;C>e{q zU9x0(kL6Oa0Y6tHNx%c$3u zlk^IoP~;#%?kc(fD54m)Qz#lhP}ptZpa5faJzsObIY9Fq;wkpQ!*gz~YXRiqMG-oG z|CxO3S1&c9tPqP~@7yy(HL3S^a9-*rw6Kdsk!go;>vV^jlt;2{~M{hU^SHUYGOQO&6_izRJ`Jy_{xXt_2b7!K1zN zdaW;=7CNocb1Ef}1rw+Jp{_(5T-oD!c@&`gP>u2rqYEpS4A_Eih<&e9SaVV`B&hR} zP6koo4^u~&&5O~}ob*nAq1+G{?RSx*k0+W{6(P}+gEpne1mj)c-yW=6F$h<4OeYqv zyjiQi&boKzWL@TrJ!E5m`w3Tn5mo#V6C4v3BdN!A7R+a2J{=HkS^`$>h$JgGr4RL{ zS^Ygnb2&RLxm>wYC*9-va%0t1dQz7yvkUeq5W%_a2I3EtYkv|X#MmZ)_TB$b4zCw3 z&F4^Me^GDvCe?O>sY*BNNLHvJdHtoekr0uL*ONfu#Q>%K2Bdg)ANYK`E>6F^K;AgN zcto^#;BO{HMBjo#9|1pIGle#dc8u^6dx^f$Xl>=tqxLil_^kr(JAm(OMQWtx!eB~q z^2P1(B{nytZ&N3a-_!?OC6b%zrxZA#c$2%~=??qanlF%!%|}yr?}kTDoT?HA@au9= zwJYO~{O0f2&1bTZCgy8Vj9f3C<`J)7%Rg*lQMdmfx|f9Gn0)I{(7-&=!5i&FX@L_ApmnIFaupN=K9W&|KW)VoTlQc zjS2ak<`pg!J|^{_7#*MXbvE-Jmx}s>|ANZyTo)r>@WBA#BlzLJGoQ0obKk}sAi1Dk zwZr`Minr@_sXxBJ3qRVDq77bpa0~hveTBr~ z7VH7Y6|LT38~Tv!?d#dRK~Or}*)?PHEBr@~B^GRf(<}&)9r830ZTO#La#>ei)fTfO z-qzVW#>p)K=8>}kmrYpnTusI-$zN^M^PY>l?alLAS?IT@nZ-T*OA-vHRFtnZL<^(hS*6C24 zgzP>EL6oW`^^%^9%*8tBFxqAI7A#7F>Yy4E8^iK9G?Vym@S7Z*J%UaD*&`|#co8an zME)0o$UkH(n?KVo)~NrJ{0%qRFq17M)dk$wi&2szieFOBQ$enw*m9QIEj zwp@MXG;O=;`TD}?CtmS`0o!ta_eV>ZN0kz<6^x%@_5Z`#HwWhZC40v<8(VE`+eVW# zR%6??8#GR1+g4*Vw%OSB`=tHd-F@%fyT84=o4@jWalUir%*>fH=QDFC!?a4@n)+Ij;3IKEA0|!Z9#^xm#TTbU+y_D#F3n*e|HEbF!qVs@(geqZ(GF zY-u|NN0i-YkHu@P>tAz*sCIV+LGI84)9TvTXJLWPK1zvvUh@gf259A^Gqv3W@;L!R zFF+V4S0OPEeq`tzt&B-6+2HnX$bE09Ei}Z@ce9U5pPZWSHGfDsGcRQ8xzXBdPkUodHO~46@YN^xgM*5hXDNZxO>LWaZkys(yn%6-*H)IF@0)n7ti6`kR(txYFE0-+s-xg>aXa|9 zIl`acNa$$yynlW+x5$jV;%5CqKGjf=@zD(yaAT3*im54b$4i^s*~a7HRSuJDg!lMrXVOQ$`-{dn81_HK3`7VAeD)`0JcagSVec2)j?OtN6EfsDLsXK{$l6rQm`C{y%FCIU)NS{4kH)*4rc6 zdZ#5hKbq@XO(B!{@nEwA#Db{@*SFQ9(ec40Cd_rP8J3ztyh@ ze}h{8>mC2A5dDG4_Dlk__b*A=Uz_ql0|gaP1B{rD$X{^Zf6ASI27mtR6Mx{!|2Gc( z8H4|Wy!uP$2&bLjYRUYYlzrJGs#Kqy2+l4AU>!TN{{q1OUx4-hJOdB`kNyXUlaE&h zw4lMY{sY;bd;tAKbGRp@paN*@ zzq9VoUjDl|7=Nn+d~Y)HZ?a9CLANx)@hXe5WFC~~8w(K7Nwe{#$P_a$#y_Kxf0x9+ zUi?Ei2v`Ah{!6|6Yg2^1J__;5PoO|Ho{lkw$=>5W;n;s-{Qj?LCW4-H06PE7fc%M; z15r7l0(DxnLjhI3CB*y#(f&UR>@66ey+8T#X!FG+$Tci>EQ6z|B-@O)E!M1Uc98r@AL8cS5c^cSEbI;!m8gUgvvtaHxc-Eo2yC%_(^o`&e*uh zUxRF)j%9BxiAhO`h1>MK9_sOM~gbOTkzD+?zC;5mT7%GZNIQ4-S+MXi6&4pI6} z$z}F~GJ7-AUx`|B9u2s^GT1?oP-5*Qcem_w@rNqltf^e6CvQi-PMPK=*So9jG_PnO z&5f)eWc|7&LAVy}pk+7d2GeHPN0nP(o~_9s6Mp#z1z`5p3mMP@D}?{Tt3m5o(I zt;&a)U%dy*`ss1o4-pwA)MsjFQx@_?N?Ds$f#l!(HlOz@s%3lh4NDG2-#8K+qxdCX zf;Oj%t_DKP6ciY$ko!VM(qID-nzVuFrQb~38HOm=2$w#w?6;@uff)Z$sX7M}YV0V{ zTme%}>=ZD(-&E+HZ_gma(FZ@DZ9MOeaqWaZ-d)w;Q{?}Q9{bMt2AgRaU#KrjpeB{8s zq6NTa5sE56ly6yb{8Zii80NTqW+HamN3 z>M*+69T-IOV&mX^=*l^L9T!3bzzroT#PB8P;e^Vg^yX1fIPcmH^1(aF+1?6<5pr`s z^L&u=-K3~;r$H!k?ZEcEm*#8{a_lH1;M}dKX$!}*qj$~VnXRJ{#Gw6%J z=Q65&5fElf9}On30z8Lx&!Z3%6OKt|w$`5Rby=x5mXc3}4h5w0tEZ_y>OJl!y`CS; z$YzaRhDvHyJ)I4moa#gNny(I&OW?X`^A?V801+`WDK?o~;|$AW*5e&j;~%T-&p4it zG*1B3tL6fM=|MPM1P)i<*7+XEpmK>u!%4mjPF>#VYTNEaKd0s6gL%;>pvqr-;=W8Q zc%9CVG))N&_3T)zmkE=WOy_nvBeWboKaUJTB;fUa0int===}qToGnWv zuAxa#$PtwVY?Xs+EV-+zr-1d)N2tDVGEq@6@bP(X7w&4_)2{S;eSHK;pwY@kY&D-d z;SCKtN>XA1diz*NAnDTxbrx;Yf~%Rnuq!I8lOwG@hKjc(||H8EbJ8gQ}e*< zVsmCTY(k z^#^%kVrnXve$N0PL1U zT;6zlmJQzlKznh3i6X!KZOYk->(?loZEbDM8%_v+-O#Bak9t#q+R1ZB~a-vd(7j;%|Jw^CR}@1u3o1uc^R4BQf-fgrAUj-YC@?$p?0Ow zU^SDV-73X5wZB}m`^aIx>-8|^-P7AElaw@BqDrumK!*BRu>DTnDsJ$Q4 z2bHvu_^W<~Zjafbq7e}h z;{Yjlzbv4O)7{zql~O@FY2vxLo13kIGdu2PfoLRlkY3}; zx3~gX$tHHUtE9OPZsci8mSGvKPaaxEbLVys;)+Cn*ju-w`(=(Au}ECKl%JIwV+Ze8 zn_7>TIwqovf`fw_tmkB(98RVu^s8{$ZTJxl;_Pg<2au7ixB4P+SS?7s4(2Kf#&RCd zu9J8AAb;EFcR^Ja3$XxW_j7yuYCo>lYQ?RISgU2V*0rX7O~4;=@z{w z^}&o{imcAu`H>x-$L)%@Y#O_1%|f%&{?TjBHLV-|bFVLN{qh|U{q@@#_|yGKnA7n~ zslg;90&cCd^&(lc@|5eoTW0fVP?VpXq_o9U^R*xNWnZ-11cK%h$C;s2BD0CSPPF|} zqpAIV&ghoIgPXAM%Zd+;4`;_%hy=^x3&dx~bK9ReQDFlZ#4*;IsvAErFZ(q$^nc(W$26^`#h+z+|S% zpg(>au;UlG(&6n5(8&_z5^~~vQ-ChnueE#H`GfwNN2_F(Br`j`nE{(!rRIxNgM%`u ztfqiuf{?w~7JMyUTSYT~k&g!?(b3Y3AOs6F6s6{C_{n5^9vClxygKD`yRzr}aWcwv zO1%nRX}>G$`3(RS7kCfz+prL}+bTg1B+z6WqGMw(wz}FUe$bhlS+Q!+3`0mTcb((0 zbv!&-t=S!VTvg8KA#HMMu6a&J|8P~T-PZgq<(oax?s}I{`|(2q%x}rzA3lr1G*~YK_fn$TaxPq)3tOiIDdvSL{Hn z0B->BS36Gs8ESlKu%L2Yq^UX||QZm^D&<|(2RwUk$wwX;AD$ny&c zfQZTTn*)@;@}s71yV7=BR5R>ox<;(w7 zJbw4{UyE-PzyT=zHvy>69@fwgLeNHo&n6puL1rC5z9Z3(|=^E zpV|LH^ncUye}3Hmb;|yxVwN0q8wL!p56zJ5tlHn~E&k2k4uCQL zsAqrg$v?EM=4}`CuXf^Jn$Xaya;mLBeW2Dd{xZscS7ZNB&c8FY{v!rIZ2Sj?MFf`% zNM%?=k_w@`bjbx6NEi1Z#D==+Z94i#-~O8-QUCvw|0C4=!1boj|1KkKkKQbf5x_Am zUHb1P{GT71-#z*tdh7icG5B8|`2Tm!{_YTFhO`0u%-F>!hIPL`bi#c|5>#tokOJxq z==YD)d6Uh~nSW4d>rZ(2clU`Ori|X`?sP(KyOm$#UVf9;N-beIlsEtDkB+=Fw!dvO z>$Zffx)<{Cl%JNj|9VVBm|$~v;iQ6&;MBWU`tA8Og$}$ieR9CR{Ds!|R{0%3Z~Om( z&pY6E1iKmJ9iYE|x~jgq-rt`baFf5aBlzs9-qFbWc=x!J_;`xxZB>!Mdgy`*fp~jf-W2oy=p@_?uddYA+ltuY@?xz_m>4lv zlWCAyhaKh11Ck?*Q9-EU1*vjZJ}d3j6A+;ER8?8{QwsuFjnS>D(Z!G>J*s7aF7XO$5oRBwIDRQSL#&&R!~ zZT#-`vk^tP_Ve~?TLvjheS=vGOC(QTwfWF$Xzab5?*yU5!$juV%s7hkTF=CqpXMXn z>qvt4O?57v$AiY*QTs@0!|Y8ePAtb;vm2us6DK6ezCl-L{>{f_E|Drt&E#==r>COs za+`Z~gqOVCcafQGmXI=olFJMG+z%Ykx1aH)$qL(@wfRtDQQz7~skwP|OTu*s15^@g z_{pj+TS+^0;Hd4yBI>jTReiwpG^OEnSiMc;abbS#L#r?9Gn;YtVh5a3MVJTAq0dmiuYHr$8TKnUizF2%Hy%PIxFI~N8+N->j zw5zJf&a#!W*X56dCQSONtMF$iVbnHYx`90OwdB4%$baL!KZ`qMU~?cO;hR8KGPV2h z-k5e#K*=r-b=*|C%}o>emF`X0bp@*G>ZM1AxOifT_U&J|s_u>HB!Ksr9T=64dQ?VO zR^P;GudUgTi;?KV)RoEmoQI#4j+QnX`S=gLCXPZK$p%5Bc~iz)bC_<7^{C=1Z(sjx z({EKR5#4>60nc~m;c`LZigVaYH|tMO7VKo^$I_+NZZIDzt@4d*I z2i^Ixxa~P{fk%Pu>w5aWt<6sAT?s+#VMDakzNB|9#h$6#1sBtHb(x&k^sd9W@zzW@ zS8^}nn|1;T@Jy+$wTVha6;#yqv$f-BKDceq?s$(+U2Wg>-MVe`_|vwxdKo@gJVy4W zk0|`A>c=nNu(Fth_dV#;8{6B~c)FDm6`Q4DlkRkH$KVEKD$9@q_nL#{YTU4^hW$=-XN4u#o3b5O{x3+6|7)Ni>hK6{3SbzPx~YDgqT+Wd8k4t02i9drkPiy49mWhjmqixz|@pcpYi_SY(X%+Vv ztEi}uBV~FWSM&%qX_TjNpwC*Cr}1#sUpUptgiaTrZjVqtn>ccwKtJY-Euy^D4L z6p9|COAP>VmHD=yF9LgeI6>6oJ|95Y5hHZG$ZVd(qrtkxcb_eYwe$_I528_E8@P>A zwdiA4z+l;vD@X9lN{+u+U!W^Io=Lax2b6_&IZPu`4o;x=j;3?ctp$r+CG}Rb{9nTT zOV!YG^`dYWdfjvt&X=8NutQxL^t`z0Oy7UgC=f+g?y!7u)H?4Dax5* zFqC|+{VL(>@g)$Qo!0@aMiT(&9vn2}?1997Ezx?GLM^;?Y{TB%mBE=+x$XwJ2UJeD z!%c{cJ|s+l*YvwIa|LnGO|4u9k^=UJZ1vtKguiz&wY`aU6$ z$~Mk6hv&%6QJ)~WPyKMV^u72{>cCXM^JKOyPuCZm zzP#8_yyJ==%TRSJrqF?`yXPqVx_`J(q@3jk{`p84AW%+rPw?4p@f5_ zHXcn{))7>vTjiawd>O0AVjYujsdT6P7p*bRy>j#9PeWj{?`I*rM?SKe2i_>|NG85x zEo2*hrx3okF`>^>h(`&5J?f9Euo~1GRt-o?55CmAC8{qKIxjYZd{h0!jF0Rz3$`QPu?o~xGmlhlZ-GI3q>z~f8#{zq#IWM0P84=5Fc6M#- z@u<(A?!)63q+*S!z0NQ4UhMH6Z=rB^NJDb4IyBoi`JBG{=B$Y;z9Z+IU4!S*e%y}K zaPI4o(Wr5~J)EnEe5zN=;bcM)L{3|}#XpCNh{;f?MOE!@|JDt8FfeS7>Akrl_w7ad zl|mC*-nRNMt8C%S?ZfP;&j2DmZ$gjk#!GoU59glGwq*+U(HH={Wt=X`gTHygR^a+axTe}Ok26NphP@zZ;6ZI#FEvL)v>!L6+N zC;KkPO9~OzVhy3R`PNIH9I>QrYX(-D6joZXng?u->>wy{cbE4r+#8lr>%VXEB74l2dsf?iK-q-pk~* zD8MrEzS{#onbamSZ#aSulWuo^^?kB;cQlRTrscQKNZ<+f@;;})64JQZi}8PSbbmNf zweZ$158^LFOD^?&i#*w__h}EQM#80Gj)+su{H-S;l44b_o^>Vy6@vr z12WmG9{JT@H-NFc3~nrPg5YhOt7odDJ>72v`Fp^!NW~GTorjHk&230`M9cf* z=~-ymoy)yLDzzY^INT#$pSF{8W6b$0WV714kuy2zPVwnacGq6y0~3@AgtugnDLlTT zV3aS%PxQWP%JvgYpi~ilBpo(bY~4Adb&ZUgD9~sLod8}atqDV|Oqb$&HtOl&<{hc= z9ImL7`(BEYPo2cjvtj)Y>lApI~dyDzHEHjR$h% zY`n-mQRi+0lebAA-3*po%s^PRRbpYXS9MeZD38zM%4MSXhk^uC%)|z!aV!Fj`{>NwJDgw66;u%otM`G#<}R|{czM2ksa-9(w&Va&pF0v zd!Q-&9WH|WU4%^qO%m>o#hTRWd9}V12qj)ir!%J4$GXQ%*TOLy6aDX_y_i)-+9;HG z8Z$qZoYCh%D={AU$wu=%^`qLN?;2PRSYdG2XQ#yDJkxqN z+BrkbSIEq;NDwBWpLEB-Yk}L2mjGfADwFJfHs7ELq}nA%W{>JITuMyQ@=X_~^S#qp zk7-dUj1vKG_BCvr-bE}9fsmO+p)p2Dbo~i0shnKG^MR{GrUU+>WoCch@#9SS=S;dN z;Q**?;d8gli$!qMb-|-{gSE6?(tZ@bwr=z)9)>1H#NG<74^+7EUONYlln@KaTnUsV z`hvLxMnw@mCr8i*Np3drl_W{@m5hWk^N)a(^CtV-_D$m8JbF4@n{&S%9NmZkIz6tX zR)?@wP}JM4?enhX;K4wL3v7^jdie)H2{3m!>^h5M*1FV>rDw)t88zS04Z>AMqE6>> zJZGuZK1tapmZo!BbJ)eXA_K*agWj_=S*YMr;^Fp=ya&;0tq!@+$PV%#7cAEIfvizxD zh+qJ93(zPxtKLHls&QvY>(dSTl(`q#(r}Z6zxuFozyIWY)B2z&L~9@$WZq>x*A1Sb zS~Zmma72)a8-DlEHYPOodTtWGJZHp5a#H*LVl0rn*N4Z9LN+EPRjm%!)UOa@X<#r9EiKy(>PNA_V=h*^4AKU)IT06)bF zi_Y#zb&f!Jj-~K4=*eqkmF@Ky^>x8Fm3=p-jO21YS-&_w zGdy08M)4SFni5*MUBn8#)pI=`dw$r;;;l8Wf`j17GAwAlq>PySZ$!3X>aczo;Vgvo z=9|XS+Q%I_o$~$QnvH zHDsjCS-W0TT3i3YQ(&*`U@{XIX2=RDU$di0mmi1c$>gST>W(+~LXO`mEgZEDjXPY| z-kDRGldbNKt^o)KMZ|eRC|B?0a=t4GCH;UWvj7rd*dBCE^L%6{5olQ-SmV$qpe0u8 z&uaxtOH*8=_y9`-Y;bFLg#kNge+Ps`hJyx{)~Vf@J8ibW#?A>o4_&Ys{^=tjWdF;G zAzWsc_UJoBoeo!2w67p4F>H1+k??(2pi26?FmP0S^D?mHA`D;YMJX$H*KI|XLzrmH zXG=5Nn=K!@6&U=h!-`q!^b9Z1bK26;ndIqpQ1HRSfo7Nw4}f|Jxwg$_XJT%5$;IKH z(So|_SIDDa*Hw?4Kc$6aD{`%meD`YBTjB*7NAt&fxIGvM0vC*cizr%cZCFbpeMdr^ zSr>U(bV|F?>gaqxaj6+_diO;^t@@K*ag=l#5!QDoD#bqV%3=O8YN>C9tQQ`V7W5%e zV-DEp?OsdT-^{uDe80l!WsS_GAAyp@EkH$bE;4l-AtR+!AkCs6AC>$htt5y3vA47C zxzVo^U&;z!X`l5GX>t6=D(HNl{b79--X_+|_P&zfklIH^SBRt+)iJqEw*=d@tad20 z-rTZz2ju9lO+q@V+eZ=8${rSc#;@*(_>8B7pOS^qY5lXRjFA)ReW~md^c0Kc>iHNj zJB={xZ!Ctikup!e7j1nxeV2Z3^SSNZa&L1SQxsBI?2HKZQ-V`BXbH=q$UGjMKMWC8 zxa=absnru$N)GOOT5&~%%X0hAk9lgj*d$ajuS zAiBSq;iZ=K@ul^&MFfyzVbz z2xgwj3wQPkcwYj6R53dWJFJ#x)5Jbz9@>99HJfNW;oCEqi;D{gArWCFOs?pAtubF? zh$>Ni2Ei?Vm;b5JM!;jghs{h3Ge@#~wNYw27E8M5`&@t_u$@d&yUD}TS8mmv?L|Tt zrz4&rYnCX?cE6_XrB2&tb)762^A>?mi1KICP2o88r|$O$Uw^uvzZTF%Ckh=~Mi{6L zh~xu7o-1MCayv=d<1Zt`1g;fXh6jzrv*KCcwgIy~nR=6ad!lJUfEMo)LhmM-kd;TW zrC#EEgf`AUpJ)@3Qlu0af`B0W;To?njx9jEY`;DJ61Lw{vP*tJ$9u2}fM{12bGVu7 z+Esa1A`e5cyFX#y#b9YSR@6pC~s9-B?5@_nN$wttLt%4aZzxj zE|b|zEiVFt6Y!A;xp2L3Rkok-oeRrujD8Zw4FSg>j~M5zD)TuS-3`_Hl(oeq2~cQ4 z4XngDxu>@&BoFkufVfkAsah9`f<(=W(M}=33Ris^7XwI;#HWN*o#`55Iy3{apoQC? z`@7zEhBNtE^ol>WtNZ-2$?YI?8qS6Fy=2F6M@3yoL#2|6cZ5oS6oh|#K^Pxfh=zlz z>vFqPB)6{6pw`Ba#@DwBYl*~KBFacNNNR`V>G z3c)l1OaIBABEqI36hAgaJ{^a}1eplGi>8OEB&n@Ina3lAt*oi6kslIPSm?xz{NdJB z4gYzNM`^Rrg00l9v3lh>hXL7a^b-3rq0~Qs+Ro_<@eXt(sR05`xw8q#q|(BOdiAF< zSwN*ii1Q!Gu#0hleBPT^B5Kt}&^HdOR;D86W($q@9oO)3K6i_zbTydet}mP5;aP@T z53fjyo}31XJtxp&Gxg(H8=03O?iW_4jtm^;{selTuL z)af*QjIS&aac*bpUE3skUz$xOvePGnyUrj{uR@FM@011hD+C0AuKT`RH-c?={=k`Iz}IE1l*9Z^ zf-Hi7PZT@x6^gzjx>R@bA^DQq*1Z{W40~soQbTO5cPPqSeQnqEss~G;x%lgQ6S}dp z#v=T#tu6AgIf^LV!!K=$B7xOp_81k87f{{J71l{>)sINL80VUvJzON`mfD;dxO|4+ z_!ocVMkycuW8iAfhbyX|5NIY-pOEBx%AF|?lAM*RdeG!M4Z4L5=;W`3L8Od>h>fF z94awGN)-yFE7jtGbRW#~>I56A!ro{Oo`jp9zR5dnf2b?Q>KKew)_`bDpo%xNhRk)+mH||5PG1lcI6YPnUgLf(g&qAW*_cm zuPs*b=p8d|@RVtDY5J2Fh419F1W?DvoFUPUupt=Lax-VP1QF0b7q2z$5~}2-Ucv;? zrRFSVI6}eJ;_=b6D*1Uu)+M$0FNTre+k)>jV=8eO%F169gq4v}fc2-_eXKEYTE)BM zB5-CG^qEk*1EBkQ>0${5lF#@R&pCAafe{?l4$J+cGq}5mmn*GUdd*01aoH~-y(&44 z&qN8C4`&!v#1ZWjyb(HvuK=C`ujm?X-AV_zfU+hO!6E31Y~3{Xv^R)WX-U^SY?;d-$>q4^dg2?+`Lk;=w|%xS_6 zxC=OmV4JVbdhNxqZ)h(V+YXF_#K)LUyW}7kXf7$9!xtGf&|0$^mjQ+>jNQxP8FpY) zFne8@#BO9j2BT~-08JWzN2I~Ly0lh>8W1K1NxSkCxHeba(%o&(2^*}+y&&$r=1U;J zmOdBR5xIw`!9uf+b$}3ysSeypGT;IBcvXWa405odUPxm4zLgJspXEg8#!wQcU*PTA zmD@5&#tSON5942a=`R)?NKL1sl2y(w%i*yF5y$$f2yJL<6{048^^wGF&SDi*_RFkr zReIvj^}8bb0SkW}BDmL5P7*c&B{2dreff5bcvcG_?wT*;(mI6)!B1e;=)$5OzJlTC zwwfvP8WZ7h-$eT2tTwvt7YuhQY@yE)@VM#kqJ*@|ek-;@4`P)G$9#@Y_QNhV8{n9C z@;9aTGy@e)VyUD=PK8KhbB;>``I-1xgkgmflz{8D3*}Z#!2lR9@<64Z=k9C*^+sKk zjp_@Z^^fAO5n>nis1XRka8)XjPCOn@q@K55>Fwgs59>iUj)EYess|w3wv2;fz9)By zkXK@tX1rr*UW)#%^S=vYX(6RhAGG7Lhws zB|mr^U{V;!LOj&`{OSoe4!6*OI%lj_=@Rm5j=SUN62IVgSnUQV=a88cP#+>jeB$_t z{m|gfM^YJ``7I)`@uX@&_SIwcF+WC+`G4({Wpfd-SRU_?@L%kc;mE+C8tCeBaMCjo zd+PN)&&LPD3y4TXmt_^Rm zTioPd;S{q1r?!O7{8mtSG+ao)FN`phNLS%$x8CUssEtmkR!s_JGheCa9X;Y8qn*#JJ=AO>L+PcGX ziB-VN*w`4p2oDdBs4mD2P;(TU(_s&AQpkB4A{L`CR~m%N>x`;SUS3{ca4-&L34z%_ zR(0wZb1@V&=#paWU_$dQi|>e~r;O+}BGcGEOl+|3H;S1BGpAR(DTD>-Yy=B_Qusi& zw<>25rwc0O(ecrZ0q>NOVqz!jf^59H-4_pz&cGYjK zFeSQiza=2X>!P_f^D;Ea+ZjzA#UD>=10{W2g5NQHj<(AZI>iM)qJmyv`sRy zz)q*#PX8${`Pe^8^3E}Gi#QX(6#QX5j1_qMht+a>^kZQekytml!q&JZ=&KXP$OnB5 zs?sVy+_Of&Jf55$;IK5Xg%*+`oQv*|4m1^(Ng=(#uHM3&k6kQUe+&9N!{Qt8I} zvw2w+!2j>S!4(UyF84b&!%q4LxKC; zukFM~1*^dPs^I3&qy(i$GbJ6TD@^jcUtNRSVDkpV_3=@J!HA(NghVe2b5U%1!9i?1 zoh`9ge9&0y$xm<z#Aj}TJMS-ch}L^`ZB=T)Z^B=0hs=vVdP!gcCz2Hj zY$B3NVHNobfDwtuCblokZSrfyV+?OD(Ugv^X9D zYPFTVon~V?m9J8!-ndpI!sc;jQ)aS+>tlaLnmALT!^h6hX04Qe0yyyntQ%G9 zRflH7=suB107y|oLjzV35c$?fopwB&1#dQ|1=LVy-VV$Gl)zFg{wR@FTUBLiXV>h0 zOZ&R%$aWWx==n-AtR;LX6ig6iOxoo zEd7;kq%8nMQ6eO^avhTS-Nq=RrAAD1ltJPdJG9(}{mYw1rvT+l zz7j>L=!~SeRhkH{67%8N&uM~a>&03ZMrBZRbz&(rE%W4)S$4;p?E1CT0ZZRaHz%vS z3LaHz)n=P45D=8qPv=?N#>7jOb1w#8773ktE5r@G1oxqic^hqcRp7XdpyPHm^chIL z2g;t+5#28qYCGzEL`ilxBKT+|7)RJ+u+xW^TxSn`;u2^udp6qUsG%$SW4c5#yGZ$2 z>Zil%cYaS-l@HF2>IaSdOU-E_BiKB#^jxCk9E1%tqv{&w$ADAG7WX<61I1Gn`7 z4tPitIgL6$h|pCU{~;#(ZkwagTL%-awMA5xg{nQ~3KHA(3q^;^!(E1)h3rzh%VhwgwaPsXIzFv#;1mL15cug-eU5kx$9zSbCXXWy!R3RAAWX0%= zzG+g@e;-Wn-f#D zEh#qfgF5rMC|r)%Qb%#m*B4J)d=jXlBObS_bsxzW((H4`LLj&g;G z1zH#+@G=sMmJcX29V3gWvnbje*|-A#P^&jn#&sJ4T7Zuu`)(3DRC4A?wK{|WW1Wp& z-&*D5VE1HzVjU-=#X(DysOPF|CXHYFA%Mba$zJ?UdxWPFGdHGrP>f^IiO=x{#4G`# z`RZsnh3e;B!v|FziCGsV9ib>LR3_^Bh&)IQ#YSfr69}%v%kMemZt{`1*?LqYU)5K; z3HCO?2tuV(sIa05GibX&e(El^qL<=gRewec7bYcbm38SRwYnG*hl|;ip^vMAIzL!< zwD?p2f!D#@f>02VA7i$R-5kt9r%hIB zUrzk{S=PWsqv3ERKFe_S``4w|+h~-2*|*tYCrtJvBF6-!Oup$+{-IOYFavGA&W+rVky&QCD5U-K50we&o+Ez{-`p>q3*s-?!o$`b zuSFB6^R3gowUWDqi4{pGrr^Ewy!pYPn%rqlqSb+t-OV!`Nne|Xn7hGd3yt8-HI{Q; zZehEFoJz!u!X@H5IOq)OuT=}b^sNJr=6)W2efZZ z&osT8mK0>+S>j;DOlR^Qm`c`+;lzrDL9dmh8;D7X^P66LkKFETcHIEToU?FRcdOFx z6=w#7=k$IC#(1XWm;kJI=2w0)N;EWv>aAxYoX z19b$pIwGxAw%bnI3k*!PT7CmK5h#y;aTmT>5iD?8$p(+uxB8w?IxgD}NA#|xYXPHd zS$G9Iosj1{iEYxQO36?1&F+5p=$Itgt;V_bjw_}U=P%KwH%4$Du8f0PYYzqsYd(O) zf{Z=^rwQ}o7jw6>z|t5=zRyGqwFBBkD3?gbl`*v%AvV6LASQM1eZbT7PZZ*3xs39O zk)8gML(f9izSfdnV&}uxQfod6yfAS=j@~Q{jJ{_>^5Bwej#Mo`LkXv`?6lBamMn;2 z6??d14YDt2ke`X+|7D+6mK}QWz0)T@lPD`KmxpDMPJAha>2}twOdVt*)_F-vP8lj{ z!8ntc`O;L9y4msE7`hW73z7LsS4g6|%S1~gU-UHsO(`u~?7&Hm{T9%Ys&#qpwa=-9 zQ34M{pw{#2amI9>1f(pbER3cQoDRKeC31?2_uwR5m>j>;hyjgMpV?=NWcmy^oZ+&5A=XQl@bIYr zh$OuIL$gxSaz5S7vfn|)pB`m`z@eO{#@f)M(YXU3Pab6+xks}TxKR{Myr!qqNRpj2 zt~VaKR0>l$Y`aT}rk1`?7ZRxx(#GNtP*k~K{Qj#x3?%C)$!Eon=`K%K`x)5;0YgEr zaRz>aJHCmEQXt>Rx6TF4&V)7L!y?UA+LrF9ed>Q6XUTt$Xb^ykJ zFYXF8z)}Q&4yp8EIucMbcQmT-v`;tp&{5&uQ zyrOrocyy*f=UE#734M!F+74 z)T~~`@rak9{3iPv#v(&XVj67B>QN#js4ssuC&3ucSR>^@7aZZ;_Te>ND@`&m_lHq3$ajGSPuq=E3-2(Ri4*Z7-mEVFqO)dLlEnKUT^5y2js%_`4LuHL zgpx%{Wj0&cXm&O>VTvFr)S8#P*vofEy|9S_p7J(>D(iB>vI_{XN%#cc&k+xt2`s5X z=EWW%ahvZ%L&fuM_2dKfe9Yw)1L#=tkcmI(_HKViw9Tsybx{rzPVuw@cNmNTIH=m) z%$)iB-q*3)|bv_QiNJ4Ka%T~pm*#g*m|noo=LsPdeQyqN$z!+pyJ8#Qb%n6lW`Fd zAWDXG=bq1lnKsg}I~3y&)Qd^b#{6kH%KwnplQhW40h>s+*o((_4<+zv=n@hqAUHTl3OoD*tkwwpf#b)<%#XhL zcayc&9o^?q0>D$e%?wIh5Loq*e`O zocPuEv=40n5~g}!xd*C6r8ShCwGjYebE#DG0?V0%59izflh(sUE2i-z9%-R}pFsDc z9V0>{*fn;B+w?|}mG>xdV2Q6hWx*J93lE3Qa_0e$bWvUj<^$Mup={a%K5lsQ;ql2* zqm(6*-9fwwN)CVPM_5d&&-QEAjtbQgXOWo#jo`Y(*M{8u~Q8+DB`wab~C zOS=XGTPria+M|ds6J|>HeAKX7FU|uW{EnV_Q=T6At`}}`VRU6ujnC#fSpu-wvcOgC z5XU#>n3Xi2U*@o1z29qRm@Q_W-@maE*jA0pf#!GsSP zs|&_zFPsLUDhJC6sW=|+HOm=h$GA^`6EsuS&44H-asf3~HgmgdpYCj{f`ql3uK<8) zZ)Ye@4I>kAJOYAOz=?fWM0H+M*8oT;l+gcc=`5q-Xu2(o1swu};10pvEw~fh-5mnK zg9Mx4&fxAI+%?!RxNETB?gWQ#c<=q&Reid;yH>BRQ~Nv{Wo~!>C^?+eeWRzKpZ^u+ zFxuW9MPJyXe+%xa>dPoH!T^+TH!GNU|35lLxw3YG% z=~S4$4|1%!V1Q*(1cXN}7`~R5mHNYx@P4nYMvFp^nqF$LQcUlxVnkM_PmOKWFKDh9 zDwvG%_fqz0Ht7fUOpl2RPq}D`d_yC986fk2S6VPKEYhr4A2PEkak)1l!3M_=d7;)e z$~2aIH75O}uQpP4__#?UNQGMD;j~JYYBaXdxH2W#>@uV3v>E z176_^c1onki`hRymsvvzOWFbob@HP`?w%9rM{qff1QAiE_bSDd4L)jf%u^$YCvru*WGCJ3~qk7TI2)p zP`4xXv$mu%PA%thAlq1X70@zz(Xc)vOll17n9v7Qxv`n@F#u2lKXwfVu>G64B+~V0&NbZFLS>&=Pz4Vt> z8Kz}K5U}5p<7$Mv!D~xdUpp@b9tkQf5|%m`t_-Zg;j%+!g>D?rtRlteLipcR8`XJ7 z{v)WHA4y^fw?s;bVnE}IzD3bA&+io9tW_AgGsIPn?P)@LCD_ZI`%EHZGpROjL#;<5)J97_5~{zU}8@^pf0waoI15#qZhP@S0fX8N8+i9>*; z8!*~6J<~J@d{b6{kUwp<@GvzB6pR`I;=eFIz#GCV|0p3QlbYlG{Ke)3UnVRTZa5v0 z_Pl6I6{&KQY`PZ1o;3SV>E- z5NwAGSWL}2KbTUP#fN3Jdt7A?RPbg@px$F10hVU@Ca>86_P{IR(yt(zwz`wW44PL7 z1Fp*GO#V91vuVvctkrtwYZN37ReX*zgezK^9^Z2~EW(2FoS7t%YBZ{Mk;LQ0WKVEW zfm32aRJ?LBHBPAhYB1)M-$jiTLpwx2=JjX9x$yJ+7V@kz_@2WAD~w_XBmCLt-{ug= zIz(p-ElXTCnRNRRQ`uKhkyJ8f(1I!@+x3NA zZujm(YFJ*jIh!j4IwzI9h%S^cK_D4?g%`v0;}0=|gaxOu42k0qGw`&TuHTyN&FrWc zWF_v8Ue(Gnlrs+n4=K~KDSrJ2wH{Wl0C36LJ5uqVq+h&Q3r{wE)nDmA0Xt0s5x`4kdTArERv#~3B zQ0@8ysVZxmny|bRm#q$(b8vUehN)|HDPDdAQD8eF=f%&dhpDX+j`+PJbxTYRuP2+Zx%o9DqWPb5t zBO1Uj;V8aDdm`3l+NDt6@ndgKGYSegP%&$wwrF@de9@LzerGGCd`VsU-|xG1)IXD5 zNn9+o)Z`pW@oJ)L^hXhJ7h84v0f%P>lzzcDa+y%CU4oo1peG62^@QGqUq8^E(NqS) z5~SGS*dXhmAfZx0Viho%$FFh^K&pHWoP$N4yX{N0Su{=h$Bi$cCzCqaAMG0rQ_4aV z70^wu@;mFtF%4KwwmrdpS|}=mb%_7=7u#yZCP>#6T{*r=(jxZoX;nod1mwwu}mTMiBT?tl!#wjYR63h(*`j0)HK=%Ato)n{f1w9F_AagB;m2@-#elq3?q|=yM2g8;PRJgqj=r|f43e= z4|d^!?%G1h&%vfYZUeVIr|3|8&k&9eKaxInTzBpNnW0^M8C+p*JTHA*=v7zdad=fh zkuIhxiyPjnkmj@W^WnXWCH0!!)bQ9Pj=!udLebXGv#o6^;B;&1XL{kxMcnqkfv9wb zt&I8@$louo@9X#c6J-vH;crru$t;ea*Q^{pA9$7)R7Bc5&rVNH#>Al36KjTwvP1b& zk#`Gob2xx%eXBC*Xef0Fx*=)g8WOS7@07w~nBKelurtGA*oIKEy(lSQy$N|*z%1Mi zaBu|{7CX1`lo7P7tE;K$TyqWeNs7*og>Gx^-V7kN{JXw1H17aaaHe_rlqB^SN8SX%u#823;Be+^DvD&79PV_< zVVz-hOrlJkD~dt)*Bob0q1ua3o^f9MA>~@LuAl{BpTM-nb4+F!8Y#2aUUu>QK$x6e z5*ELBf)fK5J}JryJ|HWkS9gA;Qq)LKC z`I|rbe@4*-Fv}%c?Z^2c=9D`h!{McaKI4k~oAnx5g$JkQ#$(g`xZc*q%>#br-Op7| zS3WztmgYlZ1T`!ivluN zdlc6f#!kHq2hsN|z#rjfjprt$;gUrWq*!XO@z~U+jI~OXM}ZcwlxxA`&pG(BM-gOO zQc@FBQ}hrjG|d`d4*9@a_8uP0CpW{P^y2=E%Wt{+RZkeIthVRt>;fb+VHJ}_y(HTp zl5U=it{-(9BS|Y166V8U@M7EJh%FbgC9>!m$RG$Vc3J+q7UIs+M@^O&KZhCBX`?SR z3r`tD5%a+_)468N9qU5Aq@1V1j>xWK*yoU-L$CwZ-|7s-TtMpPT(Rj1!e;l`zkhs` zrTP8M$mNqp(I`ch+ZsD9Tv5Zof_t9wVciWAk5{6j_x|Gu?XA)0wk#oK3V5Q8Kdn&J z&P%bZ=9R+G*wxD9#=8!fY6_9YmiD~(;#JwVSqQd{G0-+LFRIY3>m zcBPIODwmP5Gw5)xVn!zG&^cE|vGm{HzEG&!E@X9ca}$b@yX(Uc35(W-dRs$l_7dq- z#U%$aV#kxo9^9H1bCHOsSB~Z?kj5yu8*ks0i5){0{+nK*Y*okX^=`7 zKO)KA3MFpw+RsPD9N`-X2e@X9s}UHAb~Kc(0eq6e?Z8-tSs?m;ud2)?Q;X#^_w@3b zMe>9EKml%E>LBv-X4K5{pFh2)5%5Q9qvVGryc)DJ0*HyvE#g0x$NS$^4V==zH~CM* z+!;R0@L_VbpF!k=LE2IYjrJFfHeQ{s>#Dw5V6TUV;Gt5t@4u%Bu3fV&*~nF}_fX_x zQ7*_|_&JF}$B@un7$lvi4tHCs#a9<^y`a!mfX(XCa-Sy z2~8$u(yNsdW?8mjG%>hN;x{qR7Vr$`E?bljC`E@mqc>IDL$m7n**UaPq0dt#<5Z*H zd^Vt%&6-TT6TC|;^P4eXFf@ifo#OXj{mkPw5EnbQV}qy7%5!LJaD|<;I2|tC2anl# zDQsA7=w3#uu5g@E+-9NO{4nLzaPz_*kFQhj?7Qt7lLTN|A06_Xsr!`wpC*}5N0M~& z7v$Ki;em3Gob&WL8ZVZ!g8C!$u75#8@gFI=-5*&RtEu7r7k_DsrK+U{qA^%p#cDqtMie&#=@h0$(1?ZVG;Eug5NTeYp6vwqAXSE~}w(e~WFUrgd^W-ahRnU%z!rhJ>+NA6uEz>W{?@ zGt4tv;*+aRy$@p%uPB?Y5?`+}!5mOGM1lZH`Kh|JS}w-`TVw_=Kx1FzZ)|84p+=;; zsn{%kU#BnKiH77RfL+FJ2M)Vmf!)P|9FK6WLUkYXBp2V+(3;g~!_9&a=%>%?Bz~Ke6rG9cpC^b^m>AZq3{11yh)PHS|_puJx`Xo<4WjgVo^-)&i|%A zW+?5Ak{uq5hN`oPNSswgqYKUbjCs$QK*7B_6$&88&m*NceR+9-{&CW3{2kAFZCo+` zhV^`SFdVZa#SAO_)#Ncoqg=O0yvNsz?*3(gnX}MuMOMGK%FtkEm*#VD1mSiMC4QVH zhcJ5^EIl~o{Wp}^m~bgF9CYSI8wl+szOy)`WS|ih+~C)hs+A%Xl6TheW|F#F<3MEV z543I2Ixc=1I_;`VaULLy$WRVmBrB7V%_|oX?cv&Y1}yXl-6C)f5iY0K!>a`tPW@t; zpqrFHbkFo#S$y&oUo?)bc%!v}-10@{er|v(>{_ntO}R07NH9^&go^Qil?&JLfV9aI z;p}Dz2@+-Y%|+9dD3QQz+!inggfA|~hWJU?--e_X9?VK5+n7?&3=RmI3gxpiwR+e( zbU$?YJMmHbGHn$pI)Of}%V&(~LkA9shsXoJGq(1lq zy-x;p`uf01{eW%F-w+A! z%#uEcl_=(}@cw6R|Fq&9hG|h&yM>{OM%^a5tt+|{mmhj2h~{K7HGc;|Go1R#TN+?JxTjkdMY|oS!^~4uAE6 zKjNLvRr~m0?f%Z_bCm^}H6jnd+tOhxjPno+mo{%&A{$2yJV>`eE6X?ZdVA{_tS2sZbI&e}{N&8-kV7V)>Ied09_WWDa(&^wUzs z(7*e+t~Qnd#{!hb8fY?}40Siq<0- zUIHT+H-+;m)ll+nc6N4v7xCFLU6$CkI8+|RhA^7R4+?MbJTs!fKD_pVCtbz>*6m%3 zkr3@09aYHF6~I-(aSWD>_RQGlg>DXsc>bluWHsHcu^I+PT=fg6u{nW*l6n)hxz98T8nKH^>LFEFi4nG@v|9t zs*=YJk_)SGyW#U78)rW!a%7)U+tc)3uGJd8Z2nLQ>LqTZN)EDC+9kPAl{P<<}I%C^&6c!%3p~ zGDMp_jA3k%;2Z4f@N(pH(|?^df92@LW?M&4!fI?{gg&EU@CZ$>WIkZ^AYIR8(zb*k z`jvk%DL>QtLazV3Jog>5_cntQ??nQn)RUr&h8Lt-t&+N%xDWfKv{LZ(j-F-e%JxOp%SvQ_lv;9!$9{`3bXhDD3 z&dV{nKt`F}9)oPmX|it^l=l+;w@cNAh1YzgL>w^9|HPGwO$A-g9KBC6SaOz%OAdz8 ze2fo?+I8HPKiy~-kAE%sr(~?KXg6H=#<#+3N?$FyPGw*8js{nhxG=0X30*%<6vMrehlIj6>+q<dnI6L!GY%>UVxSr)nIRjDh+0Q zgu4^}V9NB5Cqo8@*V~goI5n!KHzbg=mYt?@SIVw8wBuz+pzDOOeyqJ7SY5Sif8>yR z>nC|KA0D&_%Vh52m zpBJCWl_b&w{p;ulk7vvt{3C#MiN@pBBm2bfBbT;P7G`)?&zvI*$xY7lw-d3?I8tX% z{^YE7zZOI(fU`%ot!6kqylJe*7t0#x8ECTT0Pwu19dzHgxtdmm#PZ#xUwQ}cBY6kw zeGGh!AI=x|w67w`&zxr&pWM@qz52vR4Xf&1M zlhbMU_XT~~6WeHD1*H(^{90CGc00;*b(0KaEHJgGjB=kJ&E^*d%p_pF#e_nl9Jpg+ zg|qwrd4%5BQGnXqkH$f?82Zf04{y^62Ie?;?x{DFBlSAZxMAS@$3$IS0`Y$hvIh*z z@gZ&nb9TB~N(pZqLKqn6s}H&J8$k}zsv~)$iNe4@d#$3x26=ZH85%IjyZm>Iyh!G& z6HCraoa6WGBfjY1;QUW-WFHt9wYEC-z%4HBLLy4FU&$c5^8ccW%4_e{6@y)|*d+_K zD)h9PRCE$+|7!_I%tkpRn5mp&czx&I*m}RWGqNz)@htdXu)^z@?(WYb6+H%$o4H-x z#_LO7P<6jM!J9F_+qhIWSatx>+k~TAxqg)fepm=Ehlkt*|3N>YtyfkXkJ-{LUSn~o zHaV+YoX|x-;SJ#Pf9EQ6;MK=V28K9?%gKjF$kpEU3=bDYN0&6}NlE|L0s5+D9;e+m zpWRu7tRVW=g*P|TMPIZV8-*+PD*peTVwbaVPFd5&rz?L=2`U|wc+8py_vRzs_`WC< zsr`FSKJ$4fmlU}4|1ThUk!Sv-f|PCeXksCC=Exp#iSDoDErNelKyRj$`n^3oCdS9J s_!;ekH!36y%=el5`2wSA?`+1B2PDWAr0VrlNa(FWPD)9#O57yqe=FVSIsgCw literal 0 HcmV?d00001 diff --git a/doc/8-advanced/groove.png b/doc/8-advanced/groove.png index ee0877c66bfbd45d574b1f50da8d2a80884c5fef..09ac817809c734c3ba47e3e225f4c62e43d013e1 100644 GIT binary patch literal 56077 zcmY(qby!s2_dPyzNtZN=bV(!KC?MV4-60GyG$=TL0@5Yj-6bL+Fm$Kn&Z*#k*p%1+008&hTRBYt0OcECO$_)=rd#j`wTaZP=M1KFrr8Jag!x#h(e4L+A4E(3{S_20aaYZn za%Cp%^IVg>(pei$RZ(Wa2TOha-4Jp1*CvE91j68DM9%caFytbb|8`N#Q#Po+@y68O z2w5LFSF{%U7Nc^BX`QozjJ00W3({tq@Lm z^pl7`eEiv^JQ!Voa@w9C!Q|KlYy6oE-^Y&uGxp~HMci{j+;T*J0Sm{~t_j6>&^x$1CA`x82hT)W_s`tnp_*(M10j_a~97 z%u^L{H5=EXJmIt!3)K2K!pC$e*3q&1= zWDz&R5x`k^cOgAxWbU0k9?m#;_a}@7Q3fS0GOtWEMT9K@*L)8;8|L7&eFSZKPqZ{2 zCi&ri8S?-4`O|l&?I&sxS%b%&(DNuFo+=brOvJEuj>H>_6P5~p2`3C?_a4_D=xp=C*P-F1clau}@!p!tEaAe@G%G*}*{Qt+ERp z0UfGx$cUTdzljAX|4oe7^&aIv**yV6ez>@?3Hhfv1a1cZ%KFCysx|#N2NJL3yp)Z1 zmxv^)HJBhF^grZrwH2UVM>kKxSJ=&?n11#Xz3jMT0>Kx4D}lclkgtRy0->!ZwoynC z{3E1|$Os`XM}C4EY~>JJ0>S}Pg!=wr)oDwRF5O~|@x&E!U09;hu0XgsRsy^hH6P~4 zKQA>yE;B~-Pc4>M1B%c*h47Hi5JKX#vLMZ>=ogO0Nn(&coBm`>z1Nk3e z|ARHcln)bPF7&T;5Q!sd6+pD$Pjt2#)F)wWj!FNkMCQMiRL_5+{3+hQHk!77!U7R^ zr&-}?z&}b58cn0K2K^Vz;2&WK$swhMJVWp)#fM2%f@l7urzbrq3;Q5IsTjqlD!H-x zKap7$pI<5RrSeKrmHe+6Q3(8>7;slz56gN2kN`mtBp2s{(LRDF#F&3|PW&LsrSR@K zZs(P(L49H#p|BH5kP|-wHbeqXsQqh2MD@)MHOB)YhRC$`-n57=aZ}rd*Q1gjq6cQ| zkd#b3Sq2yZrSKmD_a3~c4SHBOWu+wwDQ2hB^%Db6@;CJTPY3DJ&tvKlF2w&-jdAbX zGdxIcUQ5?Mg+KM5Si=x!GWlQX^wuC=N%F&|dje78?NRs;`e%K=?~H4R z5e%RsvXrubuQt*>t ztQGBj{vSR+5S<>i@r2DYL>^;AKZwj(@SZH{A1+VV^e`(}Ko%Wx-74mw)UHWyG(vtD zrUzi04=BiWMgQ*}*SwB3NIicY(ZPsDvBTPZf&hVFu7Ygbrzf0H5e*#^?l$#g8Yp?) zFoD2t|0YAU6A}fYZ)Mh?loHD3SjCDv82`uCFoLQGoDWa_jUWY~1BCY1Zd@q;Pn7?J zvQ~to91@}e7L@wef3Hb=i4~wP5ai$@gj9oy@X96r|9TCPJkE!IS<%xIBk=f#s5R*J z3mhSN0m>)h{%hHPzV)9BWj+3Xvqx=QTA`4lTC3+j!TH1o!Yz;}rtLB45qQ_23Ku&z zK!4voQTAVag#7G=5!Y(T@KOXTD0#lPbm`LMht?pDe}?`9>D;q0MueR`SpdU1N1hM| zQq;zuO~}&&M`8EY4r?6&Oh}&awIAOjMu0(${50yH=3f36^(pnIRyc_JzZR19 z5d6VDEx2Z5c4GELndd^)z~DF6Dcv58`Qz)WNv;R+=Xe zDL%i^ycWakzFo|WvHHahN*5xAo%&}79vx<2Ci-^D-}i#M?G{wu65y6tX{Tp@_wn~K zvpHc0j#wNoyd*~G>|BFk@3!v=PgChUS@BH>6HLIP!N}-{2d3K;z1N9TjZxV4n$9tr zwPQoM)Ag$^H$F^6;y51&621f=rQvGp&HZH;Ll~c|$92;P{s-lR+m8r^f4ng@K#o6g z`cL2H&8YQy`B;NhbrEXP+lh>SeyAHWDiSBO?oN%eV>$9G3eZj+q9Lr=DOkB>;EaVW zC-@lW1E0DdFoBWZ4ZRrY?uMFyD*3tu%0KXq1BuY)pu_9t_iOg9*+T{ysi@?eQRUjI zbuGB@;^h_o(q~63&t=oC5hBxUE91HXkym~xvkIF{&;;BM@WptC)=>= zTR+vDc(aNZWXz;#%EMzkpG4&^6rd{#?Gg*SVi{wRJ(8)A_Q>GLyp}it$~v*;HL1w? z=ULqNInmpS+O6@`GyVZ=N8ef`26n}g$9jo!d})5Ke2wb*7UG)^<5T{a$@F6QNG5JM z84i{(H}wRUK)vxc0(XNyF5P$ix>RI#4;+-2BAbK7jn>2WPY0I8Pd2Xwl!YPk`UXD_ z%p@BvL;~fSzMxi&IhaA~AtDknuKT-UF4b4~Z0(t%8SF5kGTiwO@) z&tIfCJ-W>DFgZV@7yaGxBn5G*8-!PzB2@m z!Oure$fpF-4jMq>Ag_lBV6Wh5?Tx8XFtmwHB4h1EPpj+(Y!&75&HgOBt5;CpPHSSH zT@yjMTA->8sN3bz%e-*5mG;+f!V4&2bR$S4$YF#`Fch@k9@h#T1Y2bE3wGlOZ?AvO--R_ca<6jWJmT92 z?R@kbng9w)wr0C{XG5xWx+rgD&adtz;ib!Nr`U^leeZETU$<^V-CV3V^Nl*djw{CC zae+a8Kwd#Q1_g^Za;aYCr9(jvyn%@)k2KoK!Q#N$nvfCd+LmuoQ!A7%ug-I5v+Q84 zf)~d6AmHgk&X@i9XD?Ufnt6E5xaPN=!V)@1Sub;@OtVA$d^%H!m%5EV`)FQa=ol1s z$J`E{@vJ7#4)mJ`xtf(gQs3NHWt|JB-Hd*r>J=3K&6#X=?GF#yq!UjCZi%E^Xri>#g3H!|W@%=8D!@O^lE;%*yYY2B6^M?B=*7yZcuVl|!n~H=C_M+x(fX zms=Z|ADU44T5V9dX7y5Doe$%;ByrXK(&pK?PkJB6A}h>i6`x|(q_EG|Tvc!{punL3 zoiGc^cy%7-GAr1Pw^Kfp;_IurnUeHiexzQ#F0|&vpj;CBS4n>jz%j;}b@F!Q3qX~WrO_!30B(!2W6btpszU>_l+aLTcG)90Cz!Qdi=@}}nzY!Yxy-1*{$ zwW^E0z4F6u*ZNP6u<}Y_Vt$=9JQ+!s)f&j$(p}S#Uc8y921Jc2D4P)`EBEQ!{@$UF zs>YRe+|I2vVIOv|+t+n=P4+TdwXz8EdTv9rD0jgu&;xI={m%W|yKm6vIOD288JL|G z88e)XjSzAmS2anp*;*z91x3hUC|t`HWb0xn_x?NOW`2#<31l&|MxnflCEbiTK;~xt z+N1J91NG!eHAf4{a`S_8m7UMHM$nwh*p5*GupR;p;2U#EHgl=rmUK~93QLFU1P@N2 zC7HWfJrh!(N+?u2pdGUckZD()*=*vx)7aX!YK=EBG|TE@1KB=|>{;DiCmI<+$9B%0 zyF$mgUv=GGJD&zNo}?FZ_dAyj=^a!pJX|*0FawoE)`BwxEUos7E}9(%@6yIf^C{`r zw_L3+YAYXfx?;6bwt}1nnTD2pTJ1l7iIoWEJm0PE(%BV-;Q!t!XVbH)y8T`*Kj#ar z9@e-qW)FHeR*Rdx`pXk<1J7%I)q)+6?iEA%TkXCgSSR()aEF}Syu5x+@?uRXaO*s6 zYJyo3cJtX1C;s^SS~9>6g<0$3@zm>hl;ZMMVmlM_jj(@7lXS7cESZiZfu8=NFM3uru-KzB9=9jn$o!ex?JKP-#sdP|Z zSr)tAk+myQW~*8ajx!HF4|iTAbNOe~m*d68jcSj{sRNGtnQVoy@a2IOxP8aWxzY^f zP4=3|m>gq7wLEhFbW<*oYBv2+)_2^V zC>aIV<}dcjF>e68OY~ygF%l*42esyO{$s2i(LXa-?9kwbFGyKLzC$3~j!JAfUo=+~ zNn!l!_shk8W7etu70DNDLDl7Zq)1~XJ{@dqW2DUN%}u${3;p`z7$eGIxy9Tl0@Y&c zBh3L{AW;s&U;HF^_U=CY@|rf6&zMj3tmWCZ`aY}??x26V5C>J{u$Hn))4OyIDMu{< zx+nrfp-9lkZngC{TMENf_8&~d$9$|40y8RB(%y=HWlK2zddcDYX_A->e^M4gg>xfy zhA$0a&+qEnnVO`jUo&ez+=PsuE=DpLJgS^D^+tcd zR?TiQp8+0f?U}8=6-kBaOqx_4Uf6U_9=)4l-+W12SXAu++@h;Ma2 zxv!@AtR`b0!#5mtW1iLg;|t^uUG!Gy1h$9SV?fE>AJy)16CuMnerWo9$65XKc$@39 z@bgK68u!@}FdV4_DBG|OjE6Qjl`pB2ad=tmok4;yMrGnMUN|_!%^nSK7`zVqe&Gqd z<+~Ad=FKF1I{uT2jL~=rtqX{X{c1>VQXsaTP7`1i(suSKsI^pqf)|bDOBqAZf}}!- z&uZp6u=9n6Q?G_~P|84t`g^Lm`Hq5}PSkNvm;2M)<%wL7z`HdRM9fGgOcM6YxA8*$ zh1?GM`@iSv9MMH9U_0dJnE{R^;vrHDOO4-6jjTo&Ew0B(t8^r-td{gILrXgZgksNQOQ)-F?(~-M}RqY zug)7@gk=@b;&@X%cVu}C2!_5)CK1zdMX$oa_XgHGiR7%cCOz0>J>7> zC}7m+>LdkP(}u_pbShSwk`-l%y(#6&U*_8U0*k$C|h9m~)&N-n<5XkT;iIyg3_`f*b*c812zD zYoRThBPbss(F(dYrU3iAXPf6kRiDe;V!-APKtoKxE5Z}D1CyXu$CHj}H1%T5aC4$Z z0xgu!_kxxWgfjuYs+h;*f*eJ8%jk?j#<=Qr#y!ld=B@U6={5|h!P#WU0M_TspMbyR z^HKM)m~gk^w)m@^tT2lCwVCNjuC=D9TDS127DiqTfZGSf)WSDoQo8HXR7?U70DW%q0-y8yz35{VRb7VRAGQMXr z-*MmsAp3of4cBEq(CH4f*3*aTU?O>pa(-wl*=%Nwc*GHO(RY$+#wh;mZ@V8G)Gjf- zF`KFsdJxM-=1etxpO=CmMxg~?!}gbgD%6IdC}T+DOXG(q`f+!co>0q=^xCFo~OG389F&P>h+R#>DEdBs{=v;m%t%^fnT zIBL+_2nwMvIMjgxp!&(iIBDi7fpVqzc(VFh zm!auw;G|2=adnSm6OS&~4Zi3=7xd6ug|bIsuwJMHI^X$d*WO@9)@RoEmwva_yMZ0j zLO3F+rVI#i@{=&@RD!?qgHI^Sk+kvr_&Paf%k)Sf*w}+O1rod*$LV2AwX=<*cuq#? z$#bN6V46S1SUhwu9rpKf_}*V~@$%wJ5FV{Wt?2RVdV-;$=SZkVJi6oNdk7tQJn2Xm zS!&Yj<}0Nqf84>Zl|l(Qs6|!^;hpEG%fxCkny#Hd}O5sm5`Hqv+c;mvQ|KSRHNzs<5ui_j=k3yjYXdSX?>Xv zo7imCf|IPj<|&0g`Wfu?SW-Jd_k{NmynZN4aVa~0qEDM0)-`8+=sV! zvA`a!_y*eL{LS?Dl4_Z-(>AokGHn)i{49}-<;R({TaaW?=^HCLz80g$Dw+Zzd?Ho{ ziu@w3>$N7cv?z)A#w`90-?tupRS6jxS37rOJC*v}Wy^BNC$Qc7M8)$W$rrwp%cj{) zscl0D5;Snq0YyAL_l%Q_64ub~&-pC|xqARn&zlNLs0@}WslSf|S zBDNx2W2Q|-YrAPvhtC$=PhJ^*Smmf6{EoGdDiw7 z1*B_VGn(AR7u@FocaG1>z>>)1>4+gJgNrpG+dr6{ znw%d69yD#qrnVn;aG6I8yWB6(-&jjH?aiHw;T~u+e_4U{?E&?&G#?Xbj$J(T#IYZJ z>3A--(yaFlx_z!PZJaQ!0_{is9DBoVINQfoYfgkpbSl?~@vTipL7wwe-F=TQe7J5M zwb}!yIDt;u@zF+=`pw&O;fglJkdP{T&rt`;a}Ol>(?U?p_E?TsgQYcUdus?Z`g^^E@1=oH7xy}@T!WU->M<*>_ zJnl`UojEagU41IpVf6I$k~FQ447;&mP`Wsol|E*+!&~rNee|O#1Kf+0h>)za6&Ppv7p zA=hfrMIiRN`;N0KAfeE%m&SqX43ki56Gf(Z{C6 zDMGzz{i|MX6_hudeNHd#_RsG$x9J}9GAY+KRv0b1%s zULrll9aQ$*glCe>I^SJlrXg=od|1sts&|h>Ir$kY9fik@Gw;RQtBPBT6j862J+62m zt7n{P;%^G%PIa6FM~u!WypTc+tS|(->qiqlc}YIHVrT&XwEm+lx@>8K#wa7)K`v+> zqm`#Pf|BZ|hE>+kyN(mzHq+o>#_hbXb-`N?MatdFa^fR6np1pL16wcO*nJ-yY>)*z zYLIqiipBw@s?6RQw1&}--{(L;$-pS@$F;C76$gKn_APS|n)lu^mtdQx<4qyf)XsVk z2>_6)o{xff++?hcwF*dv{5*0Sy*)p@gd7SQC5pN-OP@@&BQK1CR&u;R$IJ(*7=sU7 zkyG4uP)!Ezll|+3VOG^KG55PI12}nFH&Ph=v!k^vFF~Kn@3E{7BbW&7Ycg?I-PzuA zqI0kYxzvoJaK{=R$B9mMXdIQq*tGg`p2cmCDw*kZAQ{4^s75_kPC=#7_R0%`V)mq^lTF}3MTEg| zf82=y$GS4l+0gM-mPD{@eops(hhdj<#5wc%8!w4SncJ`*PP&yF?_aQ#g{k3sqW*TM z<2|p+)vtj-lTT^JZ&uq&YaN!j@BJk%f8RCVT>A01DMkL(w0KXo#`9q-1Y8bP)R2iZ zLqg<(fFUyMFaD`i?P$2MA~sB_Sn&NUNZ4Z~nz*z`bZY#f=T=5mNj*HyMH6?Xlu+wy z)EUftxLt{aTfyg5@HM0AKAhonq$@)59puWt0IZu8Pc`Y)leF&rGih2@FoRp@K@M!u zDT)*3AMo_ldn8l+03Hagw`m^Khm<8du2DkHWOW3FlDM{EL)UmE8i?P03xCRBNKV=O z9;&7TIogAnvZCU$+kBw3H!4wOYbrUf%K)$BmmKQh0x#`+smf@=s=` zlD>yf&U`ou%mvEsAWY(i=A<5r<}5HFC3#3p3ghhrmb!o?d$rl?Qm>_e!Je1+6OSIz zmwO`=?cW&M^;~kfDKzi0Chkp6iYYHq+9l<{Gi%56u+PSBphd+Tt#^K9j%(e@0cAYg z7m3GolLzb2oc;Ol9xH6y!*x_0^?zZ{6lcRZsnlpEqL06b>@_d0`9eo{!jBO~?h1Ym z-{BTI6a$?-OV$T&Mi!U1MTC~_>>M!Mj^|tgZ2~c-OUT}X$=;tF&U@{$C)ypYQssQv z%k>m8x$Wk+9ipam^jcft%n-0eL(N^(QuA@V(1y;I#bQhG5KD2jP*mfkzOl^>ocUNP zch4_7r^=QSxr-lS+>yp(`?Ms4^}cC-K-qdDHZ<$fjxQnNH_6OrnumF>s1+6a-S2Mt zjZ$=BxD{h9i0h5ZU;#eMhpPL&M7zXbxFs2ByUN&Ln?RAJcb{k258^ncQPUd%FN*=U zQ-r&VHuyqW^Rp=?+r55%A-0}tEeE~#_cre0bzi1_bH{!ErYz&l=1eF`+lSrBbyJb9 zm}7^<8bQ+9qE>K5tuw^7@GT=QIHxi2_*b5G=fi+nF`WeH<`7Q87NF)+{Rq41c*k&~ z&862LjS#jv(|3N8>3O=~Yrjc{ncZMXBOy=qlbG${)tMSRv_k`F0E<)LSWmv8KxS5A zuZonC|IT@&*=zDPUuS8fuM%qcKU+MZrS;_M1XuvcL1uGK#7cSG%Qe))w(O)X`IX-Q z(k6yaK#fNy<%Mf3Bb}Iu=3mgnKJE#(nIakGPFypz8k^Ph@Y5xvur1L#*bMR1-rZB) zB~QaqEf)59C=>TkWV$P!tDHz?Ga+B_o}P}P3DB!pkzt;$y9;bQEsn^4NAlo?Ano?J zj2-I=B6;$-6K$pos(&9eax@xr9%a2VFU{|zgr0jHv|$D{^AC7Ilgyaatv47(yTPmG z7}yEooBHD|5`PB_Lk&7gpV3D`n&hR$X}#hIQlCe5A2Ijsj?* z0}<=1-7F#>XBzGL*bMr*1Pd?^d+eLrC;N|}XwPP`$ty9Ve&H>ehu0SHX>TYzx-)sc zjR*R&_6;7ak}1gdD%X7t-bD(fM(O#i zuMzKAjl+zmO#F~(^)+u6V+^yDTF$78iNlojYNF+NP2PTaBnwW0Bmcg+kA66pXt|#1 zTI=Mt?G{?cKgj_?-LOWSJo&iB(`$lpg}?d5pawzlD)lNC2Km+Hl^v-??wDcE5Dph- zFSf6J6KAe-AVw0X;$6V}J}0e3%{BZPemNnrNgNzmAGV_F*xdY+jW=d17A!03iTs!(nEfG>0LfQosBaiPDMuS zWGMGRc&Bk9$^Do}TXbRL(7KOv9lyV(dteZ6XXNL<%+EjP7nd*6!gnbORKHYPfG@pZ z3xcg&uKoIfGeTD|SLKyT5(zb7IKRcGwlW1Yoh&Zh)Y=K%x3Duar>LenYIlF5$4ufD z^?LB!m-JnK$^H{CR13G5LsF`<;{pI$ph!0|aa3^l z|C&(zS;VY!d)m=vl#aGWS}2nQm0Fdu7I?EV91PH4aUNGP_~6lwyY_5A$P>X%29xss zdi#L&LfL5iqria1D_`W!wVBD%$P!%h+o4RPyz1!;I>vb|17GYHv9^fphy7XLeid)`HN-nl<6Na7M4LW>wph`Z{bIOT$-Kzw6NbCpcLbBl5moH<(-5qRH%pM zM(6sbzGD&9M6u1DMFNO-gL$j?#eR0yL_Mw7diW8?1IJyxemLyD*vPE@Mu9SuINaQp zTvehJfeSeoA2k6Ndk_0h1@j#$YoYZlGTgo)%ZJZ&Ys~lPcVFxxA^V7!9HRmB zC}abXpA138xB}zGbo#DQ5eB*=qJ2)X^;BTtT>{KLxE%vrPMpKZwMeLE{V|EtPRwm^jB| zw_@c4KeBDME)t;X{%tlDX@xbxWzA?gA@Z;!YIK%rC6z<7S?t8p(d8fWn5nU}+xNWz zQMcBVNDaog8PDc4VDzxNoQm8YPn%cAR-i~G0)#f00GBQvBN6Xj9bCT~N*?}7lX&apzu0cd98Z>VR`;SvxSBEOAK4Ldz&dG7Yr zle&~~SZD5Z^@oFOEigHKZs2q-@9@0df(Q5alqVkzN7|>`l8Sb;9S2;1b|F!=(BCD1 zK9tlM>BA`Jd6(!!b=?dxGPzvc>iFw+rrknSq6)L>C3$jo^%9BC<+;oPlBZzO3d|7U zAj8Rr1S8Akoxztlx&uPhbdRdy4R0DeX@b~qp$$kz)e&_E zJLw`nsW=C^{1T(u>ip;4_SDO9&GE43Wx(F$so2}uBD#fRVVayQ|HU+~G*W_d?emE9hV!j+=3RV) zK*Rwv034TM-F}uE?A>vK?*Ftr<2!lN?7m{({yF?y#Zj0dkzbrRO#cOn`Y>-s)rhsO zJAiHYE%~QKsWj+gy)TF-#l(if>;ygv?@BYrLFIjAd&m!-0LY>UHI=-0Nz}>PqZ<|R zFrd<7Ic{q4$hOh{ANY`l;+c`;Pnp|B=Utc*_X&$q5=g zTR9M`pIuxz=}1m9-}!7}V54^}K{SYpI-Ld3^y>+muS8lme-j$e00 zmwN69&@O|_5nBM~l#vTE5A@N`?Lg!XK@4dty@#8aVY7Rl{| zhyi_)+aBB;i?Fw-_s>JqFRLaf_z&K|?+C2US$ZIR4nbCqL%0)KPoX&dRUk`j{oT=p z4kf>jDYH&&=c9Q118gcFq)RIwKkjR8eqQvkGxgBT2zP8RITEgjKK*$CZ}V{9&o72KXT{dPS5Bi@`OP=Jw|LeJKQp|=U}<%cd-DGvkgq(QlZBwB0{gH!zJ zi%d*+u_#)CSA+*giO&F6c}Cj+zK{Hp^5lwFv$ zH*se(t4=R1ytF@|OL?Dw59i1!l+$&%+gOpMpOI1s^eP(BG6j;c6_(YeR&)uz%C*$1 zHT@u4p(UY^_#q*oR^i92a161068`T#`E*6wF~Me}uIktc_`B~X%j*HIrPID^PtLa;Z>5~2|2C9FThQM5~dSe#c$|2DEG8T42=s>RkAPRhQ0Q0}LtEt#BwwS@&@@TmzhN?WMf27V+4OQ}nL~qfK$OpV<#pYQq$Jm400Sv!UZqTZPJBMPXguG4Lr_dyH`c1k_R=1^K~A!Rfh(CW(EWWF zvj!#p%XH13QR_2NrhQD-FS`zshzRF(nCj#&uwStM*{$P=*TzE4!Ce~9w)3VHSnISE zS6P`}0gAr83N_E%JqtFT6`n%wrJRQR5UMa!Ls_7Tl^YcDR#Zt*D1dlG+{gT?*BFbw zBD0wC>088h4|S?l6(?h}8KE84a+Z;IPIbX&H6NkRLxC{lTFu+G@bsUdrsvS#jEV~! z3XNq>hPCqmX#=zSmT>-y*aD(`UnOJ+1Mjg(TY}7`=vun8oVmX z#eULCg(h6+JLLk1b=F$bFvcuOSCH7*sY@a5r0t@zfNC2&8)*ECDS+s;7lTtI(sxf2 z-q#feib?$;U^JJ-(;c~rbj)DW1O7;XIpMJ+pSf>(3)JE3cRuVoymC3C*3aM&N>l1& z{3Y!#S?RX(=mNgduxBPEFQ=9Y9Op+;4cr{EKMa9L!z?<%vp57TwU*=7)&;EP1_{ps zW@TScZw2}*TF&p>1P3>DTQ6lwdbnj>S8HL@$uH4;%dnzm6|3ON!AU=$u#!-OX%@o8 z8=w?fiVzd)SN4-AQyA~fTxw_T6lRDnGQy=TF%GnTj3op`1b8F!Z)y#WW9Pksam)%a zy>=${7FXqj1CsveR%kuu34bfQ$cUlsd}C$~eDQ1Pb^O_nMf)SW4|8$!m!+8qe`#I1 zBcS&h)Hf@ z__t1#YNbRfIW&vec)d&)Ggn?5MVTi5DfsaWEq#oD^$3o{Z-#t@=%37_KNAJ<8tF4V zZKKhb_EkZYKgbUR-4vw6Vy&yqJ5iR4jUwq~yVI5fZ(@l=Wrq2>HQxDyzQXQ4BMbPE z9860noN^(g%-|oJZ1^a2@ZM~6lwn|#;=9-=eIlR8*lwVS7jIZ|oRCR{kTbazYU5kg zIb{CwE>Q;7T4ktGL_p%b0;<`IQ79V7NdO-u?%uQt zbW-|NY|=cciCUqM*pO2EL&?mYgG;e|SfbooD~4}2QzuU+Qro-fI#|ZAE{bdv_3VHe z(d87tovzSmJli7Z6L>cuR*4h!?;Z7-SRq@Fh*lFyZ=|)}4cAA@tU6Ujk<&c|AKY|i z#!wB~&b;M={yX<{As&(V^j9~dSXkNCC(+o$Z!rlsQao6FNS!fhjuKb=ccn@Jy-R8D z&G)$<+-i2=p(zA<%O88-^s_m<7k7)HGpWI~sy)TNFO&pi%&x#S^Vl-%U1BnUW=U@R zAv*!@o;UUEJn-&`WMN2tf7fmBDLZHJbAMDa8Txdk;3cwd(Az|S)TR9x!$bZj z>W|H1+^lH9?uAgnY{9L7R7vlP^bR2M)@0(+>k}+#U$?5L9==>QuH%{gQ-t)< zXK43s){1{-GzL8M%*5WnKo*!tLM(9auo^8swksBjz?#XLn@UewJH>Gv-(_#2OfA5P zexWzRGW5jhl=6#&0up0n%1?h75eo;i!`Pn{{<1bIRmwgQn=HfQ>5=5)B@3tPI08w` z?V|*W8H*(TwX3>BlF)3G>cv90MlzuzIqK4x`cq-U3nM*7!k?22@<*Rbcse7_sD1=} ztkY98kb(sIU-`(UldG(?IBUIgz^D?Kb;uk~y3lyuR^}DRourr^jdhhd^i;k-mK4k! zmxZ9U754E02{*t9rDd!gy;Z!njb*L796arH;mI5F(1V^jbtMxA>i#H<&kPwQVgI%T2#}?~QT$7p7V&(WD4< zIt}H0#E=dmt=No4gxw(WtqM~CWV7d~mlvx&`R`V=ZT@GhH)C~)Lc|-%ozl1>1 z>$KjzLKaUm<-6?Y4=v$pFX~9DxOq8ig~jqMa?$>rC@X6iRiuqiTn_{VC%Z?Tqr3)C1xvw3$-ZSA{~H?s9KBF@zZ{FCTg z-!_UDk_<%;O?CKY+A-?2pawS| zhU!1m)E;J(`-jmSL)qHDohkal{gG`A>Sy}-Li$iEjmOb=QVgwK?-eWl3Q4VKwq2o& z&duc=3vPN{yZ&j}$2T^-GG7bvppyp}*?s@S*drbUCc#UXJEexc{v0l+sVTw2{ra7S zm;__MSd!GIFF`^x=$R)f6I-23MmMDMTx8QbDD9d1Z zsD_bi=(5sJ(5pkZ`a}e5cV|n5lyaw)NFO#_@?Xqw|9(?}p9^PQgdJ+Vi_SKIYP`j| zPzS$XPu|WE^gp0!4*EOMp5AkF`@8!dyTHSLBG5lEcwO)=7Q{2Nppi$UQAIz6e*^BrHZ&A%|pE6&Fi@QSNF8#%*d+kyF_b!sO(NUY^$1Rn& zuyVaec5m-(sRkcLLr)#A zNzv*w3*Lp<;-$5Vw4O9JVp{FGl2Mi+2KN9TXdW5V?gYpTiNd5oxrMxYR` zz&Gh4Q5WvR?B`i_TTw~5Hp1%S4{xhJM77=Z;6Gf=f$r7PPXbmS`pxU+pWV>eq(2T0 zbp0Lm4c^_I-di~QBW1H6EOVKUT5ZcE>w%_(IYlh%0oqTZ6elTu^C)l8lqpZ+{X#zc zvy>XQvv9v{qlFV2AK!pM}PzWc9&%#T0~oq{iM>QG_x~h?#0pE2aMK34C!N z0yOPWL}Xq<<+1IRBD|X6el^xiyZaBc<~mL=bTZ*PFKlk+GP_y5y$|4CbNTS_s2%)xN;eQ~ zCotfWeYrK*W0awI{Hu#G#-+Z$Wc0`3Kr+4!I5h*$l15mwI3 z*ddiZ=PyNDJS3F;+nL9#f^Y|jR)p~a!i^VC#-1+e+zJ0=1Z(N3N-n^QlfARb3Nygh z(^wVn{!^z~I*s4^3DiE(z^%t7)k)&Y0=WLoW{j(HvRq&{A0;)m+YzS>^GRxcvrNYh z>$4OGJ>32{w@G*Fg!jel;L9YGE@6IMMB5`APwn^yAHV%E#iznk3tO z5_?b$$=I_|v_G`LW~fEc zCN=L}LGrNZVlr578uj2WFBnZ-Vs0DOlD#*9x1lL=ub_0$q!^=-52c*8=u9bbxmMRV z4Moh!X%;iW<1dFU&FGf*?nTkVm+L&~+`b42NF+0i2U2Vgx5`-ir$L~xV4>3Cs6CzD zAYa9z=xjkw2pwd}q~>LUcIvlRA9p%Xy(|JJ=~!Qo$a;jH$zy$g9^=pP#Q|^v$ozv&vuz#Qu&vgGF2iR4o|3<7HfDoYgORZX0_$i&}>t1UerbV=7D9?F}&Ns49D>Azf*tSwD%si`MpEtfhoCy zpZJRaMC^jq%6}L^{nGN?_tQOglJdknI0;3jZ&MyWFt_0NR$FtjRQeMg)JMsIC33@= zUygTI6HBxIX4BIDWAd%wpm{>+LLxDP&~!)*1d!gW!5yb}o77@7<*OQ*FQ{IP`>UG# zF=vN7x>%Ry(2lQVFNyJ;6hpuJ^tysRwya0f2H8$prrLovlXh|7XIsRnR%d(Dw(?;J zE!CnhWw#vou$f4Beyc9{5?yrlx(JxiE|Khwkiwj!cb5#zaMv#1aNVziN;OZ7PP_4R zPZGXYP2I3$ZsqzQb(y<^x~fQ1Q2(nWM|ptzvFKfns5DD0x2Q_JD*SBc)C`-w(-sXH za>yRlnX4l?T}&S3qN;@IuK*3u44ZZ6isp%Di5Cu6-c^5F-WdKm148DMo^yf&!T30; z#_QB8%(V#1_RChnw4nPA3;Z1AQ4W=o>Hhh|2NJpaS z1ER1lk;cY~f?~4i+DFxzR9!w@c5I6DX*QNlyt_2?YOLN7OKK+UI znih*X`h(PvVo`PK12f?K+0tvoLnDw4ZD*`p6&7=7stCMeO$aLNVN^W|e+83vk_(gN z5pna<&87ure^tqn(HzaOAER^2QUW3yjrXdbS#vX%n_|B=vj$AH7sIpG5oTCuWF>cp zg!um>U<+B0Jf!tZIPCnzh~5I|=Bo;Z|8Ca042evK?RS`~98$Ylo+@kQ^xj}0+l)MG zCV34lIN&aW>Gl1q(5_YIRTK#EE=5!Agu0uAk{5rq)Xm2;gSa{B;geb;gZizY4A7x= z+BB$ojr;Mo(JdICE>hJxoTgVWa==JJ4oO7%V^2U9 zud@|pB}%fk?d{9@ALN$efov+=mpu!J&Fds$&B4=cdfCB=i@B6!ze>in48Lh!0S3sz zES_oLPD!55jftI}1`ED&$A?8k6qtMTC9>2qeEr%kQaC;-5-L(j$nP)q@#5`1X%Tq@ z?2x!5@#x*Zy^BRgy0}>}upMLa4z8Eoc^-Y0&aMXDpOrlSYXxbR_g;z7Jl{Eja^+1#uM>@5_3MOgeDP6L!w^$7j-Y%KoW-O2rN zb<`%w#o+DZzHI!xtUI?RGG$29uHm898ykM}(#t9>ipCZ24^5eZxB2K$WV=&7?5TKs zgkT7E9WETOnk_;mA1tWOceo#W#NSUcg>-?oGxVxJumin^dWs0pcUKADogJ@gVc)4V z+mLd#z`L|)&;bu}ICrTd8R^|gWT9j{@k38`q2XdZh}SJa2B26+j?_&1gEp163RyxD zl$ecZMP>+HGXes5?3G&pY|P~LxKQ$8dXp}wEf0>AfqpPqdJAfMaeI3VnhIFGALa(0 z#%ks~e3HE9QPAErlN!+)m^LQwu&0%FE{l?K!!%{tb1S>p~o0g*`L(5X@aWf8}WZ zK&&Ql=H=%1-gghz4fLp&(@B{bV?lKl3Us{rCU$WCN=XO%Jf6f2U}~=2{uA#9OumTD za&ovKA2u!4@+CN(?k~1(0Wh^MhriuiRuQofdF;cF8)TpM8oR%u3O@ZJ`S{mO&^72| z+nwT7*KyNT#OimJnC~SiIdBbJHa2N~RIKvqV`knEB?39L+HgpS^PaWOXMQ!v&(!ac zA)ihEK6MOTz3?k#7{zSN4oIs0$T0M+=!n1&BL_PFbH~HmAvO?O$JSlYwA&W> z;(74Pl;n&frS~y4DW>99IK` z%;hQUmEYEPqncCRs|uEpWor$}JB6zsnnal>QIiVk(@6(mML>zV$t)kss+K+lSj@@M<6#sJrlv7v`w>SPny>KsQ9vZP zrFRuYE-rW(A%^Yv&F{261Jt+N?{2B!QW2v#Z#ROYUQ~ODd^Wd*j)6)?I1qDOZttt6&cJv!^)>b#mBoQhT z4JJKwKjYAL^$qRluB|V&M-WYgMH=bDj-PwAR~qS&jcYic|W$d$XqQ0jc)N(1&1}6CoU* z#3@n$n85ez_fUA#b!K}!H;+(Nt)^)T|-=`si}+*w&i@r==!x-5YLj-JJih|Kx>Of;U6;J_$8y8af&g6B*etTU zm2QjANJPLL9P`Cu z!k7#}Q@<9SUF#FjpX7YETn;FXKJ#3t)9DOEPO*DddpXuHb(0Gc1Y+?RtM-O%N9nh{ z%mm*--a5TFxrHk%JCg*ZWC|pH_Ur?_-FPN@VK)*57q%8esork>C~xkm-JKvLl!+YG6G!C z2qJTCIN>@AIgf3BYxYoB1MthSoWOLZ(p6NLO^T4FhX>IIWWgHGzDWCb(?)Go)U(#} ztqzmrBB4#Cr$gf0-B_=7U!j+rc`EnMX;Lx&9O%97d&HFbuMlwFNj%#cE@5Y?aFk&8nq**P_rF_56V_kAzxx{wS0HE8ziYN z4_@&;dj){DC2ElTHU!+v1BbtLex>5&b-Y~5TRs;kr*?!Rq?qZNoKSnYAt0glBkeIs zG6MLz+40ye!04pmt_`^c%AjX7?@762LbYigpS+N?ABJ~K7xp_IsNW;NNwEc)bQWmo zGTFjfW4Py(q6^n~FX2}^64%l>GFqHq?B{mL2H@uX{M$Rdma({tqo2dgDI-?gVAUTa z7scbaL6{n!jOr3-a@PoMDnFk1GJ7#2lEVqDIp6ki+8HQD<2?_|j6cvG^X~7Q?TcL3 zGwasnqtdNX7f0lB1CODg@oYv#YgK5;_Oj)2$pH+ ztoQ3@K3y0L2n7^SVl()lAmBK&FrUlVyBM-CGoEzfLxa|^T$5sv6d~Q4q{_p~YZNqI zdjs~V<-(0Y|8Zrb_BP?|6%K-gC71%Km!Ro?QX)pjXOO_#xJb zakicFJa?L=G`2q79bnueq-%G)p9uo>n3}HzkOnn8- z$B}xDk9)&OT}9vYue6XulVH>P6lMu%a*_fn-$=)1G?u+NLwN{XcH>-^!O^*KMckKN zOEISonWEsWItp{#yfOLP+5|Ckzo_>_+m7xSk#Tu2xKfTkIS-r0PTFbW!*5-8K7VX(I_(I1E0-XHW$9MiLbx?az7Ru46-8nh>O2vj_P#ryYOGY+wTm zqOt)IwG?amo0Y&Q1Aczq8k`S*Xws<&t^P7BJUuzN{5iMP3Eo#Nf7>ENUyDB~PY1CE z^^)>Z$KmvTRJ?GaLFXfTnQ;Rug8+TgFo6!yhgV?Yj2y$h9-{i=YpaOm?$)9FBmBts zpM-%4+2z3O`$xTxNO_I6-)@+6CB(Sj-n-HVV}*Xw@9^KoiOCVWuGVc1>mkg&DE`nj z4p8)pnpOCH%-y=!fgmgFoWXd5e(orG zwWn4MinnG~a)#jo*Vw*YEYx?$$?#99k5&lvGf?HDRGu>9GY*QV^ujE&X|C06z2DIC zU@8L6&Ld^`=8XP1$yfoSqGq^URy$7{^#=2Yp1&1zixT{{74o^ojr?}gBiQBoHy#d7**OSI-!d)k*kx5v>Jzb5*XNJv-3- zFx%miBn!f2@L{cPsw-~|YoCH1F-|(QUVQhxQgVRHcO87+Us_4s%S`S!*SOYh$DMT* zZ`k>{yVxssp=H~!vzSN$Mu&lV+>7z>nXf5jV_Hy0`v$y~`$!)A@J5mE=^8{uJE|6%m#UIQ)$KKj9nUu9)U?RB*yxW0bGM3`qryqm zaKBKh7LtyJm@4MbIsXSJmM!loH$^-rb5+)OrTa6mPBq`<^Pc??qqbyQ01VWud9Tcv zk8HQm24v(!i5nS-=+8vVoRtkvbM;tV=6StL_eiz_)hZrlBTL)!bld}L1QwZh%M82b zj#ovykO*mai0!(%ew?~g@vEu+Og-t;sDPN9J3S3CEd!Ou) ztwdb;p97M7V2$37v6rBDKX;D1qURb^l&x42{a7mWRyGRgZiSyYB&zGKt<2`769$C@ykeo!MoBMc=`T_H)WU>j~o1<#%VZ z{nyOifXi;az_fzyDvjwdntUrTx2y9z&`8sQfd&)mEAmCR>Y!be6;P3?(=?tc1t7xf z6;R4Ve^@R*9k*|!K|nYgF*3VWnb6Rl2{%gD`_YKB*hn-mFxcPw8S)(b>|*h?tp$5{ z{*k8Wo~k{FW+?fka2AmQo*}rEL(hKaPw(HY*2Z(S4OdWqoBJD1bKFarR>-ohq(nu@ zm6_8ss5r=9bgRwdRmZ>G*Ef|-YpzZ0ML%&)}uDUGmPu%zPHb_8k@(Wg~|h@r&{lCM3;! zB*x|3=xzjuPwf^>4B`H4wH%pCXU})@ob|11d^g++w)C2R4r0XREK5y|?^ik@E$}k2 z+g%ikb?)=i?Tyfz_2l4i7Iflu%Mk>HO>qRv(mhV;drO3S{)z`NI(o#1_C|*?dq#ty z_aQ@faOX|UuFA5CPy5gACo)$B#2^1TmL?n?Hpg&OYS`4Qv@{HAKdR&Aj1ykn4z9POO@B6LH(xYi_tsUTQW-WAz&B zn;{T!y>EeND@)UL%hO`n{kmhKPix>U*MZlBPxJ@15gJQ&c}Tn$bwtnP^{FuppDz5i z$45;Pw)0r8(ty4sNN5U6*|twbSlj@K zC@b#nFWCt!i0hWr*&Q2Pj1K2)>eL#Y^M0XUHT+f}p>9ZHI6W#HrK z5Nm*&SDOF1dWZhY$_G!=XAW- zV%~j_?1psKdk#j1(vW(;)VeO$iZXkYE#3f@%?Lk|4^X}sv(>sZAaV2W1?<(3%Di?q zLPo4}MjtouuxJ!Y(SraCNMf%dV7cc9QM+Dky*@y#B>ks7{~kyIY4x*sjt!s57YZ=9 zU|jX4f3km0_{WcF-WxkDh4eoy(NIw@-+;);48P0sia$_Ne(ONTOsMv?CXV8Ljl$*r zqXVCO{4lRGGip#jJ6>Oza7?QTk`L|YTuPjZat(m{7pZ|n?3SrGcaZ3)&jNuC<_<>PBLTV>J(-u!%JIJd=XdQGgvv+y=lhwj zTkpHZ(*A~{e^%LRpz6Uv)W))9XvCYFK>inUu}QDTsf3Eq5BRIPN5w{6SvHsRt`XXlN23TE7Qjsn zb}zq0Inm{PQ7|Nc@B90f&1Cncv#m9wT{a4FXV$sx+qoiD_xtz}8#6Sg*$0FhF~q4I ze;xmi0DvB;{+`|4dO}Hs&l_H!Ie9i%-XZJO3BhA9`}>c56I-c=m?)lWL^Lh2X1uC( z96?(M6=F^%#o6$@3;u)N{{d6be|JnN^r!gm;}`22E?a4~Aah>y{utsA&I!vKD7*CU z*!DT~=g3g&qcq``(Pcqjmf$MmbhdwRw2dRO>e>z`M#4R?TjY?PQmlmAXRYr}L1JbT z>z&&uR^hkPrOFbPjf*pJ^N9m~X1lf+UelxUO$SMcsVQQ%aXr}E@J8veN(M?WIe}a08N)i7XlS?jcMotzmPayw0d=kp0PnvD zMStHu9C&7R-V~Yxt`UPtd8wgPV*_DL6Zr9LkaL*Z@sa%g_>WEpl8$q$Gk`5>*=}5X zvJNBU2MOuF&1A-zm85I&AG|r>LR7Vwl^f**R8$jIkY<#p(U6u@`q1dFMS;@M>70No zE0yErj@4k=@aUzHZutoH|A*f!`4?(9F>JBoA9Q}IeLXTy=$l$z>(^z{p{Bxs}45vl3Ty8i~>%zpl_p0hdqaK_oY z{N$+lkzK>4RX=%~lD$nSaieA^otmi3$aZ!4BKrZKCbJmNJ8VFs(T&{d@V`t=^ou!B z(2uPR^3>?Vl};jO`Z|pFgNbfiMHgwrWMnbKWK~2a>r)yeSy55@v6IyJj_5y)ACzHB zmx-D$`SQI=6CRi(#cM%x&WFUfu-OU!Bho+`BU_>09R||ZB@2=78gB8{v6G=B+V1ll z+(;K+u_aOQoCD-igSWqsYp5iq+|h{lRH;?6x3AgGC`v~+OvYhV`Dd=l{hatVEt%+U z^#5qdio9qZOUuSdI_&`{2lkuhq*J6W#@sW*1f=Hoy49euwz~SKo4oyY`{UITegBcm zFLQ;UWFB30mdfUv3h{B{Vc3tLFTXfwzi{vTe@#~9ee)rG6%u8KxzRwE1N@pvax-!< zkpJ8#@z{soMTSj|!}3hM)__zORT|_;Udn1XML8jZtZUcf9b)-Wb6AMuld3N^ViAr5>~_l;FuT|Bu0Q zNa0EgLx4aQd9=OM0;RrAk=+#qVFUyX}nM3KSoTR%0{%!YTb1EXj(}A2l@WN2~ z<|C-N|40w7wVTFg0$A#O>2Ul&gk(gh+(#HtuTiea#BC5S>U2Ctx~rx@h!6!*ViQ0f znRK<=l8#RyvcEbg_i+2{EJDT0{66Y?qiH zTeqZ@GcLt4#x2!(PGbKXz`6=HobX{S_>QOccyS#$e2-mHS#lLhM$_c5aS(;jNn7?h zNtUR(7dCr3)v}dnuZM5PBAt98SPdp6$Ei(+Eqp13UbQj3me@QCVTajDx=$5K9x8cVFH_$S9i=1B$t@K6veL6B z4sb1Aq!!SMw8T6#dcz0epAM8gPB|^}D9hlsN{}e2!2%>^rfBh z`v}%*2!l_~1qgliLS?JGmI$@%?C zeFX_Qw%8w}O{`8vRU^bhp-diBw&g2$=dB7F`@b?5-q#kJ_`)j3XvW?RTdzDMbPlcA zsW^3>b$Aam-9v=P7faGQso7Uamz^&gRbdp&GFva(g{ct?mi08Q{xy6 ztet$bT>jx=WfOJkJQ z;%bLa0twLY(D#MeptLx#Pg||`-xaW&&z6IBVlM9u{A>8Y&r(NG$+w>K9F=I=Wv!uU zof;#RI7CbaSQK`;$at1>=hXO-+CJp_1ZjD@pXb=?lvKg--;$+h2Hn)(vNfbXH%U7DA?~e2sP7TejO?{O5eHUjLLYW@j4b zK{j2B>7a?d$bu~hwdj!$NG#D~x)kt&xvExHCpgJ7F*DpU<$JU(x|>Z%g<*?4_qeS9 z&E%=!r_A_$T!H4{8mI7%vr_b3=j^C`SzEnYnw3h!1n}<3ju`*78r+*@AAJ|~R%#ZG z1N%Ip34f^uy$-wd6j^(X+FBwm+BZP`FERL8>S~gQ$DnCiERtzE4yh8Txm~|HWEBv2 zrU^HNf54=+hK#@@&@46FK{aDKq)(3#F#_cZh9|#XHRHE7M=G~HFG4akDL^OP>)pn0 zy;$9)XWD7rB!v0`r@`u4qS(6l2=)VvgM8fKJ~%^US!oLG2GNSpJAyVXeEAMtqbjp@ z^OWFw*168hKCl`B4(lW_Sh5O{``ObU-Ck?wXAb2z`=Fg@@HAAJ6-B*BCtAfh7;wHC zft1V=Y8qEmGJ*V#Qh0(`tW&l4hb>-dw1(R3wX*=4UIM(GVy&>MQ>9?0=S>p#It=IS zO@|(UxHNyZC2a}6*KXgrOXM&KgwhqKTcmH{Bk849=tBH+_hXZ+%1FS61PxOfHa-M4 zzWdbDLwib>`?xvcsq6TL{adHG&Gd_@qpcG<5oo&={_i>SB+& z0!18~Z%(`eFS6y6(HC}(*XIR#(yB@4M-DUw99ezSEw0Gs^FDT>+ehU3{kD9jA;P;c zFn6>jncX{ur3~K0F({^aY@wc|>}FXkwSjNO0=m7D%ttrY=cri;~a_B`Tisg z@F+w?jdq*F6?ZW7CDehN>U&J^s&rYz@jCC<^k*-eut^COEop9`*bu9ClP;u%~3fT)_rn!{{821+naOARi z-Yj|Ph_=w~of(YfCwvQ(tJ%`+PcNVD&otF@y&&3WnqhTQq#?^mAou+kOCSD1FaCA9 ztfEsXivb2nMw$B|Q^vh0O88?Kr=X$>LMlYlhCsLdZ=_p@wZ!Avh}c>&^G-qXtEqnw zwk~QMBaHSn9iCVbNgo)l=0Ukf2`G7EB^#MmIlN6>wvDB$-+KnCGxj3i^mv5q+Ez~L8w{IsBX4H`DnW%X>gcc!NzF}>W? z%_fG*Xa9PcrQ%cJB`mz!#?HfoBs)ci3;5M9Fzf)QNFQ#}hgdWkc`CVbN2}7_(u4^f z{Bw`ht9Z9g#Io8;lmMmb}2HftM`$W#)=(j8{=aOIWN#OP1)oC>x~P1_2_FxxaQ>pL)fg&xHtYTF8vyW@qm$(hzV$ z@As3PZ3d(6G6xxLk}&t879C5vxJRli7ps6P!on(}{BCEA)9U))9)Gwjdj^F&+Ex>7wlY@Cf9sD6VJdxc?r{Pn^aHN7LD|CWpAk{;$r)RMVRQV-18~p6zq{B(JkjRB+#Li6aIjVE z_=i1lT2oSec@iZ~AeS?vl+BKl%ahnc=$={X zp3B8n;9t1ponEFuKQKxIjQN)Sd?CyB~wXF=OuETXqH95M4R}R z%m;69t+RZ_^iZwQA`z}n*FOFt%646lz*y8*%t=B&M`!pHCVmYceSm?PkMljLZ#m90 z!5O`U-Hu|4x|rWPGoqn7E&zQk#W#sBdiLJ$?e1bg)U?0K?4;yL#cIWhS*~&&A_n;~ zk`<8{x0E_o%AEZK5FR4bs{?Z-%S@Va%4tCt)DnI8lTmWTa*G*TL$pcddA(qe5F_Ms zd+ry%hksAgMYle8t~8Sas3HL6H*o3vxy7r6e&LEbSP3 zngB0|3+MfxSwAQy&-|p63LGh(ve(<|x(8{x{!D-htYyq`&+Nx;|6pE{?WIMKk0|Bq zuMTw=^!QtiYOUA6Hc7~RABYKzz{d)`gW56o$DuzF1e-hu&y{gU&kTVHTv+;maT{EJ zUz8GC&C+_C&J1&~9lv}CaN$D+6$CVVRGA`zrVtx{uib-Aif|~B%WZxB2~HB8b2=Pb zD^A1q9j=9QJ6StHsQ|i~lq%a+z2F#IsdvbuJeS5rzN5<7^J}Kfsbbzz`6|X4n)%i4 zR*ykqY$_|LY(0;OIb;cwYOHx)&+OROID5!UG#Gv71VLtC_ep+^M-7fe}Zz0SaE28R}ujDgXQ&ggqI9?wB zmqF=k>nJLYiA5C^)u2GioOF)-Z6}p4kFt~&aj9!uj>L(?1Z$Gy*|QzDeu405iLU#F z&|b?BNLj1oq3p75i(%Z*NSIRllT99e$>|m>taGkp+@ z@G!yI23LjxB_rjpd6s_3j}bQ8_``fvUy&RF4BaUFDq?Rrt-BE}e{h$D`5*a9zEaiP z$MBM``j>Adn|Ud=XSy$go4t#sW5$&Sk7#RKIgllh&WJulYjMLx+&X6}hZQ4?Nz7}1 z)6&Er-%FMVJw9Uy6v?DmWL1h+yP0iq92IrD$k-}9W!7~RcKlnn#R3&dT&%3JPouWt zwnZ(7-IQVv`vix?6neCmtS$weF)7Od#!z*N@u{Nnvvxgzbxs-_`2ONCT+!TT73XX- z<&%9Lg~4%wv}uAJ6-ZFmjhk&=epY3mrsGVbdBkKNxNSX@*vNa zc`pa0MlW+Z-Mg+}qY*_6tf!5JJin(VHvcEm$&2n%)iSa7b|GY;5_TUsXjPq(YuS}i z9(8`*<}O(31OCv>w#4~ORdJ^m)o}Q41!;sgXk-#5V8o)ChwQs!PR~DI$4`sEC+uQ{ z3~h@{&_h?c&bqHNcIeAIXyY3SMPLGtC z)i1*iL$8a(yvVVi#wlmK8ndcUWaG-B6a;@(uA(HjnvS7w?KkNkV$@RZjWZ8SaUP2n zzD|EzbP19lP|T+Q*{sp=3zaH^J}GGEm?I%NvaGJ4&wmCGRcAt>;DbW9*TJ zzZFoIh%`FZR~B6j>D_hrS9CmB>XcwA2vJfPmD+xCFhex4jCwCSl|pLA=uPXl%y%5u z+MB6IQqW$%+%->es^sNx5He1%_8XrnC{Q=w?sJ$E&3W!s`Y_>gyL6 zMna7`uDIgBc(L#*@GVE>`6#dJc1<+KYzWjMdYf+-P@o8`>Ab%)ev1+H2IN3wWMpjc zDN=D;Iry6QHQ=-g5Cl!isXi;)*ME|JcmKB;YeX}ffI^|kxS*@hJwQI$!Og7_VB87R zsR@|;{KDCD3rgb1Hx2-YdPnw$)~<=okPth(Z43@A6{V(on)2+1U=K5GT{^8EEX+&(L>@{_XlV{EZ=npQLcBP=<+t+?(de=PATLCie=Yv>TSHQ)|F6idXmH%d`%E zS~iV5v2S$9(MhRpNEoUFRtofB`$K-eQi1JL=R4)K1UO#6J3Fdhwg_$%-wvu|25Bfd z?=%d!dg{(VrCe{Sfr>z8m?||mm0HsEzkESljwp{BnakRhz#@dZYop%?s0yRqv3I4S z79}-0zYE-z{G%&Y=~zaaX%p7mQh|%ig$#S1~mV>$6zF zmleiUBWT#nJhx7+mrz*v?!k2{tHVqw>+Y}ae90MQy!P1k4H2W-G*F_!6#vkV~;xSCiBWNOikocX_!h2u@`&C#j}TP(C4%bVeZ)_S!Wr9V5}3a ztWB!$tc256GX#`_9kL(aY^A#3$26nSmu2hh3NiS6XO8|sDZYFUx?AF@*fHA1a z-YT;2r9?!p>%*Y0DW}suK`Pweh`TbVTkEOT_KYE!8D?ABm&#o3tC6$K@CvkGf;raS zv}tQhjC+JQiZD2o8Je>d+pi%kMcW_;B+0vQk+!@TWn>@r~bpo{S}`y*}eZ zf^jjE*^7BH%wj5;EgmrAF%9#Y8F~7$K!CV+Q{122XF{SDTO>^VhAG#O9GeNTVi>P< zu>-zh&Fx7(+EZ%LpRV!#B7|X)?ptfH>A9J8wp=hX^qRVCOZ}kd51BQzM?i)ejW0Ue z%UBt*gS<^)(*h}5*%i3b_|3Dh`}BUvwCjZ{;wk5>EHRsS5fsB=u`gq| zC8Z==+-tm6P+MJAGrB0y*B0IGms4?G3R?Sh0f}vq=vv`V{vCvR~bPJ zWoF{;(^7Lwe>2pPaFCT@$oj~GiNdV$nTEva5!)N^O3-0PPaCHIoS@Hd0l!M!$XW+i)Uh2=+R%W7yPgu_VdcM%wAhb8DMn1z5ABjZ4D#`TzFa?vH*V= zT3GcYpZuZw2jZ)`z=)HlL#~?3fHMMqBeJ=1o_b~kI5kf$qv!*nLrSAkXOZ8?)YqCg zbGDM!0WWu`6;pVpXY5yyHkigs4<8X?qIH<(Nd#yBSc81rmGk|VdoI(AUopZj2l^w< z>m%~w(0Nm5iK|mi-);_aId-p{u}4d#`rA$n7KJH|Xbn_`6?@bZ?`7_gXYJRntRbHc zSK@gMj4P6hn(u?AZSJ`z-jf(-v0OE$SE`<#Ptm%3RKmO~Z77ya-qZb9 z!gssFxqf?Us|IR!x86&U^a7t0vfrvB-k&G{N9taAuezSt9G@X?uiFo9L}6tN2xjdp zQ80Wj#G)Jqn*GqNME5&s_ehmSskdl8=HbiQuOkf+Y;G?Z4ivIU&;<5ih_@s7HXJDs zbguzDt}TQeS=9ZjuE3aoyGYx9+&gpBm7hSb412VWkvH?%_vz@97D|NWk!otU#lL#% zHS-OaVx0$ipZSgQLaTF@m(G*ujB&9a8Gnn#LKv8^Zg{-UZe-p-z-ePI?OZ5j3SR2s z4TNaa_yM;9P2G-ubGBe0ylxDEo*D6Gn$NHI*PDvG*9nTwSHi$2cX7}5 z31Jd|7Cx%@r_o&A?N%K6@?FEi7o%)fg@W61$vS;zVC{!V(i1{eqe1%P3kjkj<{#!- zJ8!FkJu3|54AI+dg6qYb+PcW`vi4|Y;tZ<(`BY&h<;NjB60+O~s`zr6n&h%C#&9?| zQtCKLlwTB?NVLob2EO3Xc3bU5&0)($%O{YqB5NA_R(xYzq$ zO!9Nb(vex=GJ#EoqETau2G{-gZLpMd^ioq4y$oee*pqfc&zJ?gMj9Q%OhL}m4H=@FLSYWsDprAppqJu)LJ z4zXB$vQTveQ<*}{dL(_P=KAdZ8E^Mur~lPEM^XMjqo%2O(Wb|Wnm|6c)=k&uQLp|) zkQ%(r>sxB~Ju=T3RFD!lq^~PHCs}=@;`Yo02j6>Nb=IjdHddp%SSh(sTucI;K-GYQ zuq=x0E>{eTv(22zX||3(0ZFQF9zUAh!by*kEX|nnP6UVEcc8y8H`OF5I3O%oSD)PN z@eSCkR}55`OMQL7-6Wgc3PadGU3Yvl#<}+SFsY`V}r(W&A+m#<0W2}h`XWG3o`lcgv*$tnnSRAj2J3U9Pd$5mfY+-)d+b^ z;0$a;35;#ZD@gNI6;!Yk*w4IGxhHE>cj)(~TXpyK@keEj;fDRj=x;#tn{p$&(C!eG_wPMNat!6W=uBdHssLxLzF{J!DkP}@(@t!ViDeB zf<7t5&-qG|+bg(YngbZIf-d>F2X{)p0DNpLjQkVbQ7NFDuxNY;jRJ;sYULEMQC03e zh-_%UPpbN~-EGv$6sk236Dp6Ij?XhFR;2!CO0;QI;}GaQhznKYCS^B`$2WmCTgH1P z9!MI-Q3B{%+g6#=*yA@4Q9yv1ktoK?C@q!g4cqYZcs9o&`Uei4cN6ml>g0BdxgVtB ziwOJDi*%4s{v?0?i~dcYn@MKp7d*1-;(Lm8!39!=icW0=q~v?UPpSf@gtN;}&1PN*V#ayz6zrtW8S^8TQi~(qbqRPvW z;U_A!e1{zYpo#M|&pw4e(O#NDa3#3z1G0!OHGZg*= zBf`KejHpv$sA-ixeiq@pg!>Qn1NLAvuO%b%D)Bwp^(Tn1U1zd%avuPj$(g!S`^#<-{1P#`C9EvD69Z+&U?3)2OBGCRfQ;N2nFlyq0N= z4MG_v*J298hFTq^){qS!_~4QRu@C{Qc|ZKA^Skh|fFsWWV{Y#93T=?)NsOy)Gq*6i zgW{Xpxo3Ew!$#-X`c)wAuMjpvFUn)+c!L&pvMr81W$QM61wA_rC=8HwyLlD25&Z*eP%?x(nU*Ez$1?R*w93Rk z4?&zkkICS!1^Y^s#~j!*`MX9@ulaHJ@Q!Px6Sz5S;tF-mAb0!TnQfyPv6F}x5?|# zI>vwVo4#G;^1FsPcKdS*a*nrcXF)Cj2kiQKfBap1?sM@7NzUUFUmd2(FUEPvZo!Ak z;0u!SvG~o0v&EF{g5o7>Cu7c_QI2GRMoHe~RlMJ)#G>DR=aw*>V5W_K=ALt}ZFu!Q zQ3RZrA>Dtro(EItVd&{?)dmRTVDHBxQK!4j{xyQP=MM5P^RHqo414^PQRj8kO6Bj{ znDiD`vV{UI)Dh$Qp>mitx`;Ao$UT;X)IeVF(6`5+9k)J^pshClCFWxxc*JALkbb&ra< z<0}2M8lRpz$kl8=@6)wj`KJ(RnZnwnjsEwkF8Uiq z1&GHj;J&7gLYPLdrT5L`8tc-)lLBj;nPKjD<0dYrVmM&)3b-W~Jh>cFjdX>zaVh9= zFtgBz?jb(moADKOErhaxY^Y~)W?n&}Gm*%q^Y^ zdEUxylhGGYba@fv^-WJox?XXZ*|{rC*2e@<={RuCJzvO7>!%aH)ce3Ta?M{^O`VBJ*o=FwJ_o8Bb5es; ze6qCO%)22TzgglupN2vb>s?ALy1S|}>bCj;D45k*z55w)jv^ocQ|TXI>6#C#%Of+` z*_lxw5DA;$mEAk0124C?$>9D9RcP=NvNW9FQq7Nr)9cZA?pNOgLQ7s6zUK9sqT^dUcM{s#bF=7dXQHQ|FOdIt;@HhPLX-K<~-!b|{oLq#x zQTN35uVOa!Qn3f@SiS&M$U@DkHeAw8f#^;m?*e*2bp^PEIN^0mR@NfO9z6Yi!r5&f zVmq#Qmh8G69UCsrHV)Stw_ItgQnr;n?2Hr)?~bSP{vNS??Go{=;}zuD7SA^{`N6g# zgdlnk+~{HdPxW3x;)Q;yx=*m1PK-+yLr%?$<8gSz)N;W6?R_lS8gDaNN?{E(93nvg z3Jb-rKN&iXqPR=-SRA~6r5|G;+!9!mlkcXZBF8la88b;v*jYos>5Yj=F)H#ZvXl77 zU-h)eyaAtiDj^RCwmZ`cYWK5CF9!!+&$-%1B_`L%w=5zV)3D7zx_p`kS8P-DaiJ4QK`VCH0OCr4k*@J+A={FKpp9Ha5Bl*7NOm z`4=MbJCa;i2i?{6<&jd@LcIpd?HTT8xDOnrB~g~*rn8n^`cVI;%KU3s3AidK-d-W? zJx7&8pSkVVIXPxsxfMp=9|~jmTTNP8I^79Pl*ooj{^G#EjGFNx$H^QyVZOmNzv`-> zFaQ|v)sVPY+7M8Q)Wc9%F}tjuv^&P0m>aQ-TzeACd_AZJ^`#E{2_#)Nh5H1KEGl`!tWr-*2D!ZvSvrKa@< za$kpy!3`^dYiHw?QcF*UE5Pf4hLI6e8!Y=|KmYkjRWq9M$`$x_cqT`QE$h)Quswlu zqZYiNW^9DUeMS{gTV-MC1{H_?Ug#<_c>aJ0=MAbE`m~o+1)&lYOQTIa^0c8{MkS3- zH6$7=w$LR#wPMFW(l7rgn8q3KRL&$nanQuKxL!AHAEvv4LD7p1R+hgAzdu7EAR_TD z&HXKlaVAn?C~@z_?NNTpBtO~7_;b{Q8sx?W6vdago$^@_?JY>tdK0lYJQR8LWlE;cLG!sca43sADAW@vY&)_dz``V?T09lq+HTjP+!ulUvhJ6iwU6Ud%1N{L%{ThU06-6=n5?WF*q9w!XTGDl*qqD_s{aQW#)pw&1 z12(A58}U5&hcKI4cJMIE^_P)C%NpIhiDwGBCL3BpXJL|4??kRkQO8YTTcpI2k(~ed>63Fz z8)fYUA1GPES^9S>FBl)6z^qR{z)TZl_PnZPToF|*Kw=jT8U%kecayTv!B|T^mY9omaZ}^s;7%fcb7^m-Q6MGAl+Tk-7JkX(o(u~ zcXu}m(%sT54NK>{{-5`L-Mx2a&YU@O`iGVtdh6T4qUumXo;S369bIrC2M`VD1|_{- zNyihZoGQn6`Lpa!5pG&yA1Z3@uD8#3e!#nYpN-kEBCj_MyqyzGa8UGh0S`YE`0-Yq zIXO&yb;1Y{BgZc6uuEYYp8>+kvdfxisL|#>y=oA-Mq0-Ms;f5M@4&3{*{BQt4G5&L z@yLoX((+*|d_bSJ9>x_jSD>W=I$zL72p;7KmV8-QSeWDMZ6kbnUww39OInqQQ>XO~)kHHPACBz4S)^w4-ve%5T5oEvRpo`!{i_^3`@D zb@r)|gRhn!z42M0I&}@A6AhIlU$LAGxJSrhN#^TR2Ri=zAO!B`0&C99Lv?R?2V_-y z^)(G)8GF`-Z~&3@fTk+0lGr#@VFxb%L~8BS_LZZlXHx~{Sb6_6A&mNGR6I>jo;lE$ zJ4c_XjW#aYDW!jP(8&{TM7@rx%2WtYT9i=880sa{QTF~|YB8oR><@5j7%@b6;KjH) zCqOH9;a7TUf{)xBjMxdoajXC8M*74dNf8ysFl-4Xywuy3w*}q>An$y*3PCTUn)y#0cU=NNJ!vJC_B^n zTV@!co+Lldz`#=lkji+U?eahTDr>MP?s$Jgd|Yo1_t{Ey=G`4=+&(RuROrKp!(61# zj9uw0$Q>j+jv=x{V;rKbJ`v$>aciQCmMxF%AzI%sXNt}~&v0#?F4bOHT@L`OyCVW* zRWkQtDn&;PIEImR4`A+yIb^C=K9*hvq=S$cb25K!9W}K*^`6Tp>-qd``FoOSxO?sz z(dFl1kJAzXWgg^zny6!KX1%oH0ZQiZ4MeLb-$Af9_2o9R|6_Mz(g?3P29o@%F?Ynt zr3?<^&JL@i*k!M6)R9llh%l%5^M34{> z!k*w@Z7Dr0WEtDEmlRj+t6zSXGbE`@<%0Z2A~g$SZC44^&=D^ssq!ybri@J_&x6R{ z$B8I*TYqxNxb?bn{FGIaw+9mM3YQj7HW7p!7^)-V?DcO9{Wh|4>TQujA&%JrVsKBd zxmpb|GQnyl5uB1(D-~;*2-}#OxBu1}ri!1k zw}|t94k;+HZm-1bx+dE9>cS!I_;N!FVo+YvR(HT6NTfDF8fxOe%2sxH2K!tf;6I7@ zKhC%hROjtj4SYh%B-5C5d-bG3f$H_a!QEx4Zt&phk9!K=mm+#`W)hJT6!CY9okpVU8|{uik|8q&l~L5lhV@KUVB-Mmre?x_o@qfO|H+{#cR;n zRV>Oz;MU(21M$@~!FXA9{}Ja5)+4=_*T~SCFr#m3I^e?wADNToENd@6kxwm5E(0o| zf0WFWd;B%XpE(dP)%0&Uo~_EbNKIwNZh=hnEM4xeO#P+ zCdV$|zFEb-sA_V~I&y6uL}LABBZaB=WL^@Zu~)Zi@7>t^+Rxdr$@SSt3cJ*t`)Jqr z!?J)kK!jk4*z;JVm51vf#fA`rK~&>U!0Qo4h!J~*K)@L)FY^;QvMh66q&m~Cd0}sL z=QCDhd`@2?j)E@&e|t0gzkUGXE2V5L;XiJ$sYz2Btc#`!f_7SQKYIMyOk&`hnLk|C z>M3o-=PB6icqm93a^+Bd&tX5`bV&DCH{*z)kvOjA`>b{Aqw~@olA%5Pn$^A^qG_@2 z?hWwMhYKJ4iT?GgFS;q?4wLC97#&F2-=eIxdnd_k1Gg}jj+-xY8z!CpP!|>CaoXvc z{E18bZt~v$p*XZc^o!_tn@3pr8wO;;ILhI0PesXJf|K{N)I#z$+5AG6esoj};q6cO zmcScY1Y*^?Z?6dK7RsHJKA;~goqQq`;YoW@)w7nvaqly^ZJHt5o|MX^?j`Y~3Na@8 zF}Tn*M+(bhKhpoF{=9*KPSNd)yg)#5{GSHBMd?}tPifoVt0j(2zEL7>GG1pV1go`q z(`^NH-3!Ml=)>1p;*%T`urFH((b68Drw(lu`F@RFeMWD}3kiG`L>Q{192AX(pwlLu zTIFW__@0xP+;?#^{Ep8zE(BXGs_(~zfo=-HD(F48_0s4-qORB#DQ$XRJ8gZ-JfW^T^Yi(1 zxk?K1*4;WZ6Kx^n1U_!;ucpcoEdTmZP_@TtINw-`iKNb`LZ!=Z$lAxZEuW}hX)*O7|IDJwUJkhkmW4tU8l*5cTD%G4*I8f|qU zdRku{DRH*8E^%?3TG^D=tWPaYZ@aYWEuTZsPj?23{f-3%H7 zqjZJ6+>SKv9%q1+A0IqiM&wDlDsJ>X(Sru!0f^jZG_wj6hS+T?URMyBgCDG-z%ZDg2s^9opx6b=x(P zA1bvG)%F38iE3c|u*qWu-r&NSTjKW)zvJ3gaFa`BY`_&PmvA)Zl_1B>mkVhU*S4`r z;HHYEnzjVhz3}snSz=999%6C#&R_Xy@m%s1cYfrQptKi$os#n#5AOGj&XAkj-qY^V zv+x(p{Xs|Vg;407!f&#x)y4kb&0-H@C0qz1nr6+d?GxUa^Q@{`oWWL@$q8cqr9O4a zQjsg6)5TGQVQeYqB&s5&{!CXhj?_{W!bd|`I=M+*6ll4SI=072O}w9K4rWU^wST@N zTQ3X2Lc9k$TW%+9@s$Ujs)PA?8T$Q$}Eviin` z2>;k{|#gTE@x2G0qUzjPOjJi zO|C!-`qs4yItgi;DeTxfb2dReYAxGyRdwsFj*7;wkYCL|i~D)%;Whi}r^fOA-Z%*d zPAoJzNj`!kdoV@D=TZ@~`5QdXoyq%>;b9cX1b}%79kcuf_Np$la<+O8IR{h=jzZ*l zR>E|An@+>#d_=OcenPu9IAI^$3_Dzjc2HY?DdNJr{Zx6qyWL4+%?ODu_4VL0S8$^s z(LZg0fWIx89CAV#rv3-fXqS7`3be=w-iIZ6Tmv_IQ#`oCoqwtPsp4!>KhTcMZ*Wav zYT+uA+Ss5-&D*m~~;mK%zf)6)PxY zNf~ubWhh_2_U9L6zm6?9OwTUN&1s^Cu;DEH6V z6p+tMs$-jDWvLg+UpvhoGIChki9=L1Zj#3AY2;P-`>3WjK1-wi;@%JGpZPN@BSNOg z75e31*Ze{Bc0yRLzPx+q!25}V%{vl7+|pZ*84^j6E5&5_d2}!;pMfeb7ACIrEBu(Z zd1YLY*87V57|L!r8Z|1$Tm-0ZTT4DHMIxbO8 z(_D&35=5Sh&iDfwSMqdjNDNHVE)5GE`AxJtKP|(=u7Cv2!tuIFr@ZB}z zQ$VH&BT`2hbQ>COBZ^rTHuKo{H8YCkDx_aw;V|iqSWkIhFb|YSizgFk3~DjJ3&WOp zrkOL5i*z`aFJur6a3zsBNUA&<2W}84y8t`Jb={2%g)Btr3RrC=FgIHc4!7W(r2sjvDt~v0oEmBmye5` zE{Qz#cQ5ywfOhxAEx)@@UKb)AMGu-1$P}-fhinnA$%kyQuU9q|rf{7{UroFPx}Tgo zGWnOnHhvL{ctiW728Op21EdDaA{uy(8u@_gz?}Q*#pf>`Y-3cf$A7z=-a|Jpv$pkOm{0e3SLm(%I4_dyN0y0h+B><) zZ<3~N3UJruLnhiHCsPeTw(K=RzO0Z_9fn#JnuQ<|iEf{DzN@zx|C`~vb~osDZr9X( zBR()nho-I?BwKEWq(K2g%_j@5%!pBihm70voz9#>pk4Hpbgm*dT9Oml44>i*ymgZ| zypL-h{s7w4B#|iwO^jZ67G@wqXRT*Uo7MA2KfWll3uBF$_3e&hFd`H{@O_659hWPn zMVdoA&rX-W-az@U?H)_?;hy?MV6zh(+HG(y1OMO7)>OP69l3RGDdpVqnpDi!GdC1g zEq_{tB;jMMbaKtb!C4)CC?Gm$gk;uO5S$V=mh!6|Og9gCOG0MIqP{C9%Gqy-{nB1?doDV!8)DFn9{?hYOXrdps{qTO>S0_B>Rybe-k9ll%=2+#9os4x@!H zRu85(-tK2p1S~L2P~!TcUD&0Zb4@O7H(VLCWbXE88+3Y%6*;%J3vdbX@on1hHdHP^ zD&Fz8(YTDTK)a8s9?CN>(B!|0&pUL#R90CNfZv;XV~>8z`?abewsrbpoD4q)-TF@e z?Oe7;tdg%YL^gr+Cc!xfGA8mmt!QM6EdkxIdKKfRJ!jsJELnHTo8wcUs2u=->gM#m z+F{AWe#KwtHuHk>t_90J+OOSt91URjXg*vpjnht_m~oDpj@F>B@*`vch|3*|?v&4S za$Fa{fy)calRo9d3{Vl~wC*}WlOC|$oYg@br|lhRWmRolW34xSBjwfmcRAZcYg^Jv z6p{WK-*A$2Uu?y)BSwt{qS~?x7$}NVKsEo%lH~|3p zwBPeGeaz|`lCZhtg#J%}U7en9oVDOXR^j~u?r=qg_|D_HjUl`_KR`(Rydi5rH!F~T z1Os1FCabLP@`&U#!iXZ306yi(0K44|dmjNHc0GlP%AT&S zTMv^$$0yca?Kist=K-l>&_p)>p$}o$<`}L1I?9=aWAUOtux7b?S5N&jl`*?>e9I z%eyn_wmeUO$WzpN+ye~b9bP{z0wj==2!AvRLdJMEEBSs-fmAm4KV+3m&4Q(Uk9B<~ zOT!PJ_yb%lk(>8j7p!0r6K0V;$v%@dBhI7BrZx^Gm#|!qq{lx|-AsX-ZZZ2$s)AQW;8G;)spy%u!5}zdKXo`K_Pfg5Rmep$1y&>DI1GuTzvG3Ux0oY3&njO;S30bk1)C;Hj=PzTX+oLRt3;dK+zConJPhKDa4e_4 z{R**Z0`dvs@YmezNr9> z8U((}53df;I-uN}z9y1*068>03sDNar^tQ`D;KH+V*x#A6 z!Q(B*M$=4EndPL-;U@EEVl<4!u`@r}tDJkBTd*s|O(Pf>T_F0Wt3!#I^_+19w?QEO zCajv%3-cP+GO>P2a^*qK6({OC!PMLE+7{&(xgmX4aGxNLFy>D#O4bN?H(rhe*;F2w-LZL-Pl! zld12S^^(HGz?~L7`#%-4sgf$z!#{hovz-E34hmP?m)XzJy%LL@uOk#;o1Sohn%c!D z1EH%Znq-uOZu6!LB|VcE$8%KRc?R($1aBe+^A6mG$HbA5J+dOB$0$Dn=`3EAJUUAH zvz5m)&j2A}@5jzato)mYczl`Hi(&PLVI&F7)0LCR1kq*{-S5xuY*A)By}1K_Q}f(e zlquUl`(B^+Wy?%=8XGObT==L0Yl9OvcPdH>gaHY&^syE2;n`ys<6CCjwX+5l8;#vU zaQaw8*x#RAbg?mVcD*U6mR9U4weS|sf=uCR*)4=?7LZmqc-m@VZgjwFa zq7wB=fdomZ{unl1fa9OpeX8wh{i?%H;n`tcS?OskQM28t(aH&@-W6eM=6Z9!PbevV zQ31qXsX|X549zz>`-ZpGzv>YaN6#atH54XIkgqq@B=>a6_ugoHEs8OZ!>Grh$i2*~ zkd@j)TYA1XTZ)iSk&=LO&0p|DTCwg0Q&ssUctm)mFL##q_UpCw51tc@#S0hPN(o`r zb5__L%!cIg6Mri&>~so?;!;fX&+^rzEmU46M>`P&NTYIt;mAzH_97dBI6p8!HPx=F z&}Q^}g%wA-8Lcu4T)Gt-=*A=&!nCg^nS{Dz+(xC+ZjO>um_NM(Tz~fqDaG)6ejQ>k zB9Sjt=6MjLDLrXDcL!H9;jAN>U}b+?f=Z_vEAMOxs5WdIph~o`P|jBsiH-TQD{CmL zg}YgpN{wy`a%>YClciV^rzizaZDw9DU#U@&U$(&DNY-*OnMzB;ef_*z+HlwzuqM+_1h{>!HI|HHFY_`;--S5q zme_@cZ3PtOS}m4ChWMIO#7*$DAK)Fbr=h2wg~Av_ss0PRqkpb81$k~Pm2`=>1#Q3$ z*~inm4bkMq>o@uo&CrN_Mtz)TfTH(MOqH;?Q#gFm!h21!(aBact@_-z&(x(t6P{fh ztOJ-s@Obno6sf*h@{?_AvF2xZpvo`r>X@)USV}13nhB#0k=qnfDzCOXOHQ0_P=3+P zQ(k>&-8Ef8IEc#+)1c;qoQj<|= z6g;Hy_`gPl3vCN4jVhAVitiP^C93! zs3?t{hzwNKLhAfE*t8L0Hv-d!)EN8C;;{=Join;zu^KE}`^kum1E@mhCOI`PO_#6y zqZ#FTXf(|zIt!ETE~Wws(`69`<-M3r^aj46CXvqbI9ZE0U-O)}-gzXxSvf??(6H@H z@dA~8TquK~#5jPbfraLxi(PsC4kUPd`igq#1ra@4KA{v}>eJ9L< z0t*-yD7R66YRk@en)&!aIOYDyyigtt&rS=5 z>oaHldmXNS<0@eG4(YCln|n$TJDnSS2q8wt<3=WH>X1pNBq@NgN-YOjYPeR#(r|N3 z8`$_z7CoU;5FiJYM&xH^i)`cIIxXiTy?b2VxS?WUkon*C_G>>B^dV`&rQbe}kTB0t zRYS3D#d1l8B6~4V!iX(C6p8NN9uRr^I?PKBbn|m+p`8?Wr1z?3w%)AnN4SKLM!%(Y z)DJUlB?>!|jZP^LAmYgF4`beyF{ih>46@;bb-_n$;|4?j=scDYHBN_oS5`PRi@QA` zdb>F%O{uT4qzJw4NRe4W{1iWjjTf-GvIGBc?uV~0J6s~jLKR7f%1jol$IkIMI*d^f7&>m(;E9hjF~g%7W5mf za0E}#g}VcMZ*fPRCu<5uD?rGqn6&Nby@EGzo}^!PB9UtKz*0mQ~;(Ut~$etMw8jsv8^nV|t*rOc1|HpWd$)SKouE$omwCZ#Bth+Nx zn8f6I4NEHWFxBwzpJ8GYUvIi>^HQ^25rcog;J|R8-e%mWQ z`8%uQHv4TfA>fAT+|V%7+o&^Z^S)?A;AKMyBGI!cU<4lDMCJ|p+q(r}>%0mI^iZkN zW-I6zM(~PB?-*nW!Qf22ZArEB{wuqqHr;xO)@}IcT`4VgO!phy)&dV@DUWW$)Wv5G zxa*^Lo#;jI$8#xNldwW+^F=!&Jwe9_#@91r&aWePIkm0tl!Is)u3SDr(W zFteU!?p`k50!8>lb1Z+z?aRPTPs#X1>%)p$j_@2)a-JD~4|}q1o3%PpYv8K_e4FJG zgsK3?_*pvhNu~a4J8eyA$}ZIDp5-ruOHC#PENN+J-90;WY(AHM&TU}0zt_&fcb!ZU zJ(mv+HjvirJi;T-}7Sp>RspGq4T!A zVys*K7cD^G&FThle532G{h*!K?|G@MLd1XCj`uB_wB_898}y3NP`NKf{{tZyH_TV* zetU4^eR<98$Hrg2Cc&1uWvVm7yT-n70=TyGI>X>LID+tO-t)4w@m08N3GVU}L5vQ) z3gNWw6Y;CwPv~98^>-65=|*l72t9Ws zjleg|@gF~ymw|6f7*iEYsC|e2kDdxyI`m_8;f|_uG-=t9Ig$;FE(0PPgcT9^ytrV*dBWfiQ!9N_IbUM)0BEW6;e;Gb8ZJp(z=hMtT9dMsL;vhq*4NwEdS=u!kTB=m0=hEVq ziG6lv3)B%PhtsCaI8QbllxSz?c~q>tPx87g zUS>th0kxbc4eom{8t{q=?YKkq91D-{mww6`xs)wJRSsdw1ObR=4S7hkF5;hhZd^mc ziv6<({nxYO3l)9?o@_XN| zZ25zEOlt7l61cd27x1!wZk9vlxKR`8$ZLHZCo{a^FQ1ken1x#>fwoxo!A%R>N9Hdo zJOgn?;{$AfFHYHp2vqmdfSwNqbBJqd3s+yt5k5C~zL2b+7jIF~)XO+GfUv0Ix@pgQ zKF92~*dEd|zcOp(+&}|?ymoBp8Y2jyMn!1wxnM<0k^ z{3}fBI*c7cGb3k)=5rW6rxiFH|E%y)X22~hE z@so1ea<(j3Mlzwo|K#NYMU6kbnTDSGKG}W}uNIbmgQvN+a>7!;Z`SRx(4t$LNlS5hCt4r9lxbP>Ag9m^YesA8i5o*i-gZ=?bU zf13XUzg*v z{61nhUp1V*>`Tj}J<^op>6iRj2|J#PIF3Poi*a#6qzS~X!fHI~16R;qU-DxxrAkaf z?;X&2#ns{EMKOXegn%&UgeDlg>X7e}GrAW2RWRXcR>)JdK<&M=I3d0I`WW zz?H!A0+xi0o=W}w_bLUY@XqE6(8R(->a=0|Fe>XFw5D)sRdS-ESXrXwy0q_jo$tQV z6jW)z9dR!!Scal25EL(9F#NruzYO`^y0Drj_FN2K0!65U8VCM?=%Ki3q@(+F902ww zF~y#oj$<)kT8zC!r*I7`hi-Yut^ezsjm@#Y_89e8=Qy90e%pg@z+fFPe^#yJnd{?9 zNh}*0KAbUS5@wfFW1yq5GZ#k*;Mnm)2@{m7te0pvvLhj;ki*^5^Lwk0E_&<_HpL`{2t7ob((;^==>aLCh92Rxiigu1cm^5qGU+__&v^!4?JUiY5tIPTSGv zJh!yMa#CwIV-dght5n=ALU|J;0HV>&@!tAmeX?ITthl`cP&Mzkp<_NJbEiOZW|6UT}&Z^W(NT^J$R|3y6kKLy>Pk9Y1nNbhy zD@2bsb8;zRlRUJAs@qTzMENmlB6M8xdKhskBcY=6>)@&eAZJmE8b(Bz|2rU>jO=b)4jZ>-_L?cx6SZ7GS!!XflStLaC(5o%DdxLbCYg6} zx+kG8zW2XNX3bLs3eg3^q!&>5RJ@wcn9#u|fl#7VW_46IzCTZc0t&1phEL&=FHs)w z9spHB5ohz4>E6X~`S|o$eu51Ict7I6J4<~T?dQe!#ZB|cB!NSfbp?%#&T46q4S!s< z9@(I$Q0sj)Sy2+LcI&g!E^^4Og~HeI!)VA~V^9VH>Mw&wM>0hSi(4++i=4K3MT^S# z|7lb{ltR4{a5|$R&ksQY z9~pQJHdDxL*eq<)JAMG>Mr@uuAbOVm$>JZ$wxMPn^2#UP{ZK~0?Y(Z^il(K;b@_MT zkQuLcvi=qPaieB5U8P1+(}_fa4jDi4gFy2UBtPUeL>cQ~QROgn4<+;_Vs1SVdXjG% zR3vxIh&{1u!6_^2-ly*Kp-^`%g-YP4HbGK6%C|;9rahKwN!DMVy-2f#iSnq=E*{xm z;xh(U$+w^P4jdn|)K79E8;y-pp&=THsGk(8k~Zh4Yt#iEwJm|t&DuWTwh!8QH z_89$*uDG40b=$*{I5Y@>eLPb3!T)fWlOsr*g%6F-ur~Xjhd{^3z;C1d%C14{zlkh0 zY4FG+$;R`56`j6wISimfsX35`8P#;4^CWHT$g5B#U}CZ~_xN*jPM4p&!=J7G#FV9d07duRm1p3;r)f9$X)J!6LzX3P&Mlg6)kvPdYVJ(03{rH$j!dtu0p&@?YMY*ho3)y zCO);)ZG9mMzQ;Nk`pmM?J>e9?=0kX|JE7k5$z{|kwI;P5?l^-J`B-+TNX z>iOkzuz+)oh#lD20fJoo$Z9a6AaMubER!y9V$VqP!6L$b5Hvc`c?@*uP6jOxiUjly znt3uGinn>Y#mXKqeQeH~f((1&y1k4B13{*K8^v&`&1S1?9U&AdhYzjyA(>a)e7I0H zfF?)U4u%X2WM5;O0;V6kd@>`TUC*X;;_Jd3w+V6ueKxG-7khcc33U1}L5*g&!$CIH zXgYn>EVj_#(VvZbMVp?$P7KYCgpl1&6ZW=AFbyd0p<&em2#(mPc>UQ$LKBLv03*HGtn81gWexXPZy>V;hIKH4s&K^mBnV#j}$>RlQB`x>r~ zps8Olg$L7@FIEsIF=SD}-gCbHVoetkAB`VlzWY4s2LJQ*+?9p(>GtU-95}+B0_U6b zY#XM1_Gfb*e?lhP%%5lgzKcW7ZHJaUzPGas*BbFX&MCw+VbIWW**@qR_&X9Pv<{fx z?wF9x%z?Td(O2=s9K%-Sw>BU#61<{`sofWg6R&f7&LZHj4X+bN(N2pef}D<#bv6Ah9opgTF5Q&N?@g&ny|qyi!K1^cG4 z%}1m~Fmoq?c$9Z!>vy%O)uUwax2A9kPXamI zc(FOF6A{TwGZnhv7kHV2>4%Z1qo}h-!ig#Cae>8jMK+&kmBpx5``0s*z`ecm*86px zfajkzufHQjo+=@mx1gGh*8#w}-*$1_VvxgA@lYAI(DR{>qC219KQ>TrlAZ5uP89s5 zu1gvhk~bD3puQ?;bhpj4JD4#%1POrrPtFBqOl-^Xe)RAwmb7HQ8FFnM{SWh@dK){6T zHMoI;QzVa*x^Wzo;QmVTS&pL5DB68;b=mdGa%0SIH&e*u%-gzL7NE6f6*Y(bKGbJIabMwC8ON{KfxD%@*x~^W^Lt4Nm zCPpUtKf%?Q#6+<*W%Fg_ZUvl&F z-KKXbPCsK@TEN0ftPQ>MTl~ZBRL148|A@u#;frdw{EjDN81wX0-MuI&?FWxzc+lJ| z-_5Hk1NybDl_UCew2B-MkIt8+>04-1az3n}NrPKHnFx^;ERU8Yl7XTz%>C-O)_@-P z9&wZqLcyQHg5Fb$^GVv~cTZap*Zy73b94%IPod_z}Ve;9MYp_-(9(m=<6^dY!}w>=|Kz5S0i*Rfc( z<*1RXfr%L(-OY)WBSt!J<{$0;vNpRfzW#>zPDYttPKK3Jny)8&zm^sKrLsphhPRip zG2%Y{&c}l0O!=mj`>!Y3R|K>VJ7-Pq`%oPx;j5qA zrCn_}+jt^YbDbHR9YUk*KXjZ#S(#ZWsJ_3RAn+y-d>zS*(-U~uJq2MtH~1V)$xHgN zfc9O5AA#aHUyot%q0kw|b*799X%)QZH(30vSD*e~GVLoRrKXC<_oN1*ZPBSZEZ{rp z4O(w^9NcXLsU=*Yp>=qlnL6ZJZxqkxomQ;LPR7Tn z+$;I3LZ3Nm$Y3Wl>*EayX`(5pf+Czc!6$Z#DXy3%*rq5=Lz%oX9QS4MO!!}|1u`5HN2&j5u~7-ZM8v!M$3R(-n)J6RX%+HRcX;EGxaSkilg5+QV$ zWyL?S76@C(dYjeyX{C!;n~Lnk@D9O*@C`@x+WR<*5`2ec}+pYZI2YaL;|IM6cq$D}rqnqOD%C1ixWQTLj#~3s2 zgOKZXz25XLJ~X9wVK8#{`l8f&P2Y=-Si_@wBT#clI^gV6{BJh;1)J(GR)vZ(nUzY! zzefjY3cFR550d3IW!C)S<10dGp6bkLf}0a6x+ew~2LkPtmHtiV-81!9f=apf zaZ}co2QmJ)OiA8!6UJ>{PBHuUmsyGQi9tEZ@6o6fAzQ09GT=nD9l3FGR5gB znyeedwmrX_=sMV&#bVy64^EFt^@OKosCG*oh@%K8U z?*Jg`cWP=8oz$-2RPQc!C_OTCVXziTA1S@PxiTZArk3ZrBZCkwEqWHH4rOP8c>a2S zoJ{&Mk8E6D#;mutLZ11r+pR1N^0G$K;MsJN_)oqafwQlZJ4IyS4n(hKeLeL_4pbgd zX<5Vw(nB^Fo=v}lVH881T~IE^p!9_jd0V|8d$85B@pQ8Zj^cXhvHW`%&EacXlphbg z^iP|ras52jw8bL3GKK;IB>d2)JDakK?^&Rhe(RPDb^N)0Oz`H;>_H948!`EZ6!~>) zG9Yj7c-tXT8CvaWY#efr_ix%RM6EG=kr&mFPqUDeaSe&hcZHBO6M`5O6L)fYS*Lk9 zlLJdbN?9_}Zf*w@rL1BW2BwXg^G-*3RW@FyCYJ=j#u(wZu83|tK;o0Ye=Z`uDZ zz>x9zTumI!QTXbNr|HVZq*Lks$&h+daVXO~SVBR;?lDaCGIqfkEx3nTX0S3`x&vrI z&fkU1CFT~otL~+2JU&+Ol^}V^#@@=o*)e1y>H9(S&QTC7Dk^GuCsFE?2DlSm-K2O| z;Q+xU5YE571^3uFAOIH6~rPxbTG*1} zS)a7DGFo26r%O7%nT-(+(a@AkgnORvPE~ymg_1lu8J6Ub%2{+N;E?*zIm^y0r_;xs zayQ0C@tc*>-evCPU|$biJPGnpe6cUY8<4DK(qVhBC1`uR)mfHb8C6u7)dW=0k-gtA z)o73$nIsbqC<_r`__x^YEi1?-f*LpX5fe?GIdO2p65=>pOnJSz2#jBXNk5Tair*rk zzFntzpqdL~a8gd708$S14_pf-`%sw6H8WAI?DwPIEs;z-|`Gy_9pv=gpX<_1F z%qOGtB9xXNn9Yvqf3n|u*{PtNU@*h?cB-MFRowF!+@_|bMK{+*?iQD~kE*I1T<5V! zB3{oes7Kz>c(r{LU3>95G_&@r5Clh&MUZxQ3)rmc*KDjCns3selL%RF9XVpq39E>U zi}zU*D;#Mspv>vSJZiqG)3g2A#&e-PIRoAOcF9@WV` zH3XhncXx!nkF#@?;fCv&I}E%&a(h2m?e5n_pTuK)i>fJNr)Ol1$O(8XVf*5(%Y^n_ z@4IZqdt&a&5=kgI)RcyJlPkE=^JgARPzj`${b74k7taLa3A+<<#EGZ+#gj>c`x1@D z)WQ_}*TP56EYivQyqkF-ciHiT@IQC|5-EMCH{CW>bUtc-;Zni##oLJjXUo6V12 zlGQfSiuNhF_G}VrG*ebg8!pgsO`LVfgzZobc>HN;wdPhXYw{=POrJZl8u6oVPCyCA zp|CskWiQ2!(%w(z8`83lKM0x%xSo9^lKuGTA+a}V#8#L7MRW1Gb zV`x3_ch$$#sgr!n?{9c6`X<=e$7UANFzeA%(zw>nDapW2NQv_1AA93Kj zH%&G5j7|rkMr_Y^T)B;ds?D5K4ffm$X!{1m^PJm`)}ZNXmgYWj`h^k?&#zq58T$;!f*N-gby&kx}mgu-fp!4Leh_>2;YwTYLrX z5Xf|09e0^M2ga!Tn@E6b;lZ{wn+0fetjd5B&M{zJ3@TVqBbI*4n(cCH3bG~rcglEG z*~9#Qs99^H3j4Nje9IM&d?x+jMkVa1Il51Tk6_ZtBM@RtXCsdqyC8hUooaZM) zQTiXe)}Ew1K0h#OMYP@3J6c066xPGtaDYcvxb)E0;(6Zeu$;;6JgklIkG>_<1QB0U z)R>%P%*&KX=v5)5ZbA#yEeDZw$9aMy)W(B9#Z$o#Y$mPL=l3VD?dcIdt0dimk6XhH zrlz%ZI2NqC6cwn7&*9Sogax*tB}SodnYq&gR#lP0QDtUmCp8}+tSCd3{)3_+*410R zwDpeY`h`IgfjKEM_kwJ_amK5zt-T#cZ~&5Wh4IgE9+!D?b(Ou|Tg6)omngZv#@ND? zWwfNhObK0?QSLT+Km<{VH(54+t)yGbm8LYR;G(LKg~q17vf%N<%pSL$U%yqSS$TSD zky(~AK69_d_@eXRtU2qq%!CpoWVFQpvswH6bV=sf(+eY>M{>=He;0Ir{tYRUid;P_ z?yJ9j46-mgve%PV`hdV=W~Dgxr(Z2ct8I^9(gH6mA@U1AwrkUPZha&0USY|8;w=_L z%O1NeIU|ex`07+mHyQ4V)H{vq_-FO*`IL70<6)i4+{a)AZJX<9AOZ2@o4G2^H%|*? zW05=KW~gm76`~i{`x!<`s_ElVOM{KlAn%85f1!UC=R zj9Y1q=D?fW+F;g``>XGxPfxbhuZUkONo38-y@2kg{Odqv1Jl`z-~rpnD~& z&-`i)kDiWs&~T-Bzf3gfEdNeJk>)-(8-=(`+TVD0Y> zU|@Q>Yt|mBfjP$$Wxcm|n4E~0I)zI~NimLTZSWtM_`~*f(Uxtq)BR}{QiC#}T8_4b zftfE5o>RP;-D9Tw2Im!1l$)E&=!;kr#ypaXERu?j2loJFJHB2P6$;JsD$Zh34OEa_`DVW0GP=&eUp41}W-G zFc6;ig=yKOlUz>f}exGL=0Q zxc*U~iw`H|?v9m)-&Xe{c@4ipgT_OonyRK&iUJ2Q3SRX32o(4({_XiEMeXmvA9QIc zrIjSU6eMr<=kZ?DzvBgCbqXIz-%*&jju>3r8d5m5HrBFK*Xjg!8P_pWmi@?5r;irN zWYlS@*uFjooq|vPWRk^c(;a|*y+!j2!@eUc)Y_v;=zeJ>0fgRgkl_)_7VzPF{YgIR zh@QAR{*p>(?q!lmhIFy{_V5CBeHV;myQ|W&u(OjD%6;>G$-nl2X5Jew%lYj7;qC5% z?TJtjO9Bh}QN54^@s82=sBfPH-;6tmnM=AshyG&`O==^(GF_KNRb*jg?~ntu_qvk^ z+OMDGzm4+CJ^Rn#FKBEkdA#yuI5?<$%Xyw*o)R@Y2+0Y29{6u)u=r|$La&nTcI9wF z0fdgZtlQvNpry&G=S!y*Spnef={4lt8)P;i==rqf2>3j-`MPe4_%0KWcYtJj^T0p1 zI}8L}KHYKO+!a887>ecR3&06St!Jy6kBl70Tz+!D7Uz^UaDXE_?*i}77DZn!042mQ z(D$dGkR;L*NZxz*_Bb~C z@-8^i`qY+Vg^UQOTIy#^#l^e#4muvK;O6$*zA1<7GMxcvNrYr+`X*BJ^)^RE2ksre zDDNcJB(RA!fQ}XjQd@j@AMPmEH`*c75;5+(5IeCsRm@2Gx=tC8;(Xyk?gIe@JLCl7+aL7taLeoS1Bh0@RlctG_rVzK^QRw{!f$B(CGgS~>y{kH{Vn866Wo=+AKsmKV!`p!ggrpe6va^7`d|G{y=&9S;>xxhxrv}?UKJ~Utc-y zIPK}7_N=Jyc*XQVdzK)B7qX_;amd>tabLvh4q5>$wK}SQOf`HVzM5pb*SXpVN9k(` z?8r}4aX)Qb;B=);Rp9YlL<&cK`8{Sj93*3_rEp5_r1ea>CY3BATAi#zR~4KKOc>%@ zJTmnORo{@RFEfQFg=sjlw}x$q(z$x7(*Sc#^vYb{ucKu&K7span(3c0^~^DxG5hQ6 za2S5>8kQp{FWSzI))Bp2Os^Rc)NdV6+L5&GhOBW>;)8p4Rn8>n3N1!I^iESAu)Iko zR-`ZzUAZ*Jylo^EP9};2s7&|Vqqvf=jL)KPeTduPDU>G>;sc%b_y{tcXl0U36pP** zx%Bn({CWFajhtT@N;C~ck?L>*mgD6iz?taz3H+FM*ojDH`dRR+C>z|lpthYB4sB8P z-`WT+ET+1Rxv)e6m&Xj{F3R?9UPSmvj``%dpwR^CKe}rsb0gCp{KE~{J*WE%*}6_9 z_4oAL_cYIm946p4$a<5PTvvcPF-|;)b_QoPT86^JFCeos=uygndEn+ zZd&7K-TQz2+!Rh75zysMCxzjKqH4d!WBfC0u3=7|~<6E8Rge!tLVOKps z$#1e1P23{C5ZoeX2uLNB$9b{Yw!1pS`EMh)|GPKeVZ1o-*yfulf%nzZRJ`$4o=jdV zdqlt4;8`VwyZ3rgI!ms1pQ88;i@Td%-9GAzsrFfz3f@2eavHd-WNC=HKoiq#b0keA7{j%Hf@nkwJiGAJAt z+fBV6obwr2DWfs-8Wou?ex9)|)<;xm_Q96pCH~J5B66ffTk(Lr0T*VQaMZ@a*PF^bRN}9vQwn;0(?{n;&k%%3@DKaPlUCbkI^OGq{}?c5IKJGAiX zUoVpQu9^FXZ+sS9)UwMezeFq*!Khp;4`0ZuB)ay#=)3Fr`t)b*g`M%`wsL~e$>fdX z^n|Ys0UR$f=%L4Qi1vwf@6aEE^fDnxn_B4b{GfeIF`V9AY@Cn!0_QP%Ut9 z^bUWAezqv1U!dHmj&n4*lQa{>@~%J1xy_P~X0p=U%)=`>dVI)LE*iQ4FwDbcigPJh6mb1ai-t%fSyu%|m5w`y1&fTsC*e`6Ri>d|;Pf)^XFsrq1K6 z${6c6CS{YD6DGeENPX)LRS&;)iEr&$n8xNg?5~~*rm}kc2OUjLC&(ADWKddHRGW|sfu}roy8miLt z;tsMn`d^3iuNrKW{!)Ur#n5n?7O(1^aEUJAX$$Do>hNp&8yp7m*k#mfxi+TyUA8Yp zf9FPZeEp}N8OX9FnY0llO78l(WPjhF>mxZs8t?pA+NaL(9lAUjuZ~eC}g!rg`AT=?Ml}vow zdbQYwTbHUBevhwOwBhGzVYP0^uC{}yW^Im9a1P-N&y5??Im&$|c4ZVKk5udISa@|1h`o z-Dp9lxX_P(z|Gu>OqwljgjKvXx?=8>RZx`bw#(5nbQXQ2@A`M9=j$tD$@^8fdr$o~ z|NdHTLs>2%rir!B=2|tYxqn;Ch6hK+v1_%fkH68l=+a3Mbn0V z%b!#EWWb`<$bD}mX<+Z+5SQ#zalW<;QnAB88FAVoEi7LoDs6f5-r&MIq~G6P^5ku4 z#xGlE`V$F;tU-J2q>;q&eXTOYsB?_Sqm`ji2kG-EN<6vb2o^^qh+xIHwrL$O_JzMb zFcb6F$Z0`s(5F3y%%}Lb5h{#B#di4h9~%6;n;+xp2OW33&`#pga_4rx$u*cjSId9Q z^>Ytgys};#+m7jT$T*#?At!jcnr3eJV18p7$6?jbI5&3BQy;2V_gMIFhP#)DtoVing;_8B{UzRe7yVPMAAG!|43>D>P5NEd|Ch z8~YO;rVPYT*kIJFR84vXJ$f-@@)!9QsR}u%AnwW%6f<32Nm)+oeeC0GP;JQf5i}TgOce!hpGFfwdv*CC)4X$Qm;Gm4fN6BG3)|2?ERX@%?r*`GgpZQ z+pq5qEHWv%IahTcb^9)h{-LY>(t*@t^%h0OWxYn1rd7VXnB%@Pz*E~Zv6~Nk_gHvx z6-qUN1drSnsMmJv>{@1MXVot3he+b*HZF47_dK(4xML#c*E(JvlMsK)|MG&GSNJhK z`cBjq*Bcq;F6n>wx<-snPiVvy`5O25)sF@#Xv_BYwU+ivAFg*An|t4lP-%N|5ia$h zPlTf94|%V+u<4ZC#VPj_i?;6BY`39TU(0=2?C0Ok3}Zu8H+B6p;FWQ%XH{JLO@*<_LJrB@x*(a4$~ zUMG#rh>Il(aqHsZ7kX{!X3x!jZZU5|G?A%_r^U`acMl`dzRwSI+4Ov8XYV=u-rbQf zFw=|)nY#f06l!8adZlb%plBZ8o>f)O#(}<)Pvg%D?|jVO!!k|BJ&nP4j|psp?n;k4 zuAeBq~UhZ(F@1nS6AY?e$6V%*raH zp4gGt6zBfzl0%rjkrSdxlx*r}C90 zuo4&}nkn0W2~>B_^4Ir)dn{dE9f3Dl0|C9{8sFl(NCu4Jp=uI6-%yrOg^d4I(|^iAKVu=zc_MwmjAY4n-v^#zhq-*lG5CzRYHQQ@Xwoh0$A4I#^~Qqx)hGqqFwEj0$lV;C6y2 z2ULYfovETYH!WH7cL6E_OusMSg@!m}`+8+QL zPh#EBzwd82`2wm5ZC8uFHOR^okmW9_VrLDOSX@o;g0JxjGoYLbWLAjrirI-FUnNRl zoZIq1$bVKSHEE)MA1~cHzV!{t6XRDW0~KYr`HbF0Lb?fRa4+C<<$8#O_ajbV4=Tk6 zPHvA}WgY+^t#wSrcl!W}dGQbt0Aloz;g`HF;1jkounJ&nV{>^SpzVL_{>o3~b%0yw z(p1t$4yF@E`Nb%RwDXRz7n7VnVltp)@vQzaM%b}Nr-I^INMDUZRbz+R|mF( zI9svmBHQi!ct!16R3u<40%=Bo^YjWqQV2pjX=DHDDr81k{ZD78G@j9jx+ai zFg8yku2=fc8IPbMo{>_Mtg*ACvm~%3u$G%-FjgUW*)j|mPY(-|zs10FqIxu~iR}9ZHN)79*_y3!bt5;o&RyX7nMu%hy zpgamxCr!C3q-+&0)D&XFlIs~%)Q`9W3P=a))JTIjqEsPG{*VO*B{c>j9a;l^F0aA@ zWeg|}*im3QK-V&NRXn3V_LO|gGor`%&w}Dno@*h+mZ_jY;_IxveZYbM2LpGhs=Cyr z?3zN<3PTPia1p(la9eWIl2SLhil5WMU@BQPjI1yH?yjG{F(Brqh@ z7!dg&Lv$kpwlpIlw=DO7>Vzh+984B+iv&;)QrJ#YOXvYc3smF2V+43?>pGBqIsbn` zr$PYk{-<<0GPbn{xC_MBxsL(w0~W!SeE}us=>;H{u;s#HAf}Y51Ev>r?m-Nj2SAG7X^n#PbUq4USw>EgxUgvrL3)V^54h9$ zC^bti-RvlE(XtchWiUvpWm`i)GXZa)PSBRnochx<0C#jF)VM8(3^Qq|Jy4%eARGQs z6b`Ha;=L0nLS!3MK3;OllB1WJeTldT2y={Gn%(9RAL_r9J6yPtwMZwYv8fBLCl{e{cq;XnRoBK_FC(G&z_0V(@`ZRpd&y-LnBsKd-fa+4f7Zc4WkYZ1GrL0 zh8c#2w$Q8oOu^94@?c#*fmfYW?nUzqw;?u$U+z8c=6de~J3cSTrAarVpX^3(F^i&Yqt%4y|$(Pyy3O7v;{VN6%o7ypp3T}gkW}kYu_>0MXJU#T=#atvBp5*64 z7m`cYbM1K}@Ua~iAze|f+Q1{5;~o~O74PFTj1)2MCo@(I`go4np53PrqG^ra+?Ii? zm|D3Mc>Fc5i~=sOM%>b;iyqQ$P28*t(EU-V|(2x7w|q=Gz-=xRH#@I?L$^0443 za33mo`OKuE+=R82NJJ8{4~M6GCSOIW5i5O~dV<9!xGs#~-yOPjSanzqIIM7kqJPT} z+U*w5x>lKj(pyHS)wprVwuJDs4kp?*6ysAhiHcW$= zV7TOmhklxBSTXcx%g(@z zYAKN96xnNZP5GUgJRq&Belj34Vnd)1BI9sEpC^(a6oPeOm5RG27&gIg`yPntp=kFI z0VE>qM?`%9q=jWe0w6fSA`$_7&WDcT+TI5e08-Hi6iNUz|3OE6zygo>$HjlC6Z#|} zwe?Xqpwj}S{HqL=IBKzUGyrGjPm@aWFHvt%{d$F&Hsg;s{9kM*9Nt-f`tqwcUQ8H5 zU$d&(?f$H*q=mR-9HQ_snko@mGK!5o~`hOt-^Z?nRp_@oy*{~o` z==YJKVlL95(*oTGK3tZ!MN#Cx9Mbb?5pHzSN4%LN??gsX$Qzj|Ms{rz`!C%i- z0E+OEwGGBZr~Qv)KpjnCPA~qk42MTC(H%n6fK@)T5zdHebu1ttlnNsVee{Z6pn!>T z&8L0#qdu<>RR{|InqNx7fM1}xsV#5!kC)c?C}mfM(-Dj5g#jx7+TsGZ0tgw%)0eon z9;FN=4{Lmqf0JuL9HGxYGeAiNpmrT8L>u#;s)5f@N&Q+E zK`l@*qr-yWL(f*AiG2hA>MVj159$JHK~A`o4JrnpOcx%)q zkTA*=F-ZbUFpM$27>KT3jNG754V&(a0!`!-`c&BlJ2knd{c~ze00!o41?mHvV3bBs zq&4qfA_`~!_RmiL85iJDTz{JZ135?!1ul${ZC=~p^Zmk7^3dGap7^gU6Geu%cy(Ab zu@U1SKx%Y&-OiUN^bdh`$^mI&7TkCL2M!?Qr z0%Bc8JKmDT3`TwXpG*Nl{d)~KOg0^HWY0AUn16vVgiwk=1$sguu8MLX6ftUkHU1x4 zMJdDtu$CtjxJRY_fT834BP5_|x>&#BN^o)LaPNagh&FGjtc;0*urv;~p^%KWE8KsNuYgT9;v*hx`j2F!=cEdeFL@)rd;+iy55eI8TPB`wTzV9bb4$mY^N0=+wzfjd- zr6*n3i|Mq2Hht_KQl<2^Xh#CowzY9F&ozTr$3oGz&4gk zysDb%>O#I=j_Ps7IkAO_z$*!}Jc8O*0$XItYBQBVzbB-G#+hT; zP%j<#QsLCn&J)#qLAG0S!mF0~6;ARFFEE5U*&o;Z>LnqorSB?P;#aC>uxv73G(=vm z%A^^}=DFjySF#V^@Q}{e3YbvjJ*uWl8Zp>(9+zdqvRN+a$+#N};2CPf;>oLJ$eqez zx4FLcEjNQj)Bg;CpndZ6e{U=RZ}f&p$k5mgkT+SzN3~yBn)jETG7DagQxmOysbm)! zBWt^PfwO6cw*(T}ym~8BhNvaXS~zg79VG2Y-jZ?mg&|7M#akbRDA$z^GS|*seVKRI zLgy_%+U`u4G(ZN1wJ|&3TqC9bQXBa)$M(OmpWY(-_89J$`h)lp{tIoj9!WIW!xYrtoyKRWUw& zYfVr$kMqa5YWvcuFev-h$M8t`u_YwXBsfPjZR)4vF|x~8)>kwlOGXtIKM7m+`P3yd zujxxHD3`R=4=I8VOKA>&+V7trdv`07=FwC>cse$rkJ+3likH(y+}V>`NT4t`P}(`P5g*i z1UJ?Q61at0Q!kvY1n&G67mk^-2Q95HZT~iN$jx?MNPSsD^Mp|v^ymaR!w{nLm*?c#Jy+Gj!}mQz z5*)9?^GAa5r4>db70aO2#nb|s@qBa$sZ_{QdNPQVG6U(53T(zID0=!Lk2%(OaVN3r z=F-^-BH??x<)S-I{UINJJ*V$uz{eRczE_l*-&Fa2Q4H=7c7E+9S?iUkIm;^hh>SBQ zFjfx|Am)2DHMM+WzTNsM=*Qd|}C@~2+!OPh3fN5Vv7q!7+2 z73`S`T@Ewj;Ll%;5HY5HG5ruK|NFURaR127GL}vct&&S%{gt|?q!roKLX;~&E^Qgk zbgSS?gzIA2UorR9(iw??6VFxAGf$oU%~39Us_T*vsWbsQE6i(V-0Q8XLy^PWbNj#l zu-xX-3J#`jK`$qrQ>**|pBUMmqt+BGBH?A()Z3%By2t=SSWccGl;NJMK%hWn=E^l9PwH0Hl7QZMO**I6oK9dr ztAD3zbSb|#VTHj;+sd$;r=Q@Yi_piv^9SWa;f~s~&i1@Mgh^*CV&Y3414=(6WR!3Q5t7s7v#H_LJC zb85O+!QTD}iCN8=->)-S-2Ry&!4|04Yc36y-(9&ebo68j&TuZ>=3L{E>y^mKqid^B zR(R=Z%z_YhHrUS3eQ3S^1#<5gtP?+J#7@IJ)3bcinHfY5lB+hg;(S{7M{SbgoZyH14iOARv;3NJ$KTywmW@0gO1X;T$aUm9)vLNf!A#<#7wnT44_3s*z> zK@+z_@^?Quc61Uc=UWMaat0N|tcbhmJlCl$_&e@=0wozsrrKv=gL!PY>xy#ETpWVz z)#VqN4*RawaPm9eyik_4im`yI27NkxmE06wU#GM9tUep~5mY2M(e^ep9R%&plahH%Sv_F`B(VF3TWwD0p7 zB-zMC%p1tw=}SyIv^u->f|;!)71bf(eE3DcB!-ht=nvQ~JGpp%xW+URXELIkRu|%Y zpY>Uei@?Hc=jl8G!{BOk3^V)Xv+hEcNJI_&RyI?n@>O>KJIwvS!FlPix@`Ab)5G|x z=y_tUsZZ!m3&;C&ohv0{t-BL=-|#WqzH24&Odr`5)l3L-4s#K%oO~(#s8)nnE~qXO z zs;PNTnlWi}VD}q>qR;rHuSgJ4-Tyu5rhfU?w zL87$+yAxZ?;n_x$POzQkg}_~8ovV~Cqhw&RI$vah`3aAa%Ghl{?sv(%#Z-J>3VZ?a zfP{ovk${?@wT{#rnCR_ry>n91=JO!6`%FrRpnH4`Tz=z&^rKw3MikV4&qW1*Zq$KI=47kTHlT^IcDzrva>U%m${km9HnCRUz3UA)M3TLf zvFnNv&3%$bcE_fc0y23JCEfRZm2+@I>@mb}+S=I8;q}zo*iwIIQl^wZBSVEc{>b@o z>SZ~_wcL@a`pG!!b+DAC`c>#E<#tS|wi9+pbCwJ-OMqX1-_`Qz?-Xd^M9Q}Ga|1F1 zrooY;-#?b;e?KdYa0YAKDLk2w{cNu( zO8mL$K7Bh+`U7)Kjfq zX$4>H3pe=V-%r(RKly`X>b6g~cd3sJML}GA6buCVQAL%~X^;I##_M$U(dA9stE69E z!?xe7cKvC3EV+cHsbQ6DOFk*Q_AR_*#}1OX1VH z@z5V#dG5##CQIy0oX~J3)ExR=&AXcSx% z(lYmk>0b|5ar;E}n@G@#c_X)5gT&_tRW8`(2TViy*#+O{oE@t?b|d<9<=Tdjz8D^D zl$PE8!}TUf_aU9>dYF9A>$J>9g|^)aFJy#uwznxBS}F%Twmd`i)Og`0YV40k$2XR5 zpJ$E6E$@@i_PY+^=45Suy1((F=jln%K5Rm#AdbZsCaSa+{?in85fwi8^s;Q0kqOl& zMYLUihvnl&`Mg8yxgl>K&|7X9 zbULK>43!e%in;61sFG%DSkDISEXUpix4?6&>@(FHpfDmd=I+goS3Ja160VJp ze}}P`)H=ilxv?VHgL>nOoi_y^Gtwy)8;y3o7z4Mv-gY)$ zH$kPgHSAwZW3GzFO3+6>2xkgs`ldKF`ylYM&g`ynu0JD)k2}b9X9Y%e9Gt^R?58yq z?hc<#ejtD?Egt-Ksza2g5h49C=GyO1;n(W~!Le_ugY5FtO4IL=*&7N^`Xna^<<~S6 z$Y@xLM|{I@_*^ws@Xt?J*I82D|G+>YM>G+T4{6p>4_K0s*lOD{OFJ#;y?y<(0y%HE zH~zuds%BOC%}JC@w65yVAoX~j`^h{jvzOZhUu;#tFNNucUI@eg;%MWb1r|vLRDnJZ z-U%^ul+CoyUh%KG+8u*lB^oQfixspQ&&_ZYw)aX(Y+bs=6`X)F`g5{rWKFTh=7p@b zF46OIf)0buzgwwJnOmnvBocv0T*2cjEdMtE`V&`}lnKkoAB+49zEj5^hAbe^exR7$ zE;cK9Mu9zhqK#p-l)G?@G`Jn}hIiI_8`L@P1olhKz8XQW8}!`O?5oa!KV;(q)>mg% ztrD*LS*V!&(Y|j@q&HaAYtBwaezxjk+I+ahLWfwRVU^x$IxjV`n48=W@L<=yo8;Sr zpX5}zM)cV8)uw+v4l7iPBp0G_d*JFDYy6f0kfAmGyK2QOGWQlI5g%)ildMvnd@xg~ zcKvtkHIWL{7hm7t_#90aDpb5qxWO-pr4HU|Rx!jOS#I}kjT;f%F#z(59esdGUL!F$I>cJ%-QM8dwk$iaYk9F zO=mu>CYzdzb6X*yY4e-p)WdAgJTr#cB)Ps@9yGxRggP0>@vYMS< zb;7jA>-PM8^30>Z;=wX4mS1^v&DE7#@Bct#C&5pAw@Z5-!p6-Tel2znD`E$wYtfGy z{nWX;?9O_KA>$(U4*k~oXU0Q2zRtIzg zm7%UxR-LPLJ?^Z!M`Wu_4qcx8;+hehJ`U@MLG6j!k3jO|GTl#&YJQ~pKZv2H$2ER_ zwW`%M#ScnqFr1Tpz0+_%d08B|w;8>JdnEHN;HU+xnH#_c}QlIrFv3d-rZirR!ak3c_X=jJd7v;Gs;1KqpkF z-PhkE&hOVQFe{A?uFsuM@MDgM?xs#WGqy+4Oc*ia+AcT;3$mAN&qU$Vtp&GM`~9uwixN&2+zq#!;`{*n@Q1Gqqzt?dO#oFJDi7 zO~6i1?P05i6IjFg$k(HF?hltu6s-B_arkm%5#JNo*D`dGyWKj!1MKPapgBNn!!pXh zkdQi;tp``WjX2GKc1BXL1G{7o)|3A@a|X7XzJv>@kBmUwRyEac*?N$eoh|x1BUwr< zUut{S6`d?|hn9mkF0%-Yl=W#y=S|eR=d36Rb}ka)bdB5WstR{vBBNu-cfRWA`2T1i zovznzjCpv+Pw>5r+@9V54&)6AGW`s}zVovV-s8=#F#$=RectIR7O$A z4SVY@2>g-?@r_UQmBaT105OfH7wS|{i|NQdA^JZJ=E*)`*ruG*9M1$_VzmFzS;R@ zt@+;Osn&-Eb}WTQgxc|y+eo^*I;o3YwY>XF^rRaNs^;wmeaXClRlOZ>38&;DIGbmC z3ihI_-2L(pFFUz*r2+P2D+w>g78KfZ%AY4S!s`z9&S?=azLPOPmp`^wh_@;ieEa=| zxR=QW4b9JCx@^{eS!=Z#SIwkbCoeiiy*KYB1(MQhs_9)`d?3}m`gQ=+=@3QB!XtU^ zp;J?S>?iCjbU2;=dWB4GoVi~_@5<=;iyE$2uiFbxRY6y^i!%HxMeN;6mcdDLg596> zFZ_FF^=AaP?D?Kt$^bvhZ)9XJ!oT!H@2ci$6fIAekRKGHn|-C%@6W=Sk{iMg z$aTk#&AqLcgZ;f(Fw4ZT3CWn-!;cveQB(I9ar?_NIwwqAv)=XfhQ(7Cty*kI`-~yIg;w|`ePdax&aYY> z(eWY?j`yat8V!Ff?< z;CmN`UdDau^pbGYA0W^c{p}y_aP3R0wWtaaOEx*wA#?D}da~qY)@fBUT5A2?Z7EZyErny*7TJEd`bq=L znlk~CQgnFIW~BQ0j86vG)(~T#)&B(EOubLk`1Ind-e_+FvnI%UfyNLp=4;a2RpozqA}p3tr_AdG}^X1k*_S>HHXyI-)L$u=70njt%b| zISue~sR^d$-PDA~|GBE-v~o6&Mn^-dhMOpj>o58zkueX5vXTO#45&YAvd+^{U@jMy0 zV-?rOON&MF7U%xbzGOhZx96iR`b`Bgx&J&<1{@z3ZD`T5zA- zW!bhUG3U-Mqpakt2%>6`)4@Uu+e1U0{2njE7QI6$I!j&FLl6h_m>e}=nQ$RQTv^~F z_1We+DZ>Yv^h2YsZ*_h|gpv1_{*<_n5O-H)SfSCHcwF>mi#LOJ&!{1ji=ZH*X3FA( z+`!}bvK`yY+BhYRakZMFdpKAA_m6|%I^1;^r7P`Md4T8j&to3 zV@*Mn7ISq8a-#L6&GA{?@8#8CZ-MNUYRof(QB5ds|{a6s^$X$;& zbS7CiV-6%lIWHZ&`rUhI(wyP`S7+L-Mp^)0gJV_2A+B!qN>_$Yx$Pd(Lsf0Jgg*7I z)~x81_sn_pd(g#ESun)Y=5XrTB*2GBQr${zCvY+ErOp6aYH#n|#rm&2fr76MG_}-U z1a?^;8~pCmHS-ltn4h1IGrD6_II?PH^Ca6)sP0yp_PemK)cQ%SIfs8W=v|eP4{f>6 zoQ-BeV`*bKdcemOnmO2P`ZGS0T+VPYRx>z@qBr~p*a@^_y5IfV6N zs^IoyEu>AE-;Ke2^4WvE8LR4i2LB1CZO6@<68Z<`k2@80W;*i|#gXd|-)ug%Bz#yf zC6eFBc&(dnJ3Uay_2mxEljXy35WUbbWsewX@}(@fEhjPp*RDB|c;ca+q8iXX#xxsL zjeh??00|+Pc$(T%qE1Jw0_gO+<#gvDcK|c$DD^Jen`yaU$&;Gv*Dr%qiAV}0aK535 zeq$2y=Z11?WFRRegwEYAgbmSfqATkqol46gan3XlX-oU|EPw|8D#10ocC9JGLr+yx z65RXt1F-rul^MxF-K0H+;MN^$%4N^S_c<}S0Smc`PYTs3h3I0p9f=7ObW8BcdSV#GK6%!hT2uiMKT9O#ApMo z%0;hi8#$VdRnT}B-8x9mb!!Wh8;80fl`&=ZZrOYW4VN3=n5?E~9^!pZKfG2-$h8AJ z_i4}o?Dy}CI6kp&HZO__9Vf&bgxPni0{g;Fb^?F5GyWEPB|+J7QSK?l^;#*>GaPLN7pi@h&)3Kx84Nas-UMv4?TOH> zi>`MzWTm=A-6=HX(xNdu4J<_FFdtkUzJ2~&=jLST@f^aymr zH;u4kN1g_IX|#N{^sxo*-7mxcJ)I8*xrLor<7-Wa7-QE|k##FRurjn;YkrU~+#%X< zq3f2=yElTM!`0FUPhjlu0?rk@-a9ktOUrwJ>Q zd#c5Q=>jHJ0U8K4#&7rl57Q?Sz43vcV$}7V12kr*vQ`ppvE)gM5M8Dda#A1}EE&ZN zvLhAnyML>&qiHe4>QHz^_6iM)pe7#ekpw}4@bM)ZJ?8=@BRpu|TC3*Q6kl$fWqX7IgNR+R>z^25 zIUBtx`}TjgAKj|vRog^r%pI)@zG$tN41`m#H)nHRAqZd2!dWB)(Nm&#=7G!ivdsQ&xF#A6D}7|%ZqYI~T>w}4(fm4mEtP!nuW}6b#0zkN2ShQ? zLr=nPfe~Cc9oH((-}wId4vQPLVskk%^u>|IVjEXdwg_7T@;uDfzAIK*d`+Mx6B2V&sCl*BqA{6pov@4^3x?6Hk z==Uy4E6&J!yYN#OCtv0KF0EhiRly1AQp)DvveHKl4EXC$*JZK86cx~CUcft=%l^OC zB7uL!btQjgeD(H<5)lV9Ar8}evos@pmiiO$gH9(JitX|Ef0wFpP5gpK9X^9cA`HF3 zceI$(V?xOg57KdeG^a5&cd}+X6Bz2}t^5}A1xD!pPtT)kJ!lG#X?7x8sIj5uSNYfc z%T10h%~USm2@C4Q$|(s{l+nqa=+~DN=c}MQQu2mJQShhp49-&Ig9!D4kh`ik-@DM% zML!;e;b=fj{(A2Gy$%s}K8N`Jm56@7`1785XA)T`zz(U9s}Kfi7uZ+0_iYmR=6V6>`P0P4pMy>M{*mWH1=&Z3R1f355!P z47APz8nSH*i6QRQT(Q7i;Yv&P4X=~Wwn$Ai5L&lIM>hSjuEr`LO4G@;rA^m`)YoS! zsQU`J`p}+6k?7z(KQM3aJsr__Fn?4w`7YOe!(> z%W{e4vZU8kssVemCDV%|DN~Saw?=_kIxXxGEQ=ULf|ucU13^yVomVd;+ZMEb^wvA| z#Iom}x}+^`M5cXx2xaa6vf6)gFWfilPl(VtZ)e{e>i^z_r9bmjp-CO8Orgy`$F0^8 z=kx|^SGs)G`W7sn-(j3p8YenIo0VT;ha~$@ZH!)eJd(e0tczdlua6Dm)(OQ|EqpeZ zSH?F!y`y%YFIG=g;_GZpByA_p6gP*3Ud`ibEUb56AfbrJWtx?3r7%CMREM(pI?tBz zTKj6Zi5p;L+tNK$m^ z{rvga9NSLZoV2J5Ox6Gy?aSaLZ+7w4(oD@wPu6)n$7E1kOjf4!;{y(TSxI`@D~#P- z$~Cgj@aB=AOt^=>rw5a^+wG>Yx%P6N*3E;JGRi%n@3SfBWE<14;sTaR zcsHnhQbz;rl;xTNf0GfGRYspvnR?KQ{-JX9^ErndV>;20S?Un}7Q+NgEMJf;vZ|Cs z^pxswj@WfkX+b-HVzKIbsJqebcv;(G%Nf0ALEIVZ2Q!m2<2+16;MVo8!&IZLt%AWy zTacTHw}CIr<8yw8luE8P-Z~w<;fw%Hp@Q#+Fi^Go_o#zDwh7vgdCdhj1$C)h&MR0R zndPZ$1!zb5Y;8g{?~HdU#-5dIOdhS87q)SPze;MLwN&+oQ&l5Vxm!$T!S;KBxkw8s zMDTfgiMl&9A(znYCVcHe7VS6meH*9_l#RiKjkTB)3lYD+D zHLei@r5+fKvS)dx9Ex4dj>IuYk`E%4leW6O}l7pgMWU+wE3)3+TJy7Zti}d%XodU9nl%K|uAZ?3z z&`dGzoPA4+TDKcrU0wyop2B$yB<#%f+Y$TwZ{4;!q6*_NxnpO&W0we73puK_NJ~xG zrVgkCHGIBVN|(DOG^yz$VHrec9ZmyMw0y6 z(Dbz@Kn6=NIDC}8DMeHz2z!&1+IiY6yVZd3UWq05nfTqTe-$@tUy*w4TSA}Po)tG6 zyYpNPGOwIy(tL*^+zp3hnGGh4G0YcN;<3tI96XKcEM)T~zZ9o*H;{c`DDmMa=cKT% z$K|8}ftz%8TUN&F7otZN3Np_uRgjVsN*r9(0K>XgxEB+bPgI&;xI{3Il!^O)jXl6w z+>-ogLBUJ>Q|VGGMhN?)k_-RyVF>J$RQAKBm|k9#;l16JEkiih4)+HJLstdq>P9;* zq`UPV{VzjR|7-n~sR^ONXYVj+J!EE62gG!=0-gk^#rfzuC|A7w`y%-$yZ_3oDfU)` zrcL)bX8sPmP4Zho*(ZK?X*u5*jS7v^o9Fm44Z3FTCCr~uA(kxXUb+(m>)1ZcK6%Hk zc_wD9$K+`y(u*}Q2d3r@yZ&g_q^oXT6B#8YoIAC_DuQmfzNAUT+fY|WKoA!~c+!2~5l+;+W%MaQ0Vl5!@XM5RHy6wN0OP zr~P(v+cipK|DgTKA^@La7XQp;z1z_+?PU#UA$uYYUxKuxC5ox-t9JP%jrIXi!Rg}$ z-V*QD)fi2gGKVxhKKWl@5`9twsdB09u-WoDxT-zUKk%)HxyD| zliT?Pm(qi+1a$?^JGuEv4v9^)_|4fCfxo>$T!&Ja59U3V{3V08^05dg-D9P-(T%NePu>lH zStAL!01CjKWkXqVlI3+%ETw+rJ6WYDuXl<5B8RlX?D#b{1r5HWhc1TivTwL_LY|U+ zaQV?FNUH3s(c26kpY!^}sk>6vkXBZ)9iRUxSnl&ENkgieD&=CWrm>{eaqHMR* zkQ+lRR&Lx_t}OJ+Z3pmxSdt-g5#!?t@?CEEi}C5e(D@!X&no8Li|X2tXl}*9fg}D7 z!NSqnUvWxb%8tMFbTF5Y>pxd~+B+aNK3AiFGmkr&;rx4Ipn$9QbvD$i-U}?R%Prq+ zX$-aCN#CpIh(7aX)_zHaBPHpnhJ z$3uG?%4YGh)vYVDYg{Omh;rSs0E2Ig3Sh;D1>fEd5__o%oa z9%6Q)4=xoST;-oAZB+8+`Tm(7sry1DF5ywktuVetsE+O~t*frL=e_o$%NnMGxUmt; zSbU-W%Bb);cy-qQP1?3$5D`CaF)}%>1n#xFhg>jWuB2FCNi}iA7#vBYxXaS*;eP<} z8tbMOkQH8TJc9gw1JCjLv2+|E<*|Pm`gO}w+2bL(Oz(^>51b;>Dr3H|-_a5esYzo) ze?t>5uj?3hfd1Q~>w!kO(w(PH?&T~Dik!7-7t+~c5xlKC>N9}n`~@;YGmhFll-Q%GuoKNmz8BGE?99rj!aKZ_4yt^YG83Wru@KZB~K+EQd#>^TrR z1MQ=cg&ezseJSW3h7^Z*P02y-A#-KAKAB9m!i4#~SCugFt)VG7i&7*Zb?`Z^_}#*N zAS{G4g`f1*p7eydoMZ=}~uC*0Ri|<_Sq&T zx8+Wm79EJMp3v?)V&9g}8tP)I49VPlBa;5}cw__J(NfTW zxKdK;V)~-C^Vj6?rXzl4PpCk*2=fv7QK|5P9|N`k>#d^pH!I|$SC(_k9Z98xUa+7Y z?&86D`SC1=sfRCM_TxqdE^qFkVfZ_GGQX`34Ai5+&n?!4%mf>2ZA%Ervs_$V-L>!U z3sggn&BTA6)Pio5hsy4kW!&%JzCl+foWY3fDRN+am| zLLAj-1KanOAaj?ZGtsmHwI9fl^T`C9g_V-w&Gfygn;B7%+-bz3W<4zLuCeuQGK;&QZh9#H0_JZL zdjL01M9P=igBLSgEAbF~EeemE7DQ@ozeU^pogS-yLnbnOF9RPgg1wm-j{pT;zAL>y zUKbzoQw!)ny1(MJJRb`Zx%VqZ8cQ-e20J^)Vl2$y@#PusYywaFM1t z1JyclV#gi`i%xUGae(zt+y>a!Yc9rbhy?9`^NL5)DP;L!BDH52{`5Rh<8Un--m5?H z^`t=oV+etel?7IARruWDFns9Y><}B6rBJm_w`8+Y>ZV8>v^RWZI1BIZ`e3DVn%Zz5 z{@{qe0F&>%EAEpj+H>1|Ce3KU+h2e$pjf$3#an=U#Z?LaQuQ8Ys481vEd>I`S>HyMYhi8 zJ^%s9)V+F_VjNl=OXecdT@%S#$**X8n&NzVXanymU ze|PHF0P^L7@AT=w&cDYlAKUlG5mFeKwpCyHW?r{Hp>ooTDvK$|{VHHptJRlEgqhd1 z#a4*6Dvg_F8QR;VP0Lw0&k#hbn(b>zQ8qhj5WS|RpFQ!yOtMx}&XAgjw65-J`T_r9 z#@DM?vNDSd6S8UZi-@mlQ+Jzt8ya^d{sQQv3%^8qrAO&~>YZ(B)PDq+6wevx-d;Le z-6sl*-|n1jNm;lD)4gB)@=;!HeHE4ZPgQY&}$d=Z{{?g1(Fg_dqMC!mXl%DJvZM_K#v z4E>&sLwgLeXEtp53WhMP`x)IpWVBpYnzFx#3L@U9uAy4!m-1&=r4b_4FX4jmd zjE4W{kOSU_W`k~Hcu&IFYxjpA)S>2V0wZ4e7sU9HkpZ2bv?&LqZNaa* zAV#k|2EI#gnp9J34Mgs1$;qZz{&sL7(98!00rj&ua|{#C0()!8+kRNu->PLaD$P!5 z+R5@ZV876+q+>q2fUkD^ODii(EJDDmmA=9$-=8TaZ?X3yj1AueOvxW*?%*R13@Q#i z7QjOzEJ3+DeVkd6uli3un$9!3P3^ZqYsW0%Y#Q(9LUUbJ7Q+ZmSIa)no^4mm3mC^3 zI=Q|xV_8+gz8@>IAVfw6l6 z&s^eUaVtsQ!}qOh( zK={GM@>vXm>~?n}^p@vP$;QIlXmr$;!M}l;5RUiC8`4-SeETXB(F8Mjrg+Vb@;2Sf>iY0V_AK{EhP$s@4UQCI74xBc*2~U(8mP!th6i*<5B0JFFHSbpw zg|f(ske;m?0TLQ>{1pd%bo(i3-H@J$=a4?&9}#4}7XCaRc%Sdd384J&jfZb~pV_C} zY(&2!NnCw~qz7Iv&B+!0CaSDv zZvt=kEor-vM04*cu18i=J@MhGYB2|4qHjpyUg}`x$++|_;Q`SX`$1@PIj;kHIf8E8 zsRS``Q83r%>(Eo}o;W52(M|BW%3g~f?dIR)GPlVU20C_{{v0%~@cKIcaQjxd`hE-q zneDrh>p9%ALavHO*w-)PppS-t6P9+1bQ8Z_VUI`gaOGi044D-FKZW#`oGSSR$NRj&Pbu8u(83i)TH(S9oE zH@LNXdlC9TJ@0bZRj}vYN=R9sLRsb>yZ4bocoa*ef{m;iR{kDAI`5)a4HkJH?pw!d z5nb)?rq8OTEEzYQmW-BP(-Xa}pC}Y$cevAq-pwq8b5Qol+`Zg75_=?bfoNpgjJ`!p zlM4~=8g=GCk##?iZUSt`O8VVnN8kzTtDS*y`Ae6S$1QjLq}$YtJo=90-N-s7{S)8! ze}5fC7dtGgR)cWKQE8R7J_=JFl*7XJtN2jW*h7vw16XA|Fpew`8_M$OMH-9uzH|r6 z-U4-243KCsp9`P{&rd+5xUvjQ#2?(83}Q*C*6O0bC2(Ua4G$@0EmC)ZkMH{|-KO$x zCEgoR)2ULbj7T6Ko6P7Cg9o#zq`JS03~>ixz~*E6%* zQl7ZLC%E>)#DalYCfL;A;E(D(8J}euw~_Z3$~A8zls{!2pAYjBy_uK2)ry7yS~`K1 ztdo$X93c^Un4`)dx+LA5t-hW;y+1m}xEGQzxVjo>VAfLBEZ4g_rL(_E!WSa#x7vpB=>bp_hlC6k*~Vz_VCgGhd1DMf8uFQ zCr8?V@9@mx4x!{N_)GAu!wVUzZ()jn0Z5Z$ek{jfi7iuxXASR_0J3)7#kK3cp5;>@ zaCt1jjrc(zW^zpiYhLS~LJYgyW9mt&&~&Zx4lA@IspJQ-?zP(EK@gDp+PrgqMIca3 z0x700=3fkcgQ;E%3*~nKoc|r4;pOeR9LU+&Ks&Sd*}F5s%$q8TYUaV#LEpovYLa|h}qDO zhD!*wF=pMe_#WY|J)4Qs>ajMgEJ-lBe3a65wMdJ)H}QjE{@o4tfs(A&uDkneIp zttYSWx%35f6jknT)pnpwR~zHOCv@cnN^}f30-NJsjY84`YE35ik}amIsoI-a|HqVc z!If)p)|@`(=MP2*QB+>c6XvE9Yrh%xHKE0wx*7=d>Z>#XAIs7nYt$MRDiCJhhhzG+~Dq8Y{6(>Mm1B^#oL-$3fmv9{!>wu=mHVdfMG-Ra_osW_!hAF?zx8d~v*=-h{J#klKr{MJA(fAMkqJP%ymGW}ECQp=-rktzd$dEEfhA|yOj&RTu&sa2VSgng4G*VCjO^cXdKyT&=j{} zk94@#^)H+7R^H`fJe*9DQ0gsB+?^8R%h;w5oLsWo%+_xy*?1Z$csxR_e$0b-crQs} z%s3(I$xy(+aIB@|IJ%FVtr_QsPpZurk8;RTn!27TG*FF|uGXTWbSrrUdz7vMxxxf z;GEhdxWTCxJf@REREUoC466C_Ex?633YFR5(2lMmEb@|4t6-;aNg7-1G|F-44K zQo3x05iBWRp@h((hY(UE32u!~N#gW{wGrlvzdWoZ6nE$EX~pc9ajv_7QwzI>-Qog4 z*~06U_x*Im`y#y-@=)NUt}&NQ{M_N|$-gi;W#fZhwWn8XK>yw77ekIF0QRw7`R8BZ z;k^l)#U1KMv9c7V>t7tt8=#aIgjsl^Q=@-_KoO27WfNY%!`(duW?vSyn2Wet+MeZFlSW zb8_qzTaCtm9w)m0&pb|!4(D&tx@uKQs=S3uV-Zy5>`K&0wyk5xF7Y)zJ>}l(I%vU- zO;yg`dhXQwDa>f4r49Q@!@RNYrF$jGLfd&^UbJQ;y=&KZBOLuqC7W5V0*!F`nlFTX z!}CJVJFrlhP%Ct+F)()6IHYH9CzDtMA#=#rT$BQIBI46xrc(d)XEd}N!Oi%$yV=2? z!qP909Vj1++`#*?-=O{NzR=4As`9kq>@t>T!Iu}Jk;&q4_juw>zFuvz{)9t-`=78h zIu6daI@}yu&PSqp;8JOgAZBzJ@fm@n1-a2tri!NcPVc}lUvuXHu$Vz z0@VH#oq{&&p@ckx)}Gr5sD=hLKiM)#HK=A77z8}_vTl+-Zq^L;g_xbLt&I9XrJtlW zG?3Q)ub=sf|E6=V67^HMZ~MTP-B(aH1fDn4`#@rE(a2Yq$jal|G|b~cZOJ!}rqicn z3k!a2WjS9wW8bRqSaHm)tkn*1&(9g*0+ce9X9IG|N_0a`D9soWZBx zzM8?e*(eEX5CVTprsXG^ce0zdy!3AZcw!d~mF|vh0iWxk<=co-o#Y2O`=mb?2P~I-Jz5=rBpsZK#FcKfOB(=H{eX)wU zUW7zagud^eh!b_!0oc!^@{)2oVxziIR{h*ZlA191TpVrp_kcNQd&{EVpZH+g!Cz`e zAUQ2!BH@OsIS_)^eh^w1xBIV>fqnCSgcJYd(a+CBSSP{iSF@XeoVaZ63CxE(kgTZ+ z^O6{jMDbwKAQsYP6Ej+#k4@A#6dbso9MznRZ#79a^kix=DLgz+rn|Y=CzYF);%}uc zM`|D=Z^_0lorw<^=^py6Oy;AzaPd#`O;^7A_j+M5BQE5aLi)&wAJNFBDm7zNDwf#X zOS26m*-~QM#UmfH|Ku<3V%4?3Q@`|m;({8KlEGf59+2}pzUQKo-*XFD#nIjVU+ovt z`|oS|=LNxOf+As-%em$}?-46bQ-uQj+ziWNPUtmnG5(bP8~IA0xdiyJ{@okI(Wn(^ z&_%_u**{?fX}HJ9S)5QC#5}?H_by5d($oXIanUe&DdxovmEQ`u+JfI$o$c8cfx{t?RFzENE6ooosk%2HxT`2B6RTfY8L0z#&Z4#KWntAroU= z#IN{Ets4xrIE3TDis$OllNMj)$Z&M#c)ASuj;(_dHKqPWgw=*k0;cr-QEWdNSQ}Jj zWRM-?Y&MvNM{mEpFZfNR!bFVY2x2nSEAwwQgR|I9Ex zgw;Ay%QuLe^tiCdq1pAaS6Eq2D)&S$mmFz!%FBjcTwl5H4^;M0$+gb`Dq3dB9_sld zU#-*kWK^)D8m+G;b!cZy_}TOJ{4r|^G=$i%7WJHM_G$ls&{EUz9*fP9ZW{9Y?%O)Y zXshK~$Rqb3kqF>l1r|`zAc~qArZFF1??PQ^GW4-SqFWB#Fk-@&EY5DZ$V_~M$*GJ4 z4#DpHpzcQpl5@C{HTj3@{sZLM&o7?Hyg7gi*3rSTmN0ip`PPN_+C1#B?G?j;eg(juUoQwcY$WX$+;!cUonEyWO(YmRJ?JrcOi?j++6di{BY|B8}&WSobn#j#WOF{ zG}I0Tm3N{8qi1#qR+c&JpAs-VyMk|^IA?Q}Hs|h?0n2}@jdb3NwO2=rUtEbrn30ZB z{kOIO7CV{%bfjZpjZACw*>7&T@8uqT4A;v2ls}PD36!i!Jmy33*cHd{hjkAuz$v=a zWD-R*M^16pjK7-9G(GzKeX!^m8k%BaJeBcAREm$hf_0Q`8-lnKht;Nul(aBG+aDA(jP z!Sm}f(xXM5@t-cA$ZZm3c06Lid@Spp*?<-(YG19mI8nWU)L}~kVHlG%{*6Q{l=}O zPmeMChW~V>%-AP+tPBBuBqm}|d?!-FU)iPwYY|s+=%Ax9M}FVP_^8$0pi-h$t#5c~ zGA#-pFQ2_C#eTq5Z;Oh7h5W$s?Xjrm=krO*%4v!I4ZFOTzlB%EIPZD+%33D9Ed6h> z0@S5G6=R-Zoh@iBYgZ@oqb%xAu)L!LKhq1Hj%I(}pW3XfvaU;$TruhF*78BOCNK2L zKoh$uWp$Z-=*^mEULAN3^tKv8*DOl7M!0Kf@u!H;q+Y#C>zW*4UpSQDG!GfkzMIUgy0?>Wlqxd|RPCghE=D_8{%_|6kCPM< zoF91ZfUncmMC<8<2+saLIQWj@L7cNm zj;}9(y?k!X4<*};P<3yV!L^(20n!=<@bSjyFiE4jrk|BE-mdMcu*i6#$IMBW4G#NH zX}VlTqB3c={3vu8pgwJmF`}5*+Jc=RT(N=Xbun zPtShxIcCP$xsvF_4&rIz$Pu>le$#pp*}Z2TKbmh9T7~Ot(p$rMvS^!98?59LNdmS` z%HKVj6t7zh(9s2}ORWWXV}sfz$J{y#%sY&w5niU=@t+MrV!rh~ZTGJfa}*S8g~&1l zC|*d3Pa;J{iUrZTV4^qtZTM_;!TXPJ=s<`)GT-aPgG(?M zD~E&y>AH&2l&8dJ^<{2fO9Ni$;1e(J;C}q&Hw&b2&tDx#qvj(%9)!4Sob$y$(8RvE z1%v*+YnD((`uL6%8JSwpZsIRjqvNx+)gqd(zp3lx<`9SD-3*+fW9-cl&N&Y(jg3Ea z=)291d=|Snh_E~ByqDW?(wua?5{F(GrHrj_k&*LDCILvamT+2Mkihl-B8~AvUNJh! zAB3^@Ls+1LW~&XB-<}!*G&sy~L;y^(w%nTm)>3sg`@H^%6L2M4L&5j$_eqF?%yC`V zZT_d?oqlJLwEmva`u5G1vedxp-LOptL}ZCN#t)uG!`SRd=DS;L%*?UCC+w6Gzg74x{V7pX<07dpnw_1UCC@=Wk_idlPbuv^bepv~ReeVMsde*qXXgz|kI=zZH<^F& zH3nDo7_)Nmr&cSY#t$^?O-^>xKSg0-dLM7o%dAIF0lsf3BIQSuT%AV7kGt|dm7A5@ zdm0PBu`f08Qe(-d;vC@u3MFax|B9(4kINV!b(q}YX!7mxYcCA4EJ=`YePM(c zYsx<+Sqw?0w)-m63SSl~mZ?`#HHK421j64W1iG5uT*@b0SZwDu>uQtXQIbH+yRk8n z%_Ic*H7E4Azpeis{xfOi!GOIQ&jLPN(`BbELD&QT?7w7-@O|xA9*l!o!qzi1G=?zN z$#A8k92q52hT&!RpM-QpgU3^bR8X7#!={mm&^eIcnra4=1E ztm*6A;uGjL+Q^=s6bo|3CQtGxvt%>q($%Wfx|;j{>XqZqGD{p*_fJmyRkBj!^KF$J zA%)++Sn3oUhHqy)S-x@S;V0!MVLDCU_3c}|t5uZD&6hhL@AX(Ls{NJ(0jo;zh%sN8 zO?4cz{rS~RTd>xDnN)ht?we{$>g4;FX)ii+W2=t3WAMY`bv#8S*>Oc)eZ$IW+Z*9l zB|ZJk03Vi^mwQr0MKkn%ps6>1SfDn%&h&T4rKCLz=@N5%5hZ$*Rl4q^-nd zh+nW^sLYPXcPMY_61vu&xMwr-&j1ZG!Q?wYZ) z0DAm2Tt&|U##*e81!i^eiFhltAz*U?2h!;&j9>V7!=IupRFg0!)MJKK)EGynQpLj! z+$D^_0EB4a)WW}#NVur7h+I;(e^!u1Y(JyA2SOL2p6aXO!OCO;Zs}U&Ywj|ilCoR- z%a%yyup{_Sue8wrOY$it%m6SyK>#Ldjcs1a`_|D$WJQ%hb@LdG+S_CAdfD7b;3K9& zkT6;O&N|4Zr+#ERSh>TIz7%$I(BIbeBNII#R^e)bnp~a6Nu@`5^EjBtb;=Fpn6tI5 zcE(B^O;c!159ums7nlunK**KHk4h^lE9KU~S6_KBKPJxi<QJ$&y{*qCsPTij?=(N{D7#8zZZV*G9 zEUC&#=}75d1~YUac3gZ<&R*pC5u;#qrlX`;AfEcKxpOuwS(z~nl+H4K#5RuQ*R1g% zM}>!Lk^=s^(q2IZ{BSM%4Z|zt@We{6pfy@2o}Ksz>UE|1&1>h7?(s$EdzunDP4P4y{g1Bym35z?OLXeZBfFlHz7FA7M=7$C zLK#fY^qC-pk@e%)rMBv&!q6+_wRiQDo|Q-ntw1<`RP?E*86S3_uD++njX%F*43%|^ zA_j{aToV=p2huvnQ@}39Rb5y6&jomd@Th5}<=(M!}I%3Vdg>u{0Ox{%&BMmMj)0! z{&(cZkyJ3i-g!ABo`o1kFE$kSwlw5({Y^ z)#g^gQ`_39Ur0c5xm%Y!UMd|`s>4?%p*7*&cU56nhiVKC25wkEakDEqsB+a9Pye$) zAA=XG3i=3-roOp^?Uuy-oh$YQEr)q<8o>wD}Oa>S<;d7~*xX z`LtQzUfdX)@h2RvmQT49uC!FLkUwfZe4dWCF?7*VZk2{cG0thBNcx?o$pr@;%{SZ( zhR~Qw#dnN+aE)r_A3hjoAjN!V>?Gz52N+F~+D`(XD(mk+EnerRMo+;Oo@Xa30rphg zW@*%054Rbyef;*{>7FP#k9>lEDOKwTPyc-Lzx+xGdl&uhr3?6S7x>nFrC>P)rUce# z&{G2C!G06%O`pX6F;ZRIGW_?3rll(jO{Ps0vi)8RkcSn=Ci3yP*3Vg1ySn?e4}}R6 z`cfbK&sf^;*;CyN&|>f;i|tEsi}OS@a_?}nx3a}k4gHbFrys9uf&WeEX}Q@WZ-Yxo z)O@g@04{C3s)8=oZ*loip?xr6QM+y?rImc_yRd0)%dx0FbR@|&3GBOj4UK}tnP5bf zpTs6`9;q2rcam9Wi`=#9wV`hNZw`LVU`0}vJ&APDlBD!eu2r`>AoKWNRkkDo|sqC1S>=%-8UD=wuJ!P|t;V=Wr4u+keEcR$enoUgXZiQ0qec=XOsTRy?<{c$A9}L#d z4S3&S6-Og@X$(%{9V3KB#gy-Q`HT327MbYTo^;O`tJdgUW?4Ft4C%COfpYgWR)V93 zlP_}lRFbl{yQ(BeTAVUmTu7{q`_N#-{W5hM6Ghqi8GJ`9#Gcd`D3SEG$j>q1Q{)o* zBxeXgx)Z@L)nz`{flaW0gVR*tJ>BVp5drpIceWMz(%~Ana92Pnd8+Zb(!Zr-an+50 zzUzY8%_OeovryN2CK}22!e1VeG>G1xA!3e|%jBfl8tirjrMf;A!XX2)r#-?S34i2J z_t3pRw)S5(IaoPBC4ts7e42O8t@;z z?;*!pyi@3)Em5I1x>>RcA}Rh@CqQIcl-g+?S4bX`{18y;f(DZPMuil*=$dnR6$2&E z^vkYOZ^Kj9%Ff@OAr{(1`f@N6$n09b3}TpAYIpf7hCBmUd8mSN&-~#)^jaN$s=lr^b4ejSc2XHCpR+TaB8nB;g`Jk~CO0>ycP2$Pl*6uEH@c zj?myJZc)!erNgk~9?dkXIjGWIwyzSi@bCs{^SY2``&rIHxH4oFd4i@zgSzNw8;_TY zr!W0ugYEzL(Ik$K=}$>=qhV9hQf`K#FtuLkshM^mRaUhqw~8{^twxNDG^UDkGk*Vqcxs*w zYkat5TxchdUDKb8s1ezn;4I>*14D7}Aynq0zz-{OS(VBD8nu*rGJaruEk{RxSczx$ z;~-%1Nbx$eCc!Zqgdu{gLzEl_jJQIn*CDj2xC>lHd6y5xT$_*Rbo*Q_+{ni4x@pqBZYWm6&;#&Q`SXs?`S=z zan=rqWEC8FUMQDAG2sY-lHb2rR~R}j#(543@+~mY!+#SdTrAx=r0ds6817zu`02Qr zRp>;ervN6+zPleF;jJJQLR+@#?jROZhi&uf-x|=PO+L$< z(N&Yb(JaEYIiVsGvt}?9^uR73$}l<^QBP z7J`*(cm>613v!-d-^cH1<1ZX>+CjXb<(6+&UhzE1&VzHhPe3%e}s2cXT< zL$%IgDJbVyc%a>GuZXQdCM2bmZ>sn~3v+Exm;SMlZ$BCnYEaBQ2MJuZUMQ|HPgd#B zL!3jod`A@Jb69xzkY2DQpGx2=sm>*}HW|>1gi$K|bxXKVvusY&CfoWbdzg)RXiDmV zbwY!<04k^Vt9{;JN4VnhAenKX1?sfSMFm0?UfxF{|pa zrqwHWPL{}POHJ*qHtPHIJdPFm=MMGUjrr&y0Wn)z(~qhrlO$V1_K?yq+aY`Kq$(Qk zG};HF6g`E!eNy@XcB_lvCl7{r%xuC?x-Bn*dv2)1xyULg;{uY4D#Y#-0aj zD{i5_Mf>g~(tbbAN6qCc^!Pns6Ij5fEZfaB8$+F;Jk7vfvfa!g^a{X-xkjfO z5_h|36Ca?UD2bejyT zQ|#C|I%)J36rPUn{d@I3rOnpKc5^0f2_MynGO3_HUYZoA{1#5VKdsRx_*CdIN?{@Y z&^ISKOGL8q@M!Y4DSomId(NaNN!XyMRy-yBSv3zZp6kRb2`O>2T$KA2)S?S9XHL>(btg5{+Sj0XY=yM2mzv%O1WBn|LUYWuYsR@w(s?($WT44Ih zIzv2py7AtL%8(+v__@HtL?`%go~NVX_?=<31An;9#EnsJMNPt+`(jFr)m?68!;W>* zy8&2W!QOSs*ZlBE$|#X@K$`8~ZN9MhlUh6Zs86;+z<_K`T%uS*aNxW>_uu9fJfC-N zzNQ^xVoGRbDLGfOq$Ugb7rI9)umo9XAv1Jr@~vEZq-Cm>|UODu7Uxc4g*O!e4z zBXb6P1G8H^SOC#|0?^53ee_CF7A<{Jx)rM`b&!?p-9ES9$>1ocLNDP4hP3taJpx*L z`LB^34ac@sz>;8uV3A@kJP6XI` zg^CkWOBM5(UH6ACE*a+wSi(i;pZX}w1gm+sO34Fvl5y^E!g?i`dJ+i!S+xmY>-=+y zptKX}?mzGjKWpc4%8m_2;1!bSqbYEeN{Jlc^j8=l3!<#vwT&DUdJw)1MtN=;{Ng-1vFfJbJJS+NqPhRsJws2eeM>cW0ZcrmwkrgucMRx8b!3 z03%vFJ$Avq3iOpLm+rtt~wT@o-Ws zapBVSI$b~2b$@%&w`6}9^2S45!@DK6GdnkRc1rm-;HlgmnK!6vE{SoMex5Mf;k(E4 z@Gwfg3qCV0Bn4&VN|Cc@3y!jI35@E_5;s{7dG@?^%ifPx*ZuPVXW8IfOC3rR1nPt? zbv+lNR@*%WYzDwuU_n8ztC%lPj-bPc^OJ)Ffv%#5mxy|Y$*c_t!TMnCjBU+p{P0R0 zc~6!@9j2wbQUWlD`e~$W&rtdc-P2Di;JeFIIq)}!v6-eyUg4>Bwe$nK)ecEV{ql~_ z)5spJbHyOQBKJ!~PBR!L##gQL&fTGqlV8+-dEa!;Cs-x~SkB!MI*=%1yOay(Lx=n+ zP!1)0{Dar)-SI3ObP0$MNUw-@YE-NJ#y+`zgj4tO1_d!!u9_7@?j}tBJQ$e#b&fF2 z_44{EC7{mrlC*g>I3XwlMg2G-poUm&L_P{cXJ<+Mf%oxy;$&O7&MMSSc^Z$8npd-( zI^7NvwJnBe27m`g-s*7-)0a9HR0JOQ?u=gHyRj_vM~661LTF;|-CcA`;$-Sk!U_8_ z=-}>dD(lE8c!4+Z?S5LNrg?iNt2lIAvMdj2v9t;o41TB6Os!PMVFgQC=1@e(n`z3< zU_f4IMZOBY7w!)DZ9_g*lhz_-f*kAt4y>`LnNwN3~PN#-Y7hEh1q7G@smx zwn|`GYu}?KJvKAxlKbvM%82=nS)+MUDP_svQ`olhd~L3WiAHb?Zx_XWp{>leagKRw zr-0e7gRw7LJW+R1Z?gwu6iJtv2zXElVve z^E|lGEv_gp)g(-ex*|<7U^5NrBlDr5cbY4gutu#q(-=EvreU#7N49(;q&)-rft!m= zVWGptd*StJ6T)u3oM0~oSY(*sPylerc&#DP!ojP}RYZT_czy~AzVvv#1hoa%sSI0y zC;Z^Ec^sB_yPVg~9!Ed4T;rP(G4t(j@VrgGZ>KhOgpG z|EZg;0NPfO&RZ>$9(|=9Tytu-!fTdNB$04+?UF$aTs`qqbGyBJ1o1nfNJxcCBp=B5 zk_Ufgc8`?%a0#i?H7aIKmqt%vjajgnJTMy8pV}n5pDA1(AHb!?VT^K;G5AgOe4=_<5||bJF)2n{Mq^Bufg~2{ ztoVWXjM0aOh8nKRXH+<${(9_PDl=Hiii!HxdSZ_z;f6bYI*DK`G~!z5Ah*!!^4BMm+S+@w_1w2K{_2NZtMw!5VbG(Vqp(D9 zL+j&l`G!(klfd4A46ej)$rW}|d~a84L3ddz9msTO!eY^#@cxn`?c9oeGUG@Ujj??9 zVt|}j07=s(|DUDzhb?eeZIe905Z_UXH=cqiI6sv*LlwQAwc*9&o&dH_iqV z7-|uRA3o$#uax9easGg4g#Lh!cR+I#(y7ofKL2?xdsJJKM)QcLX0to!GSLgm8XJGH zr~x~F)!q6luR# zMJMV~J32gSj61v)M3Wk-nbFS$hpKzcHil$Xrp%)fkE_E8yY^!Ge;&9;$yGL6AL+f! z=b9yPZ)o!I!WokBS-Axp!AuGBG#Q^Qichow))oa?LMjx6tk~n}r2?2b{H{FeysKfo z?V8~|1$j z`k3Dw(jADpkk2uW8|3*>nT_0}cqqMrcF<;3j#K3zx388CFDMN~&W{m-NVN4t$}Ls^ zMLEpogXD=>6akXyM&n>Vv=}NjCw2M3mw!YKho`1X%W07>UjWdaKQvDUgpV?V(LM9#A$$P4H5h@NfGE|X03tY)Mra0_7SuC`o{phb6^R{) zMaA~H)i}8vLrw?HP~E5lnL#Z*s<@@=Tckd_P3(8q4hW1i1e{Xg@3>rTf`Bm5PXXD6 zmXk1##9(yr{4PE-*9>Xb?l=Gsk&-vgXtb`6Nowe|xafwxA{9VAo1bw{0ZPS8W4s*J z=QX}TuavdJmJwe89*R>~zRW`wJ37Bsl8wDSDqmrZ5iK%(U6)S3s<*SRH4pMTRH&Bx zgWZjk}0zkU#XM#zW2fF zyzQy6sq6G$E%@JoJH^3&{R^#u`>EC9mmC{!NoIlh}ZH+*}ZXpLzOXG-}7tH>AOhCL1oCr3Jz5Zy$0;! zcLNIbXxlaOXn7NOJ&6l>NXG3S=Z)7Suu*1TW8;mbzBrrf6#!9S2E_O)cvfeX^g#?7mvPl5d8Y8 zqi=QYB8sT@10x5FMz2hNqZOd~kv!DbT)ODYDc5#__6vp{@9d*=@;xx-691>3o zOWOc-O&<(a3cYa$JpoHAlcEV94a{dFQEG=3(o( zQb9~olzct+@sJgAjU-1a^(K@Oofwwew?p9nR4MRwHgw*(KOumkcVCF}qLkJ#GsrLb zj5&8{ul|Fo`>ozjB3ExgivmWtxAC-jduD#-R*}mlP-|VXh~Y@D*(;5}EZt9&Vapm; zMpHwm8HZU?xWI^}%G85miDaZ1O2Bd`(LLJuXBeYcHly)c4Sb-kK-IQ>>bG^i*>*=2 z(02Z`0;Lu4Ly)-iO9E#@+xEf*)f0^01sLM|t_O@}PPkmQ*H;H#o$nHmNp5I^CtlsJ zmfOzf7(nYU=Z3#9P~hOG1N{Rppy%dmm(z%5+3vY-`&F5XH=yJKk6+_eT|7r}Kq+xK zp!de_{s3oPwsi*VZfINYyldb1Dt$8Cu$a6j(1X9r&Lsq|9smdTT}`i1f5o!n<$5h= z<&ZLT&SU@V?(;N~F&M15zb1?$HNo$$c^>N5m5-1D?jS74qfSzE11ZBe_iQ6xoNVW@ zTvw-QojNkd-`D2_rEXZ@NwMl!=;edgkA*@UyYV=zPYuZ{J;W*CMh+adTyImV_;KJu zgK|ofI8HG>E}vJ|Gd`g&_CU|@_|C`2rJdv%Js!lmCU4XFfb-lns&guZ^QXTG zr#-cwaL-G%T*8dOVPL;P&|mO*6^uHWM179A7=YDinOdUsDdR_aktvM`kTJmZH}jOR zhIGhwfd`XfS>Aw?>jX0F9~(v^vX$**o?$27BnICeeq#Q*U_gytj|_GjYT$uZZhCJ7 zMB1_cL!l!(DRrr7`Jb6m6_A?n;5x(n4DpKXRSkXcW_0QK?|D zMjM&%Q3RJ0&8AL483hgmem91fdwD7qK;p;b<>qWpeniY1*5@a91{R?b|!S7s*1@y3; zL9;Ki1TQ%u!i8>6|#g*%x_EN|ogX=TV|7@zLK47R?wx>IH^_yR3Xa%p;+ENqSd24ZfHMobk z$qlgg+UD-=AtP)N#&lRme@y zd+M@gaURs1$F5fY^7;M_)F~z-L7g{Rz+txYeHszY@}pe_+nxV%x}Rqb7vbYbO$&pL z6U`*dJXs*GxR37nK^OL~^(*Jc-S0^%%gQzt&exji73v<|7Y~Y4J--&3J#C3ytkia? zE9*MnB36qptOg?Mfqqb!e~YAogCl41n$8AIxbdm>{AcpT53bqKLZks_Ec0CFxhVh!6*6QSFY^ zD%1(B7jB;aynFPZhAO_*bDr83KdWo&)76&hYAGNPx`BTf0O=_9Yd@Vh7}(iLbU#~R z0z5CU8Ms3>73pCf8Jcv`AR_b=FM3pmHW4PPDa;iU$v6V-4?=FM5Se4^<3MIax&(zW z*X$*sWXQEvw4X4D;wfiHPQv@c(TF5Dt$XlmE#=f)Y4P!D^DJWsSq*D*y`4VDwa&k_ zdeudr^qvU=UnMTO0tUYvWF`sJ^VEaa&rgHyynHDN^XJ9+cmxjbALK>;*mY)iI6qyf zp>~A47Fx9xWnkFe1qJxx{dFw&qnIDcf@Wb|~;Y=^#Gi>a53fT_= z6L0w?*wS~n-L6A7i`NSKDcnl=Hdxhs~-J(8oh>&i-$&O0st%euFHnJKBb9lKb$ zM~0$xUgG^wBe5*HS#=+|i&meh*%g2Vl26%Z(o)qvj~U}fOGySoxHXA#Bn{K@3E zAOE!e*zjcAlVGxw)0X<{Nhcq60Sfql7?}d?rN;(xW`l<;N1u_M60+3-9A66RI{8Vr z94vOBdORGJ#LChpHKJ{tH=gOt86c&4Tglf8A73~$Jn3d0oguv>AmF6t|l zk}6DrWt=n+vXErAPx$7=uR((w!-lIU%mG&oPyrvAtkqC8n#XsWbN^k?OI{cpgy{ZS zSJ5b+%ToII>`RdpbpPyRok1gjGtmLL=4$Zq8RJKZHtQTvgf>wN**LjBLysS(XI1N) z(8UQyyydY8Pb>`T-v^@_Hrx!y?Fp#1A0p%r60CAuHFP_#Hz4N`yZ`}O#$9N z{U$F68O_X!B8F}>A=(1kRhG`v^mMt|pcE(LYS+}FDxThJNK{kL4+jw;6`mk&kJ#)x z=^+Ul>eOEaP+~Z%9-N1dlgcr2E zh?FtPy#ieFibK9Ak;c)Wv>NALeUb-WCgn`|KqrP%IR9GWAcRSB15dutoz)4L}y_?O%!P7POR_CNlry3-;kGA~Tug(U#O6IKK`-;RL@;cgJ6c@N$`+$vc z8jkqVeL#EvwnB*G$h8_54Ng~b#E3`?%BTA;r=;W|5eyvXLPjca4!@CI3`*0-`#K!zBE;) zCxB=-)?`Mc8{I#CtQ`KS`2AF+$e8rH@cS!5oezgA>S5jd>~mt}p0QQLOkG_5-*yC- zk7jE2OPVHXu3+Us4huECZ|UtsX+W0O^ixZfC#x4y=`F3&m+zfo-3WaJ9s;-or8XOD z6<&tl{dCgG^2)B$alUen1UGk9>F+#QD{dMwa6g*d{ykf2%deXipeczZ{ZWg*CYVV5 zZNBqvaN{I}UozlpBh+5Ce~qg7++W)nrHuwALic8@{9j_Nz#o$WC{!Z#paX<|kFz0= zofiZtA>&90C4GKP z-9CtYKTRC$FFs8a&xmOlZvnc~(k4mm0Wy`Mi%Awm6z{rLbw7Xlv=$yI|&aJ`K91M~gPv8F22IlyBa``2vdf8k`Ic~)1z5Ksaao=N81DlBbciHS&>)B)>N6yPV zY{6qR(`jYHZ9e5Ka}3*jqJ&=x3u5!fDwskjHh+1({k!o}2+iECb@T3e5SRP!M0uTfRPU{epGZOH7;#bs56r%NGX>udcw}=( zRp3UPR^sMXXbv`n0)8NgRFlI#-Ln0iIGY1Ks-Sh%eVSua~zS)WBcf;e^F1Wj^Tq9jN zV>x46zAH`CTvv!ZnS&T933$mTe9>fZ;zGD0LEa08s~F&@NHjO@&Z7;DeqmL=KkF1@ ztUkxv+<7{eU*^tMRvxexI*|0z`(Qc$O{31;^PFPdL4j-w21?vX5mbob$kKd*fXvHy zQ+Ik-xd#Iz%xE;%kLxXUvxR-MlhN7xwrd{ICy{7uY2xzmYfe1XdNj_b7WO4kWNf9^ zdOV`r>SJ?|?5s+e*Ue)*EC|7m*OJ8b;{$YUW)V57mn4gCUF)@`dofE$F6&Rx57Fst zvuJw$iA`qFSj`hS|9G*Zzq)a$?L6{y!Dxzb3y+TbxHQ3T#H6;HyjJJ6ctQSd?7a7J zJ6~ZUL;KIyxX;ry?LjoL`IJr$>_!HbT>}^&k+S-UI)6>e@|vL`$@i$8hQ5R3A}3us zr;zz5e+@v`Seda;sb*ZDnEHb5w-+=_=0Y2ozEenER+c*9_3qq*G$!DuH+ZBaRkkr9 z^3zTh$I9UGg$ysi0g4^Lp#)+Hy!)0nGS14zIql(25_7+$R(p?Y?NpM7u|yszvoWy2 zNyhiZM-ake#G)~MHQsHMpHFe*L>joYt&8!`iG5^x-sS98@KPn7B5Zwpmw!|Ta^9U+ zjiiV6(S+VcGk1tLkJkkZ+TK1Q2QXLFS3H?r$1E!@@I4>K5TShdKr*69Ns`5V8mxva_>Y+haqmE(sKb(z8xT})yK93KHJ3FjB)9z#?lc5U7nQb7c7hiA<-p9D~a|R#g2s3yzIaK%pjagls73-Ya&y2Sxq$74T?zi zTkYh4O!o0gG#WuHpGO&yYRfB1*fxBIfDc}hSN}S<#KhH(mQ0^tmiF)nTA!Z+8+auf znVpHk3h!f$*$wArL!U81jAEOKfO%!7ZPwmTX<0xIA7Q>WM$f*PK>TlPK;uq&!k1JD zAYJu6rWch_GOhXYIw2@A#i>8aXfTbjDK_UB2c5qSK(I~3CdAh^c_+R55;8l~&Pt!L z)v31$rV6-jiiKGgz_-u+%}p(=ad=owiM8BvPFT!BgRIG(?%SfH?Fh<3Qu!G>xYmy3 zIF4b)k}WMcGKuSw)Aqyg5Od5{IjbWYtsI2h8!vYl{};Ipli9T-_W~L+1KBNp{x*V* zt$Njh3QJ)H>n~6}af(-^j_lJJ3I2MadC^pX|4uzNk?Y@$czWK2+0*HP+`@Vi?t+ar zq}=!cY4c1r-~F=k+PI!tws}TtULxb7Z^|C1OEq^M%?rpa<743W{ayj|sYnwAPaQA7dw|*P1dkCgV<)WWUZTXnv_ES^V65GrDNA6cB0n z#2fI@Wt%pLcey2B7AIkbP%rjg!0_8zc#nL+H^E6`>YX3~H%)Cd^`|S31gN1FKVWnF zF0~^sFxlI9wef91a<1cLHqQAz>^P03cipypWE3Q1Q@(EalveixY*o1wiZ-r1LW8(c zJ@bcf)&o&krVaTfjNmi=CA)Y5kY$;Iag>vLCJ^yqxtt5PE)wgNZGtmZsvWaQvxwyk)RvKz>!QwX+*g5zF|UJnUO# z`_+X?yzFA%mm{aH%+J4l z(H5?CzU$Gr++o9=mRNhonYktT5amhTKy1g0OYDIuby`Ak`?8bSbPnA|u~UU2llGeQ znP!r9nxL>IsG3FL-@e(9Ja4ktT~cWDD2)Q(C!k5U8~S4uogLJVRh5bfsjVq~n7kayK+jPU{VFYMT8>D)y5E5`?G zddvMT-WGSw#@XYm{!;FD4R-a){Iyh6Ct_}b!8vXz zVn7(u;YlLwR+VFk(Pp~9h(CV&JuAYuJ=vRfa104Y`MKWhRrYf7;LRQKsh2{Dap7?g zK6k#;iMgt=Reqq%zs(t5w6~3P$kkSdGr; zaI1XW^uwR34p!lGE9x0iaasp6%GGd*cmJ0CqQ7~vCA2Vsh?|L`ZlneoYAJDBy;UsL zn;d1W&*}WTK0iF%L*@lQFbyTqsov;mhGV&!K?uy;FL>?fTcQR7%|Dg>TaQlr{S`S( z`|;fO>IttrLkc=`emB(T4>$)okbLGxmp=&UwnK!XxO=1AF}MHu3QTV7oWEBGtNZqe z8!&>^JspxnSgsWQI3%_F_-ppEkylj)H|#k^_RdFvsY2KRSuS62!Wj0*%WCYdd;yCr zKDG8n0|!BRfLgH~F1d0!zq+6ZSP-8apOqdTvHJ{sF{^nwfY+_(p3m)?9B1^*%8VUU zc^{O3NRH75C*fpP73A{bP-BA@7derrVRrFG!+O75t|R|!O~?3Z#AxH)i$WH0JE>V1@Wq^rjw#1$ z`R|Z9WQ+nD&*;ANftI_!s;^rBXMO~SCvT5MWG+$Uz))|d*Q-zD zP%5v*)W+OqtsY%ZZ){o0m5xiy&SKDKRUnh7+Mpd=p_JbEQ-MpjlSkV$kLj`J&)J3d~F_tX59#8h+wuOf{lj7m>pK^YnXgf?Bsmn!CeQzDzp-j(Dp9y9 zt%UUkI6=PtB+f+e(Z2k{@`-;i;jkll=>2N=UlH{cy9>D(8C`HS`4-+J1f`nBntL zoKC&F2djJ7Fcp9SM%)66lzv^& zEj+@Ku{_|SmMwa4niJIW6Z>2I&#P;YBKQ&%Yy z$Q-!ce+rS5lA4dylFmZkpPPqDYL=G_(*4eAGH%j$RmFm)gR-+LWv}P-9CYwi=?#aZ z7w}Slb0U-R+6opW7_=Yxo$e89nWI2h4@EO_ol_?iIa-)L4Gx?0dowzuy0RTes{~6)7i}DasUto%5*&JDIP=us$AjRlP)0(DeQZFF z>hxJh43JSt8M)#*pM#q+_yTPUwC=Z>v~zO=75(A3Rm#FCoWWOgpK+^mc2V>*uc#iy z@piNV@Usf#=vA0@`{nN)L&5yHoWmK8yYj!jLZFDlr=O!p1(Nu_pR|Bp;bS}|3uG5P zjrY}NT6y!wF}<_-eABIB`b#T{`NN7PGJh_^x9fXf7>@~qyjjOxu?Xt;r-fZ za6TEwD}=EE&Vu)z5ekGhuxIetmTr(kLOkanEJgDrm&4jU8wkF*jkLfBCnpG@MPv5z zX(&y0TW=V=-ob}r)Izv0w)C8>Cx>i0U&Z1u z`DU!KhskIP%0iz;YGQ(41F8-I=lmyeT^G}120zT-T1Hy7Kp2}GCx7$EfO%ljfx;ME z8*V<(u9LY0wTJCxAHGHZ3v27An`$?va8nui03qwa4x^;N*cK_`VGy3mI#1+Ce_d-x zaa{XeWybU$LcUOa18gQ?bG=lSXqbJnO^M-z(~P7dONskDQI~ejH(g8~-qT^2 zW7s})CzkC{Zz^KTuTjN)t#$4b+<>?^@!w@Oku;jVm1HQ2v=P|5-<1d=kup%SkQaLi zwvXNly>-RR9m*xaEpBM0&o~a)-|`iT4m^e5jU|S~g1}SKJmDtC(mDTe1BV#!81tpK zTqbw5I^E5RllHn7gngZ%47(OZq@7U~-b1 zZ>5LX^mjN+Nipui<+e5@0{jR^)lx(w1W1ejX=O3SfnQS6<_5QAsJNrVvSMWrX+qF$ z+Th%oD8)nd=-oc;O*$2TD;ZF-7!>+-)J+ILc<4 z6=S4hYF9O6czzkXuUbwZt~Ntw9OPBZLz|ft1>6KT#J~G}R@kfc1wV?L{0$qA!<=d_ zQgo(kSo=CIJLDzM9R6NWEBcY`N0Fzw2A-v4A3{)CO@9{)P6~{ZyIVrrXOuzyPVza0>>V zgSn)eBBFman78{4WT8lz9_sOF(`L#6)&~t-s+Lay4>LHKR$2PIwS^~%ZbT!s_j=7T zAQt7dMwEN9VlOKH6^lT=7&vefJ)AH#Xpb|PnMzC!l#&Yi`kC}yy`nbY;|cS$A&rmg zE;A=KC-ai8NDBVH8-v?J#jGgoAJ263#Ma1I!#zTld3+Tb!6l!egV0Yi7;`r%I7K#ehY(EiNaF0DFoTEQD3gg5tm91#fuOYiD2;-BR zSk-=Kk;2z7%H)ai4ax)B+q#{(mpg+c>XK4w6mZDN&rl@5eb2a1+JIAs3HY&X%f&TX zh&6`P4!M_DrW;A&J;Ta}+z$N5h=Bv<&wWfYjz3~o5%p|P<`t>%SomIKZ&|YCnRYHb zO^nHTom^M@xr%a&ji4xkDWmZFWqK22fW%p3T7dbh2(v@Nxx$}1G#j!d!@>vvsfBf* z=NIP0CRzA&r}b>BHwF)RFMG|{a`SRFKtx}kZrrWVJuG!+Q~b~HtQm<{L7=TjRN0oq z745HVax#lL`Up4MTcJ6d8IaIPee~qaFOQDqSczEKL|OA}kdQ({kEuhX7_{tO*+Iod zGwW#Fj-#R}jbovJH23x*^j-V~ebmjz+ExG30OuSAni@kH>!b2!i+=T(MH_u+)#0Bd z_-Ah$?BO`_pJB^PL4solHXYc}r)27opT=v<`Yo7*{Caa|oX#QJG(&k7 zYIdi+)~uiz(q7Vc#c{efX`jhgBCCHFL5c4uyC|$DXKQ(_oN%2-a>f#Mltdl+92ZIw zt+UmSoU>*q>U2m3sNIwvn#swXL1)xb;5y<)@$_>BXUxNoSx_)SnTSw;v;khFH)gh2|{qx`$)w* zHLBY&JGbxebb-rq70PGIST+&Q7F&3_U6g+oYpM~9dg(TnQmtG&0a%A08+*nw-Le+H zeK`-(buuvXWYJZN51^Rk!M9|nD4*>GC8}W9bVkmn&>hq%k8a4^_JPgm&}okein$CN z%~`osMa0vsaund8t*Jl5E)c{cejy)v@FnOCSBery{BzSzdtw!kdq`nzY#m3Fdw-lF zl%t!qB6a09lEI5~qDh035`!aj8eSk|148t>wcdE+mZ+_XiTQO@E`7YC8wV2X?da%L z&RhOqB+-)5u)o?^p{MnTh|)e=DEFW!rweok@9&-@@;b4^3{A9cuUCy-Dyen8a>Z>r zY9`1*r}X5Z@6I+?xk&6yTEHpnzu??YNeX{n)djcQgSK{O6b>cn(ED2ZA%(|Wk2Am=++Yxo_v&~kfC1$?dg5_RLaXwSqQ4dtYP$+^r^Ijgjlo_+1St7h zy|^zV=`4>8RSXmtP3&sT;BZjd%*tbPr~j$VPX7U}c|*?$I>X?E=L4|Wd}c{b005_4 zBQ8Xy532zw0pnc1u`KIr=c~T3KAe+)EnKd1kU_7ndH8_8JG{N{WyGDwPfBi zk5^Yb;tFguf8~27T>aStr@gFxv&;&GoT9raOtMmj{J!FNw;QwLy?!s)5x_9*r9>s5 z0$nfW?7X~3(*a>Td`X*R`}RQq213N6LY`C)V*uM#U6zf&M&b2Dk1yHg9ZoyOVGSzL7PBvmU*~l{_7@Lz z0{zZfOHAXQpr7eRS;cZFxXD1qF8wTJRdv*dBG`pVn75k)v*W*3><$;SL(#S_N2acz zn-FYWU>`6ZMfdx$Wi}4WgxFK7;<_q$rww*U5)TWIu%8cydRlLs$9J1dI9;P0e2_J0 zZRlIXnp7*|I1hcIbS@NI$XL*hNRx%Fwtu9^wT81H3;PXNle9u41cLbQ&@ClM4g-W?+--$@>v^&a-6QsEp^nBRraHg z|CyU~+dLIZKw?uKhZu$xjR`{`{grRk0RB>%+UxMf^GN%E(YJ?~mVs=XColwkRTI3A zgp`5!`u(DDZiycDm`h0^7iL-5ZROAUXTR5q8iVfx$|NT~gV%(>w4+0)Zvil9xny(nmWLNSjcBo8)Y6DueodnRtpD3nQ5)J zZMY5QbBTH~+QOZ6;32XIE96>S|70}ah)_FE+)@$Jpcn{t4Rl~NS$eGPlr9E}%j8<| z+EvUrPPYqhu_VeIY5A;-6H$t5#7t;#{PYa=VtiMwXYOP1K>GK3wQ9B5bdey3C2YTL zd=}*W)Hq<{7e)-EgFhF(c9b4N0`J@79`BlC0~2q*7@6Dy z4qvgS&;d62M4d48%=yA|-T}+|>!(i=MKIHKemr8986SeXjL1cz%j>5;C5ncLVQN-^7+w(N}+JK-hPG5Rg zIk2Jb*GU(j(71tZmiK7964s))$e?QIt zt@wp7(yflsjB<6k%zD~n2U%9%rWYT`%9mgi>We9B8nouM@Sy|+KzsD-46R^T8GMK1 zaw{HMq{FEB5@ z+QuKL6+-CeN}ImT<|Di}<+uJteSsr4^-`Co)Xm~d5onkzv($4a^wyMQm64HZf^Uy% zgt(lS)-&WxlUa1qDlhTOD|8zdf7Q$Pp=_o82Hnk3@?CM)NoQ6@6KHFYR-woG5S5zE zUW4avjk4u~QB^noU$7$HJ?Qj)?RQvsJN}w?msa&e(E{*CWCwK#RkE+^(TLAN^GLo_ zD`w+#I6Ju{#G9nLy903UfA9$no?ebZB)YJTNbHqgtd7q$s8Hu%dt-BzkG)o{ zNJ6d&Li9_?ps_7qC5|hHR7|N^Ig4nHRu7mc?t2JQgmYVI6|TlKWNt+`%!|MuHiCET z*pKV^!lu5l#Rhe^V^f?07-Gh8``q^Jc0YF-Bv2oXm<1%uF{(stJnCpZFa3q__l;j; zUAxaiBgjJIdc8<8I2>1bLBu**q^CAj2%KtRRucgC!iMmD7L$tq!-E@`%YQFf)I$^1EnPEDeSeS`$){7s zZayfEJdgm_=}E=}aUHt6c0>TQU!YUvV+-Xt)s#x;elXPFU^ofbI2joXAe@Rg75rRB zxGlZj>_uSP!fIppM69Zc8)tOphGZtCiLW1F_!>i!yCwn-5&f-raJ358N zK(4>PJe7x8aMa)3EegP7Tv22;Id0iWHr)b!myBer19P3uESO;9Cp7pnffHSjO6vq9h>!u* zEc~FZszzz7MS@1wcZgR5V-#*!mh%Qh{xbR_$>+3&yw4`X!DlJShvVhmohphk2lTKN z%*w7%PBi{CR@`WA3GpSlxf?(eF{TxwmA)1W{OY}qmZ#5_tt%6uGHDp;g(a7#s zyE~VCa6Qb6@u&QLGU`v=KvyaH+k`X4EROC-9Ol{fxfRy^Va#OcY22PILPN1k= zhHJ_mXhJqj3cS#I(sg<}hEPmAvP-)@lR40XLGWGyA4g2Uk4s(;B8rtcCx$IWbo}%* znhiwgf3RQ`Nkr%zPuVre?u{~vJ5DT$y!9QTa)!f<^D&i#(`7~8Z=4;vhQOdZ%@@WE z**u&>k3HqVN(&JsNX4+*!a2Esk3Zh^-*i|z5O*rRi$Oj8ek6{a&qfi)>^Os0M5agy zbtDJ^?Jy3F^zje-9Tdkq30SQJbV6S+mLJg5t}hSUi?N~pG-2OC$jt}Tg{j^1`>t@c zEUz6g-LhD_)Sc#Htw$xh(E1@T@ZDZ!A@ZdzFd~KF1XqDOPysENbJGL)#G$D_sGYFb z$rg$~QGvRB&>&lCIws!^R~)<`zAD)u(Mg@TQzGURmB45F2X@>r91uOjw1Cn^rd3|C zArNs}OjptWnCOz{;z|uuR;K2RR@+!MoY) zSmR5#krWQ~`yQ`X&~t4CFMq{NGRrD9Dyz^){iTWhiaoMUA$$*6M?u_+;XVy#o5g4} zF!K%$7 zF*d;MDVz?8r^?8$Kd@(>&9P(mFW)H`VVgT|(4Qc}pi03D9UtMqxZ zE608PKOPq~(c2x`vhWg@{9`Fi!%ptcvA%3eTkjc2ezr|V;>43d8%gn}=FJmW0(e8( zm8?_p{r~knSR6wS;Gk#T6z#acfxqS2+dpk?N>9z+_W01!w&0~$)i|{6%9Z+ZL&E#*Gx;L{1k8H~GYLJyE}rD2m9nOw?kXBbb@mqn7^ ztnc|s)WqX4o9!g4dzfy+a!IUieuHko!d6s{QYDW<5u7C-@FysuYye$Eg4$S5jvpJf{rmr@bzx0C&ft6bt*(lZW5#K z8b3}4Xog^>=Jg1?wN3vt?!jd*`4$$^kYX-U z2L7&tFV)J0(I*9cA9le60g%JirTu9L-<)dn8k;~hkui3Z%X`C&0->C=OS{FKCc1Hr zWt(B;iQig7d~qezPP#7F8519%2^uxrBt5d_B91l_ft)shi8Z4TtSCxX@L? zDUdVSMCV4ABYbWmAA{DNYTR{u4Nj@zpYW2hq&-NTot#uol;kG@JL&se=_%@v?FGH* z^N*tCe@PH=Gae)D4Djcpotd<^^u@<4*@oQ-ozc~wrx4#>GP;zHljRE?<64+WStUiA zv$Gk-ZfhBamjC4eEM3@hI-g>^wGu$EWQU)x;KcqrR5{P@4+Yi4oJ6xZLH&_obMIp2 zHD}eIlKH(^>B^UQjjzxgjP&Jp#EaoeG))I7Z`NGQ0~T~6`NrV&(O4*7U)X7ZQp#a^8`xu#j zltmE2zvKd%WFQ9Js`znbLmrc`5ow(s^;d9*zbl@U8t&p>Xokz=6!DAqyzcQJVjXrC z5Smfb!pLal;>p`W`!Y$jV>P~SD6J;smRqsWP8H>`^TOju-t;BndJB`=y*1*I&`wR=D5h zK5L=e6k$_fvk==8?y0n;Yc_APnX6yER&nb0J`gxktnm+aQs+q~r&Gs1Z=A4q9_eG& zP-oX(D69YD#_Q;K_Qf1GL0~pig%jZdw6_%;?Xz>6%ePuxoE2p_M>b2L`I4+b@X@1+ zR!7298#Ggs*iJNfl#Nue&HGKfj;~M2(fc`omx0Hwm+_M>*F{o)2u7RVMWzc2_|(IG z)%&WI+^r6X!d3lw3Occ|4qbkrBW`n(luwsR9js!ks=6LZOKgJTp{G&it@1xbB}JR; zLr{;e(9VZvxXZjBJ1|~)2s}tUA-TlIa~jG|GYvWxlY)+*E5)wMN7nY{#T!GY zWPfHUQg^gv+4=p@!VDA`NGhiG*`XdEU-QSW_5dkr263^VNR+XmH03e9X-+fc)OX@o z?0F@BKdP!|MpJyla*;tu{4AbG!4K~GalFxMxD@U&v@%&*)d*>{a{tzJeA9I9BRuMo z`zQaa{=$Lq5DWLmU)f2#meQMM8^PrsKe@>kH8ny{L7gtL`^b_V9f~?G$=tv5+duT; zE5DGIk;VDNlGgLdd-V5Pf0Jp=mi)>)Mt;uy?N>pAO+lemC8NrXHW>d!r>%PeWZ7m&M0+-h#0}`g-4iW(*rkqI$aM-Qcb*sabUjj6K*>?vX+-`P@Ej|OZw${&@dE{sRulDfYF<0xW_I%)VU^`%b0TQdzsJ@KlWobRf zXLtn?zOGza@fe=j7hBuovf)Pu_A$|ZyCCkm1q9>PoA42QsX?Jbdt0_bm8OFDTDKcf zOeSI%N#RiaZxbm))xS%y_&=8Z6)qo-5#04-mJ&)PcTXNHK)RXJ)Dmd0+pFMi%XbvA z?-`Qb1dZA>oxj)nc;uqv$fSEjdm(hogFkfV;4mwL4XE*oLjilq$j&F%x>y!b!XFSi(jfQ7Y4n|*qES_4VrVdu+p9T{i~7&af5n|87j6O(Sv zmdACC7uyrIwsLRCaPU7D7ryraz6pvhL;jyd)`Q|`P`Cqf9_flC9iL6 z%8OlL%*uf`@SG>2fH|0{=Dn9NIvy3+@~B@4Iy9cwJ+}QWHFk3Scw6MKJ(zdda`pu~ zXE>OC5BY2w&s$rLv&*`cd7z257l`|4l|< zE$J05jfDtb1;P}%YePz6AtzOq!&vtw`*21fmo0+2 zkC$HQ+}K*v@JFUuiDY;~k)j!K#?Q!K1c?wFj6$my1YQ9Wf4sQyES;)R=I6PI;Uvn+ z?C#UWUIg=4>7HmVma9R{q7FT+Ng3{H_Sq2`cjO()axzF>-ZrL;WhhWh$2e^o3y!Ww zX3HJOheCfDSgCi4FG)QE*I36b;SRUWFmvlZL`Fr`;W*wFwYNs*M{63ms&i&cF&2>U zoVbW6n4r0F{S)?j?1)we`+Rpya0;B6KV+X980e>$pS)>47%1AqA0$Ja$FsKn zG47+f4-INzyQ9JggFSQfw5f3UVWWD5$BT3jUDmnl!$8_6Sqs zL=ii5rWTbPT^wCT&#E|W-`>VwF^UNY2=H9{9%FVC(de2Tfay(CIgAd>GJ_48gh*p1 zQZ?BY0&fK9W2ocMjfskXhF04!mfIZ@ANOax7EW7>BHuAG+BJ)Oom=E_9|&BG$%sO; z|NeyW5~CRMD$HP=S1AkXsMRJrE59rC&+3{!EizN46r-S_;jua<_mnYk5A8L^rjl6b z&A+)rg9j3rAj&yXJToydIQn|s)JR|xWsOIlRWeWUdmqE?HLnOjSB6-(p@2$1Pi4}> zjPyU|T5Jzc$jvS>l!U;1S#5HpfAh5AaeO2l^2MAg4n6-v-$y)ZNc$6w92xfUhBRx- zoS4El9(@E2zc%}xE9_L7e?EC&YrCNW< zsv49P-OjKyBcUuqgh8Z2^5nD9Y^r)%Qr@WYk{bB1;?Nd%QB)ZQF8-Y_l>EniVc)ST z_M)Sw_*>J4lh=%;S;3-R3k$pI>;! zfmVnZ#s_rM4#CuikNUbF)Nc$2ss_nmY($y`1#}fvsmN!i#cRA1tk|cf#Zd1uLPH-0 z5&3wxjeB>=dWkhV1y)lf1pJQ{cE|_ra%#(r0VF#Ds}|Dw zR%OxMX6IBx?x@{c(m4iq9OtmCd>A`jrQ!n@U^Sd@!Oc;x77 z*Qt?Vg~@b2IFwUy^L@f)jO;r5(aOg-wHcVZ3!@H=Pgghm!kS>h$ zTD(Np(hNRSo?c?UT{#NVl|*F;0EFPQm+-d}|} zi~>!`^|UPCjR;!)Dqr8KL=|M4%Wjts$_(AZT?#pN@e53I4%5pHW_yL4Tr#y1Ssy-2 zOqfhrf%rz?!-!JiZJS2yxMUvq^u!OS{!c`1QxfqUQ!W!TH-T~49rX0f!RzCHOQUq` z-%E(G610)UHfGWWR#?ud^2%KRg3;$u(`h7<1`uDLUwnFHzUb8-s3LHQs!ks4143f< zXV3yuwG<8A2K%vuCJ>iZ2o|xje9&dvzTY$w-;wp?ylmGRYNqEvEm(lu0m_TpF(b{4 zNK#k5YxcrtM&_Mfh3cUW!n!Hmt{Mbl=By82UoQ8ze6V2IO*1xzNc#Pga4oDV(1Y-U zsu=@!*L_9PUiuYWD^N%iEtc(<8 zUyQO2BPV)-7+$cxuBZ6FrB@wo7P7Da7(iURZ=ciR)f=iB@Y!iwx}2--BZ>LYkX}cQyGUB^(lm8 zjIDS(32*Mz5ev#6S(kk{T*mV;2hcN#xZGqPNQjOs$(l&0s6M`0f?5=7l{iidF>DS~ ze`uCP-yS-x4xDO9sNa`Hi5>}zjxd@ziiiOd;*A=(!2j=U~td3atjKA(P zixUuaC`o3<_Q^P`<8^whc{50u@P1QO`xg{q(vy)NY1z{Go)@htRuV=Wiqm%G7*Ti+ z89&{V;t$haocrffULO4SgjxgQUT1oIY2mMC&pm*LtRz(`cy_DK=&$={b z7@;q#8K{cW^ITkz$5P>mkRA5+v7!D-=<5Et&mU^hVxU@$gE~b`fytLa1A03r2tl%C zM^d6r$}g=g%f{1oI1*;PBm<2{4)&xwPdO;8=H0`h5%Z}KUohhpR_r$8=R^C9cJbOG<#1V@~IbYZ18K zSWRHkca&tq%jUra1pG|5b>@zh+2xJuph9aP?ZuxAL)*p@>b-I(a;#VP1 zQEp`>Bd|5N{%PtRkPSTxFrVxQo21N=m5*?QdrX};5d z>Q*0!%)S#EPCjY*VfMJBZfQ&$pw#J*uC$;lub)ptiPPH22g$ja+%hmXdKQY z2&k6R0^}w~hH*sL$w|E7kc@G1VLY}owJ@(erlvKuHRVRfg2Y7{RvF{Oq$}b!K;wZ( z@for~UG4cC)Z941qC1^fP}dJD+%|v~!yLjI(3yRtA)gfqUE{wWW+Gf)MByWo>b|?Z zaPuQusQZ9E%TiSHmA$Xy3&X;MLdYYgS1+(5)`x0D8DssQ($D&n!aH_{;LNU@KI&|W?utrEh$sayUKXi?k z0@qMYg0oFI^(y*A>i+hQmatM8i@?FK6b?+QZu`x+ZXUVe0r;S(w+_wn(q ze6L5jV2W!Pu|ukH%Y>$n#kOXw0^|qcUW{4fE~V$61U4e0$`7JA)l{D9wJ{_xV1A0k zFv*nR!?%#v4i>$LEXhQUtCV(*azXN5Ofv{c!k?da^6d$p1IExU`tJPwAbc?0A+81B z;P2ypEi8?u8D`+36QOU=x3KfGK7Fdgd~D2_taY$RLdnEHxZfb}d>wW2*NWRAf7!EY zJAmoF#P)9g)ya62QK1y;4u8#ltdZtz-0geZ=8C)Kyi~k=SE=xUOJw=;uHrGxWVq1I z4ov6c{-UTa)Xo#b!jQ0L4=Emst!`ia(LQPX0VR^hD2a@tpZAys{z09eFn?60pE)>z z4t(3?54pmE35YsqEEsrvW;FNrT1y`x6Er3MQrf9_0&t94Q<^~*D~=R1tt3JGiK(C9vhV^PPsd=J935ff7Kk5cRSPFSp87|8sf z$)mhJ_X$8YE{XwneC>Zf?*H^^X93&Iu(VUeVFwQJRsl2##YCtcH74DL-mGVf&Vxp6!HWtPw+~JC|3f zL?u(>!D%lMwwtnP$yI=(ix3{aD0mMt1-Uut(T(d9!mrKVcBvh_ zj0vBeoTbM=^w#t9e>z{?=veyzLlJd-bVKhtzdbugr5rr>)29G@SpAtiWT?D{fsspz`Vqa{k_Q+UDX1&Y7fq}XA zMO&2;iJ5jYauw0rw_f1Xi|)jR2;EO)lk4|h=itM@U>a;h=x0xYXXbWd{%p*+k!h5j z=bei*Y5Z=1j7p80uj;HyR)2gT$?Utsdc9Y9^%ZK*e%YmPn%&zR4;11Y=1jQq*2MdZ zQH5j>+!|VbM&ML&u4L+Hl5I;wc#c1BXHSDh9k(F9u>WbYnumNKZf4)yAR^8aZGQ34 z7tPdM;YRp+{lMMtcxLGnI7Pa~0D7K9%Jmn=F1vzg){7wRCB~1_8f1uOIX8_LbUlzs9khKu z;xww`-+R)W9Ki^m?OE)xd)jwJ3E#PJxY(EbT7^No6DtQ1F;poVnt$)xk(}w*x5~TN z?7Oz20~g|8rtuOn5%{$Sk{MH*1q4oWf#-zA$#tm8xmXTnu-eZS%jL-aanugxUA@#-SrExO^OZx?CGOI25nIGEO-)73ePz@X zT+$3TaJ%1e=HB1;mJ9Ny`T2B&w&Rr;J`BP*3S}4UjBz;zcmUTkZ8@+>p#6?>j z7tLOlmUx^g5%7GQc-KiKJ^Ev_)Kk7@&ru)AV{h{cJLK=oE;?mCJ&K=wU}=xExZ->7 zjvMdSuS)=tZn;U8o0Qh~Am@g(TwR*15TevL(YSJKE~(4sIi^5`r-Ek4ktXT!I@up> zeYEDl~L`_0lu!z?n>km<1=s?5=Y4 zH)dBV+nKm-NAI=ZvF{@TP}1@8mk2I11bt(^G|-lX0vBy;Io8C`{gZ9aJG=zOsxO=7COb^>!H)BdR-!$0#06E%5w zE1|p1U@qJvM3Zi?B%yF5KQMz+_yT!pQCLaqQN{lKp9H6vNc{_4!I*={hu;cvYoaML zeJ|MCgGvJ`?iFo!S4cG*FAzm%6duJ2Pjr3ZNUT!Ij!6mrl$9$!-QF4&xA@BakfO6M zTSBWRf?0VV=l>e0)Cr$*TN4J?J>9(&Y?1nSKWDr>Vd2%1H1&jTj7(tp^KZLW9X$5Id26arhIYGIPvNasmub+4ZO+W zs@>geqcOHUv7kn8i1vV9b$!%W`O!6TBjprY+hv%oQLkq438_s-R&h#e$9*&X#1{&A zNe8`f71lmA6Lq~b!_IEkwR>G*&AgA~%nF`9Jn}`ukxMmZ!RcJF*Z8U-3wI*l_NlYq z-K(J(;e?qvQY$qVrYY92Xj}elpW1SA-}uiOXIf%Hh&pSXONVUHaqUQj_ov9eP8F3f zd-XHeWw)|cFAO`Ye3OL#OI-UI{XXWREdPN^kofAq9gM3Amx$}|587N}d9e`d7@g7* z7B!gPtV+=Sa_AT(xxK366#0SMOHY=dFMMHT6{p?xpJjGm;G>dc!?ik7me}L%<+Wh3 zitBM)&vm;D_K%V&JD-|zJ(WWd6rO9&J@!RRSxYVR4*n4KNunKl{h)hi4Bq`SwloYo%eu=qsh!rW&n%J< z0&5MHVto{7+|QzSCaLQH4Y#F4S=#KGg$rPBIka!9Lf4nUcia9N@883Hf-SV!Xr>V) zSLh#kd9S-&ynTu6nU|4lo|*cBi6=*LWL-bsk_0Yx7BF1L1@6x2DfzLW-_*(vM4g>? zKr=!ow{kjt-n>YxXTRF}z#@}5A&%0`EFrC&=a4*WMso|nwOLX|uGn#5G=2^J=<$(- zHP32stcq)Xilhzp`T*-_v(N{AJGp2w*utMyq%3ar8fhhF^{+4%Mllc7J5|fiWEvmURszeHd#c5g<)8<*U2A?7AEn*Giv;8m9bF_M*l}=bz7 z>d!Bu9`qNNZC_*tp2n+{rcP-+`gG#?6BnRehoWkaQle!Ky`Tr@hEUBuS zo-IRtu8{9(sW#3m$PurwE6f)2PU;3X?Kel7yQJ`Ay4)br}yY62V&nMqDstK@uGWvMcnBf_f z!E_2gJ83-9#(mAOiCF&LpY|x=LX5;bpObi8+!7j;sYql~%TH)OwhB3yIsQW5wslsu z)(tBhw2#Vb_3{gh8{8dwo5(JG?Hm!`fQ;;NT=(R+n_Dq6m?mLoyOXd&2Dc^$ zj|wP2*(exLT@=K*;|hE7v$-{&Y7yDgEqn6cB05&HWi_$FA91^ITfm5={JEGHr{bzc zFEezSF||R<7{;Ln2z{%HweQB8U39$yrUSNfpx|RB z375=&eRr`SF%!j-bHBOauB!o%hA>PkQJc`It!z26fk*uLWxR|XVP_A#_P~8x;`%!~ zBw_SoYx8&1;a~R8%(3J|HuBsy>eg$!0ZgiHNP7oDS3?-Vom?FyXoqIB0rc5awbS4w zE#?036qnrtGdE$qM*c^G^HJ_Mts|1V6#H3;w_J8(g~KL&pTNUcp!_<7V(b$nF+0Bh z0?v1ntsh+NR)UJ_W$n<3s5%)#fC&1u#wjWNjuTj@K%37&Q@{@Fy`)0~NFlH_hyr4u z2)Agn?jrz}VX9bb8y6D!2MS0ANGKR6*1~H6vpK^-Lzy5@WJiNME*2_OWY>b|K)gkK zR}C-$1x4r=(a!(C>6Gk+LdpCyRC|bfY_hiVlq$~s8XDjNJB9V;&^Vs34utfd?J^6lNPY#Ey_qD%@P42yvi}pRwpmuvw*5mbzt=i9+EGke!c;b|z+%Ucvyk{VL`zXhT~x;6iSTQ`$rW zBvA2)hv4Xj$O0m#510#1ivEtg1q}VX?As(C09|(&G(v{9F-+Sed4|AJkg;T{O@J)) z*+#_w0){dq@OQuSC6rPf%>s@BPC&<%J(unL)Q!+JZvjQK@u+!fEUfoaADE-U_JTny zM3x%$kgdDH1b0~C2$;r|1H^bu8#|yQ)uUQ3N9+f0^@5RbhK9q9esO#LA9T?LvQ{Gu zSv2*=ukSzsUGp-Vo$0&kgKp|TNx%j4UGy@(K(i9{q12k*nx2@5ibcJJStRps^ULfA zBph)E34-eGw`2;f3ZR2_s#HjUe{kr|8v?q}AmMtbX|2Byb*RG$C~r6}b_k(H*Pmx? z6Bups1xkm(?ZUJ$kYI@;e&T>il%f^%1K_nAb=e&Y85hBALc2d7VwB_l~>>0Ye|QDLF=utK;M?z#+4qzows(@Gpsv;yBAZ-Z=9 zi2}kg0yjw~HPaZ}#34kY4pfa5$!?~3#1A1_z2aNn!z{4gQQv`%LWbFYU!Bwz2`K>J z6VCzys!%QJWzC&EwnQBgP7TNdMUBGRW5-;b3|KZIMcS>GY zBS6OvEB*ct=FNt!lmc{+%hgGnc%Xe%q9WAkbsI9X_74xeuyptlJe+>ZiDS;#Qaiu+ F{{l* Date: Tue, 18 Jul 2023 11:05:49 -0700 Subject: [PATCH 089/163] Correcting some info. Still need to know which systems don't play well with wavetable synthesis. --- doc/5-wave/README.md | 10 +++++----- 1 file changed, 5 insertions(+), 5 deletions(-) diff --git a/doc/5-wave/README.md b/doc/5-wave/README.md index f2e621d6..c833e13b 100644 --- a/doc/5-wave/README.md +++ b/doc/5-wave/README.md @@ -10,15 +10,15 @@ system | width | height | synth? --------------------|------:|:-------|:-----: Game Boy | 32 | 16 | Game.com | 32 | 16 | -SCC / Bubble System | 32 | 16 | Namco WSG | 32 | 16 | WonderSwan | 32 | 16 | Namco N163 | ≤128 | 16 | -Seta X1-010 | 128 | 16 | Super Nintendo | ≤256 | 16 | PC Engine | 32 | 32 | -Virtual Boy | 32 | 64 | +Virtual Boy | 32 | 64 | no Famicom Disk System | 64 | 64 | +SCC / Bubble System | 32 | 256 | +Seta X1-010 | 128 | 256 | # wavetable editor @@ -33,7 +33,7 @@ controls across the top line: - **Lines**: view waveform as a continuous line. - **Width**: length of the waveform data. maximum is 256. - **Height**: depth of the waveform. maximum is 256. -- arrow button: toggle tabs (described below). +- `<` / `>`: toggle tabs (described below). waveform display: - the waveform is directly editable with the mouse. @@ -42,7 +42,7 @@ waveform display: controls across the bottom line: - **Dec**: view MML stream as decimal. - **Hex**: view MML stream as hexadecimal. -- `+`/`±`: toggle MML stream as unsigned/signed. also adjusts waveform display. +- `+` / `±`: toggle MML stream as unsigned/signed. also adjusts waveform display. - MML stream: waveform data as an editable numeric sequence. ## tabs From 407f91631160f358771707f46879e6fea2f2dfd1 Mon Sep 17 00:00:00 2001 From: Eknous-P Date: Tue, 18 Jul 2023 22:30:22 +0400 Subject: [PATCH 090/163] add chipType change detect --- src/gui/chanOsc.cpp | 2 +- src/gui/gui.h | 2 +- src/gui/sysPartNumber.cpp | 85 ++++++++++++++++++++++++++++++++++----- 3 files changed, 76 insertions(+), 13 deletions(-) diff --git a/src/gui/chanOsc.cpp b/src/gui/chanOsc.cpp index 522d01de..803a5665 100644 --- a/src/gui/chanOsc.cpp +++ b/src/gui/chanOsc.cpp @@ -512,7 +512,7 @@ void FurnaceGUI::drawChanOsc() { break; } case 'p': { - text+=FurnaceGUI::getSystemPartNumber(e->sysOfChan[ch]); + text+=FurnaceGUI::getSystemPartNumber(e->sysOfChan[ch], e->song.systemFlags[e->dispatchOfChan[ch]]); break; } case 'S': { diff --git a/src/gui/gui.h b/src/gui/gui.h index facd2f98..efc5fed0 100644 --- a/src/gui/gui.h +++ b/src/gui/gui.h @@ -2287,7 +2287,7 @@ class FurnaceGUI { bool quitRender(); const char* getSystemName(DivSystem which); - const char* getSystemPartNumber(DivSystem sys); + const char* getSystemPartNumber(DivSystem sys, DivConfig& flags); public: void editStr(String* which); diff --git a/src/gui/sysPartNumber.cpp b/src/gui/sysPartNumber.cpp index 35acaa60..212783da 100644 --- a/src/gui/sysPartNumber.cpp +++ b/src/gui/sysPartNumber.cpp @@ -19,14 +19,38 @@ #include "gui.h" -const char* FurnaceGUI::getSystemPartNumber(DivSystem sys) { +const char* FurnaceGUI::getSystemPartNumber(DivSystem sys, DivConfig& flags) { switch (sys) { case DIV_SYSTEM_YMU759: return "YMU759"; break; - case DIV_SYSTEM_SMS: - return "SN76489"; + case DIV_SYSTEM_SMS:{ + int chipType=flags.getInt("chipType",0); + if (chipType==4) { + return "SN76489A"; + } else if (chipType==5) { + return "SN76496"; + } else if (chipType==6) { + return "8496"; + } else if (chipType==7) { + return "PSSJ";//not part number + } else if (chipType==8) { + return "SN94624"; + } else if (chipType==9) { + return "SN76494"; + } else { + return "SN76489"; + } break; + } + case DIV_SYSTEM_PCE:{ + int chipType=flags.getInt("chipType",0); + if (chipType==1) { + return "HuC6280A"; + } else { + return "HuC6280"; + } + } case DIV_SYSTEM_NES: return "2A03"; break; @@ -40,9 +64,19 @@ const char* FurnaceGUI::getSystemPartNumber(DivSystem sys) { case DIV_SYSTEM_Y8950_DRUMS: return "Y8950"; break; - case DIV_SYSTEM_AY8910: - return "AY8910"; + case DIV_SYSTEM_AY8910:{ + int chipType=flags.getInt("chipType",0); + if (chipType==1) { + return "YM2149(F)"; + } else if (chipType==2) { + return "5B"; + } else if (chipType==3) { + return "AY-3-8914"; + } else { + return "AY-3-8910"; + } break; + } case DIV_SYSTEM_AMIGA: return "Amiga"; break; @@ -53,9 +87,22 @@ const char* FurnaceGUI::getSystemPartNumber(DivSystem sys) { case DIV_SYSTEM_YM2612_CSM: case DIV_SYSTEM_YM2612_DUALPCM: case DIV_SYSTEM_YM2612_DUALPCM_EXT: - case DIV_SYSTEM_YM2612_EXT: - return "YM2612"; + case DIV_SYSTEM_YM2612_EXT:{ + int chipType=0; + if (flags.has("chipType")) { + chipType=flags.getInt("chipType",0); + } else { + chipType=flags.getBool("ladderEffect",0)?1:0; + } + if (chipType==0) { + return "YM3438"; + } else if (chipType==2) { + return "YMF276"; + } else { + return "YM2612"; + } break; + } case DIV_SYSTEM_TIA: return "TIA"; break; @@ -94,9 +141,19 @@ const char* FurnaceGUI::getSystemPartNumber(DivSystem sys) { return "YM2608"; break; case DIV_SYSTEM_OPL: - case DIV_SYSTEM_OPL_DRUMS: - return "YM3526"; + case DIV_SYSTEM_OPL_DRUMS:{ + int patchSet=flags.getInt("patchSet",0); + if (patchSet==1) { + return "YMF281"; + } else if (patchSet==2) { + return "YM2423"; + } else if (patchSet==3) { + return "VRC7"; + } else { + return "YM2413"; + } break; + } case DIV_SYSTEM_OPL2: case DIV_SYSTEM_OPL2_DRUMS: return "YM3812"; @@ -112,9 +169,15 @@ const char* FurnaceGUI::getSystemPartNumber(DivSystem sys) { case DIV_SYSTEM_MULTIPCM: return "MultiPCM"; break; - case DIV_SYSTEM_RF5C68: - return "RF5C68"; + case DIV_SYSTEM_RF5C68:{ + int chipType=flags.getInt("chipType",0); + if (chipType==1) { + return "RF5C164"; + } else { + return "RF5C68"; + } break; + } case DIV_SYSTEM_OPZ: return "YM2414"; break; From df544e0b59d8e0979266811218e64f00ea454e68 Mon Sep 17 00:00:00 2001 From: tildearrow Date: Tue, 18 Jul 2023 15:07:48 -0500 Subject: [PATCH 091/163] GUI: fix glitch in new song issue #1253 --- src/gui/newSong.cpp | 4 +++- 1 file changed, 3 insertions(+), 1 deletion(-) diff --git a/src/gui/newSong.cpp b/src/gui/newSong.cpp index 170abfa3..98c44357 100644 --- a/src/gui/newSong.cpp +++ b/src/gui/newSong.cpp @@ -128,8 +128,10 @@ void FurnaceGUI::drawNewSong() { for (size_t chipIndex=0; chipIndexgetSystemDef(chip); + ImGui::PushTextWrapPos(MIN(scrW*dpiScale,400.0f*dpiScale)); ImGui::Text("%s (x%d): ",sysDef->name,chipCounts[chip]); - ImGui::TextWrapped("%s",sysDef->description); + ImGui::Text("%s",sysDef->description); + ImGui::PopTextWrapPos(); if (chipIndex+1 Date: Tue, 18 Jul 2023 15:09:40 -0700 Subject: [PATCH 092/163] Oops! Another little detail. --- doc/5-wave/README.md | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) diff --git a/doc/5-wave/README.md b/doc/5-wave/README.md index c833e13b..983b57e0 100644 --- a/doc/5-wave/README.md +++ b/doc/5-wave/README.md @@ -8,6 +8,7 @@ Each chip has its own maximum size, shown in the following table. If a larger wa system | width | height | synth? --------------------|------:|:-------|:-----: +Bubble System | 32 | 16 | Game Boy | 32 | 16 | Game.com | 32 | 16 | Namco WSG | 32 | 16 | @@ -17,7 +18,7 @@ Super Nintendo | ≤256 | 16 | PC Engine | 32 | 32 | Virtual Boy | 32 | 64 | no Famicom Disk System | 64 | 64 | -SCC / Bubble System | 32 | 256 | +Konami SCC | 32 | 256 | Seta X1-010 | 128 | 256 | From 63a50745ac639185138bac8acbcfc237bbee03c4 Mon Sep 17 00:00:00 2001 From: tildearrow Date: Tue, 18 Jul 2023 19:29:02 -0500 Subject: [PATCH 093/163] Namco 163: #1250, part 1 --- src/engine/platform/n163.h | 3 +++ src/engine/sysDef.cpp | 4 +++- 2 files changed, 6 insertions(+), 1 deletion(-) diff --git a/src/engine/platform/n163.h b/src/engine/platform/n163.h index 0c5e5913..fdf21dd5 100644 --- a/src/engine/platform/n163.h +++ b/src/engine/platform/n163.h @@ -29,6 +29,7 @@ class DivPlatformN163: public DivDispatch { struct Channel: public SharedChannel { signed char resVol; short wave, wavePos, waveLen; + short curWavePos, curWaveLen; unsigned char waveMode; short loadWave, loadPos, loadLen; unsigned char loadMode; @@ -41,6 +42,8 @@ class DivPlatformN163: public DivDispatch { wave(-1), wavePos(0), waveLen(0), + curWavePos(0), + curWaveLen(0), waveMode(0), loadWave(-1), loadPos(0), diff --git a/src/engine/sysDef.cpp b/src/engine/sysDef.cpp index 6d8a308e..09213d6f 100644 --- a/src/engine/sysDef.cpp +++ b/src/engine/sysDef.cpp @@ -1005,6 +1005,9 @@ void DivEngine::registerSystems() { {DIV_CH_WAVE, DIV_CH_WAVE, DIV_CH_WAVE, DIV_CH_WAVE, DIV_CH_WAVE, DIV_CH_WAVE, DIV_CH_WAVE, DIV_CH_WAVE}, {DIV_INS_N163, DIV_INS_N163, DIV_INS_N163, DIV_INS_N163, DIV_INS_N163, DIV_INS_N163, DIV_INS_N163, DIV_INS_N163}, {}, + { + {0x18, {DIV_CMD_N163_CHANNEL_LIMIT, "180x: Change channel limits (0 to 7, x + 1)"}} + }, { {0x10, {DIV_CMD_WAVE, "10xx: Select waveform"}}, {0x11, {DIV_CMD_N163_WAVE_POSITION, "11xx: Set waveform position in RAM (single nibble unit)"}}, @@ -1014,7 +1017,6 @@ void DivEngine::registerSystems() { {0x15, {DIV_CMD_N163_WAVE_LOADPOS, "15xx: Set waveform position for load to RAM (single nibble unit)"}}, {0x16, {DIV_CMD_N163_WAVE_LOADLEN, "16xx: Set waveform length for load to RAM (04 to FC, 4 nibble unit)"}}, {0x17, {DIV_CMD_N163_WAVE_LOADMODE, "170x: Change waveform load mode (0: off; bit 0: load now; bit 1: load when every waveform changes)"}}, - {0x18, {DIV_CMD_N163_CHANNEL_LIMIT, "180x: Change channel limits (0 to 7, x + 1)"}}, {0x20, {DIV_CMD_N163_GLOBAL_WAVE_LOAD, "20xx: (Global) Select waveform for load to RAM"}}, {0x21, {DIV_CMD_N163_GLOBAL_WAVE_LOADPOS, "21xx: (Global) Set waveform position for load to RAM (single nibble unit)"}}, {0x22, {DIV_CMD_N163_GLOBAL_WAVE_LOADLEN, "22xx: (Global) Set waveform length for load to RAM (04 to FC, 4 nibble unit)"}}, From 01c33260bc722cc244c3f549ac657979001d3f83 Mon Sep 17 00:00:00 2001 From: tildearrow Date: Wed, 19 Jul 2023 00:16:44 -0500 Subject: [PATCH 094/163] this commit sucks --- src/engine/platform/n163.cpp | 21 +++++++++++---------- 1 file changed, 11 insertions(+), 10 deletions(-) diff --git a/src/engine/platform/n163.cpp b/src/engine/platform/n163.cpp index 7e10a01e..da8b7782 100644 --- a/src/engine/platform/n163.cpp +++ b/src/engine/platform/n163.cpp @@ -22,7 +22,6 @@ #include "../../ta-log.h" #include -#define rRead(a,v) n163.addr_w(a); n163.data_r(v); #define rWrite(a,v) if (!skipRegisterWrites) {writes.push(QueuedWrite(a,v)); if (dumpWrites) {addWrite(a,v);} } #define rWriteMask(a,v,m) if (!skipRegisterWrites) {writes.push(QueuedWrite(a,v,m)); if (dumpWrites) {addWrite(a,v);} } #define chWrite(c,a,v) \ @@ -198,8 +197,8 @@ void DivPlatformN163::tick(bool sysTick) { chan[i].freqChanged=true; } if (chan[i].std.duty.had) { - if (chan[i].wavePos!=chan[i].std.duty.val) { - chan[i].wavePos=chan[i].std.duty.val; + if (chan[i].curWavePos!=chan[i].std.duty.val) { + chan[i].curWavePos=chan[i].std.duty.val; if (chan[i].waveMode&0x2) { chan[i].waveUpdated=true; } @@ -225,8 +224,8 @@ void DivPlatformN163::tick(bool sysTick) { chan[i].freqChanged=true; } if (chan[i].std.ex1.had) { - if (chan[i].waveLen!=(chan[i].std.ex1.val&0xfc)) { - chan[i].waveLen=chan[i].std.ex1.val&0xfc; + if (chan[i].curWaveLen!=(chan[i].std.ex1.val&0xfc)) { + chan[i].curWaveLen=chan[i].std.ex1.val&0xfc; chan[i].ws.setWidth(chan[i].waveLen); if (chan[i].waveMode&0x2) { chan[i].waveUpdated=true; @@ -288,7 +287,7 @@ void DivPlatformN163::tick(bool sysTick) { chan[i].volumeChanged=false; } if (chan[i].waveChanged) { - chWrite(i,0x6,chan[i].wavePos); + chWrite(i,0x6,chan[i].curWavePos); if (chan[i].active) { chan[i].freqChanged=true; } @@ -309,7 +308,7 @@ void DivPlatformN163::tick(bool sysTick) { if (chan[i].freqChanged || chan[i].keyOn || chan[i].keyOff) { // TODO: what is this mess? chan[i].freq=parent->calcFreq(chan[i].baseFreq,chan[i].pitch,chan[i].fixedArp?chan[i].baseNoteOverride:chan[i].arpOff,chan[i].fixedArp,false,2,chan[i].pitch2,chipClock,CHIP_FREQBASE); - chan[i].freq=(((chan[i].freq*chan[i].waveLen)*(chanMax+1))/16); + chan[i].freq=(((chan[i].freq*chan[i].curWaveLen)*(chanMax+1))/16); if (chan[i].freq<0) chan[i].freq=0; if (chan[i].freq>0x3ffff) chan[i].freq=0x3ffff; if (chan[i].keyOn) { @@ -325,7 +324,7 @@ void DivPlatformN163::tick(bool sysTick) { } chWrite(i,0x0,chan[i].freq&0xff); chWrite(i,0x2,chan[i].freq>>8); - chWrite(i,0x4,((256-chan[i].waveLen)&0xfc)|((chan[i].freq>>16)&3)); + chWrite(i,0x4,((256-chan[i].curWaveLen)&0xfc)|((chan[i].freq>>16)&3)); if (chan[i].keyOn) chan[i].keyOn=false; if (chan[i].keyOff) chan[i].keyOff=false; chan[i].freqChanged=false; @@ -342,6 +341,8 @@ int DivPlatformN163::dispatch(DivCommand c) { chan[c.chan].wavePos=ins->n163.wavePos; chan[c.chan].waveLen=ins->n163.waveLen; chan[c.chan].waveMode=ins->n163.waveMode; + chan[c.chan].curWavePos=chan[c.chan].wavePos; + chan[c.chan].curWaveLen=chan[c.chan].waveLen; chan[c.chan].ws.init(NULL,chan[c.chan].waveLen,15,false); chan[c.chan].ws.changeWave1(chan[c.chan].wave); chan[c.chan].waveChanged=true; @@ -438,14 +439,14 @@ int DivPlatformN163::dispatch(DivCommand c) { chan[c.chan].keyOn=true; break; case DIV_CMD_N163_WAVE_POSITION: - chan[c.chan].wavePos=c.value; + chan[c.chan].curWavePos=c.value; if (chan[c.chan].waveMode&0x2) { chan[c.chan].waveUpdated=true; } chan[c.chan].waveChanged=true; break; case DIV_CMD_N163_WAVE_LENGTH: - chan[c.chan].waveLen=c.value&0xfc; + chan[c.chan].curWaveLen=c.value&0xfc; if (chan[c.chan].waveMode&0x2) { chan[c.chan].waveUpdated=true; } From b42614189f9bf0f549b69e7ce5e2441779dd5a89 Mon Sep 17 00:00:00 2001 From: tildearrow Date: Wed, 19 Jul 2023 01:43:41 -0500 Subject: [PATCH 095/163] Namco 163: dang --- src/engine/platform/n163.cpp | 2 +- src/gui/insEdit.cpp | 6 +++--- 2 files changed, 4 insertions(+), 4 deletions(-) diff --git a/src/engine/platform/n163.cpp b/src/engine/platform/n163.cpp index da8b7782..e6952ab5 100644 --- a/src/engine/platform/n163.cpp +++ b/src/engine/platform/n163.cpp @@ -343,7 +343,7 @@ int DivPlatformN163::dispatch(DivCommand c) { chan[c.chan].waveMode=ins->n163.waveMode; chan[c.chan].curWavePos=chan[c.chan].wavePos; chan[c.chan].curWaveLen=chan[c.chan].waveLen; - chan[c.chan].ws.init(NULL,chan[c.chan].waveLen,15,false); + chan[c.chan].ws.init(NULL,chan[c.chan].waveLen,15,true); chan[c.chan].ws.changeWave1(chan[c.chan].wave); chan[c.chan].waveChanged=true; if (chan[c.chan].waveMode&0x3 || ins->ws.enabled) { diff --git a/src/gui/insEdit.cpp b/src/gui/insEdit.cpp index 6715e56b..583623fe 100644 --- a/src/gui/insEdit.cpp +++ b/src/gui/insEdit.cpp @@ -5442,10 +5442,10 @@ void FurnaceGUI::drawInsEdit() { dutyLabel="Duty"; dutyMax=63; } - /*if (ins->type==DIV_INS_N163) { - dutyLabel="Waveform pos."; + if (ins->type==DIV_INS_N163) { + dutyLabel="Wave Pos"; dutyMax=255; - }*/ + } if (ins->type==DIV_INS_VRC6) { dutyLabel="Duty"; dutyMax=ins->amiga.useSample?0:7; From aac1d272e3df24657700f58acd9207b6ee35b0be Mon Sep 17 00:00:00 2001 From: Electric Keet Date: Wed, 19 Jul 2023 09:50:49 -0700 Subject: [PATCH 096/163] NES DPCM pitch/note table. --- doc/7-systems/nes.md | 78 ++++++++++++-------------------------------- 1 file changed, 21 insertions(+), 57 deletions(-) diff --git a/doc/7-systems/nes.md b/doc/7-systems/nes.md index c3ccb706..c1b7c4c7 100644 --- a/doc/7-systems/nes.md +++ b/doc/7-systems/nes.md @@ -83,45 +83,6 @@ reference: [NESdev](https://www.nesdev.org/wiki/APU_Noise) ## length counter table - - - - value | raw | NTSC | PAL | Dendy | NTSC 5-step | PAL 5-step | Dendy 5-step -----:|----:|------:|------:|------:|------------:|-----------:|-------------: `03` | 2 | 17ms | 20ms | 17ms | 21ms | 25ms | 21ms @@ -161,21 +122,24 @@ reference: [NESdev](https://www.nesdev.org/wiki/APU_Length_Counter) ## DPCM frequency table -value | NTSC | PAL -------|----------:|----------: - `00` | 4181.7Hz | 4177.4Hz - `01` | 4709.9Hz | 4696.6Hz - `02` | 5264.0Hz | 5261.4Hz - `03` | 5593.0Hz | 5579.2Hz - `04` | 6257.9Hz | 6023.9Hz - `05` | 7046.3Hz | 7044.9Hz - `06` | 7919.3Hz | 7917.2Hz - `07` | 8363.4Hz | 8397.0Hz - `08` | 9419.9Hz | 9446.6Hz - `09` | 11186.1Hz | 11233.8Hz - `0A` | 12604.0Hz | 12595.5Hz - `0B` | 13982.6Hz | 14089.9Hz - `0C` | 16884.6Hz | 16965.4Hz - `0D` | 21306.8Hz | 21315.5Hz - `0E` | 24858.0Hz | 25191.0Hz - `0F` | 33143.9Hz | 33252.1Hz + +value | NTSC freq | NTSC note | PAL freq | PAL note +-----:|----------:|:----------:|----------:|:----------: + `00` | 4181.7Hz | C-8 - 2¢ | 4177.4Hz | C-8 - 4¢ + `01` | 4709.9Hz | D-8 + 4¢ | 4696.6Hz | D-8 - 1¢ + `02` | 5264.0Hz | E-8 - 3¢ | 5261.4Hz | E-8 - 4¢ + `03` | 5593.0Hz | F-8 + 2¢ | 5579.2Hz | F-8 - 3¢ + `04` | 6258.0Hz | G-8 - 4¢ | 6023.9Hz | G-8 - 70¢ + `05` | 7046.4Hz | A-8 + 2¢ | 7044.9Hz | A-8 + 1¢ + `06` | 7919.4Hz | B-8 + 4¢ | 7917.2Hz | B-8 + 3¢ + `07` | 8363.4Hz | C-9 - 2¢ | 8397.0Hz | C-9 + 5¢ + `08` | 9419.9Hz | D-9 + 4¢ | 9446.6Hz | D-9 + 9¢ + `09` | 11186.1Hz | F-9 + 2¢ | 11233.8Hz | F-9 + 9¢ + `0A` | 12604.0Hz | G-9 + 8¢ | 12595.5Hz | G-9 + 7¢ + `0B` | 13982.6Hz | A-9 - 12¢ | 14089.9Hz | A-9 + 1¢ + `0C` | 16884.6Hz | C-10 + 15¢ | 16965.4Hz | C-10 + 23¢ + `0D` | 21306.8Hz | E-10 + 17¢ | 21315.5Hz | E-10 + 18¢ + `0E` | 24858.0Hz | G-10 - 16¢ | 25191.0Hz | G-10 + 7¢ + `0F` | 33143.9Hz | C-11 - 18¢ | 33252.1Hz | C-11 - 12¢ + +reference: [NESdev](https://www.nesdev.org/wiki/APU_DMC#Pitch_table) \ No newline at end of file From caf4ab5d7a05ab2ca9c83d3c536411cb492dad86 Mon Sep 17 00:00:00 2001 From: Eknous-P Date: Wed, 19 Jul 2023 23:20:28 +0400 Subject: [PATCH 097/163] add k053260 case --- src/gui/sysPartNumber.cpp | 3 +++ 1 file changed, 3 insertions(+) diff --git a/src/gui/sysPartNumber.cpp b/src/gui/sysPartNumber.cpp index 212783da..7ca4489f 100644 --- a/src/gui/sysPartNumber.cpp +++ b/src/gui/sysPartNumber.cpp @@ -271,6 +271,9 @@ const char* FurnaceGUI::getSystemPartNumber(DivSystem sys, DivConfig& flags) { case DIV_SYSTEM_PV1000: return "PV-1000"; break; + case DIV_SYSTEM_K053260: + return "K053260"; + break; default: return FurnaceGUI::getSystemName(sys); break; From d779b4c5162c7b02ba1d9887d0a2349c9b814679 Mon Sep 17 00:00:00 2001 From: tildearrow Date: Wed, 19 Jul 2023 14:29:38 -0500 Subject: [PATCH 098/163] Namco 163: get rid of wave load part of some serious work... the global wave load feature will be improved --- src/engine/platform/n163.cpp | 47 ------------------------------------ src/engine/platform/n163.h | 6 ----- src/engine/sysDef.cpp | 4 --- src/gui/insEdit.cpp | 8 ------ 4 files changed, 65 deletions(-) diff --git a/src/engine/platform/n163.cpp b/src/engine/platform/n163.cpp index e6952ab5..92e5fe9e 100644 --- a/src/engine/platform/n163.cpp +++ b/src/engine/platform/n163.cpp @@ -249,35 +249,6 @@ void DivPlatformN163::tick(bool sysTick) { } } } - if (chan[i].std.ex3.had) { - if (chan[i].loadWave!=chan[i].std.ex3.val) { - chan[i].loadWave=chan[i].std.ex3.val; - if (chan[i].loadMode&0x2) { - updateWave(i,chan[i].loadWave,chan[i].loadPos,chan[i].loadLen&0xfc); - } - } - } - if (chan[i].std.alg.had) { - if (chan[i].loadPos!=chan[i].std.alg.val) { - chan[i].loadPos=chan[i].std.alg.val; - } - } - if (chan[i].std.fb.had) { - if (chan[i].loadLen!=(chan[i].std.fb.val&0xfc)) { - chan[i].loadLen=chan[i].std.fb.val&0xfc; - } - } - if (chan[i].std.fms.had) { - if ((chan[i].loadMode&0x2)!=(chan[i].std.fms.val&0x2)) { // load when every waveform changes - chan[i].loadMode=(chan[i].loadMode&~0x2)|(chan[i].std.fms.val&0x2); - } - if ((chan[i].loadMode&0x1)!=(chan[i].std.fms.val&0x1)) { // load now - chan[i].loadMode=(chan[i].loadMode&~0x1)|(chan[i].std.fms.val&0x1); - if (chan[i].loadMode&0x1) { // rising edge - updateWave(i,chan[i].loadWave,chan[i].loadPos,chan[i].loadLen&0xfc); - } - } - } if (chan[i].volumeChanged) { if (chan[i].active && !isMuted[i]) { chWriteMask(i,0x7,chan[i].resVol&0xf,0xf); @@ -459,24 +430,6 @@ int DivPlatformN163::dispatch(DivCommand c) { chan[c.chan].waveChanged=true; } break; - case DIV_CMD_N163_WAVE_LOAD: - chan[c.chan].loadWave=c.value; - if (chan[c.chan].loadMode&0x2) { // load when every waveform changes - updateWave(c.chan,chan[c.chan].loadWave,chan[c.chan].loadPos,chan[c.chan].loadLen); - } - break; - case DIV_CMD_N163_WAVE_LOADPOS: - chan[c.chan].loadPos=c.value; - break; - case DIV_CMD_N163_WAVE_LOADLEN: - chan[c.chan].loadLen=c.value&0xfc; - break; - case DIV_CMD_N163_WAVE_LOADMODE: - chan[c.chan].loadMode=c.value&0x3; - if (chan[c.chan].loadMode&0x1) { // load now - updateWave(c.chan,chan[c.chan].loadWave,chan[c.chan].loadPos,chan[c.chan].loadLen); - } - break; case DIV_CMD_N163_GLOBAL_WAVE_LOAD: loadWave=c.value; if (loadMode&0x2) { // load when every waveform changes diff --git a/src/engine/platform/n163.h b/src/engine/platform/n163.h index fdf21dd5..ce3c4fe7 100644 --- a/src/engine/platform/n163.h +++ b/src/engine/platform/n163.h @@ -31,8 +31,6 @@ class DivPlatformN163: public DivDispatch { short wave, wavePos, waveLen; short curWavePos, curWaveLen; unsigned char waveMode; - short loadWave, loadPos, loadLen; - unsigned char loadMode; bool volumeChanged; bool waveChanged, waveUpdated; DivWaveSynth ws; @@ -45,10 +43,6 @@ class DivPlatformN163: public DivDispatch { curWavePos(0), curWaveLen(0), waveMode(0), - loadWave(-1), - loadPos(0), - loadLen(0), - loadMode(0), volumeChanged(false), waveChanged(false), waveUpdated(false) {} diff --git a/src/engine/sysDef.cpp b/src/engine/sysDef.cpp index 09213d6f..42123c52 100644 --- a/src/engine/sysDef.cpp +++ b/src/engine/sysDef.cpp @@ -1013,10 +1013,6 @@ void DivEngine::registerSystems() { {0x11, {DIV_CMD_N163_WAVE_POSITION, "11xx: Set waveform position in RAM (single nibble unit)"}}, {0x12, {DIV_CMD_N163_WAVE_LENGTH, "12xx: Set waveform length in RAM (04 to FC, 4 nibble unit)"}}, {0x13, {DIV_CMD_N163_WAVE_MODE, "130x: Change waveform update mode (0: off; bit 0: update now; bit 1: update when every waveform changes)"}}, - {0x14, {DIV_CMD_N163_WAVE_LOAD, "14xx: Select waveform for load to RAM"}}, - {0x15, {DIV_CMD_N163_WAVE_LOADPOS, "15xx: Set waveform position for load to RAM (single nibble unit)"}}, - {0x16, {DIV_CMD_N163_WAVE_LOADLEN, "16xx: Set waveform length for load to RAM (04 to FC, 4 nibble unit)"}}, - {0x17, {DIV_CMD_N163_WAVE_LOADMODE, "170x: Change waveform load mode (0: off; bit 0: load now; bit 1: load when every waveform changes)"}}, {0x20, {DIV_CMD_N163_GLOBAL_WAVE_LOAD, "20xx: (Global) Select waveform for load to RAM"}}, {0x21, {DIV_CMD_N163_GLOBAL_WAVE_LOADPOS, "21xx: (Global) Set waveform position for load to RAM (single nibble unit)"}}, {0x22, {DIV_CMD_N163_GLOBAL_WAVE_LOADLEN, "22xx: (Global) Set waveform length for load to RAM (04 to FC, 4 nibble unit)"}}, diff --git a/src/gui/insEdit.cpp b/src/gui/insEdit.cpp index 583623fe..93ff0baf 100644 --- a/src/gui/insEdit.cpp +++ b/src/gui/insEdit.cpp @@ -5755,8 +5755,6 @@ void FurnaceGUI::drawInsEdit() { if (ex2Max>0) { if (ins->type==DIV_INS_C64) { macroList.push_back(FurnaceGUIMacroDesc("Resonance",&ins->std.ex2Macro,0,ex2Max,64,uiColors[GUI_COLOR_MACRO_OTHER])); - /*} else if (ins->type==DIV_INS_N163) { - macroList.push_back(FurnaceGUIMacroDesc("Wave Update",&ins->std.ex2Macro,0,ex2Max,64,uiColors[GUI_COLOR_MACRO_OTHER],false,NULL,NULL,true,n163UpdateBits));*/ } else if (ins->type==DIV_INS_FDS) { macroList.push_back(FurnaceGUIMacroDesc("Mod Speed",&ins->std.ex2Macro,0,ex2Max,160,uiColors[GUI_COLOR_MACRO_OTHER])); } else if (ins->type==DIV_INS_SU) { @@ -5786,12 +5784,6 @@ void FurnaceGUI::drawInsEdit() { macroList.push_back(FurnaceGUIMacroDesc("Noise AND Mask",&ins->std.fbMacro,0,8,96,uiColors[GUI_COLOR_MACRO_OTHER],false,NULL,NULL,true)); macroList.push_back(FurnaceGUIMacroDesc("Noise OR Mask",&ins->std.fmsMacro,0,8,96,uiColors[GUI_COLOR_MACRO_OTHER],false,NULL,NULL,true)); } - if (ins->type==DIV_INS_N163) { - /*macroList.push_back(FurnaceGUIMacroDesc("WaveLoad Wave",&ins->std.ex3Macro,0,255,160,uiColors[GUI_COLOR_MACRO_OTHER])); - macroList.push_back(FurnaceGUIMacroDesc("WaveLoad Pos",&ins->std.algMacro,0,255,160,uiColors[GUI_COLOR_MACRO_OTHER])); - macroList.push_back(FurnaceGUIMacroDesc("WaveLoad Len",&ins->std.fbMacro,0,252,160,uiColors[GUI_COLOR_MACRO_OTHER])); - macroList.push_back(FurnaceGUIMacroDesc("WaveLoad Trigger",&ins->std.fmsMacro,0,2,160,uiColors[GUI_COLOR_MACRO_OTHER],false,NULL,NULL,true,n163UpdateBits));*/ - } if (ins->type==DIV_INS_FDS) { macroList.push_back(FurnaceGUIMacroDesc("Mod Position",&ins->std.ex3Macro,0,127,160,uiColors[GUI_COLOR_MACRO_OTHER])); } From 197c0a2cdc7ae5cf4cdd621ec11130125fcc1d82 Mon Sep 17 00:00:00 2001 From: Electric Keet Date: Wed, 19 Jul 2023 13:09:07 -0700 Subject: [PATCH 099/163] Initial K056320 documentation. --- doc/7-systems/README.md | 1 + doc/7-systems/k056320.md | 9 +++++++++ 2 files changed, 10 insertions(+) create mode 100644 doc/7-systems/k056320.md diff --git a/doc/7-systems/README.md b/doc/7-systems/README.md index 71285b52..294fdc9e 100644 --- a/doc/7-systems/README.md +++ b/doc/7-systems/README.md @@ -14,6 +14,7 @@ this is a list of sound chips that Furnace supports, including effects. - [Famicom Disk System](fds.md) - [Game Boy](game-boy.md) - [Konami K007232](k007232.md) +- [Konami K056320](k056320.md) - [Konami SCC](scc.md) - [Konami VRC6](vrc6.md) - [Atari Lynx](lynx.md) diff --git a/doc/7-systems/k056320.md b/doc/7-systems/k056320.md new file mode 100644 index 00000000..bf659582 --- /dev/null +++ b/doc/7-systems/k056320.md @@ -0,0 +1,9 @@ +# Konami 056320 + +the 056320 is a sample-based chip that featured in a number of Konami arcade games, notably _Sunset Riders_ and _Teenage Mutant Ninja Turtles: Turtles in Time_. it has four channels of audio and stereo output, and can access up to 2MB of samples in 8-bit PCM or 4-bit ADPCM formats. + + + +# effects + +- `DFxx`: Set sample playback direction. `0` is normal; `1` is reverse. From 0c48d67ef6fe5b5bd0825ffae6fd71de698e5ba1 Mon Sep 17 00:00:00 2001 From: Electric Keet Date: Wed, 19 Jul 2023 18:35:08 -0700 Subject: [PATCH 100/163] Much better NES DPCM pitch table! --- doc/7-systems/nes.md | 37 +++++++++++++++++++------------------ 1 file changed, 19 insertions(+), 18 deletions(-) diff --git a/doc/7-systems/nes.md b/doc/7-systems/nes.md index c1b7c4c7..2b81c2a8 100644 --- a/doc/7-systems/nes.md +++ b/doc/7-systems/nes.md @@ -122,24 +122,25 @@ reference: [NESdev](https://www.nesdev.org/wiki/APU_Length_Counter) ## DPCM frequency table +"value" is for DefleMask compatability. -value | NTSC freq | NTSC note | PAL freq | PAL note ------:|----------:|:----------:|----------:|:----------: - `00` | 4181.7Hz | C-8 - 2¢ | 4177.4Hz | C-8 - 4¢ - `01` | 4709.9Hz | D-8 + 4¢ | 4696.6Hz | D-8 - 1¢ - `02` | 5264.0Hz | E-8 - 3¢ | 5261.4Hz | E-8 - 4¢ - `03` | 5593.0Hz | F-8 + 2¢ | 5579.2Hz | F-8 - 3¢ - `04` | 6258.0Hz | G-8 - 4¢ | 6023.9Hz | G-8 - 70¢ - `05` | 7046.4Hz | A-8 + 2¢ | 7044.9Hz | A-8 + 1¢ - `06` | 7919.4Hz | B-8 + 4¢ | 7917.2Hz | B-8 + 3¢ - `07` | 8363.4Hz | C-9 - 2¢ | 8397.0Hz | C-9 + 5¢ - `08` | 9419.9Hz | D-9 + 4¢ | 9446.6Hz | D-9 + 9¢ - `09` | 11186.1Hz | F-9 + 2¢ | 11233.8Hz | F-9 + 9¢ - `0A` | 12604.0Hz | G-9 + 8¢ | 12595.5Hz | G-9 + 7¢ - `0B` | 13982.6Hz | A-9 - 12¢ | 14089.9Hz | A-9 + 1¢ - `0C` | 16884.6Hz | C-10 + 15¢ | 16965.4Hz | C-10 + 23¢ - `0D` | 21306.8Hz | E-10 + 17¢ | 21315.5Hz | E-10 + 18¢ - `0E` | 24858.0Hz | G-10 - 16¢ | 25191.0Hz | G-10 + 7¢ - `0F` | 33143.9Hz | C-11 - 18¢ | 33252.1Hz | C-11 - 12¢ +value | tracker | NTSC freq | NTSC pitch | PAL freq | PAL pitch +-----:|:-------:|----------:|:----------:|----------:|:----------: + `00` | `C-3` | 4181.7Hz | C-8 - 2¢ | 4177.4Hz | C-8 - 4¢ + `01` | `D-3` | 4709.9Hz | D-8 + 4¢ | 4696.6Hz | D-8 - 1¢ + `02` | `E-3` | 5264.0Hz | E-8 - 3¢ | 5261.4Hz | E-8 - 4¢ + `03` | `F-3` | 5593.0Hz | F-8 + 2¢ | 5579.2Hz | F-8 - 3¢ + `04` | `G-3` | 6258.0Hz | G-8 - 4¢ | 6023.9Hz | G-8 - 70¢ + `05` | `A-3` | 7046.4Hz | A-8 + 2¢ | 7044.9Hz | A-8 + 1¢ + `06` | `B-3` | 7919.4Hz | B-8 + 4¢ | 7917.2Hz | B-8 + 3¢ + `07` | `C-4` | 8363.4Hz | C-9 - 2¢ | 8397.0Hz | C-9 + 5¢ + `08` | `D-4` | 9419.9Hz | D-9 + 4¢ | 9446.6Hz | D-9 + 9¢ + `09` | `F-4` | 11186.1Hz | F-9 + 2¢ | 11233.8Hz | F-9 + 9¢ + `0A` | `G-4` | 12604.0Hz | G-9 + 8¢ | 12595.5Hz | G-9 + 7¢ + `0B` | `A-4` | 13982.6Hz | A-9 - 12¢ | 14089.9Hz | A-9 + 1¢ + `0C` | `C-5` | 16884.6Hz | C-10 + 15¢ | 16965.4Hz | C-10 + 23¢ + `0D` | `E-5` | 21306.8Hz | E-10 + 17¢ | 21315.5Hz | E-10 + 18¢ + `0E` | `G-5` | 24858.0Hz | G-10 - 16¢ | 25191.0Hz | G-10 + 7¢ + `0F` | `C-6` | 33143.9Hz | C-11 - 18¢ | 33252.1Hz | C-11 - 12¢ reference: [NESdev](https://www.nesdev.org/wiki/APU_DMC#Pitch_table) \ No newline at end of file From 08bd036193fb5d125b8eee88c391b81700db43a3 Mon Sep 17 00:00:00 2001 From: tildearrow Date: Wed, 19 Jul 2023 21:27:48 -0500 Subject: [PATCH 101/163] Namco 163: improvements, part 1 --- src/engine/platform/n163.cpp | 15 +-------------- src/engine/platform/n163.h | 3 +-- src/engine/sysDef.cpp | 6 ++---- src/gui/debug.cpp | 6 ------ 4 files changed, 4 insertions(+), 26 deletions(-) diff --git a/src/engine/platform/n163.cpp b/src/engine/platform/n163.cpp index 92e5fe9e..06fa22f8 100644 --- a/src/engine/platform/n163.cpp +++ b/src/engine/platform/n163.cpp @@ -432,22 +432,11 @@ int DivPlatformN163::dispatch(DivCommand c) { break; case DIV_CMD_N163_GLOBAL_WAVE_LOAD: loadWave=c.value; - if (loadMode&0x2) { // load when every waveform changes - updateWave(c.chan,loadWave,loadPos,loadLen); - } + // TODO: load wave here break; case DIV_CMD_N163_GLOBAL_WAVE_LOADPOS: loadPos=c.value; break; - case DIV_CMD_N163_GLOBAL_WAVE_LOADLEN: - loadLen=c.value&0xfc; - break; - case DIV_CMD_N163_GLOBAL_WAVE_LOADMODE: - loadMode=c.value&0x3; - if (loadMode&0x3) { // load now - updateWave(c.chan,loadWave,loadPos,loadLen); - } - break; case DIV_CMD_N163_CHANNEL_LIMIT: if (chanMax!=(c.value&0x7)) { chanMax=c.value&0x7; @@ -573,8 +562,6 @@ void DivPlatformN163::reset() { chanMax=initChanMax; loadWave=-1; loadPos=0; - loadLen=0; - loadMode=0; rWrite(0x7f,initChanMax<<4); } diff --git a/src/engine/platform/n163.h b/src/engine/platform/n163.h index ce3c4fe7..f3069924 100644 --- a/src/engine/platform/n163.h +++ b/src/engine/platform/n163.h @@ -60,8 +60,7 @@ class DivPlatformN163: public DivDispatch { FixedQueue writes; unsigned char initChanMax; unsigned char chanMax; - short loadWave, loadPos, loadLen; - unsigned char loadMode; + short loadWave, loadPos; bool multiplex; n163_core n163; diff --git a/src/engine/sysDef.cpp b/src/engine/sysDef.cpp index 42123c52..e92cca1c 100644 --- a/src/engine/sysDef.cpp +++ b/src/engine/sysDef.cpp @@ -1013,10 +1013,8 @@ void DivEngine::registerSystems() { {0x11, {DIV_CMD_N163_WAVE_POSITION, "11xx: Set waveform position in RAM (single nibble unit)"}}, {0x12, {DIV_CMD_N163_WAVE_LENGTH, "12xx: Set waveform length in RAM (04 to FC, 4 nibble unit)"}}, {0x13, {DIV_CMD_N163_WAVE_MODE, "130x: Change waveform update mode (0: off; bit 0: update now; bit 1: update when every waveform changes)"}}, - {0x20, {DIV_CMD_N163_GLOBAL_WAVE_LOAD, "20xx: (Global) Select waveform for load to RAM"}}, - {0x21, {DIV_CMD_N163_GLOBAL_WAVE_LOADPOS, "21xx: (Global) Set waveform position for load to RAM (single nibble unit)"}}, - {0x22, {DIV_CMD_N163_GLOBAL_WAVE_LOADLEN, "22xx: (Global) Set waveform length for load to RAM (04 to FC, 4 nibble unit)"}}, - {0x23, {DIV_CMD_N163_GLOBAL_WAVE_LOADMODE, "230x: (Global) Change waveform load mode (0: off; bit 0: load now; bit 1: load when every waveform changes)"}}, + {0x20, {DIV_CMD_N163_GLOBAL_WAVE_LOAD, "20xx: Load a waveform into memory"}}, + {0x21, {DIV_CMD_N163_GLOBAL_WAVE_LOADPOS, "21xx: Set position for wave load"}}, } ); diff --git a/src/gui/debug.cpp b/src/gui/debug.cpp index e4e0907d..bd6bdb81 100644 --- a/src/gui/debug.cpp +++ b/src/gui/debug.cpp @@ -462,8 +462,6 @@ void putDispatchChip(void* data, int type) { ImGui::Text("- chanMax: %d",ch->chanMax); ImGui::Text("- loadWave: %d",ch->loadWave); ImGui::Text("- loadPos: %d",ch->loadPos); - ImGui::Text("- loadLen: %d",ch->loadLen); - ImGui::Text("- loadMode: %d",ch->loadMode); COMMON_CHIP_DEBUG_BOOL; ImGui::TextColored(ch->multiplex?colorOn:colorOff,">> Multiplex"); break; @@ -876,10 +874,6 @@ void putDispatchChan(void* data, int chanNum, int type) { ImGui::Text("- wavepos: %d",ch->wavePos); ImGui::Text("- wavelen: %d",ch->waveLen); ImGui::Text("- wavemode: %d",ch->waveMode); - ImGui::Text("- loadwave: %d",ch->loadWave); - ImGui::Text("- loadpos: %d",ch->loadPos); - ImGui::Text("- loadlen: %d",ch->loadLen); - ImGui::Text("- loadmode: %d",ch->loadMode); ImGui::Text("- resVol: %.2x",ch->resVol); COMMON_CHAN_DEBUG_BOOL; ImGui::TextColored(ch->volumeChanged?colorOn:colorOff,">> VolumeChanged"); From d0a71f816242295501d569baec4431ebb3cc49c6 Mon Sep 17 00:00:00 2001 From: Electric Keet Date: Wed, 19 Jul 2023 20:34:48 -0700 Subject: [PATCH 102/163] New "guides" section! Also, some tiny fixes to other docs I noticed along the way. --- doc/3-pattern/effects.md | 94 ++++++++++++++++----------------- doc/5-wave/README.md | 13 +++-- doc/9-guides/README.md | 5 ++ doc/9-guides/limited-samples.md | 24 +++++++++ doc/README.md | 1 + 5 files changed, 83 insertions(+), 54 deletions(-) create mode 100644 doc/9-guides/README.md create mode 100644 doc/9-guides/limited-samples.md diff --git a/doc/3-pattern/effects.md b/doc/3-pattern/effects.md index df1f8550..91e31147 100644 --- a/doc/3-pattern/effects.md +++ b/doc/3-pattern/effects.md @@ -84,7 +84,7 @@ not all chips support these effects. ## other -- `9xxx`: **Set sample position.** jumps current sample to position `xxx \* 0x100`. +- `9xxx`: **Set sample position.** jumps current sample to position `xxx * 0x100`. - Not all chips support this effect. - `EBxx`: **Set sample bank.** - Does not apply on Amiga. @@ -97,52 +97,52 @@ additionally, [each chip has its own effects](../7-systems/README.md). ## macro table -ID | macro ----|----------------------------- -00 | volume -01 | arpeggio -02 | duty/noise -03 | waveform -04 | pitch -05 | extra 1 -06 | extra 2 -07 | extra 3 -08 | extra A (ALG) -09 | extra B (FM) -0A | extra C (FMS) -0B | extra D (AMS) -0C | panning left -0D | panning right -0E | phase reset -0F | extra 4 -10 | extra 5 -11 | extra 6 -12 | extra 7 -13 | extra 8 -| | **operator 1 macros** -20 | AM -21 | AR -22 | DR -23 | MULT -24 | RR -25 | SL -26 | TL -27 | DT2 -28 | RS -29 | DT -2A | D2R -2B | SSG-EG -2C | DAM -2D | DVB -2E | EGT -2F | KSL -30 | SUS -31 | VIB -32 | WS -33 | KSR -40 | **operator 2 macros** -60 | **operator 3 macros** -80 | **operator 4 macros** + ID | macro +-----|----------------------------- +`00` | volume +`01` | arpeggio +`02` | duty/noise +`03` | waveform +`04` | pitch +`05` | extra 1 +`06` | extra 2 +`07` | extra 3 +`08` | extra A (ALG) +`09` | extra B (FM) +`0A` | extra C (FMS) +`0B` | extra D (AMS) +`0C` | panning left +`0D` | panning right +`0E` | phase reset +`0F` | extra 4 +`10` | extra 5 +`11` | extra 6 +`12` | extra 7 +`13` | extra 8 +| | **operator 1 macros** +`20` | AM +`21` | AR +`22` | DR +`23` | MULT +`24` | RR +`25` | SL +`26` | TL +`27` | DT2 +`28` | RS +`29` | DT +`2A` | D2R +`2B` | SSG-EG +`2C` | DAM +`2D` | DVB +`2E` | EGT +`2F` | KSL +`30` | SUS +`31` | VIB +`32` | WS +`33` | KSR +`40` | **operator 2 macros** +`60` | **operator 3 macros** +`80` | **operator 4 macros** the interpretation of duty, wave and extra macros depends on chip/instrument type: diff --git a/doc/5-wave/README.md b/doc/5-wave/README.md index 983b57e0..59131d39 100644 --- a/doc/5-wave/README.md +++ b/doc/5-wave/README.md @@ -2,24 +2,23 @@ Wavetable synthesizers, in context of Furnace, are sound sources that operate on extremely short n-bit PCM streams. By extremely short, no more than 256 bytes. This amount of space is nowhere near enough to store an actual sampled sound, it allows certain amount of freedom to define a waveform shape. -Maximum wave width (length) is 256 bytes, and maximum wave height (depth) is 256 steps. - Each chip has its own maximum size, shown in the following table. If a larger wave is defined for these chips, it will be squashed to fit within the constraints of the chips. Some hardware doesn't work well with the wavetable synthesizer (described below); these systems are marked in the "synth?" column. system | width | height | synth? ---------------------|------:|:-------|:-----: +--------------------|------:|:-------|:------ Bubble System | 32 | 16 | Game Boy | 32 | 16 | -Game.com | 32 | 16 | -Namco WSG | 32 | 16 | +SM8521 | 32 | 16 | +Namco WSG | 32 | 16 | RAM only WonderSwan | 32 | 16 | -Namco N163 | ≤128 | 16 | -Super Nintendo | ≤256 | 16 | +Namco 163 | ≤128 | 16 | +SNES | ≤256 | 16 | PC Engine | 32 | 32 | Virtual Boy | 32 | 64 | no Famicom Disk System | 64 | 64 | Konami SCC | 32 | 256 | Seta X1-010 | 128 | 256 | +Amiga | ≤256 | 256 | # wavetable editor diff --git a/doc/9-guides/README.md b/doc/9-guides/README.md new file mode 100644 index 00000000..66b46072 --- /dev/null +++ b/doc/9-guides/README.md @@ -0,0 +1,5 @@ +# guides + +here is a small collection of useful tricks and techniques to really make Furnace sing. + +- [using samples with limited playback rates](limited-samples.md) diff --git a/doc/9-guides/limited-samples.md b/doc/9-guides/limited-samples.md new file mode 100644 index 00000000..34977c57 --- /dev/null +++ b/doc/9-guides/limited-samples.md @@ -0,0 +1,24 @@ +# using samples with limited playback rates + +some sample-based chips have a limited number of available sample playback rates. when working with these chips, notes entered in the pattern editor will play back at the closest available rate... which might be perfect, or might be several semitones off. the solution is to prepare samples to work around this. + +for example: using the NES, a `C-4` note in the PCM channel means the associated sample will play back at a rate of 8363Hz. let's say we want to use a slap bass sample recorded at a rate of 22050Hz in which the audible pitch is A-2. let's also say that when we put a `C-4` note in the tracker, we want to hear the bass play at what sounds like C-3, transposed three semitones higher than the recorded pitch. + +here's how to make this example work: + +- load up the sample and open it in the sample editor. +- the Note selector will show "F-6"; add the three semitones mentioned above to make it "G#6". the Hz will change to 26217. +- use the Resample button. in the pop-up dialog, type in `8363`, then click Resample. +- select the instrument from the instrument list, and in the pattern editor, enter a `C-4` in the PCM channel. it should sound like a slap bass playing a C-3 note. + +the NES PCM frequency table shows the sixteen notes can be played. if a `D-4` is entered, the slap bass will be heard at D-3 as desired. what if we want to hear a C#3, though? + +- load up the original sample in a new slot and open it in the sample editor. +- the Note selector will show "F-6"; this time we add four semitones to make it "A-6". the Hz will change to 27776. +- just like before, use the Resample button. in the little pop-up, type in `8363` (yes, the NES's C-4 rate), then click Resample. +- select the instrument from the instrument list and open it in the instrument editor. turn on "Use sample map". +- in the leftmost column, find C#5. click in the next column and enter the number of the second sample. in the next column to the right, click the "C#4" and hit the key for C-4 to change it. +- in the pattern editor, enter a `C#4`; it should sound like a C#3! +- try adding another entry in the sample map so the note D#4 plays the second sample at D-4. + + diff --git a/doc/README.md b/doc/README.md index f561710c..58dca5b8 100644 --- a/doc/README.md +++ b/doc/README.md @@ -10,6 +10,7 @@ this documentation is a work in progress! expect several sections to be incomple 6. [samples](6-sample/README.md) 7. [list of sound chips](7-systems/README.md) 8. [advanced topics](8-advanced/README.md) +9. [guides](9-guides/README.md) # attribution From 0f5cf4a0433bbd764a27019be89ebdffc2ee7853 Mon Sep 17 00:00:00 2001 From: Eknous-P Date: Thu, 20 Jul 2023 20:48:20 +0400 Subject: [PATCH 103/163] update chanosc doc --- doc/8-advanced/chanosc.md | 1 + 1 file changed, 1 insertion(+) diff --git a/doc/8-advanced/chanosc.md b/doc/8-advanced/chanosc.md index 77157f1a..4e3962ce 100644 --- a/doc/8-advanced/chanosc.md +++ b/doc/8-advanced/chanosc.md @@ -23,6 +23,7 @@ right-clicking within the view will change it to the configuration view shown ab - `%I`: instrument number (decimal) - `%x`: instrument number (hex) - `%s`: chip name + - `%p`: chip part number - `%S`: chip ID - `%v`: volume (decimal) - `%V`: volume (percentage) From 5ba14cbfef2e26d663983e7bf057d7cd845bd194 Mon Sep 17 00:00:00 2001 From: Electric Keet Date: Thu, 20 Jul 2023 11:05:01 -0700 Subject: [PATCH 104/163] Link to guides from intro doc. --- doc/1-intro/README.md | 2 ++ 1 file changed, 2 insertions(+) diff --git a/doc/1-intro/README.md b/doc/1-intro/README.md index 34658ece..8e9289fc 100644 --- a/doc/1-intro/README.md +++ b/doc/1-intro/README.md @@ -19,3 +19,5 @@ due to its nature of being feature-packed, it may be technical and somewhat diff it also has a flexible windowing system which you may move around and organize. see [2-interface](../2-interface/README.md) and [3-pattern](../3-pattern/README.md) for more information. + +once familiar with the tracker, look to [9-guides](../9-guides/README.md) for useful techniques. \ No newline at end of file From b087b28325298952aff552f9227ba83ab2afffcd Mon Sep 17 00:00:00 2001 From: tildearrow Date: Thu, 20 Jul 2023 16:50:19 -0500 Subject: [PATCH 105/163] remove Namco 163 name setting --- src/engine/engine.h | 4 ---- src/engine/platform/n163.cpp | 2 +- src/engine/sysDef.cpp | 17 +++-------------- src/gui/dataList.cpp | 1 - src/gui/gui.cpp | 6 +----- src/gui/gui.h | 2 -- src/gui/insEdit.cpp | 6 +++--- src/gui/settings.cpp | 14 +------------- 8 files changed, 9 insertions(+), 43 deletions(-) diff --git a/src/engine/engine.h b/src/engine/engine.h index d9772cd3..e07338db 100644 --- a/src/engine/engine.h +++ b/src/engine/engine.h @@ -64,9 +64,6 @@ #define DIV_VERSION_S3M 0xff03 #define DIV_VERSION_FTM 0xff04 -// "Namco C163" -#define DIV_C163_DEFAULT_NAME "Namco 163" - enum DivStatusView { DIV_STATUS_NOTHING=0, DIV_STATUS_PATTERN, @@ -465,7 +462,6 @@ class DivEngine { int reversePitchTable[4096]; int pitchTable[4096]; short effectSlotMap[4096]; - char c163NameCS[1024]; int midiBaseChan; bool midiPoly; size_t midiAgeCounter; diff --git a/src/engine/platform/n163.cpp b/src/engine/platform/n163.cpp index 06fa22f8..6a0bfb04 100644 --- a/src/engine/platform/n163.cpp +++ b/src/engine/platform/n163.cpp @@ -166,7 +166,7 @@ void DivPlatformN163::updateWave(int ch, int wave, int pos, int len) { void DivPlatformN163::updateWaveCh(int ch) { if (ch<=chanMax) { - logV("updateWave with pos %d and len %d",chan[ch].wavePos,chan[ch].waveLen); + //logV("updateWave with pos %d and len %d",chan[ch].wavePos,chan[ch].waveLen); updateWave(ch,-1,chan[ch].wavePos,chan[ch].waveLen); if (chan[ch].active && !isMuted[ch]) { chan[ch].volumeChanged=true; diff --git a/src/engine/sysDef.cpp b/src/engine/sysDef.cpp index e92cca1c..1529575d 100644 --- a/src/engine/sysDef.cpp +++ b/src/engine/sysDef.cpp @@ -200,9 +200,7 @@ String DivEngine::getSongSystemLegacyName(DivSong& ds, bool isMultiSystemAccepta return "Famicom Disk System"; } if (ds.system[0]==DIV_SYSTEM_NES && ds.system[1]==DIV_SYSTEM_N163) { - String ret="Famicom + "; - ret+=getConfString("c163Name",DIV_C163_DEFAULT_NAME); - return ret; + return "Famicom + Namco 163"; } if (ds.system[0]==DIV_SYSTEM_NES && ds.system[1]==DIV_SYSTEM_MMC5) { return "Famicom + MMC5"; @@ -230,11 +228,7 @@ String DivEngine::getSongSystemLegacyName(DivSong& ds, bool isMultiSystemAccepta String ret=""; for (int i=0; i0) ret+=" + "; - if (ds.system[i]==DIV_SYSTEM_N163) { - ret+=getConfString("c163Name",DIV_C163_DEFAULT_NAME); - } else { - ret+=getSystemName(ds.system[i]); - } + ret+=getSystemName(ds.system[i]); } return ret; @@ -242,11 +236,6 @@ String DivEngine::getSongSystemLegacyName(DivSong& ds, bool isMultiSystemAccepta const char* DivEngine::getSystemName(DivSystem sys) { if (sysDefs[sys]==NULL) return "Unknown"; - if (sys==DIV_SYSTEM_N163) { - String c1=getConfString("c163Name",DIV_C163_DEFAULT_NAME); - strncpy(c163NameCS,c1.c_str(),1023); - return c163NameCS; - } return sysDefs[sys]->name; } @@ -998,7 +987,7 @@ void DivEngine::registerSystems() { ); sysDefs[DIV_SYSTEM_N163]=new DivSysDef( - "Namco 163/C163/129/160/106/whatever", NULL, 0x8c, 0, 8, false, true, 0, false, 0, + "Namco 163", NULL, 0x8c, 0, 8, false, true, 0, false, 0, "an expansion chip for the Famicom, with full wavetable.", {"Channel 1", "Channel 2", "Channel 3", "Channel 4", "Channel 5", "Channel 6", "Channel 7", "Channel 8"}, {"CH1", "CH2", "CH3", "CH4", "CH5", "CH6", "CH7", "CH8"}, diff --git a/src/gui/dataList.cpp b/src/gui/dataList.cpp index fa5b4647..971030ee 100644 --- a/src/gui/dataList.cpp +++ b/src/gui/dataList.cpp @@ -77,7 +77,6 @@ void FurnaceGUI::insListItem(int i, int dir, int asset) { if (i>=0 && isong.insLen) { DivInstrument* ins=e->song.ins[i]; insType=(ins->type>DIV_INS_MAX)?"Unknown":insTypes[ins->type]; - if (ins->type==DIV_INS_N163) insType=settings.c163Name.c_str(); switch (ins->type) { case DIV_INS_FM: ImGui::PushStyleColor(ImGuiCol_Text,uiColors[GUI_COLOR_INSTR_FM]); diff --git a/src/gui/gui.cpp b/src/gui/gui.cpp index cd92c003..4e24219e 100644 --- a/src/gui/gui.cpp +++ b/src/gui/gui.cpp @@ -682,11 +682,7 @@ void FurnaceGUI::autoDetectSystem() { if (k.second>1) { e->song.systemName+=fmt::sprintf("%d×",k.second); } - if (k.first==DIV_SYSTEM_N163) { - e->song.systemName+=settings.c163Name; - } else { - e->song.systemName+=e->getSystemName(k.first); - } + e->song.systemName+=e->getSystemName(k.first); isFirst=false; } } diff --git a/src/gui/gui.h b/src/gui/gui.h index 8b3460fa..02cbaac6 100644 --- a/src/gui/gui.h +++ b/src/gui/gui.h @@ -1527,7 +1527,6 @@ class FurnaceGUI { String audioDevice; String midiInDevice; String midiOutDevice; - String c163Name; String renderBackend; String renderDriver; String initialSysName; @@ -1681,7 +1680,6 @@ class FurnaceGUI { audioDevice(""), midiInDevice(""), midiOutDevice(""), - c163Name(""), renderBackend(""), renderDriver(""), initialSysName("Sega Genesis/Mega Drive"), diff --git a/src/gui/insEdit.cpp b/src/gui/insEdit.cpp index 93ff0baf..01438ace 100644 --- a/src/gui/insEdit.cpp +++ b/src/gui/insEdit.cpp @@ -2305,7 +2305,7 @@ void FurnaceGUI::drawInsEdit() { ins->type=(DivInstrumentType)insType; } */ - if (ImGui::BeginCombo("##Type",insType==DIV_INS_N163?settings.c163Name.c_str():insTypes[insType])) { + if (ImGui::BeginCombo("##Type",insTypes[insType])) { std::vector insTypeList; if (settings.displayAllInsTypes) { for (int i=0; insTypes[i]; i++) { @@ -2315,7 +2315,7 @@ void FurnaceGUI::drawInsEdit() { insTypeList=e->getPossibleInsTypes(); } for (DivInstrumentType i: insTypeList) { - if (ImGui::Selectable(i==DIV_INS_N163?settings.c163Name.c_str():insTypes[i],insType==i)) { + if (ImGui::Selectable(insTypes[i],insType==i)) { ins->type=i; // reset macro zoom @@ -4665,7 +4665,7 @@ void FurnaceGUI::drawInsEdit() { sampleMapFocused=false; } } - if (ins->type==DIV_INS_N163) if (ImGui::BeginTabItem(settings.c163Name.c_str())) { + if (ins->type==DIV_INS_N163) if (ImGui::BeginTabItem("Namco 163")) { if (ImGui::InputInt("Waveform##WAVE",&ins->n163.wave,1,10)) { PARAMETER if (ins->n163.wave<0) ins->n163.wave=0; if (ins->n163.wave>=e->song.waveLen) ins->n163.wave=e->song.waveLen-1; diff --git a/src/gui/settings.cpp b/src/gui/settings.cpp index cbc71aed..508778ac 100644 --- a/src/gui/settings.cpp +++ b/src/gui/settings.cpp @@ -1638,12 +1638,6 @@ void FurnaceGUI::drawSettings() { ImGui::Separator(); - ImGui::Text("Namco 163 chip name"); - ImGui::SameLine(); - ImGui::InputTextWithHint("##C163Name",DIV_C163_DEFAULT_NAME,&settings.c163Name); - - ImGui::Separator(); - ImGui::Text("Channel colors:"); if (ImGui::RadioButton("Single##CHC0",settings.channelColors==0)) { settings.channelColors=0; @@ -2067,11 +2061,7 @@ void FurnaceGUI::drawSettings() { UI_COLOR_CONFIG(GUI_COLOR_INSTR_OPL,"FM (OPL)"); UI_COLOR_CONFIG(GUI_COLOR_INSTR_FDS,"FDS"); UI_COLOR_CONFIG(GUI_COLOR_INSTR_VBOY,"Virtual Boy"); - // special case - String c163Label=fmt::sprintf("%s##CC_GUI_COLOR_INSTR_N163",settings.c163Name); - if (ImGui::ColorEdit4(c163Label.c_str(),(float*)&uiColors[GUI_COLOR_INSTR_N163])) { - applyUISettings(false); - } + UI_COLOR_CONFIG(GUI_COLOR_INSTR_N163,"Namco 163"); UI_COLOR_CONFIG(GUI_COLOR_INSTR_SCC,"Konami SCC"); UI_COLOR_CONFIG(GUI_COLOR_INSTR_OPZ,"FM (OPZ)"); UI_COLOR_CONFIG(GUI_COLOR_INSTR_POKEY,"POKEY"); @@ -2662,7 +2652,6 @@ void FurnaceGUI::syncSettings() { settings.audioChans=e->getConfInt("audioChans",2); settings.midiInDevice=e->getConfString("midiInDevice",""); settings.midiOutDevice=e->getConfString("midiOutDevice",""); - settings.c163Name=e->getConfString("c163Name",DIV_C163_DEFAULT_NAME); settings.renderDriver=e->getConfString("renderDriver",""); settings.sdlAudioDriver=e->getConfString("sdlAudioDriver",""); settings.audioQuality=e->getConfInt("audioQuality",0); @@ -3011,7 +3000,6 @@ void FurnaceGUI::commitSettings() { e->setConf("audioDevice",settings.audioDevice); e->setConf("midiInDevice",settings.midiInDevice); e->setConf("midiOutDevice",settings.midiOutDevice); - e->setConf("c163Name",settings.c163Name); e->setConf("renderDriver",settings.renderDriver); e->setConf("sdlAudioDriver",settings.sdlAudioDriver); e->setConf("audioQuality",settings.audioQuality); From 7cc166beb03377697416740b2dfd9fb77fa24d6c Mon Sep 17 00:00:00 2001 From: tildearrow Date: Thu, 20 Jul 2023 18:23:58 -0500 Subject: [PATCH 106/163] Namco 163: improvements, part 2 --- src/engine/platform/n163.cpp | 62 +++++++----------------------------- src/engine/platform/n163.h | 2 +- src/gui/insEdit.cpp | 27 ++++++++-------- 3 files changed, 26 insertions(+), 65 deletions(-) diff --git a/src/engine/platform/n163.cpp b/src/engine/platform/n163.cpp index 6a0bfb04..96ccf2d4 100644 --- a/src/engine/platform/n163.cpp +++ b/src/engine/platform/n163.cpp @@ -199,9 +199,6 @@ void DivPlatformN163::tick(bool sysTick) { if (chan[i].std.duty.had) { if (chan[i].curWavePos!=chan[i].std.duty.val) { chan[i].curWavePos=chan[i].std.duty.val; - if (chan[i].waveMode&0x2) { - chan[i].waveUpdated=true; - } chan[i].waveChanged=true; } } @@ -209,7 +206,7 @@ void DivPlatformN163::tick(bool sysTick) { if (chan[i].wave!=chan[i].std.wave.val || chan[i].ws.activeChanged()) { chan[i].wave=chan[i].std.wave.val; chan[i].ws.changeWave1(chan[i].wave); - if (chan[i].waveMode&0x2) { + if (chan[i].waveMode) { chan[i].waveUpdated=true; } } @@ -226,29 +223,9 @@ void DivPlatformN163::tick(bool sysTick) { if (chan[i].std.ex1.had) { if (chan[i].curWaveLen!=(chan[i].std.ex1.val&0xfc)) { chan[i].curWaveLen=chan[i].std.ex1.val&0xfc; - chan[i].ws.setWidth(chan[i].waveLen); - if (chan[i].waveMode&0x2) { - chan[i].waveUpdated=true; - } chan[i].freqChanged=true; } } - if (chan[i].std.ex2.had) { - if ((chan[i].waveMode&0x2)!=(chan[i].std.ex2.val&0x2)) { // update when every waveform changed - chan[i].waveMode=(chan[i].waveMode&~0x2)|(chan[i].std.ex2.val&0x2); - if (chan[i].waveMode&0x2) { - chan[i].waveUpdated=true; - chan[i].waveChanged=true; - } - } - if ((chan[i].waveMode&0x1)!=(chan[i].std.ex2.val&0x1)) { // update waveform now - chan[i].waveMode=(chan[i].waveMode&~0x1)|(chan[i].std.ex2.val&0x1); - if (chan[i].waveMode&0x1) { // rising edge - chan[i].waveUpdated=true; - chan[i].waveChanged=true; - } - } - } if (chan[i].volumeChanged) { if (chan[i].active && !isMuted[i]) { chWriteMask(i,0x7,chan[i].resVol&0xf,0xf); @@ -283,12 +260,6 @@ void DivPlatformN163::tick(bool sysTick) { if (chan[i].freq<0) chan[i].freq=0; if (chan[i].freq>0x3ffff) chan[i].freq=0x3ffff; if (chan[i].keyOn) { - if (chan[i].wave<0) { - chan[i].wave=0; - if (chan[i].waveMode&0x2) { - updateWaveCh(i); - } - } } if (chan[i].keyOff && !isMuted[i]) { chWriteMask(i,0x7,0,0xf); @@ -308,16 +279,21 @@ int DivPlatformN163::dispatch(DivCommand c) { case DIV_CMD_NOTE_ON: { DivInstrument* ins=parent->getIns(chan[c.chan].ins,DIV_INS_N163); if (chan[c.chan].insChanged) { - chan[c.chan].wave=ins->n163.wave; + if (ins->n163.wave>=0) { + chan[c.chan].wave=ins->n163.wave; + } chan[c.chan].wavePos=ins->n163.wavePos; chan[c.chan].waveLen=ins->n163.waveLen; chan[c.chan].waveMode=ins->n163.waveMode; chan[c.chan].curWavePos=chan[c.chan].wavePos; chan[c.chan].curWaveLen=chan[c.chan].waveLen; chan[c.chan].ws.init(NULL,chan[c.chan].waveLen,15,true); + if (chan[c.chan].wave<0) { + chan[c.chan].wave=0; + } chan[c.chan].ws.changeWave1(chan[c.chan].wave); chan[c.chan].waveChanged=true; - if (chan[c.chan].waveMode&0x3 || ins->ws.enabled) { + if (chan[c.chan].waveMode) { chan[c.chan].waveUpdated=true; } } @@ -341,7 +317,7 @@ int DivPlatformN163::dispatch(DivCommand c) { chan[c.chan].active=false; chan[c.chan].keyOff=true; chan[c.chan].keyOn=false; - //chan[c.chan].macroInit(NULL); + chan[c.chan].macroInit(NULL); break; case DIV_CMD_NOTE_OFF_ENV: chan[c.chan].active=false; @@ -404,32 +380,19 @@ int DivPlatformN163::dispatch(DivCommand c) { } case DIV_CMD_WAVE: chan[c.chan].wave=c.value; - if (chan[c.chan].waveMode&0x2) { + if (chan[c.chan].waveMode) { chan[c.chan].waveUpdated=true; } chan[c.chan].keyOn=true; break; case DIV_CMD_N163_WAVE_POSITION: chan[c.chan].curWavePos=c.value; - if (chan[c.chan].waveMode&0x2) { - chan[c.chan].waveUpdated=true; - } chan[c.chan].waveChanged=true; break; case DIV_CMD_N163_WAVE_LENGTH: chan[c.chan].curWaveLen=c.value&0xfc; - if (chan[c.chan].waveMode&0x2) { - chan[c.chan].waveUpdated=true; - } chan[c.chan].freqChanged=true; break; - case DIV_CMD_N163_WAVE_MODE: - chan[c.chan].waveMode=c.value&0x3; - if (chan[c.chan].waveMode&0x3) { // update now - chan[c.chan].waveUpdated=true; - chan[c.chan].waveChanged=true; - } - break; case DIV_CMD_N163_GLOBAL_WAVE_LOAD: loadWave=c.value; // TODO: load wave here @@ -490,9 +453,6 @@ void DivPlatformN163::forceIns() { chan[i].freqChanged=true; chan[i].volumeChanged=true; chan[i].waveChanged=true; - if (chan[i].waveMode&0x2) { - chan[i].waveUpdated=true; - } } } } @@ -500,7 +460,7 @@ void DivPlatformN163::forceIns() { void DivPlatformN163::notifyWaveChange(int wave) { for (int i=0; i<8; i++) { if (chan[i].wave==wave) { - if (chan[i].waveMode&0x2) { + if (chan[i].waveMode) { chan[i].ws.changeWave1(wave); chan[i].waveUpdated=true; } diff --git a/src/engine/platform/n163.h b/src/engine/platform/n163.h index f3069924..3ebcbc44 100644 --- a/src/engine/platform/n163.h +++ b/src/engine/platform/n163.h @@ -30,7 +30,7 @@ class DivPlatformN163: public DivDispatch { signed char resVol; short wave, wavePos, waveLen; short curWavePos, curWaveLen; - unsigned char waveMode; + bool waveMode; bool volumeChanged; bool waveChanged, waveUpdated; DivWaveSynth ws; diff --git a/src/gui/insEdit.cpp b/src/gui/insEdit.cpp index 01438ace..969714d7 100644 --- a/src/gui/insEdit.cpp +++ b/src/gui/insEdit.cpp @@ -4666,9 +4666,20 @@ void FurnaceGUI::drawInsEdit() { } } if (ins->type==DIV_INS_N163) if (ImGui::BeginTabItem("Namco 163")) { - if (ImGui::InputInt("Waveform##WAVE",&ins->n163.wave,1,10)) { PARAMETER - if (ins->n163.wave<0) ins->n163.wave=0; - if (ins->n163.wave>=e->song.waveLen) ins->n163.wave=e->song.waveLen-1; + bool preLoad=ins->n163.waveMode&0x1; + if (ImGui::Checkbox("Load waveform",&preLoad)) { PARAMETER + ins->n163.waveMode=(ins->n163.waveMode&~0x1)|(preLoad?0x1:0); + } + + if (ImGui::IsItemHovered()) { + ImGui::SetTooltip("when enabled, a waveform will be loaded into RAM.\nwhen disabled, only the offset and length change."); + } + + if (preLoad) { + if (ImGui::InputInt("Waveform##WAVE",&ins->n163.wave,1,10)) { PARAMETER + if (ins->n163.wave<0) ins->n163.wave=0; + if (ins->n163.wave>=e->song.waveLen) ins->n163.wave=e->song.waveLen-1; + } } if (ImGui::InputInt("Offset##WAVEPOS",&ins->n163.wavePos,1,16)) { PARAMETER if (ins->n163.wavePos<0) ins->n163.wavePos=0; @@ -4679,16 +4690,6 @@ void FurnaceGUI::drawInsEdit() { if (ins->n163.waveLen>252) ins->n163.waveLen=252; ins->n163.waveLen&=0xfc; } - - bool preLoad=ins->n163.waveMode&0x1; - if (ImGui::Checkbox("Load waveform before playback",&preLoad)) { PARAMETER - ins->n163.waveMode=(ins->n163.waveMode&~0x1)|(preLoad?0x1:0); - } - bool waveMode=ins->n163.waveMode&0x2; - if (ImGui::Checkbox("Update waveforms into RAM when every waveform changes",&waveMode)) { PARAMETER - ins->n163.waveMode=(ins->n163.waveMode&~0x2)|(waveMode?0x2:0); - } - ImGui::EndTabItem(); } if (ins->type==DIV_INS_FDS) if (ImGui::BeginTabItem("FDS")) { From 9f9b94652274b48605270f7c3264617c30479116 Mon Sep 17 00:00:00 2001 From: tildearrow Date: Thu, 20 Jul 2023 19:17:00 -0500 Subject: [PATCH 107/163] Namco 163: improvements, part 3 revive the wave length macro --- src/gui/insEdit.cpp | 5 ++--- 1 file changed, 2 insertions(+), 3 deletions(-) diff --git a/src/gui/insEdit.cpp b/src/gui/insEdit.cpp index 969714d7..7eec3a44 100644 --- a/src/gui/insEdit.cpp +++ b/src/gui/insEdit.cpp @@ -5548,7 +5548,6 @@ void FurnaceGUI::drawInsEdit() { } if (ins->type==DIV_INS_N163) { ex1Max=252; - ex2Max=2; } if (ins->type==DIV_INS_FDS) { ex1Max=63; @@ -5733,8 +5732,8 @@ void FurnaceGUI::drawInsEdit() { macroList.push_back(FurnaceGUIMacroDesc("Envelope",&ins->std.ex1Macro,0,ex1Max,160,uiColors[GUI_COLOR_MACRO_OTHER],false,NULL,NULL,true,saaEnvBits)); } else if (ins->type==DIV_INS_X1_010 && !ins->amiga.useSample) { macroList.push_back(FurnaceGUIMacroDesc("Envelope Mode",&ins->std.ex1Macro,0,ex1Max,160,uiColors[GUI_COLOR_MACRO_OTHER],false,NULL,NULL,true,x1_010EnvBits)); - /*} else if (ins->type==DIV_INS_N163) { - macroList.push_back(FurnaceGUIMacroDesc("Wave Length",&ins->std.ex1Macro,0,ex1Max,160,uiColors[GUI_COLOR_MACRO_OTHER]));*/ + } else if (ins->type==DIV_INS_N163) { + macroList.push_back(FurnaceGUIMacroDesc("Wave Length",&ins->std.ex1Macro,0,ex1Max,160,uiColors[GUI_COLOR_MACRO_OTHER])); } else if (ins->type==DIV_INS_FDS) { macroList.push_back(FurnaceGUIMacroDesc("Mod Depth",&ins->std.ex1Macro,0,ex1Max,160,uiColors[GUI_COLOR_MACRO_OTHER])); } else if (ins->type==DIV_INS_SU) { From 2f0f30f2f46ac82f161c67edb9d8ade70f8a0898 Mon Sep 17 00:00:00 2001 From: tildearrow Date: Fri, 21 Jul 2023 16:15:20 -0500 Subject: [PATCH 108/163] GUI: options to wrap around song both for order change on scroll and vertical cursor wrap --- src/gui/cursor.cpp | 24 ++++++++++++++++++------ src/gui/pattern.cpp | 10 +++++++++- src/gui/settings.cpp | 24 +++++++++++++++++------- 3 files changed, 44 insertions(+), 14 deletions(-) diff --git a/src/gui/cursor.cpp b/src/gui/cursor.cpp index 09da1b25..15f9e53f 100644 --- a/src/gui/cursor.cpp +++ b/src/gui/cursor.cpp @@ -271,9 +271,15 @@ void FurnaceGUI::moveCursor(int x, int y, bool select) { if (cursor.y>=e->curSubSong->patLen) { if (settings.wrapVertical!=0 && !select) { cursor.y=0; - if (settings.wrapVertical==2) { - if ((!e->isPlaying() || !followPattern) && curOrder<(e->curSubSong->ordersLen-1)) { - setOrder(curOrder+1); + if (settings.wrapVertical>1) { + if (!e->isPlaying() || !followPattern) { + if (curOrder<(e->curSubSong->ordersLen-1)) { + setOrder(curOrder+1); + } else if (settings.wrapVertical==3) { + setOrder(0); + } else { + cursor.y=e->curSubSong->patLen-1; + } } else { cursor.y=e->curSubSong->patLen-1; } @@ -289,9 +295,15 @@ void FurnaceGUI::moveCursor(int x, int y, bool select) { if (cursor.y<0) { if (settings.wrapVertical!=0 && !select) { cursor.y=e->curSubSong->patLen-1; - if (settings.wrapVertical==2) { - if ((!e->isPlaying() || !followPattern) && curOrder>0) { - setOrder(curOrder-1); + if (settings.wrapVertical>1) { + if (!e->isPlaying() || !followPattern) { + if (curOrder>0) { + setOrder(curOrder-1); + } else if (settings.wrapVertical==3) { + setOrder(e->curSubSong->ordersLen-1); + } else { + cursor.y=0; + } } else { cursor.y=0; } diff --git a/src/gui/pattern.cpp b/src/gui/pattern.cpp index 1deec9dc..fd19f86a 100644 --- a/src/gui/pattern.cpp +++ b/src/gui/pattern.cpp @@ -953,7 +953,7 @@ void FurnaceGUI::drawPattern() { // overflow changes order // TODO: this is very unreliable and sometimes it can warp you out of the song - if (settings.scrollChangesOrder && !e->isPlaying() && ImGui::IsWindowHovered(ImGuiHoveredFlags_ChildWindows)) { + if (settings.scrollChangesOrder && (!e->isPlaying() || !followPattern) && ImGui::IsWindowHovered(ImGuiHoveredFlags_ChildWindows)) { if (wheelY!=0) { if (wheelY>0) { if (ImGui::GetScrollY()<=0) { @@ -962,6 +962,10 @@ void FurnaceGUI::drawPattern() { setOrder(curOrder-1); ImGui::SetScrollY(ImGui::GetScrollMaxY()); updateScroll(e->curSubSong->patLen); + } else if (settings.scrollChangesOrder==2) { + setOrder(e->curSubSong->ordersLen-1); + ImGui::SetScrollY(ImGui::GetScrollMaxY()); + updateScroll(e->curSubSong->patLen); } haveHitBounds=false; } else { @@ -977,6 +981,10 @@ void FurnaceGUI::drawPattern() { setOrder(curOrder+1); ImGui::SetScrollY(0); updateScroll(0); + } else if (settings.scrollChangesOrder==2) { + setOrder(0); + ImGui::SetScrollY(0); + updateScroll(0); } haveHitBounds=false; } else { diff --git a/src/gui/settings.cpp b/src/gui/settings.cpp index 508778ac..45b90aa0 100644 --- a/src/gui/settings.cpp +++ b/src/gui/settings.cpp @@ -572,11 +572,6 @@ void FurnaceGUI::drawSettings() { settings.effectDeletionAltersValue=effectDeletionAltersValueB; } - bool scrollChangesOrderB=settings.scrollChangesOrder; - if (ImGui::Checkbox("Change order when scrolling outside of pattern bounds",&scrollChangesOrderB)) { - settings.scrollChangesOrder=scrollChangesOrderB; - } - bool stepOnInsertB=settings.stepOnInsert; if (ImGui::Checkbox("Move cursor by edit step on insert (push)",&stepOnInsertB)) { settings.stepOnInsert=stepOnInsertB; @@ -758,6 +753,21 @@ void FurnaceGUI::drawSettings() { if (ImGui::RadioButton("Yes, and move to next/prev pattern##wrapV2",settings.wrapVertical==2)) { settings.wrapVertical=2; } + if (ImGui::RadioButton("Yes, and move to next/prev pattern (wrap around)##wrapV2",settings.wrapVertical==3)) { + settings.wrapVertical=3; + } + + ImGui::Text("Change order when scrolling outside of pattern bounds:"); + if (ImGui::RadioButton("No##pscroll0",settings.scrollChangesOrder==0)) { + settings.scrollChangesOrder=0; + } + if (ImGui::RadioButton("Yes##pscroll1",settings.scrollChangesOrder==1)) { + settings.scrollChangesOrder=1; + } + if (ImGui::RadioButton("Yes, and wrap around song##pscroll2",settings.scrollChangesOrder==2)) { + settings.scrollChangesOrder=2; + } + ImGui::Text("Cursor movement keys behavior:"); if (ImGui::RadioButton("Move by one##cmk0",settings.scrollStep==0)) { @@ -2819,7 +2829,7 @@ void FurnaceGUI::syncSettings() { clampSetting(settings.soloAction,0,2); clampSetting(settings.pullDeleteBehavior,0,1); clampSetting(settings.wrapHorizontal,0,2); - clampSetting(settings.wrapVertical,0,2); + clampSetting(settings.wrapVertical,0,3); clampSetting(settings.macroView,0,1); clampSetting(settings.fmNames,0,2); clampSetting(settings.allowEditDocking,0,1); @@ -2863,7 +2873,7 @@ void FurnaceGUI::syncSettings() { clampSetting(settings.insEditColorize,0,1); clampSetting(settings.metroVol,0,200); clampSetting(settings.pushNibble,0,1); - clampSetting(settings.scrollChangesOrder,0,1); + clampSetting(settings.scrollChangesOrder,0,2); clampSetting(settings.oplStandardWaveNames,0,1); clampSetting(settings.cursorMoveNoScroll,0,1); clampSetting(settings.lowLatency,0,1); From d411c9cabab4a4b57ca419c90a7157ddf7206048 Mon Sep 17 00:00:00 2001 From: tildearrow Date: Fri, 21 Jul 2023 17:25:49 -0500 Subject: [PATCH 109/163] GUI: add "cursor follows wheel" option needs testing --- src/gui/gui.h | 2 ++ src/gui/pattern.cpp | 11 +++++++++-- src/gui/settings.cpp | 8 ++++++++ 3 files changed, 19 insertions(+), 2 deletions(-) diff --git a/src/gui/gui.h b/src/gui/gui.h index 02cbaac6..8abdf7e6 100644 --- a/src/gui/gui.h +++ b/src/gui/gui.h @@ -1521,6 +1521,7 @@ class FurnaceGUI { int pullDeleteRow; int newSongBehavior; int memUsageUnit; + int cursorFollowsWheel; unsigned int maxUndoSteps; String mainFontPath; String patFontPath; @@ -1674,6 +1675,7 @@ class FurnaceGUI { pullDeleteRow(1), newSongBehavior(0), memUsageUnit(1), + cursorFollowsWheel(0), maxUndoSteps(100), mainFontPath(""), patFontPath(""), diff --git a/src/gui/pattern.cpp b/src/gui/pattern.cpp index fd19f86a..0b6d9678 100644 --- a/src/gui/pattern.cpp +++ b/src/gui/pattern.cpp @@ -412,7 +412,7 @@ void FurnaceGUI::drawPattern() { ImGui::SetNextWindowPos(patWindowPos); ImGui::SetNextWindowSize(patWindowSize); } - if (ImGui::Begin("Pattern",&patternOpen,globalWinFlags|(settings.avoidRaisingPattern?ImGuiWindowFlags_NoBringToFrontOnFocus:0))) { + if (ImGui::Begin("Pattern",&patternOpen,globalWinFlags|(settings.avoidRaisingPattern?ImGuiWindowFlags_NoBringToFrontOnFocus:0)|(settings.cursorFollowsWheel?ImGuiWindowFlags_NoScrollWithMouse:0))) { if (!mobileUI) { patWindowPos=ImGui::GetWindowPos(); patWindowSize=ImGui::GetWindowSize(); @@ -951,9 +951,16 @@ void FurnaceGUI::drawPattern() { demandScrollX=false; } + // cursor follows wheel + if (settings.cursorFollowsWheel && (!e->isPlaying() || !followPattern) && ImGui::IsWindowHovered(ImGuiHoveredFlags_ChildWindows)) { + if (wheelX!=0 || wheelY!=0) { + moveCursor(wheelX,wheelY,false); + } + } + // overflow changes order // TODO: this is very unreliable and sometimes it can warp you out of the song - if (settings.scrollChangesOrder && (!e->isPlaying() || !followPattern) && ImGui::IsWindowHovered(ImGuiHoveredFlags_ChildWindows)) { + if (settings.scrollChangesOrder && (!e->isPlaying() || !followPattern) && ImGui::IsWindowHovered(ImGuiHoveredFlags_ChildWindows) && !settings.cursorFollowsWheel) { if (wheelY!=0) { if (wheelY>0) { if (ImGui::GetScrollY()<=0) { diff --git a/src/gui/settings.cpp b/src/gui/settings.cpp index 45b90aa0..028a5407 100644 --- a/src/gui/settings.cpp +++ b/src/gui/settings.cpp @@ -587,6 +587,11 @@ void FurnaceGUI::drawSettings() { settings.cursorMoveNoScroll=cursorMoveNoScrollB; } + bool cursorFollowsWheelB=settings.cursorFollowsWheel; + if (ImGui::Checkbox("Move cursor with scroll wheel",&cursorFollowsWheelB)) { + settings.cursorFollowsWheel=cursorFollowsWheelB; + } + bool doubleClickColumnB=settings.doubleClickColumn; if (ImGui::Checkbox("Double click selects entire column",&doubleClickColumnB)) { settings.doubleClickColumn=doubleClickColumnB; @@ -2804,6 +2809,7 @@ void FurnaceGUI::syncSettings() { settings.pullDeleteRow=e->getConfInt("pullDeleteRow",1); settings.newSongBehavior=e->getConfInt("newSongBehavior",0); settings.memUsageUnit=e->getConfInt("memUsageUnit",1); + settings.cursorFollowsWheel=e->getConfInt("cursorFollowsWheel",0); clampSetting(settings.mainFontSize,2,96); clampSetting(settings.patFontSize,2,96); @@ -2931,6 +2937,7 @@ void FurnaceGUI::syncSettings() { clampSetting(settings.pullDeleteRow,0,1); clampSetting(settings.newSongBehavior,0,1); clampSetting(settings.memUsageUnit,0,1); + clampSetting(settings.cursorFollowsWheel,0,1); if (settings.exportLoops<0.0) settings.exportLoops=0.0; if (settings.exportFadeOut<0.0) settings.exportFadeOut=0.0; @@ -3154,6 +3161,7 @@ void FurnaceGUI::commitSettings() { e->setConf("pullDeleteRow",settings.pullDeleteRow); e->setConf("newSongBehavior",settings.newSongBehavior); e->setConf("memUsageUnit",settings.memUsageUnit); + e->setConf("cursorFollowsWheel",settings.cursorFollowsWheel); // colors for (int i=0; i Date: Fri, 21 Jul 2023 19:54:35 -0500 Subject: [PATCH 110/163] dev164 - Namco 163: improvements, part 4 - per-channel wave offset/length - wave load position/length effects - global wave load effects that actually make sense - update doc --- doc/4-instrument/n163.md | 26 ++++------ doc/7-systems/n163.md | 34 +++++-------- papers/format.md | 97 +----------------------------------- papers/newIns.md | 6 +++ src/engine/dispatch.h | 10 ++-- src/engine/engine.h | 4 +- src/engine/instrument.cpp | 42 +++++++++++++++- src/engine/instrument.h | 11 +++- src/engine/platform/n163.cpp | 24 +++++++-- src/engine/sysDef.cpp | 13 ++--- src/gui/insEdit.cpp | 64 +++++++++++++++++++++--- 11 files changed, 172 insertions(+), 159 deletions(-) diff --git a/doc/4-instrument/n163.md b/doc/4-instrument/n163.md index 1ec45914..2e37b0d5 100644 --- a/doc/4-instrument/n163.md +++ b/doc/4-instrument/n163.md @@ -4,27 +4,23 @@ The Namco 163 instrument editor consists of two tabs: "Namco 163" for control of ## Namco 163 -- **Waveform**: Determines the initial waveform for playing. -- **Offset**: Determines the initial waveform position will be load to RAM. -- **Length**: Determines the initial waveform length will be load to RAM. -- **Load waveform before playback**: Determines the load initial waveform into RAM before playback. -- **Update waveforms into RAM when every waveform changes**: Determines the update every different waveform changes in playback. - +- **Load waveform**: if enabled, a waveform will be loaded when this instrument plays. + - if it isn't then only the offset/length change. +- **Waveform**: determines the waveform that will be loaded. + - only appears when Load waveform is enabled. +- **Per-channel wave offset/length**: when enabled, the offset/length settings are split per channel. +- **Offset**: determines the waveform position in RAM. +- **Length**: determines the waveform length in RAM. ## Macros - **Volume**: volume levels sequence - **Arpeggio**: pitch sequence +- **Wave Pos**: sets waveform seek position in RAM - **Waveform**: sets waveform source for playback immediately or update later - **Panning**: output for left and right channels - **Pitch**: fine pitch -- **Phase Reset**: trigger restart of waveform +- **Wave Length**: sets waveform length \ No newline at end of file +- **Phase Reset**: trigger restart of waveform +--> diff --git a/doc/7-systems/n163.md b/doc/7-systems/n163.md index cb5ff530..2fcec7e6 100644 --- a/doc/7-systems/n163.md +++ b/doc/7-systems/n163.md @@ -18,24 +18,16 @@ global commands don't care about the channel columns for work commands and its l # effects - `10xx`: **set waveform for playback.** -- `11xx`: **set waveform position in RAM for playback.** single nibble unit. -- `12xx`: **set waveform length in RAM for playback.** `04` to `FC`, 4 nibble unit. -- `130x`: **set playback waveform update behavior.** - - `0`: off. - - bit 0: update now. - - bit 1: update when every waveform is changed. -- `14xx`: **set waveform for load to RAM.** -- `15xx`: **set waveform position for load to RAM.** single nibble unit. -- `16xx`: **set waveform length for load to RAM.** `04` to `FC`, 4 nibble unit. -- `170x`: **set waveform load behavior.** - - `0`: off. - - bit 0: load now. - - bit 1: load when every waveform is changed. -- `180x`: **set channel limit.** range is `0` to `7`; 1 is added to get results of 1 through 8. -- `20xx`: **globally set waveform for load to RAM.** -- `21xx`: **globally set waveform position for load to RAM.** single nibble unit. -- `22xx`: **globally set waveform length for load to RAM.** `04` to `FC`, 4 nibble unit. -- `230x`: **globally set waveform load behavior.** - - `0`: off. - - bit 0: load now. - - bit 1: load when every waveform is changed. +- `11xx`: **set waveform position in RAM for playback.** +- `12xx`: **set waveform length in RAM for playback.** + - `x` goes from `04` to `FC` in steps of 4. +- `15xx`: **set waveform load position.** +- `16xx`: **set waveform load length.** + - `x` goes from `04` to `FC` in steps of 4. +- `180x`: **set channel limit.** + - range of `x` is `0` to `7`. 1 is added to get results of 1 through 8. +- `20xx`: **load a waveform to RAM.** + - `x` is the waveform. + - the length is determined by the wave's width (it will be snapped to a multiple of 4 if it isn't). + - make sure to use `21xx` first! +- `21xx`: **set position for 20xx.** diff --git a/papers/format.md b/papers/format.md index 78d62d48..499efe48 100644 --- a/papers/format.md +++ b/papers/format.md @@ -34,110 +34,15 @@ the format versions are: - 162: Furnace 0.6pre7 - 161: Furnace 0.6pre6 -- 160: Furnace dev160 -- 159: Furnace dev159 - 158: Furnace 0.6pre5 -- 157: Furnace dev157 -- 156: Furnace dev156 -- 155: Furnace dev155 -- 154: Furnace dev154 -- 153: Furnace dev153 -- 152: Furnace dev152 -- 151: Furnace dev151 -- 150: Furnace dev150 -- 149: Furnace dev149 -- 148: Furnace dev148 -- 147: Furnace dev147 - 146: Furnace Pro (joke version) -- 145: Furnace dev145 -- 144: Furnace dev144 - 143: Furnace 0.6pre4 -- 142: Furnace dev142 - 141: Furnace Tournament Edition (for intro tune contest) -- 140: Furnace dev140 -- 139: Furnace dev139 -- 138: Furnace dev138 -- 137: Furnace dev137 -- 136: Furnace dev136 -- 135: Furnace dev135 -- 134: Furnace dev134 - 133: Furnace 0.6pre3 - 132: Furnace 0.6pre2 -- 131: Furnace dev131 -- 130: Furnace dev130 -- 129: Furnace dev129 -- 128: Furnace dev128 -- 127: Furnace dev127 -- 126: Furnace dev126 -- 125: Furnace dev125 -- 124: Furnace dev124 -- 123: Furnace dev123 -- 122: Furnace dev122 -- 121: Furnace dev121 -- 120: Furnace dev120 -- 119: Furnace dev119 -- 118: Furnace dev118 -- 117: Furnace dev117 - 116: Furnace 0.6pre1.5 -- 115: Furnace dev115 -- 114: Furnace dev114 -- 113: Furnace dev113 -- 112: Furnace dev112 -- 111: Furnace dev111 -- 110: Furnace dev110 -- 109: Furnace dev109 -- 108: Furnace dev108 -- 107: Furnace dev107 -- 106: Furnace dev106 -- 105: Furnace dev105 -- 104: Furnace dev104 -- 103: Furnace dev103 -- 102: Furnace 0.6pre1 (dev102) -- 101: Furnace 0.6pre1 (dev101) - 100: Furnace 0.6pre1 -- 99: Furnace dev99 -- 98: Furnace dev98 -- 97: Furnace dev97 -- 96: Furnace dev96 -- 95: Furnace dev95 -- 94: Furnace dev94 -- 93: Furnace dev93 -- 92: Furnace dev92 -- 91: Furnace dev91 -- 90: Furnace dev90 -- 89: Furnace dev89 -- 88: Furnace dev88 -- 87: Furnace dev87 -- 86: Furnace dev86 -- 85: Furnace dev85 -- 84: Furnace dev84 -- 83: Furnace dev83 -- 82: Furnace dev82 -- 81: Furnace dev81 -- 80: Furnace dev80 -- 79: Furnace dev79 -- 78: Furnace dev78 -- 77: Furnace dev77 -- 76: Furnace dev76 - 75: Furnace dev75/April Fools' 0.6pre0 -- 74: Furnace dev74 -- 73: Furnace dev73 -- 72: Furnace dev72 -- 71: Furnace dev71 -- 70: Furnace dev70 -- 69: Furnace dev69 -- 68: Furnace dev68 -- 67: Furnace dev67 -- 66: Furnace dev66 -- 65: Furnace dev65 -- 64: Furnace dev64 -- 63: Furnace dev63 -- 62: Furnace dev62 -- 61: Furnace dev61 -- 60: Furnace dev60 -- 59: Furnace dev59 -- 58: Furnace dev58 -- 57: Furnace dev57 - 54: Furnace 0.5.8 - 53: Furnace 0.5.7 @@ -176,6 +81,8 @@ the format versions are: - 13: Furnace 0.2.1 - 12: Furnace 0.2 +versions that do not appear in this list are `dev???` ones. + # header the header is 32 bytes long. diff --git a/papers/newIns.md b/papers/newIns.md index 84369a6c..bd4daaec 100644 --- a/papers/newIns.md +++ b/papers/newIns.md @@ -468,6 +468,12 @@ size | description 1 | wave pos 1 | wave len 1 | wave mode + | **extra info** (>=164) + 1 | enable per channel wave pos/len + 8 | per channel wave pos + | - only read if enabled. + 8 | per channel wave len + | - only read if enabled. ``` # FDS/Virtual Boy data (FD) diff --git a/src/engine/dispatch.h b/src/engine/dispatch.h index 767db912..acd0cc77 100644 --- a/src/engine/dispatch.h +++ b/src/engine/dispatch.h @@ -173,16 +173,16 @@ enum DivDispatchCmds { DIV_CMD_N163_WAVE_POSITION, DIV_CMD_N163_WAVE_LENGTH, - DIV_CMD_N163_WAVE_MODE, - DIV_CMD_N163_WAVE_LOAD, + DIV_CMD_N163_WAVE_UNUSED1, + DIV_CMD_N163_WAVE_UNUSED2, DIV_CMD_N163_WAVE_LOADPOS, DIV_CMD_N163_WAVE_LOADLEN, - DIV_CMD_N163_WAVE_LOADMODE, + DIV_CMD_N163_WAVE_UNUSED3, DIV_CMD_N163_CHANNEL_LIMIT, DIV_CMD_N163_GLOBAL_WAVE_LOAD, DIV_CMD_N163_GLOBAL_WAVE_LOADPOS, - DIV_CMD_N163_GLOBAL_WAVE_LOADLEN, - DIV_CMD_N163_GLOBAL_WAVE_LOADMODE, + DIV_CMD_N163_UNUSED4, + DIV_CMD_N163_UNUSED5, DIV_CMD_SU_SWEEP_PERIOD_LOW, // (which, val) DIV_CMD_SU_SWEEP_PERIOD_HIGH, // (which, val) diff --git a/src/engine/engine.h b/src/engine/engine.h index e07338db..5c81f3e1 100644 --- a/src/engine/engine.h +++ b/src/engine/engine.h @@ -56,8 +56,8 @@ #define DIV_UNSTABLE -#define DIV_VERSION "dev163" -#define DIV_ENGINE_VERSION 163 +#define DIV_VERSION "dev164" +#define DIV_ENGINE_VERSION 164 // for imports #define DIV_VERSION_MOD 0xff01 #define DIV_VERSION_FC 0xff02 diff --git a/src/engine/instrument.cpp b/src/engine/instrument.cpp index 76c410f6..fe2885ee 100644 --- a/src/engine/instrument.cpp +++ b/src/engine/instrument.cpp @@ -134,7 +134,24 @@ bool DivInstrumentN163::operator==(const DivInstrumentN163& other) { _C(wave) && _C(wavePos) && _C(waveLen) && - _C(waveMode) + _C(waveMode) && + _C(perChanPos) && + _C(wavePosCh[0]) && + _C(wavePosCh[1]) && + _C(wavePosCh[2]) && + _C(wavePosCh[3]) && + _C(wavePosCh[4]) && + _C(wavePosCh[5]) && + _C(wavePosCh[6]) && + _C(wavePosCh[7]) && + _C(waveLenCh[0]) && + _C(waveLenCh[1]) && + _C(waveLenCh[2]) && + _C(waveLenCh[3]) && + _C(waveLenCh[4]) && + _C(waveLenCh[5]) && + _C(waveLenCh[6]) && + _C(waveLenCh[7]) ); } @@ -519,6 +536,17 @@ void DivInstrument::writeFeatureN1(SafeWriter* w) { w->writeC(n163.waveLen); w->writeC(n163.waveMode); + w->writeC(n163.perChanPos); + + if (n163.perChanPos) { + for (int i=0; i<8; i++) { + w->writeC(n163.wavePosCh[i]); + } + for (int i=0; i<8; i++) { + w->writeC(n163.waveLenCh[i]); + } + } + FEATURE_END; } @@ -2280,6 +2308,18 @@ void DivInstrument::readFeatureN1(SafeReader& reader, short version) { n163.waveLen=(unsigned char)reader.readC(); n163.waveMode=(unsigned char)reader.readC(); + if (version>=164) { + n163.perChanPos=reader.readC(); + if (n163.perChanPos) { + for (int i=0; i<8; i++) { + n163.wavePosCh[i]=(unsigned char)reader.readC(); + } + for (int i=0; i<8; i++) { + n163.waveLenCh[i]=(unsigned char)reader.readC(); + } + } + } + READ_FEAT_END; } diff --git a/src/engine/instrument.h b/src/engine/instrument.h index ab2bc5e0..a6d2505f 100644 --- a/src/engine/instrument.h +++ b/src/engine/instrument.h @@ -446,6 +446,9 @@ struct DivInstrumentX1_010 { struct DivInstrumentN163 { int wave, wavePos, waveLen; unsigned char waveMode; + bool perChanPos; + int wavePosCh[8]; + int waveLenCh[8]; bool operator==(const DivInstrumentN163& other); bool operator!=(const DivInstrumentN163& other) { @@ -456,7 +459,13 @@ struct DivInstrumentN163 { wave(-1), wavePos(0), waveLen(32), - waveMode(3) {} + waveMode(3), + perChanPos(false) { + for (int i=0; i<8; i++) { + wavePosCh[i]=(i&3)<<5; + waveLenCh[i]=32; + } + } }; struct DivInstrumentFDS { diff --git a/src/engine/platform/n163.cpp b/src/engine/platform/n163.cpp index 96ccf2d4..30061ceb 100644 --- a/src/engine/platform/n163.cpp +++ b/src/engine/platform/n163.cpp @@ -146,7 +146,7 @@ void DivPlatformN163::updateWave(int ch, int wave, int pos, int len) { } else { // load from custom DivWavetable* wt=parent->getWave(wave); - for (int i=0; ilen; i++) { unsigned char addr=(pos+i); // address (nibble each) if (addr>=((0x78-(chanMax<<3))<<1)) { // avoid conflict with channel register area break; @@ -155,7 +155,7 @@ void DivPlatformN163::updateWave(int ch, int wave, int pos, int len) { if (wt->max<1 || wt->len<1) { rWriteMask(addr>>1,0,mask); } else { - int data=wt->data[i*wt->len/len]*15/wt->max; + int data=wt->data[i]*15/wt->max; if (data<0) data=0; if (data>15) data=15; rWriteMask(addr>>1,(addr&1)?(data<<4):(data&0xf),mask); @@ -282,8 +282,8 @@ int DivPlatformN163::dispatch(DivCommand c) { if (ins->n163.wave>=0) { chan[c.chan].wave=ins->n163.wave; } - chan[c.chan].wavePos=ins->n163.wavePos; - chan[c.chan].waveLen=ins->n163.waveLen; + chan[c.chan].wavePos=ins->n163.perChanPos?ins->n163.wavePosCh[c.chan&7]:ins->n163.wavePos; + chan[c.chan].waveLen=ins->n163.perChanPos?ins->n163.waveLenCh[c.chan&7]:ins->n163.waveLen; chan[c.chan].waveMode=ins->n163.waveMode; chan[c.chan].curWavePos=chan[c.chan].wavePos; chan[c.chan].curWaveLen=chan[c.chan].waveLen; @@ -393,9 +393,23 @@ int DivPlatformN163::dispatch(DivCommand c) { chan[c.chan].curWaveLen=c.value&0xfc; chan[c.chan].freqChanged=true; break; + case DIV_CMD_N163_WAVE_LOADPOS: + chan[c.chan].wavePos=c.value; + if (chan[c.chan].waveMode) { + chan[c.chan].waveUpdated=true; + } + break; + case DIV_CMD_N163_WAVE_LOADLEN: + chan[c.chan].waveLen=c.value&0xfc; + if (chan[c.chan].waveMode) { + chan[c.chan].waveUpdated=true; + } + break; case DIV_CMD_N163_GLOBAL_WAVE_LOAD: loadWave=c.value; - // TODO: load wave here + if (loadWave>=0 && loadWavesong.waveLen) { + updateWave(-1,loadWave,loadPos,-1); + } break; case DIV_CMD_N163_GLOBAL_WAVE_LOADPOS: loadPos=c.value; diff --git a/src/engine/sysDef.cpp b/src/engine/sysDef.cpp index 1529575d..a911e2df 100644 --- a/src/engine/sysDef.cpp +++ b/src/engine/sysDef.cpp @@ -995,15 +995,16 @@ void DivEngine::registerSystems() { {DIV_INS_N163, DIV_INS_N163, DIV_INS_N163, DIV_INS_N163, DIV_INS_N163, DIV_INS_N163, DIV_INS_N163, DIV_INS_N163}, {}, { - {0x18, {DIV_CMD_N163_CHANNEL_LIMIT, "180x: Change channel limits (0 to 7, x + 1)"}} + {0x18, {DIV_CMD_N163_CHANNEL_LIMIT, "18xx: Change channel limits (0 to 7, x + 1)"}}, + {0x20, {DIV_CMD_N163_GLOBAL_WAVE_LOAD, "20xx: Load a waveform into memory"}}, + {0x21, {DIV_CMD_N163_GLOBAL_WAVE_LOADPOS, "21xx: Set position for wave load"}} }, { {0x10, {DIV_CMD_WAVE, "10xx: Select waveform"}}, - {0x11, {DIV_CMD_N163_WAVE_POSITION, "11xx: Set waveform position in RAM (single nibble unit)"}}, - {0x12, {DIV_CMD_N163_WAVE_LENGTH, "12xx: Set waveform length in RAM (04 to FC, 4 nibble unit)"}}, - {0x13, {DIV_CMD_N163_WAVE_MODE, "130x: Change waveform update mode (0: off; bit 0: update now; bit 1: update when every waveform changes)"}}, - {0x20, {DIV_CMD_N163_GLOBAL_WAVE_LOAD, "20xx: Load a waveform into memory"}}, - {0x21, {DIV_CMD_N163_GLOBAL_WAVE_LOADPOS, "21xx: Set position for wave load"}}, + {0x11, {DIV_CMD_N163_WAVE_POSITION, "11xx: Set waveform position in RAM"}}, + {0x12, {DIV_CMD_N163_WAVE_LENGTH, "12xx: Set waveform length in RAM (04 to FC in steps of 4)"}}, + {0x15, {DIV_CMD_N163_WAVE_LOADPOS, "15xx: Set waveform load position"}}, + {0x16, {DIV_CMD_N163_WAVE_LOADLEN, "16xx: Set waveform load length (04 to FC in steps of 4)"}}, } ); diff --git a/src/gui/insEdit.cpp b/src/gui/insEdit.cpp index 7eec3a44..8d0bf0d0 100644 --- a/src/gui/insEdit.cpp +++ b/src/gui/insEdit.cpp @@ -4681,14 +4681,62 @@ void FurnaceGUI::drawInsEdit() { if (ins->n163.wave>=e->song.waveLen) ins->n163.wave=e->song.waveLen-1; } } - if (ImGui::InputInt("Offset##WAVEPOS",&ins->n163.wavePos,1,16)) { PARAMETER - if (ins->n163.wavePos<0) ins->n163.wavePos=0; - if (ins->n163.wavePos>255) ins->n163.wavePos=255; - } - if (ImGui::InputInt("Length##WAVELEN",&ins->n163.waveLen,4,16)) { PARAMETER - if (ins->n163.waveLen<0) ins->n163.waveLen=0; - if (ins->n163.waveLen>252) ins->n163.waveLen=252; - ins->n163.waveLen&=0xfc; + + ImGui::Separator(); + + P(ImGui::Checkbox("Per-channel wave offset/length",&ins->n163.perChanPos)); + + if (ins->n163.perChanPos) { + if (ImGui::BeginTable("N1PerChPos",3)) { + ImGui::TableSetupColumn("c0",ImGuiTableColumnFlags_WidthFixed); + ImGui::TableSetupColumn("c1",ImGuiTableColumnFlags_WidthStretch,0.5f); + ImGui::TableSetupColumn("c2",ImGuiTableColumnFlags_WidthStretch,0.5f); + + ImGui::TableNextRow(ImGuiTableRowFlags_Headers); + ImGui::TableNextColumn(); + ImGui::Text("Ch"); + ImGui::TableNextColumn(); + ImGui::Text("Offset"); + ImGui::TableNextColumn(); + ImGui::Text("Length"); + + for (int i=0; i<8; i++) { + ImGui::PushID(64+i); + ImGui::TableNextRow(); + ImGui::TableNextColumn(); + ImGui::Dummy(ImVec2(dpiScale,ImGui::GetFrameHeightWithSpacing())); + ImGui::SameLine(); + ImGui::Text("%d",i+1); + + ImGui::TableNextColumn(); + ImGui::SetNextItemWidth(ImGui::GetContentRegionAvail().x); + if (ImGui::InputInt("##pcOff",&ins->n163.wavePosCh[i],1,16)) { PARAMETER + if (ins->n163.wavePosCh[i]<0) ins->n163.wavePosCh[i]=0; + if (ins->n163.wavePosCh[i]>255) ins->n163.wavePosCh[i]=255; + } + + ImGui::TableNextColumn(); + ImGui::SetNextItemWidth(ImGui::GetContentRegionAvail().x); + if (ImGui::InputInt("##pcLen",&ins->n163.waveLenCh[i],4,16)) { PARAMETER + if (ins->n163.waveLenCh[i]<0) ins->n163.waveLenCh[i]=0; + if (ins->n163.waveLenCh[i]>252) ins->n163.waveLenCh[i]=252; + ins->n163.waveLenCh[i]&=0xfc; + } + ImGui::PopID(); + } + + ImGui::EndTable(); + } + } else { + if (ImGui::InputInt("Offset##WAVEPOS",&ins->n163.wavePos,1,16)) { PARAMETER + if (ins->n163.wavePos<0) ins->n163.wavePos=0; + if (ins->n163.wavePos>255) ins->n163.wavePos=255; + } + if (ImGui::InputInt("Length##WAVELEN",&ins->n163.waveLen,4,16)) { PARAMETER + if (ins->n163.waveLen<0) ins->n163.waveLen=0; + if (ins->n163.waveLen>252) ins->n163.waveLen=252; + ins->n163.waveLen&=0xfc; + } } ImGui::EndTabItem(); } From e3e7ef73100e1c0821e86490f4831494678d8bc8 Mon Sep 17 00:00:00 2001 From: tildearrow Date: Fri, 21 Jul 2023 20:00:39 -0500 Subject: [PATCH 111/163] Namco 163: update doc --- doc/7-systems/n163.md | 15 +++++++++++---- 1 file changed, 11 insertions(+), 4 deletions(-) diff --git a/doc/7-systems/n163.md b/doc/7-systems/n163.md index 2fcec7e6..e6645637 100644 --- a/doc/7-systems/n163.md +++ b/doc/7-systems/n163.md @@ -8,12 +8,19 @@ wavetables are variable in size and may be allocated anywhere in RAM. at least 1 Namco 163 uses time-division multiplexing for its output. this means that only one channel is output per sample (like OPLL and OPN2). therefore, its sound quality gets worse as more channels are activated. -Furnace supports loading waveforms into RAM and waveform playback simultaneously, and channel limit is dynamically changeable with effect commands. +# waveform load position versus waveform position -you must load waveform to RAM first for playback, as its load behavior auto-updates when every waveform changes. +in Furnace, waveform **load** position/length is different from the waveform position/length. -both waveform playback and load command work independently per each channel columns. -global commands don't care about the channel columns for work commands and its load behavior is independent with per-channel column load commands. +when placing a note, the load pos/len and the pos/len are set to the values specified in the instrument. + +waveforms are loaded in the region set by the **load** pos/len, which you can change using effects `15xx` and `16xx` as described below. + +the region that will play is set by the waveform pos/len, which you can alter using effects `11xx` and `12xx`. + +the waveform pos/len macros only change the pos/len, and not the **load** one. + +if the waveform changes (e.g. ins change, wave macro or wave synth), or the **load** pos/len changes, the wave is written to memory. # effects From 2abe76d00059959daff2b63646c6f0a7ee61a1e9 Mon Sep 17 00:00:00 2001 From: tildearrow Date: Sat, 22 Jul 2023 01:42:05 -0500 Subject: [PATCH 112/163] Amiga: fix custom clock --- src/engine/platform/amiga.cpp | 2 ++ 1 file changed, 2 insertions(+) diff --git a/src/engine/platform/amiga.cpp b/src/engine/platform/amiga.cpp index 48128bc6..c97624c5 100644 --- a/src/engine/platform/amiga.cpp +++ b/src/engine/platform/amiga.cpp @@ -809,6 +809,8 @@ void DivPlatformAmiga::setFlags(const DivConfig& flags) { } else { chipClock=COLOR_NTSC; } + CHECK_CUSTOM_CLOCK; + rate=chipClock/AMIGA_DIVIDER; for (int i=0; i<4; i++) { oscBuf[i]->rate=rate; From 4d2c388a69270089a546079cd76fad90d46cba0d Mon Sep 17 00:00:00 2001 From: Eknous-P Date: Sat, 22 Jul 2023 12:17:04 +0400 Subject: [PATCH 113/163] change "piezo" to "moving iron" --- src/gui/sysConf.cpp | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/gui/sysConf.cpp b/src/gui/sysConf.cpp index 32e7c35c..4186bed5 100644 --- a/src/gui/sysConf.cpp +++ b/src/gui/sysConf.cpp @@ -882,7 +882,7 @@ bool FurnaceGUI::drawSysConf(int chan, DivSystem type, DivConfig& flags, bool mo speakerType=1; altered=true; } - if (ImGui::RadioButton("Piezo",speakerType==2)) { + if (ImGui::RadioButton("Moving iron",speakerType==2)) { speakerType=2; altered=true; } From cb825ca938ab1a8fdd0b83be47ba58c9635b526d Mon Sep 17 00:00:00 2001 From: tildearrow Date: Sat, 22 Jul 2023 05:27:46 -0500 Subject: [PATCH 114/163] GUI: add ImGuiTableFlags_NoScrollWithMouse --- extern/imgui_patched/imgui.h | 1 + extern/imgui_patched/imgui_tables.cpp | 3 +++ src/gui/pattern.cpp | 2 +- 3 files changed, 5 insertions(+), 1 deletion(-) diff --git a/extern/imgui_patched/imgui.h b/extern/imgui_patched/imgui.h index 8674f997..3ee53ac5 100644 --- a/extern/imgui_patched/imgui.h +++ b/extern/imgui_patched/imgui.h @@ -1236,6 +1236,7 @@ enum ImGuiTableFlags_ ImGuiTableFlags_SortTristate = 1 << 27, // Allow no sorting, disable default sorting. TableGetSortSpecs() may return specs where (SpecsCount == 0). // tildearrow ImGuiTableFlags_NoBordersInFrozenArea = 1 << 28, // Disable vertical borders in frozen area. + ImGuiTableFlags_NoScrollWithMouse = 1 << 29, // Disable user scrolling with mouse wheel. On child window, mouse wheel will be forwarded to the parent unless NoScrollbar is also set. // [Internal] Combinations and masks ImGuiTableFlags_SizingMask_ = ImGuiTableFlags_SizingFixedFit | ImGuiTableFlags_SizingFixedSame | ImGuiTableFlags_SizingStretchProp | ImGuiTableFlags_SizingStretchSame, diff --git a/extern/imgui_patched/imgui_tables.cpp b/extern/imgui_patched/imgui_tables.cpp index 8850094d..4aae7a1e 100644 --- a/extern/imgui_patched/imgui_tables.cpp +++ b/extern/imgui_patched/imgui_tables.cpp @@ -401,6 +401,9 @@ bool ImGui::BeginTableEx(const char* name, ImGuiID id, int columns_count, ImG // Create scrolling region (without border and zero window padding) ImGuiWindowFlags child_flags = (flags & ImGuiTableFlags_ScrollX) ? ImGuiWindowFlags_HorizontalScrollbar : ImGuiWindowFlags_None; + if (flags & ImGuiTableFlags_NoScrollWithMouse) { + child_flags |= ImGuiWindowFlags_NoScrollWithMouse; + } BeginChildEx(name, instance_id, outer_rect.GetSize(), false, child_flags); table->InnerWindow = g.CurrentWindow; table->WorkRect = table->InnerWindow->WorkRect; diff --git a/src/gui/pattern.cpp b/src/gui/pattern.cpp index 0b6d9678..590676fc 100644 --- a/src/gui/pattern.cpp +++ b/src/gui/pattern.cpp @@ -440,7 +440,7 @@ void FurnaceGUI::drawPattern() { ImGui::SetCursorPosX(ImGui::GetCursorPosX()+centerOff); } } - if (ImGui::BeginTable("PatternView",displayChans+2,ImGuiTableFlags_BordersInnerV|ImGuiTableFlags_ScrollX|ImGuiTableFlags_ScrollY|ImGuiTableFlags_NoPadInnerX|ImGuiTableFlags_NoBordersInFrozenArea)) { + if (ImGui::BeginTable("PatternView",displayChans+2,ImGuiTableFlags_BordersInnerV|ImGuiTableFlags_ScrollX|ImGuiTableFlags_ScrollY|ImGuiTableFlags_NoPadInnerX|ImGuiTableFlags_NoBordersInFrozenArea|(settings.cursorFollowsWheel?ImGuiTableFlags_NoScrollWithMouse:0))) { ImGui::TableSetupColumn("pos",ImGuiTableColumnFlags_WidthFixed); char chanID[2048]; float lineHeight=(ImGui::GetTextLineHeight()+2*dpiScale); From 4ef6acfab7fda802fdb8e02ae52443e5d0500fa2 Mon Sep 17 00:00:00 2001 From: Eknous-P Date: Sat, 22 Jul 2023 18:00:49 +0400 Subject: [PATCH 115/163] add scc/scc+ and qsound part numbers --- src/gui/sysPartNumber.cpp | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/src/gui/sysPartNumber.cpp b/src/gui/sysPartNumber.cpp index 7ca4489f..a820db5b 100644 --- a/src/gui/sysPartNumber.cpp +++ b/src/gui/sysPartNumber.cpp @@ -198,7 +198,7 @@ const char* FurnaceGUI::getSystemPartNumber(DivSystem sys, DivConfig& flags) { return "ZXS Beeper"; break; case DIV_SYSTEM_SCC: - return "SCC"; + return "2212P003"; break; case DIV_SYSTEM_YM2610: case DIV_SYSTEM_YM2610_CSM: @@ -212,7 +212,7 @@ const char* FurnaceGUI::getSystemPartNumber(DivSystem sys, DivConfig& flags) { return "YM2413"; break; case DIV_SYSTEM_QSOUND: - return "QSound"; + return "DSP16A"; break; case DIV_SYSTEM_VERA: return "VERA"; @@ -227,7 +227,7 @@ const char* FurnaceGUI::getSystemPartNumber(DivSystem sys, DivConfig& flags) { return "ES5506"; break; case DIV_SYSTEM_SCC_PLUS: - return "SCC+"; + return "2312P001"; break; case DIV_SYSTEM_SOUND_UNIT: return "TSU"; From d0404c9e10caef6daebfe376a1265a88687faa89 Mon Sep 17 00:00:00 2001 From: Eknous-P Date: Sat, 22 Jul 2023 18:58:24 +0400 Subject: [PATCH 116/163] more part numbers --- src/gui/sysPartNumber.cpp | 12 +++++------- 1 file changed, 5 insertions(+), 7 deletions(-) diff --git a/src/gui/sysPartNumber.cpp b/src/gui/sysPartNumber.cpp index a820db5b..fe737929 100644 --- a/src/gui/sysPartNumber.cpp +++ b/src/gui/sysPartNumber.cpp @@ -77,9 +77,7 @@ const char* FurnaceGUI::getSystemPartNumber(DivSystem sys, DivConfig& flags) { } break; } - case DIV_SYSTEM_AMIGA: - return "Amiga"; - break; + //Amiga -> Paula? case DIV_SYSTEM_YM2151: return "YM2151"; break; @@ -164,10 +162,10 @@ const char* FurnaceGUI::getSystemPartNumber(DivSystem sys, DivConfig& flags) { break; case DIV_SYSTEM_OPL4: case DIV_SYSTEM_OPL4_DRUMS: - return "OPL4"; + return "YMF278"; break; case DIV_SYSTEM_MULTIPCM: - return "MultiPCM"; + return "YMW258-F"; break; case DIV_SYSTEM_RF5C68:{ int chipType=flags.getInt("chipType",0); @@ -182,8 +180,8 @@ const char* FurnaceGUI::getSystemPartNumber(DivSystem sys, DivConfig& flags) { return "YM2414"; break; case DIV_SYSTEM_SEGAPCM: - case DIV_SYSTEM_SEGAPCM_COMPAT: - return "SegaPCM"; + case DIV_SYSTEM_SEGAPCM_COMPAT:// the closest thing to a part number i found is "315-5218", + return "SegaPCM";// which is a sega part number. not sure if i shold change it. break; case DIV_SYSTEM_VRC7: return "VRC7"; From 093df41ef903b069c58b8840a35d7a430c1e114c Mon Sep 17 00:00:00 2001 From: Eknous-P Date: Sat, 22 Jul 2023 20:44:02 +0400 Subject: [PATCH 117/163] flat note names --- src/gui/gui.cpp | 4 ++++ src/gui/gui.h | 1 + src/gui/guiConst.cpp | 36 ++++++++++++++++++++++++++++++++++++ src/gui/guiConst.h | 2 ++ src/gui/settings.cpp | 8 ++++++++ 5 files changed, 51 insertions(+) diff --git a/src/gui/gui.cpp b/src/gui/gui.cpp index 4e24219e..e1d85b6d 100644 --- a/src/gui/gui.cpp +++ b/src/gui/gui.cpp @@ -98,6 +98,10 @@ const char* FurnaceGUI::noteName(short note, short octave) { if (seek<0 || seek>=180) { return "???"; } + if (settings.flatNotes){ + if (settings.germanNotation) return noteNamesGF[seek]; + return noteNamesF[seek]; + } if (settings.germanNotation) return noteNamesG[seek]; return noteNames[seek]; } diff --git a/src/gui/gui.h b/src/gui/gui.h index 0979ae06..588afaf8 100644 --- a/src/gui/gui.h +++ b/src/gui/gui.h @@ -1419,6 +1419,7 @@ class FurnaceGUI { int chipNames; int overflowHighlight; int partyTime; + int flatNotes; int germanNotation; int stepOnDelete; int scrollStep; diff --git a/src/gui/guiConst.cpp b/src/gui/guiConst.cpp index f7dcd40b..a1819863 100644 --- a/src/gui/guiConst.cpp +++ b/src/gui/guiConst.cpp @@ -62,6 +62,42 @@ const char* noteNamesG[180]={ "C-9", "C#9", "D-9", "D#9", "E-9", "F-9", "F#9", "G-9", "G#9", "A-9", "A#9", "H-9" }; +const char* noteNamesF[180]={ + "c_5", "dd5", "d_5", "ed5", "e_5", "f_5", "gd5", "g_5", "ad5", "a_5", "bd5", "b_5", + "c_4", "dd4", "d_4", "ed4", "e_4", "f_4", "gd4", "g_4", "ad4", "a_4", "bd4", "b_4", + "c_3", "dd3", "d_3", "ed3", "e_3", "f_3", "gd3", "g_3", "ad3", "a_3", "bd3", "b_3", + "c_2", "dd2", "d_2", "ed2", "e_2", "f_2", "gd2", "g_2", "ad2", "a_2", "bd2", "b_2", + "c_1", "dd1", "d_1", "ed1", "e_1", "f_1", "gd1", "g_1", "ad1", "a_1", "bd1", "b_1", + "C-0", "Db0", "D-0", "Eb0", "E-0", "F-0", "Gb0", "G-0", "Ab0", "A-0", "Bb0", "B-0", + "C-1", "Db1", "D-1", "Eb1", "E-1", "F-1", "Gb1", "G-1", "Ab1", "A-1", "Bb1", "B-1", + "C-2", "Db2", "D-2", "Eb2", "E-2", "F-2", "Gb2", "G-2", "Ab2", "A-2", "Bb2", "B-2", + "C-3", "Db3", "D-3", "Eb3", "E-3", "F-3", "Gb3", "G-3", "Ab3", "A-3", "Bb3", "B-3", + "C-4", "Db4", "D-4", "Eb4", "E-4", "F-4", "Gb4", "G-4", "Ab4", "A-4", "Bb4", "B-4", + "C-5", "Db5", "D-5", "Eb5", "E-5", "F-5", "Gb5", "G-5", "Ab5", "A-5", "Bb5", "B-5", + "C-6", "Db6", "D-6", "Eb6", "E-6", "F-6", "Gb6", "G-6", "Ab6", "A-6", "Bb6", "B-6", + "C-7", "Db7", "D-7", "Eb7", "E-7", "F-7", "Gb7", "G-7", "Ab7", "A-7", "Bb7", "B-7", + "C-8", "Db8", "D-8", "Eb8", "E-8", "F-8", "Gb8", "G-8", "Ab8", "A-8", "Bb8", "B-8", + "C-9", "Db9", "D-9", "Eb9", "E-9", "F-9", "Gb9", "G-9", "Ab9", "A-9", "Bb9", "B-9" +}; + +const char* noteNamesGF[180]={ + "c_5", "dd5", "d_5", "ed5", "e_5", "f_5", "gd5", "g_5", "ad5", "a_5", "b_5", "h_5", + "c_4", "dd4", "d_4", "ed4", "e_4", "f_4", "gd4", "g_4", "ad4", "a_4", "b_4", "h_4", + "c_3", "dd3", "d_3", "ed3", "e_3", "f_3", "gd3", "g_3", "ad3", "a_3", "b_3", "h_3", + "c_2", "dd2", "d_2", "ed2", "e_2", "f_2", "gd2", "g_2", "ad2", "a_2", "b_2", "h_2", + "c_1", "dd1", "d_1", "ed1", "e_1", "f_1", "gd1", "g_1", "ad1", "a_1", "b_1", "h_1", + "C-0", "Db0", "D-0", "Eb0", "E-0", "F-0", "Gb0", "G-0", "Ab0", "A-0", "B-0", "H-0", + "C-1", "Db1", "D-1", "Eb1", "E-1", "F-1", "Gb1", "G-1", "Ab1", "A-1", "B-1", "H-1", + "C-2", "Db2", "D-2", "Eb2", "E-2", "F-2", "Gb2", "G-2", "Ab2", "A-2", "B-2", "H-2", + "C-3", "Db3", "D-3", "Eb3", "E-3", "F-3", "Gb3", "G-3", "Ab3", "A-3", "B-3", "H-3", + "C-4", "Db4", "D-4", "Eb4", "E-4", "F-4", "Gb4", "G-4", "Ab4", "A-4", "B-4", "H-4", + "C-5", "Db5", "D-5", "Eb5", "E-5", "F-5", "Gb5", "G-5", "Ab5", "A-5", "B-5", "H-5", + "C-6", "Db6", "D-6", "Eb6", "E-6", "F-6", "Gb6", "G-6", "Ab6", "A-6", "B-6", "H-6", + "C-7", "Db7", "D-7", "Eb7", "E-7", "F-7", "Gb7", "G-7", "Ab7", "A-7", "B-7", "H-7", + "C-8", "Db8", "D-8", "Eb8", "E-8", "F-8", "Gb8", "G-8", "Ab8", "A-8", "B-8", "H-8", + "C-9", "Db9", "D-9", "Eb9", "E-9", "F-9", "Gb9", "G-9", "Ab9", "A-9", "B-9", "H-9" +}; + const char* pitchLabel[11]={ "1/6", "1/5", "1/4", "1/3", "1/2", "1x", "2x", "3x", "4x", "5x", "6x" }; diff --git a/src/gui/guiConst.h b/src/gui/guiConst.h index 00b35049..494ba58d 100644 --- a/src/gui/guiConst.h +++ b/src/gui/guiConst.h @@ -38,6 +38,8 @@ struct FurnaceGUIColorDef { extern const int opOrder[4]; extern const char* noteNames[180]; extern const char* noteNamesG[180]; +extern const char* noteNamesF[180]; +extern const char* noteNamesGF[180]; extern const char* pitchLabel[11]; extern const char* insTypes[]; extern const char* sampleLoopModes[]; diff --git a/src/gui/settings.cpp b/src/gui/settings.cpp index 028a5407..fb9268c2 100644 --- a/src/gui/settings.cpp +++ b/src/gui/settings.cpp @@ -1783,6 +1783,11 @@ void FurnaceGUI::drawSettings() { settings.viewPrevPattern=viewPrevPatternB; } + bool flatNotesB=settings.flatNotes; + if (ImGui::Checkbox("Use flats instead of sharps",&flatNotesB)) { + settings.flatNotes=flatNotesB; + } + bool germanNotationB=settings.germanNotation; if (ImGui::Checkbox("Use German notation",&germanNotationB)) { settings.germanNotation=germanNotationB; @@ -2700,6 +2705,7 @@ void FurnaceGUI::syncSettings() { settings.chipNames=e->getConfInt("chipNames",0); settings.overflowHighlight=e->getConfInt("overflowHighlight",0); settings.partyTime=e->getConfInt("partyTime",0); + settings.flatNotes=e->getConfInt("flatNotes",0); settings.germanNotation=e->getConfInt("germanNotation",0); settings.stepOnDelete=e->getConfInt("stepOnDelete",0); settings.scrollStep=e->getConfInt("scrollStep",0); @@ -2842,6 +2848,7 @@ void FurnaceGUI::syncSettings() { clampSetting(settings.chipNames,0,1); clampSetting(settings.overflowHighlight,0,1); clampSetting(settings.partyTime,0,1); + clampSetting(settings.flatNotes,0,1); clampSetting(settings.germanNotation,0,1); clampSetting(settings.stepOnDelete,0,1); clampSetting(settings.scrollStep,0,1); @@ -3051,6 +3058,7 @@ void FurnaceGUI::commitSettings() { e->setConf("chipNames",settings.chipNames); e->setConf("overflowHighlight",settings.overflowHighlight); e->setConf("partyTime",settings.partyTime); + e->setConf("flatNotes",settings.flatNotes); e->setConf("germanNotation",settings.germanNotation); e->setConf("stepOnDelete",settings.stepOnDelete); e->setConf("scrollStep",settings.scrollStep); From 7c5db82ab8b29e10e5f8bb9ae2036f23042ccd57 Mon Sep 17 00:00:00 2001 From: Eknous-P Date: Sat, 22 Jul 2023 21:43:23 +0400 Subject: [PATCH 118/163] remove duplicate cases --- src/gui/sysPartNumber.cpp | 9 --------- 1 file changed, 9 deletions(-) diff --git a/src/gui/sysPartNumber.cpp b/src/gui/sysPartNumber.cpp index fe737929..aa19d4fb 100644 --- a/src/gui/sysPartNumber.cpp +++ b/src/gui/sysPartNumber.cpp @@ -212,9 +212,6 @@ const char* FurnaceGUI::getSystemPartNumber(DivSystem sys, DivConfig& flags) { case DIV_SYSTEM_QSOUND: return "DSP16A"; break; - case DIV_SYSTEM_VERA: - return "VERA"; - break; case DIV_SYSTEM_X1_010: return "X1-010"; break; @@ -239,9 +236,6 @@ const char* FurnaceGUI::getSystemPartNumber(DivSystem sys, DivConfig& flags) { case DIV_SYSTEM_YMZ280B: return "YMZ280B"; break; - case DIV_SYSTEM_NAMCO: - return "Namco WSG"; - break; case DIV_SYSTEM_NAMCO_15XX: return "C15"; break; @@ -251,9 +245,6 @@ const char* FurnaceGUI::getSystemPartNumber(DivSystem sys, DivConfig& flags) { case DIV_SYSTEM_MSM5232: return "MSM5232"; break; - case DIV_SYSTEM_T6W28: - return "T6W28"; - break; case DIV_SYSTEM_K007232: return "K007232"; break; From 0d2b7427a6d42dd77e0eeb3b733fc5823ebf7032 Mon Sep 17 00:00:00 2001 From: tildearrow Date: Sat, 22 Jul 2023 17:32:16 -0500 Subject: [PATCH 119/163] MSM6258: only one output --- src/engine/platform/msm6258.cpp | 6 +----- src/engine/platform/sound/oki/okim6258.cpp | 4 ++-- src/engine/platform/sound/oki/okim6258.h | 2 +- 3 files changed, 4 insertions(+), 8 deletions(-) diff --git a/src/engine/platform/msm6258.cpp b/src/engine/platform/msm6258.cpp index 7f4d2e63..fbede0b5 100644 --- a/src/engine/platform/msm6258.cpp +++ b/src/engine/platform/msm6258.cpp @@ -31,10 +31,6 @@ const char** DivPlatformMSM6258::getRegisterSheet() { } void DivPlatformMSM6258::acquire(short** buf, size_t len) { - short* outs[2]={ - &msmOut, - NULL - }; for (size_t h=0; hsound_stream_update(outs,1); + msm->sound_stream_update(&msmOut,1); msmDividerCount=msmDivider; } msmClockCount=msmClock; diff --git a/src/engine/platform/sound/oki/okim6258.cpp b/src/engine/platform/sound/oki/okim6258.cpp index 5bf6714d..a6c3e26f 100644 --- a/src/engine/platform/sound/oki/okim6258.cpp +++ b/src/engine/platform/sound/oki/okim6258.cpp @@ -135,9 +135,9 @@ void okim6258_device::device_reset() // sound_stream_update - handle a stream update //------------------------------------------------- -void okim6258_device::sound_stream_update(short** outputs, int len) +void okim6258_device::sound_stream_update(short* output, int len) { - short* buffer = outputs[0]; + short* buffer = output; if (m_status & STATUS_PLAYING) { diff --git a/src/engine/platform/sound/oki/okim6258.h b/src/engine/platform/sound/oki/okim6258.h index 88a429d3..b3dc65ef 100644 --- a/src/engine/platform/sound/oki/okim6258.h +++ b/src/engine/platform/sound/oki/okim6258.h @@ -43,7 +43,7 @@ public: void device_clock_changed(); // sound stream updates - void sound_stream_update(short** outputs, int len); + void sound_stream_update(short* output, int len); private: int16_t clock_adpcm(uint8_t nibble); From 895921f2572ea3f50fc2dbd8fcefc7f9043aee57 Mon Sep 17 00:00:00 2001 From: tildearrow Date: Sat, 22 Jul 2023 17:48:13 -0500 Subject: [PATCH 120/163] GUI: orders now respect push nibble setting issue #1260 --- src/gui/gui.cpp | 1 + 1 file changed, 1 insertion(+) diff --git a/src/gui/gui.cpp b/src/gui/gui.cpp index 4e24219e..96ae750e 100644 --- a/src/gui/gui.cpp +++ b/src/gui/gui.cpp @@ -1416,6 +1416,7 @@ void FurnaceGUI::keyDown(SDL_Event& ev) { if (orderCursor>=0 && orderCursorgetTotalChannelCount()) { prepareUndo(GUI_UNDO_CHANGE_ORDER); e->lockSave([this,num]() { + if (!curNibble && !settings.pushNibble) e->curOrders->ord[orderCursor][curOrder]=0; e->curOrders->ord[orderCursor][curOrder]=((e->curOrders->ord[orderCursor][curOrder]<<4)|num); }); MARK_MODIFIED; From 3a9f2803d21ed987a7cdf882d6d10a889dd7b20f Mon Sep 17 00:00:00 2001 From: Electric Keet Date: Sat, 22 Jul 2023 16:03:18 -0700 Subject: [PATCH 121/163] New guide on emulation cores. --- doc/2-interface/settings.md | 42 ++++++++++----------------------- doc/9-guides/README.md | 1 + doc/9-guides/emulation-cores.md | 36 ++++++++++++++++++++++++++++ 3 files changed, 49 insertions(+), 30 deletions(-) create mode 100644 doc/9-guides/emulation-cores.md diff --git a/doc/2-interface/settings.md b/doc/2-interface/settings.md index 06c21f1c..d6ed79c6 100644 --- a/doc/2-interface/settings.md +++ b/doc/2-interface/settings.md @@ -181,37 +181,19 @@ settings are saved when clicking the **OK** button at the bottom of the dialog. - **NTSC non-drop (30fps)** # Emulation -- **Arcade/YM2151 core** - - **ymfm** - - **Nuked-OPM** -- **Genesis/YM2612 core** - - **Nuked-OPN2** - - **ymfm** -- **SN76489 core** - - **MAME** - - **Nuked-PSG Mod** -- **NES core** - - **puNES** - - **NSFplay** -- **FDS core** - - **puNES** - - **NSFplay** -- **SID core** - - **reSID** - - **reSIDfp** -- **POKEY core** - - **Atari800 (mzpokeysnd)** - - **ASAP (C++ port)** -- **OPN/OPNA/OPNB cores** - - **ymfm only** - - **Nuked-OPN2 (FM) + ymfm (SSG/ADPCM)** -- **PC Speaker strategy:** - - **evdev SND_TONE** - - **KIOCSOUND on /dev/tty1** - - **/dev/port** - - **KIOCSOUND on standard output** - - **outb()** + + +- **Arcade/YM2151 core**\ + **Genesis/YM2612 core**\ + **SN76489 core**\ + **NES core**\ + **FDS core**\ + **SID core**\ + **POKEY core**\ + **OPN/OPNA/OPNB cores**: all of these are covered in the [guide to choosing emulation cores](../9-guides/emulation-cores.md). + +- **PC Speaker strategy**: this is covered in the [PC speaker system doc](../7-systems/pcspkr.md). - **Sample ROMs:** - **OPL4 YRW801 path** diff --git a/doc/9-guides/README.md b/doc/9-guides/README.md index 66b46072..80c96a00 100644 --- a/doc/9-guides/README.md +++ b/doc/9-guides/README.md @@ -3,3 +3,4 @@ here is a small collection of useful tricks and techniques to really make Furnace sing. - [using samples with limited playback rates](limited-samples.md) +- [choosing emulation cores](emulation-cores.md) \ No newline at end of file diff --git a/doc/9-guides/emulation-cores.md b/doc/9-guides/emulation-cores.md new file mode 100644 index 00000000..a9a4b782 --- /dev/null +++ b/doc/9-guides/emulation-cores.md @@ -0,0 +1,36 @@ +# choosing emulation cores + +Furnace achieves the authentic sound of videogame hardware by emulating sound chips accurately as possible, using the best **emulator cores** available. in some cases there are multiple cores to choose from, each with different strengths and weaknesses. here are the major differences between them all. + +- **Arcade/YM2151 core**: + - **ymfm**: default. much less CPU usage than Nuked-OPM, but less accurate. recommended for users with last-gen or earlier hardware. + - **Nuked-OPM**: much more accurate than ymfm, due to the emulator being based on an image of the die map taken from a real YM2151. very CPU heavy, only recommended for users with recent hardware. + +- **Genesis/YM2612 core**: + - **Nuked-OPN2**: default. same as Nuked-OPM above. + - **ymfm**: same as ymfm above. + +- **SN76489 core**: + - **MAME**: default. less accurate than Nuked, but with lower CPU usage. comes from the MAME emulator project. + - **Nuked-PSG Mod**: more accurate, but not by that much. this originally started as an emulator for the YM7101 PSG sound generator, but was modified to emulate the SN7 as the MAME core was deemed unsatisfactory by some. + +- **NES core**: + - **puNES**: default. it comes from a dedicated NES emulator. + - **NSFplay**: higher CPU usage than puNES. + +- **FDS core**: + - **puNES**: default. lower CPU usage and far less accurate. + - **NSFplay**: higher CPU usage and much more accurate. + +- **SID core**: + - **reSID**: default. a high quality emulation core. somewhat CPU heavy. + - **reSIDfp**: improved version of reSID. the most accurate choice. _extremely_ CPU heavy. + - **dSID**: a lightweight open-source core used in DefleMask. not so accurate but it's very CPU light. + +- **POKEY core**: + - **Atari800 (mzpokeysnd)**: does not emulate two-tone mode. + - **ASAP (C++ port)**: default. the sound core used in the ASAP player. most accurate option. + +- **OPN/OPNA/OPNB cores**: + - **ymfm only**: lower CPU usage, less accurate FM. + - **Nuked-OPN2 (FM) + ymfm (SSG/ADPCM)**: default. more accurate FM at the cost of more CPU load. From 4d7c0e48cbbdd7c74c06a1208042834a4c5121f9 Mon Sep 17 00:00:00 2001 From: Electric Keet Date: Sat, 22 Jul 2023 18:41:27 -0700 Subject: [PATCH 122/163] Little text tweaks. As requested! --- doc/9-guides/emulation-cores.md | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/doc/9-guides/emulation-cores.md b/doc/9-guides/emulation-cores.md index a9a4b782..340f0838 100644 --- a/doc/9-guides/emulation-cores.md +++ b/doc/9-guides/emulation-cores.md @@ -1,13 +1,13 @@ # choosing emulation cores -Furnace achieves the authentic sound of videogame hardware by emulating sound chips accurately as possible, using the best **emulator cores** available. in some cases there are multiple cores to choose from, each with different strengths and weaknesses. here are the major differences between them all. +Furnace achieves the authentic sound of videogame hardware by emulating sound chips accurately as possible, using **emulator cores**. in some cases there are multiple cores to choose from, each with different strengths and weaknesses. here are the major differences between them all. - **Arcade/YM2151 core**: - **ymfm**: default. much less CPU usage than Nuked-OPM, but less accurate. recommended for users with last-gen or earlier hardware. - **Nuked-OPM**: much more accurate than ymfm, due to the emulator being based on an image of the die map taken from a real YM2151. very CPU heavy, only recommended for users with recent hardware. - **Genesis/YM2612 core**: - - **Nuked-OPN2**: default. same as Nuked-OPM above. + - **Nuked-OPN2**: default. a little lighter on the CPU than Nuked-OPM. - **ymfm**: same as ymfm above. - **SN76489 core**: From 20418bb4909c0984bace670a9825f246b7ccc0f9 Mon Sep 17 00:00:00 2001 From: tildearrow Date: Sun, 23 Jul 2023 04:42:38 -0500 Subject: [PATCH 123/163] add TED to Furnace #855 #873 --- CMakeLists.txt | 10 + papers/format.md | 1 + papers/newIns.md | 2 + src/engine/dispatchContainer.cpp | 4 + src/engine/instrument.cpp | 2 + src/engine/instrument.h | 2 + src/engine/platform/sound/ted-sound.c | 231 +++++++++++++++++ src/engine/platform/sound/ted-sound.h | 81 ++++++ src/engine/platform/ted.cpp | 354 ++++++++++++++++++++++++++ src/engine/platform/ted.h | 78 ++++++ src/engine/song.h | 3 +- src/engine/sysDef.cpp | 10 + src/gui/dataList.cpp | 4 + src/gui/gui.h | 2 + src/gui/guiConst.cpp | 6 + src/gui/insEdit.cpp | 16 +- src/gui/presets.cpp | 11 + src/gui/sysConf.cpp | 34 +++ 18 files changed, 848 insertions(+), 3 deletions(-) create mode 100644 src/engine/platform/sound/ted-sound.c create mode 100644 src/engine/platform/sound/ted-sound.h create mode 100644 src/engine/platform/ted.cpp create mode 100644 src/engine/platform/ted.h diff --git a/CMakeLists.txt b/CMakeLists.txt index 9fd84220..1bf22a33 100644 --- a/CMakeLists.txt +++ b/CMakeLists.txt @@ -283,6 +283,13 @@ if (USE_SDL2) # If you link SDL statically, you also need to define HAVE_LIBC so it builds with the C runtime that your application uses. # This should probably go in a FAQ. set(SDL_LIBC ON CACHE BOOL "Tell SDL that we want it to use our C runtime (required for proper static linking)" FORCE) + + # https://github.com/tildearrow/furnace/issues/1237 + # enabling this will result in SDL finding the Direct3D headers, forcing _WIN32_WINNT to an undesirable value (which makes the Wine headers define GetTickCount64) + if (SUPPORT_XP) + set(SDL_RENDER_D3D OFF CACHE BOOL "Enable the Direct3D render driver" FORCE) + endif() + add_subdirectory(extern/SDL EXCLUDE_FROM_ALL) list(APPEND DEPENDENCIES_DEFINES HAVE_SDL2) list(APPEND DEPENDENCIES_INCLUDE_DIRS extern/SDL/include) @@ -498,6 +505,8 @@ src/engine/platform/sound/sm8521.c src/engine/platform/sound/d65modified.c +src/engine/platform/sound/ted-sound.c + src/engine/platform/oplAInterface.cpp src/engine/platform/ym2608Interface.cpp src/engine/platform/ym2610Interface.cpp @@ -589,6 +598,7 @@ src/engine/platform/ga20.cpp src/engine/platform/sm8521.cpp src/engine/platform/pv1000.cpp src/engine/platform/k053260.cpp +src/engine/platform/ted.cpp src/engine/platform/pcmdac.cpp src/engine/platform/dummy.cpp diff --git a/papers/format.md b/papers/format.md index 499efe48..46bb4c1f 100644 --- a/papers/format.md +++ b/papers/format.md @@ -218,6 +218,7 @@ size | description | - 0xca: ZX Spectrum (beeper, QuadTone engine) - 5 channels | - 0xcb: Casio PV-1000 - 3 channels | - 0xcc: K053260 - 4 channels + | - 0xcd: TED - 2 channels | - 0xde: YM2610B extended - 19 channels | - 0xe0: QSound - 19 channels | - 0xfc: Pong - 1 channel diff --git a/papers/newIns.md b/papers/newIns.md index bd4daaec..786a553c 100644 --- a/papers/newIns.md +++ b/papers/newIns.md @@ -117,6 +117,8 @@ the following instrument types are available: - 47: Pokémon Mini/QuadTone - 48: SM8521 - 49: PV-1000 +- 50: K053260 +- 52: TED the following feature codes are recognized: diff --git a/src/engine/dispatchContainer.cpp b/src/engine/dispatchContainer.cpp index 56c3625d..2e853260 100644 --- a/src/engine/dispatchContainer.cpp +++ b/src/engine/dispatchContainer.cpp @@ -79,6 +79,7 @@ #include "platform/sm8521.h" #include "platform/pv1000.h" #include "platform/k053260.h" +#include "platform/ted.h" #include "platform/pcmdac.h" #include "platform/dummy.h" #include "../ta-log.h" @@ -507,6 +508,9 @@ void DivDispatchContainer::init(DivSystem sys, DivEngine* eng, int chanCount, do case DIV_SYSTEM_K053260: dispatch=new DivPlatformK053260; break; + case DIV_SYSTEM_TED: + dispatch=new DivPlatformTED; + break; case DIV_SYSTEM_PCM_DAC: dispatch=new DivPlatformPCMDAC; break; diff --git a/src/engine/instrument.cpp b/src/engine/instrument.cpp index fe2885ee..9fa7477f 100644 --- a/src/engine/instrument.cpp +++ b/src/engine/instrument.cpp @@ -961,6 +961,8 @@ void DivInstrument::putInsData2(SafeWriter* w, bool fui, const DivSong* song) { featureSM=true; featureSL=true; break; + case DIV_INS_TED: + break; case DIV_INS_MAX: break; diff --git a/src/engine/instrument.h b/src/engine/instrument.h index a6d2505f..ef79a97a 100644 --- a/src/engine/instrument.h +++ b/src/engine/instrument.h @@ -81,6 +81,8 @@ enum DivInstrumentType: unsigned short { DIV_INS_SM8521=48, DIV_INS_PV1000=49, DIV_INS_K053260=50, + // DIV_INS_YMF292=51, + DIV_INS_TED=52, DIV_INS_MAX, DIV_INS_NULL }; diff --git a/src/engine/platform/sound/ted-sound.c b/src/engine/platform/sound/ted-sound.c new file mode 100644 index 00000000..c0366299 --- /dev/null +++ b/src/engine/platform/sound/ted-sound.c @@ -0,0 +1,231 @@ +/* + * ted-sound.c + * + * Written by + * Andreas Boose + * Tibor Biczo + * Marco van den Heuvel + * + * This file is part of VICE, the Versatile Commodore Emulator. + * See README for copyright notice. + * + * This program is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 2 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program; if not, write to the Free Software + * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA + * 02111-1307 USA. + * + */ + +#include +#include +#include + +#include "ted-sound.h" + +/* ------------------------------------------------------------------------- */ + +/* FIXME: Find proper volume multiplier. */ +const int16_t volume_tab[16] = { + 0x0000, 0x0800, 0x1000, 0x1800, 0x2000, 0x2800, 0x3000, 0x3800, + 0x3fff, 0x3fff, 0x3fff, 0x3fff, 0x3fff, 0x3fff, 0x3fff, 0x3fff +}; + +int ted_sound_machine_calculate_samples(struct plus4_sound_s* snd, int16_t *pbuf, int nr, int scc) +{ + int i; + int j; + int16_t volume; + + if (snd->digital) { + for (i = 0; i < nr; i++) { + pbuf[i] = (snd->volume * (snd->voice0_output_enabled + snd->voice1_output_enabled)); + } + } else { + for (i = 0; i < nr; i++) { + snd->sample_position_remainder += snd->sample_length_remainder; + if (snd->sample_position_remainder >= snd->speed) { + snd->sample_position_remainder -= snd->speed; + snd->sample_position_integer++; + } + snd->sample_position_integer += snd->sample_length_integer; + if (snd->sample_position_integer >= 8) { + /* Advance state engine */ + uint32_t ticks = snd->sample_position_integer >> 3; + if (snd->voice0_accu <= ticks) { + uint32_t delay = ticks - snd->voice0_accu; + snd->voice0_sign ^= 1; + snd->voice0_accu = 1023 - snd->voice0_reload; + if (snd->voice0_accu == 0) { + snd->voice0_accu = 1024; + } + if (delay >= snd->voice0_accu) { + snd->voice0_sign = ((delay / snd->voice0_accu) + & 1) ? snd->voice0_sign ^ 1 + : snd->voice0_sign; + snd->voice0_accu = snd->voice0_accu - (delay % snd->voice0_accu); + } else { + snd->voice0_accu -= delay; + } + } else { + snd->voice0_accu -= ticks; + } + + if (snd->voice1_accu <= ticks) { + uint32_t delay = ticks - snd->voice1_accu; + snd->voice1_sign ^= 1; + snd->noise_shift_register + = (snd->noise_shift_register << 1) + + ( 1 ^ ((snd->noise_shift_register >> 7) & 1) ^ + ((snd->noise_shift_register >> 5) & 1) ^ + ((snd->noise_shift_register >> 4) & 1) ^ + ((snd->noise_shift_register >> 1) & 1)); + snd->voice1_accu = 1023 - snd->voice1_reload; + if (snd->voice1_accu == 0) { + snd->voice1_accu = 1024; + } + if (delay >= snd->voice1_accu) { + snd->voice1_sign = ((delay / snd->voice1_accu) + & 1) ? snd->voice1_sign ^ 1 + : snd->voice1_sign; + for (j = 0; j < (int)(delay / snd->voice1_accu); + j++) { + snd->noise_shift_register + = (snd->noise_shift_register << 1) + + ( 1 ^ ((snd->noise_shift_register >> 7) & 1) ^ + ((snd->noise_shift_register >> 5) & 1) ^ + ((snd->noise_shift_register >> 4) & 1) ^ + ((snd->noise_shift_register >> 1) & 1)); + } + snd->voice1_accu = snd->voice1_accu - (delay % snd->voice1_accu); + } else { + snd->voice1_accu -= delay; + } + } else { + snd->voice1_accu -= ticks; + } + } + snd->sample_position_integer = snd->sample_position_integer & 7; + + volume = 0; + + if (snd->voice0_output_enabled && snd->voice0_sign) { + volume += snd->volume; + } + if (snd->voice1_output_enabled && !snd->noise && snd->voice1_sign) { + volume += snd->volume; + } + if (snd->voice1_output_enabled && snd->noise && (!(snd->noise_shift_register & 1))) { + volume += snd->volume; + } + + pbuf[i] = volume; + } + } + return nr; +} + +int ted_sound_machine_init(struct plus4_sound_s* snd, int speed, int cycles_per_sec) +{ + uint8_t val; + memset(snd,0,sizeof(struct plus4_sound_s)); + + snd->speed = speed; + snd->sample_length_integer = cycles_per_sec / speed; + snd->sample_length_remainder = cycles_per_sec % speed; + snd->sample_position_integer = 0; + snd->sample_position_remainder = 0; + snd->noise_shift_register = 0; + + snd->voice0_reload = (snd->plus4_sound_data[0] | (snd->plus4_sound_data[4] << 8)); + snd->voice1_reload = (snd->plus4_sound_data[1] | (snd->plus4_sound_data[2] << 8)); + val = snd->plus4_sound_data[3]; + snd->volume = volume_tab[val & 0x0f]; + snd->voice0_output_enabled = (val & 0x10) ? 1 : 0; + snd->voice1_output_enabled = (val & 0x60) ? 1 : 0; + snd->noise = ((val & 0x60) == 0x40) ? 1 : 0; + snd->digital = val & 0x80; + if (snd->digital) { + snd->voice0_sign = 1; + snd->voice0_accu = 0; + snd->voice1_sign = 1; + snd->voice1_accu = 0; + snd->noise_shift_register = 0; + } + + return 1; +} + +void ted_sound_machine_store(struct plus4_sound_s* snd, uint16_t addr, uint8_t val) +{ + switch (addr) { + case 0x0e: + snd->plus4_sound_data[0] = val; + snd->voice0_reload = (snd->plus4_sound_data[0] | (snd->plus4_sound_data[4] << 8)); + break; + case 0x0f: + snd->plus4_sound_data[1] = val; + snd->voice1_reload = (snd->plus4_sound_data[1] | (snd->plus4_sound_data[2] << 8)); + break; + case 0x10: + snd->plus4_sound_data[2] = val & 3; + snd->voice1_reload = (snd->plus4_sound_data[1] | (snd->plus4_sound_data[2] << 8)); + break; + case 0x11: + snd->volume = volume_tab[val & 0x0f]; + snd->voice0_output_enabled = (val & 0x10) ? 1 : 0; + snd->voice1_output_enabled = (val & 0x60) ? 1 : 0; + snd->noise = ((val & 0x60) == 0x40) ? 1 : 0; + snd->digital = val & 0x80; + if (snd->digital) { + snd->voice0_sign = 1; + snd->voice0_accu = 0; + snd->voice1_sign = 1; + snd->voice1_accu = 0; + snd->noise_shift_register = 0; + } + snd->plus4_sound_data[3] = val; + break; + case 0x12: + snd->plus4_sound_data[4] = val & 3; + snd->voice0_reload = (snd->plus4_sound_data[0] | (snd->plus4_sound_data[4] << 8)); + break; + } +} + +uint8_t ted_sound_machine_read(struct plus4_sound_s* snd, uint16_t addr) +{ + switch (addr) { + case 0x0e: + return snd->plus4_sound_data[0]; + case 0x0f: + return snd->plus4_sound_data[1]; + case 0x10: + return snd->plus4_sound_data[2] | 0xc0; + case 0x11: + return snd->plus4_sound_data[3]; + case 0x12: + return snd->plus4_sound_data[4]; + } + + return 0; +} + +void ted_sound_reset(struct plus4_sound_s* snd) +{ + uint16_t i; + + snd->noise_shift_register = 0; + for (i = 0x0e; i <= 0x12; i++) { + ted_sound_machine_store(snd,i,0); + } +} diff --git a/src/engine/platform/sound/ted-sound.h b/src/engine/platform/sound/ted-sound.h new file mode 100644 index 00000000..d2c6de1c --- /dev/null +++ b/src/engine/platform/sound/ted-sound.h @@ -0,0 +1,81 @@ +/* + * ted-sound.h + * + * Written by + * Andreas Boose + * Marco van den Heuvel + * + * This file is part of VICE, the Versatile Commodore Emulator. + * See README for copyright notice. + * + * This program is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 2 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program; if not, write to the Free Software + * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA + * 02111-1307 USA. + * + */ + +#ifndef VICE_TEDSOUND_H +#define VICE_TEDSOUND_H + +#ifdef __cplusplus +extern "C" { +#endif + +#include + +struct plus4_sound_s { + /* Voice 0 collect number of cycles elapsed */ + uint32_t voice0_accu; + /* Voice 0 toggle sign and reload accu if accu reached 0 */ + uint32_t voice0_reload; + /* Voice 0 sign of the square wave */ + int16_t voice0_sign; + uint8_t voice0_output_enabled; + + /* Voice 1 collect number of cycles elapsed */ + uint32_t voice1_accu; + /* Voice 1 toggle sign and reload accu if accu reached 0 */ + uint32_t voice1_reload; + /* Voice 1 sign of the square wave */ + int16_t voice1_sign; + uint8_t voice1_output_enabled; + + /* Volume multiplier */ + int16_t volume; + /* 8 cycles units per sample */ + uint32_t speed; + uint32_t sample_position_integer; + uint32_t sample_position_remainder; + uint32_t sample_length_integer; + uint32_t sample_length_remainder; + /* Digital output? */ + uint8_t digital; + /* Noise generator active? */ + uint8_t noise; + uint8_t noise_shift_register; + + /* Registers */ + uint8_t plus4_sound_data[5]; +}; + +int ted_sound_machine_init(struct plus4_sound_s* snd, int speed, int cycles_per_sec); +int ted_sound_machine_calculate_samples(struct plus4_sound_s* snd, int16_t *pbuf, int nr, int sound_chip_channels); +void ted_sound_machine_store(struct plus4_sound_s* snd, uint16_t addr, uint8_t val); +uint8_t ted_sound_machine_read(struct plus4_sound_s* snd, uint16_t addr); +void ted_sound_reset(struct plus4_sound_s* snd); + +#ifdef __cplusplus +}; +#endif +#endif diff --git a/src/engine/platform/ted.cpp b/src/engine/platform/ted.cpp new file mode 100644 index 00000000..be5a379e --- /dev/null +++ b/src/engine/platform/ted.cpp @@ -0,0 +1,354 @@ +/** + * Furnace Tracker - multi-system chiptune tracker + * Copyright (C) 2021-2023 tildearrow and contributors + * + * This program is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 2 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License along + * with this program; if not, write to the Free Software Foundation, Inc., + * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA. + */ + +#include "ted.h" +#include "../engine.h" +#include + +//#define rWrite(a,v) pendingWrites[a]=v; +#define rWrite(a,v) if (!skipRegisterWrites) {writes.push(QueuedWrite(a,v)); if (dumpWrites) {addWrite(a,v);} } + +#define CHIP_DIVIDER 8 + +const char* regCheatSheetTED[]={ + "Freq0L", "0e", + "Freq1L", "0f", + "Freq1H", "10", + "Control", "11", + "Freq0H", "12", + NULL +}; + +const char** DivPlatformTED::getRegisterSheet() { + return regCheatSheetTED; +} + +void DivPlatformTED::acquire(short** buf, size_t len) { + for (size_t h=0; hdata[oscBuf[0]->needle++]=(ted.voice0_output_enabled && ted.voice0_sign)?(ted.volume<<1):0; + oscBuf[1]->data[oscBuf[1]->needle++]=(ted.voice1_output_enabled && ((ted.noise && (!(ted.noise_shift_register&1))) || (!ted.noise && ted.voice1_sign)))?(ted.volume<<1):0; + } +} + +void DivPlatformTED::tick(bool sysTick) { + bool resetPhase=false; + + for (int _i=0; _i<2; _i++) { + int i=chanOrder[_i]; + + chan[i].std.next(); + if (chan[i].std.vol.had) { + chan[i].outVol=VOL_SCALE_LINEAR(chan[i].vol,MIN(8,chan[i].std.vol.val),8); + updateCtrl=true; + vol=chan[i].outVol; + } + if (chan[i].std.duty.had) { + chan[i].noise=chan[i].std.duty.val&2; + chan[i].square=chan[i].std.duty.val&1; + chan[i].freqChanged=true; + updateCtrl=true; + } + if (NEW_ARP_STRAT) { + chan[i].handleArp(); + } else if (chan[i].std.arp.had) { + if (!chan[i].inPorta) { + chan[i].baseFreq=NOTE_PERIODIC(parent->calcArp(chan[i].note,chan[i].std.arp.val)); + } + chan[i].freqChanged=true; + } + if (chan[i].std.pitch.had) { + if (chan[i].std.pitch.mode) { + chan[i].pitch2+=chan[i].std.pitch.val; + CLAMP_VAR(chan[i].pitch2,-32768,32767); + } else { + chan[i].pitch2=chan[i].std.pitch.val; + } + chan[i].freqChanged=true; + } + if (chan[i].freqChanged || chan[i].keyOn || chan[i].keyOff) { + chan[i].freq=parent->calcFreq(chan[i].baseFreq,chan[i].pitch,chan[i].fixedArp?chan[i].baseNoteOverride:chan[i].arpOff,chan[i].fixedArp,true,0,chan[i].pitch2,chipClock,CHIP_DIVIDER)-1; + if (i==1 && chan[i].noise && !chan[i].square) chan[i].freq>>=4; + if (chan[i].freq<0) chan[i].freq=0; + if (chan[i].freq>1023) chan[i].freq=1023; + + if (i==1) { + rWrite(0x0f,(1022-chan[i].freq)&0xff); + rWrite(0x10,((1022-chan[i].freq)>>8)&0xff); + } else { + rWrite(0x0e,(1022-chan[i].freq)&0xff); + rWrite(0x12,((1022-chan[i].freq)>>8)&0xff); + } + + if (chan[i].keyOn) { + updateCtrl=true; + } + if (chan[i].keyOff) { + updateCtrl=true; + } + if (chan[i].keyOn) chan[i].keyOn=false; + if (chan[i].keyOff) chan[i].keyOff=false; + chan[i].freqChanged=false; + } + if (chan[i].std.phaseReset.had && chan[i].std.phaseReset.val==1) { + resetPhase=true; + updateCtrl=true; + } + } + + if (resetPhase) { + rWrite(0x11,0x80); + } + + if (updateCtrl) { + updateCtrl=false; + rWrite(0x11,(vol&15)|((chan[0].active && chan[0].square && !isMuted[0])?0x10:0)|((chan[1].active && chan[1].square && !isMuted[1])?0x20:0)|((chan[1].active && chan[1].noise && !isMuted[1])?0x40:0)); + } +} + +int DivPlatformTED::dispatch(DivCommand c) { + switch (c.cmd) { + case DIV_CMD_NOTE_ON: { + DivInstrument* ins=parent->getIns(chan[c.chan].ins,DIV_INS_TED); + if (c.value!=DIV_NOTE_NULL) { + chan[c.chan].baseFreq=NOTE_PERIODIC(c.value); + chan[c.chan].freqChanged=true; + chan[c.chan].note=c.value; + } + chan[c.chan].active=true; + chan[c.chan].keyOn=true; + chan[c.chan].macroInit(ins); + if (!parent->song.brokenOutVol && !chan[c.chan].std.vol.will) { + chan[c.chan].outVol=chan[c.chan].vol; + } + chan[c.chan].insChanged=false; + if (keyPriority) { + if (chanOrder[0]==c.chan) { + chanOrder[0]=chanOrder[1]; + chanOrder[1]=c.chan; + } + } + break; + } + case DIV_CMD_NOTE_OFF: + chan[c.chan].active=false; + chan[c.chan].keyOff=true; + chan[c.chan].macroInit(NULL); + break; + case DIV_CMD_NOTE_OFF_ENV: + case DIV_CMD_ENV_RELEASE: + chan[c.chan].std.release(); + break; + case DIV_CMD_INSTRUMENT: + if (chan[c.chan].ins!=c.value || c.value2==1) { + chan[c.chan].ins=c.value; + chan[c.chan].insChanged=true; + } + break; + case DIV_CMD_VOLUME: + if (chan[c.chan].vol!=c.value) { + chan[c.chan].vol=c.value; + if (!chan[c.chan].std.vol.has) { + chan[c.chan].outVol=c.value; + } + vol=chan[c.chan].outVol; + updateCtrl=true; + } + break; + case DIV_CMD_GET_VOLUME: + if (chan[c.chan].std.vol.has) { + return chan[c.chan].vol; + } + return chan[c.chan].outVol; + break; + case DIV_CMD_PITCH: + chan[c.chan].pitch=c.value; + chan[c.chan].freqChanged=true; + break; + case DIV_CMD_NOTE_PORTA: { + int destFreq=NOTE_PERIODIC(c.value2); + bool return2=false; + if (destFreq>chan[c.chan].baseFreq) { + chan[c.chan].baseFreq+=c.value; + if (chan[c.chan].baseFreq>=destFreq) { + chan[c.chan].baseFreq=destFreq; + return2=true; + } + } else { + chan[c.chan].baseFreq-=c.value; + if (chan[c.chan].baseFreq<=destFreq) { + chan[c.chan].baseFreq=destFreq; + return2=true; + } + } + chan[c.chan].freqChanged=true; + if (return2) { + chan[c.chan].inPorta=false; + return 2; + } + break; + } + case DIV_CMD_STD_NOISE_MODE: + chan[c.chan].noise=c.value; + chan[c.chan].freqChanged=true; + break; + case DIV_CMD_LEGATO: + chan[c.chan].baseFreq=NOTE_PERIODIC(c.value+((HACKY_LEGATO_MESS)?(chan[c.chan].std.arp.val):(0))); + chan[c.chan].freqChanged=true; + chan[c.chan].note=c.value; + break; + case DIV_CMD_PRE_PORTA: + if (chan[c.chan].active && c.value2) { + if (parent->song.resetMacroOnPorta) chan[c.chan].macroInit(parent->getIns(chan[c.chan].ins,DIV_INS_TED)); + } + if (!chan[c.chan].inPorta && c.value && !parent->song.brokenPortaArp && chan[c.chan].std.arp.will && !NEW_ARP_STRAT) chan[c.chan].baseFreq=NOTE_PERIODIC(chan[c.chan].note); + chan[c.chan].inPorta=c.value; + break; + case DIV_CMD_GET_VOLMAX: + return 8; + break; + case DIV_CMD_MACRO_OFF: + chan[c.chan].std.mask(c.value,true); + break; + case DIV_CMD_MACRO_ON: + chan[c.chan].std.mask(c.value,false); + break; + case DIV_ALWAYS_SET_VOLUME: + return 1; + break; + default: + break; + } + return 1; +} + +void DivPlatformTED::muteChannel(int ch, bool mute) { + isMuted[ch]=mute; + updateCtrl=true; +} + +void DivPlatformTED::forceIns() { + for (int i=0; i<2; i++) { + chan[i].freqChanged=true; + } + updateCtrl=true; +} + +void* DivPlatformTED::getChanState(int ch) { + return &chan[ch]; +} + +DivMacroInt* DivPlatformTED::getChanMacroInt(int ch) { + return &chan[ch].std; +} + +DivDispatchOscBuffer* DivPlatformTED::getOscBuffer(int ch) { + return oscBuf[ch]; +} + +unsigned char* DivPlatformTED::getRegisterPool() { + return regPool; +} + +int DivPlatformTED::getRegisterPoolSize() { + return 5; +} + +void DivPlatformTED::reset() { + writes.clear(); + memset(regPool,0,8); + for (int i=0; i<2; i++) { + chan[i]=DivPlatformTED::Channel(); + chan[i].std.setEngine(parent); + } + if (dumpWrites) { + addWrite(0xffffffff,0); + } + ted_sound_machine_init(&ted,1,8); + updateCtrl=true; + vol=15; + + chanOrder[0]=0; + chanOrder[1]=1; +} + +int DivPlatformTED::getOutputCount() { + return 1; +} + +bool DivPlatformTED::keyOffAffectsArp(int ch) { + return true; +} + +void DivPlatformTED::notifyInsDeletion(void* ins) { + for (int i=0; i<2; i++) { + chan[i].std.notifyInsDeletion((DivInstrument*)ins); + } +} + +void DivPlatformTED::setFlags(const DivConfig& flags) { + if (flags.getInt("clockSel",0)) { + chipClock=COLOR_PAL*2.0/5.0; + } else { + chipClock=COLOR_NTSC/2.0; + } + CHECK_CUSTOM_CLOCK; + rate=chipClock/8; + for (int i=0; i<2; i++) { + oscBuf[i]->rate=rate; + } + keyPriority=flags.getBool("keyPriority",true); +} + +void DivPlatformTED::poke(unsigned int addr, unsigned short val) { + rWrite(addr,val); +} + +void DivPlatformTED::poke(std::vector& wlist) { + for (DivRegWrite& i: wlist) rWrite(i.addr,i.val); +} + +int DivPlatformTED::init(DivEngine* p, int channels, int sugRate, const DivConfig& flags) { + parent=p; + dumpWrites=false; + skipRegisterWrites=false; + for (int i=0; i<2; i++) { + isMuted[i]=false; + oscBuf[i]=new DivDispatchOscBuffer; + } + setFlags(flags); + reset(); + return 2; +} + +void DivPlatformTED::quit() { + for (int i=0; i<2; i++) { + delete oscBuf[i]; + } +} + +DivPlatformTED::~DivPlatformTED() { +} diff --git a/src/engine/platform/ted.h b/src/engine/platform/ted.h new file mode 100644 index 00000000..25c41e3d --- /dev/null +++ b/src/engine/platform/ted.h @@ -0,0 +1,78 @@ +/** + * Furnace Tracker - multi-system chiptune tracker + * Copyright (C) 2021-2023 tildearrow and contributors + * + * This program is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 2 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License along + * with this program; if not, write to the Free Software Foundation, Inc., + * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA. + */ + +#ifndef _TED_H +#define _TED_H + +#include "../dispatch.h" +#include "../fixedQueue.h" +#include "sound/ted-sound.h" + +class DivPlatformTED: public DivDispatch { + struct Channel: public SharedChannel { + bool noise, square; + Channel(): + SharedChannel(8), + noise(false), + square(true) {} + }; + Channel chan[2]; + DivDispatchOscBuffer* oscBuf[2]; + bool isMuted[2]; + struct QueuedWrite { + unsigned char addr; + unsigned char val; + QueuedWrite(): addr(0), val(0) {} + QueuedWrite(unsigned char a, unsigned char v): addr(a), val(v) {} + }; + FixedQueue writes; + + struct plus4_sound_s ted; + unsigned char vol; + bool updateCtrl, keyPriority; + + unsigned char chanOrder[2]; + unsigned char regPool[8]; + friend void putDispatchChip(void*,int); + friend void putDispatchChan(void*,int,int); + public: + void acquire(short** buf, size_t len); + int dispatch(DivCommand c); + void* getChanState(int chan); + DivMacroInt* getChanMacroInt(int ch); + DivDispatchOscBuffer* getOscBuffer(int chan); + unsigned char* getRegisterPool(); + int getRegisterPoolSize(); + void reset(); + void forceIns(); + void tick(bool sysTick=true); + void muteChannel(int ch, bool mute); + int getOutputCount(); + bool keyOffAffectsArp(int ch); + void setFlags(const DivConfig& flags); + void notifyInsDeletion(void* ins); + void poke(unsigned int addr, unsigned short val); + void poke(std::vector& wlist); + const char** getRegisterSheet(); + int init(DivEngine* parent, int channels, int sugRate, const DivConfig& flags); + void quit(); + ~DivPlatformTED(); +}; + +#endif diff --git a/src/engine/song.h b/src/engine/song.h index 4be25c43..7fc8dc2a 100644 --- a/src/engine/song.h +++ b/src/engine/song.h @@ -128,7 +128,8 @@ enum DivSystem { DIV_SYSTEM_YM2608_CSM, DIV_SYSTEM_SM8521, DIV_SYSTEM_PV1000, - DIV_SYSTEM_K053260 + DIV_SYSTEM_K053260, + DIV_SYSTEM_TED }; enum DivEffectType: unsigned short { diff --git a/src/engine/sysDef.cpp b/src/engine/sysDef.cpp index a911e2df..e242f0a3 100644 --- a/src/engine/sysDef.cpp +++ b/src/engine/sysDef.cpp @@ -1863,6 +1863,16 @@ void DivEngine::registerSystems() { } ); + sysDefs[DIV_SYSTEM_TED]=new DivSysDef( + "MOS Technology TED", NULL, 0xcd, 0, 2, false, true, 0, false, 0, + "two square waves (one may be turned into noise). used in the Commodore Plus/4, 16 and 116.", + {"Channel 1", "Channel 2"}, + {"CH1", "CH2"}, + {DIV_CH_PULSE, DIV_CH_PULSE}, + {DIV_INS_TED, DIV_INS_TED}, + {} + ); + sysDefs[DIV_SYSTEM_DUMMY]=new DivSysDef( "Dummy System", NULL, 0xfd, 0, 8, false, true, 0, false, 0, "this is a system designed for testing purposes.", diff --git a/src/gui/dataList.cpp b/src/gui/dataList.cpp index 971030ee..28958449 100644 --- a/src/gui/dataList.cpp +++ b/src/gui/dataList.cpp @@ -282,6 +282,10 @@ void FurnaceGUI::insListItem(int i, int dir, int asset) { ImGui::PushStyleColor(ImGuiCol_Text,uiColors[GUI_COLOR_INSTR_K053260]); name=fmt::sprintf(ICON_FA_BAR_CHART "##_INS%d",i); break; + case DIV_INS_TED: + ImGui::PushStyleColor(ImGuiCol_Text,uiColors[GUI_COLOR_INSTR_TED]); + name=fmt::sprintf(ICON_FA_BAR_CHART "##_INS%d",i); + break; default: ImGui::PushStyleColor(ImGuiCol_Text,uiColors[GUI_COLOR_INSTR_UNKNOWN]); name=fmt::sprintf(ICON_FA_QUESTION "##_INS%d",i); diff --git a/src/gui/gui.h b/src/gui/gui.h index 0979ae06..9976e18d 100644 --- a/src/gui/gui.h +++ b/src/gui/gui.h @@ -240,6 +240,8 @@ enum FurnaceGUIColors { GUI_COLOR_INSTR_SM8521, GUI_COLOR_INSTR_PV1000, GUI_COLOR_INSTR_K053260, + GUI_COLOR_INSTR_SCSP, + GUI_COLOR_INSTR_TED, GUI_COLOR_INSTR_UNKNOWN, GUI_COLOR_CHANNEL_BG, diff --git a/src/gui/guiConst.cpp b/src/gui/guiConst.cpp index f7dcd40b..001b6a30 100644 --- a/src/gui/guiConst.cpp +++ b/src/gui/guiConst.cpp @@ -132,6 +132,8 @@ const char* insTypes[DIV_INS_MAX+1]={ "SM8521", "PV-1000", "K053260", + "SCSP", + "TED", NULL }; @@ -853,6 +855,8 @@ const FurnaceGUIColorDef guiColors[GUI_COLOR_MAX]={ D(GUI_COLOR_INSTR_SM8521,"",ImVec4(0.5f,0.55f,0.6f,1.0f)), D(GUI_COLOR_INSTR_PV1000,"",ImVec4(0.4f,0.6f,0.7f,1.0f)), D(GUI_COLOR_INSTR_K053260,"",ImVec4(1.0f,0.8f,0.1f,1.0f)), + D(GUI_COLOR_INSTR_SCSP,"",ImVec4(0.5f,0.5f,0.5f,1.0f)), + D(GUI_COLOR_INSTR_TED,"",ImVec4(0.7f,0.6f,1.0f,1.0f)), D(GUI_COLOR_INSTR_UNKNOWN,"",ImVec4(0.3f,0.3f,0.3f,1.0f)), D(GUI_COLOR_CHANNEL_BG,"",ImVec4(0.4f,0.6f,0.8f,1.0f)), @@ -1037,6 +1041,7 @@ const int availableSystems[]={ DIV_SYSTEM_SM8521, DIV_SYSTEM_PV1000, DIV_SYSTEM_K053260, + DIV_SYSTEM_TED, DIV_SYSTEM_PCM_DAC, DIV_SYSTEM_PONG, 0 // don't remove this last one! @@ -1087,6 +1092,7 @@ const int chipsSquare[]={ DIV_SYSTEM_MSM5232, DIV_SYSTEM_T6W28, DIV_SYSTEM_PV1000, + DIV_SYSTEM_TED, 0 // don't remove this last one! }; diff --git a/src/gui/insEdit.cpp b/src/gui/insEdit.cpp index 8d0bf0d0..e3997bf4 100644 --- a/src/gui/insEdit.cpp +++ b/src/gui/insEdit.cpp @@ -267,6 +267,10 @@ const char* msm5232ControlBits[7]={ "16'", "8'", "4'", "2'", "sustain", NULL }; +const char* tedControlBits[3]={ + "square", "noise", NULL +}; + const char* x1_010EnvBits[8]={ "enable", "oneshot", "split L/R", "HinvR", "VinvR", "HinvL", "VinvL", NULL }; @@ -5389,7 +5393,7 @@ void FurnaceGUI::drawInsEdit() { if (ins->type==DIV_INS_MSM6258) { volMax=0; } - if (ins->type==DIV_INS_MSM6295) { + if (ins->type==DIV_INS_MSM6295 || ins->type==DIV_INS_TED) { volMax=8; } if (ins->type==DIV_INS_ADPCMA) { @@ -5475,6 +5479,10 @@ void FurnaceGUI::drawInsEdit() { dutyLabel="On/Off"; dutyMax=1; } + if (ins->type==DIV_INS_TED) { + dutyLabel="Square/Noise"; + dutyMax=2; + } if (ins->type==DIV_INS_SWAN) { dutyLabel="Noise"; dutyMax=ins->amiga.useSample?0:8; @@ -5558,6 +5566,7 @@ void FurnaceGUI::drawInsEdit() { if (ins->type==DIV_INS_GA20) waveMax=0; if (ins->type==DIV_INS_K053260) waveMax=0; if (ins->type==DIV_INS_POKEMINI) waveMax=0; + if (ins->type==DIV_INS_TED) waveMax=0; if (ins->type==DIV_INS_SU || ins->type==DIV_INS_POKEY) waveMax=7; if (ins->type==DIV_INS_PET) { waveMax=8; @@ -5703,6 +5712,8 @@ void FurnaceGUI::drawInsEdit() { macroList.push_back(FurnaceGUIMacroDesc(dutyLabel,&ins->std.dutyMacro,0,dutyMax,160,uiColors[GUI_COLOR_MACRO_OTHER],false,NULL,NULL,true,mikeyFeedbackBits)); } else if (ins->type==DIV_INS_POKEY) { macroList.push_back(FurnaceGUIMacroDesc(dutyLabel,&ins->std.dutyMacro,0,dutyMax,160,uiColors[GUI_COLOR_MACRO_OTHER],false,NULL,NULL,true,pokeyCtlBits)); + } else if (ins->type==DIV_INS_TED) { + macroList.push_back(FurnaceGUIMacroDesc(dutyLabel,&ins->std.dutyMacro,0,dutyMax,80,uiColors[GUI_COLOR_MACRO_OTHER],false,NULL,NULL,true,tedControlBits)); } else if (ins->type==DIV_INS_MSM5232) { macroList.push_back(FurnaceGUIMacroDesc(dutyLabel,&ins->std.dutyMacro,0,dutyMax,160,uiColors[GUI_COLOR_MACRO_OTHER],false,NULL,NULL,true,msm5232ControlBits)); } else if (ins->type==DIV_INS_ES5506) { @@ -5770,7 +5781,8 @@ void FurnaceGUI::drawInsEdit() { (ins->type==DIV_INS_X1_010 && ins->amiga.useSample) || ins->type==DIV_INS_K007232 || ins->type==DIV_INS_GA20 || - ins->type==DIV_INS_K053260) { + ins->type==DIV_INS_K053260 || + ins->type==DIV_INS_TED) { macroList.push_back(FurnaceGUIMacroDesc("Phase Reset",&ins->std.phaseResetMacro,0,1,32,uiColors[GUI_COLOR_MACRO_OTHER],false,NULL,NULL,true)); } if (ex1Max>0) { diff --git a/src/gui/presets.cpp b/src/gui/presets.cpp index fcadc475..05f5af87 100644 --- a/src/gui/presets.cpp +++ b/src/gui/presets.cpp @@ -278,6 +278,12 @@ void FurnaceGUI::initSystemPresets() { ENTRY( "Commodore VIC-20", { CH(DIV_SYSTEM_VIC20, 1.0f, 0, "clockSel=1") + }, + "tickRate=50" + ); + ENTRY( + "Commodore Plus/4", { + CH(DIV_SYSTEM_TED, 1.0f, 0, "") } ); ENTRY( @@ -2433,6 +2439,11 @@ void FurnaceGUI::initSystemPresets() { CH(DIV_SYSTEM_PV1000, 1.0f, 0, "") } ); + ENTRY( + "MOS Technology TED", { + CH(DIV_SYSTEM_TED, 1.0f, 0, "clockSel=1") + } + ); CATEGORY_END; CATEGORY_BEGIN("Sample","chips/systems which use PCM or ADPCM samples for sound synthesis."); diff --git a/src/gui/sysConf.cpp b/src/gui/sysConf.cpp index 32e7c35c..a87f2fa3 100644 --- a/src/gui/sysConf.cpp +++ b/src/gui/sysConf.cpp @@ -1902,6 +1902,40 @@ bool FurnaceGUI::drawSysConf(int chan, DivSystem type, DivConfig& flags, bool mo } break; } + case DIV_SYSTEM_TED: { + int clockSel=flags.getInt("clockSel",0); + bool keyPriority=flags.getBool("keyPriority",true); + + ImGui::Text("Clock rate:"); + + if (ImGui::RadioButton("NTSC (1.79MHz)",clockSel==0)) { + clockSel=0; + altered=true; + } + if (ImGui::RadioButton("PAL (1.77MHz)",clockSel==1)) { + clockSel=1; + altered=true; + } + + ImGui::Text("Global parameter priority:"); + + if (ImGui::RadioButton("Left to right",!keyPriority)) { + keyPriority=false; + altered=true; + } + if (ImGui::RadioButton("Last used channel",keyPriority)) { + keyPriority=true; + altered=true; + } + + if (altered) { + e->lockSave([&]() { + flags.set("clockSel",clockSel); + flags.set("keyPriority",keyPriority); + }); + } + break; + } case DIV_SYSTEM_SWAN: case DIV_SYSTEM_BUBSYS_WSG: case DIV_SYSTEM_PET: From 90dd0361f07580d1aaa0b04b839deb06b2bfaaa3 Mon Sep 17 00:00:00 2001 From: freq-mod <32672779+freq-mod@users.noreply.github.com> Date: Sun, 23 Jul 2023 12:23:32 +0200 Subject: [PATCH 124/163] Create ted.md wip --- doc/7-systems/ted.md | 7 +++++++ 1 file changed, 7 insertions(+) create mode 100644 doc/7-systems/ted.md diff --git a/doc/7-systems/ted.md b/doc/7-systems/ted.md new file mode 100644 index 00000000..142b5760 --- /dev/null +++ b/doc/7-systems/ted.md @@ -0,0 +1,7 @@ +# MOS Technology TED + +also called 7360/8360, TED stands for Text Editing Device. it's both a video and audio chip of Commodore budget computers, like Plus/4 and 16. +It's audio portion is pretty barren - only 2 channels, one can output square wave, other can change between square and noise. Pitch range is limited as well, akin to that of SN76489, and volume control is global. + +# effects +TODO From 3936089c32a8abcb97cfd4fc918dc53c1a4b3994 Mon Sep 17 00:00:00 2001 From: tildearrow Date: Sun, 23 Jul 2023 05:26:18 -0500 Subject: [PATCH 125/163] update ted.md --- doc/7-systems/ted.md | 7 +++++-- 1 file changed, 5 insertions(+), 2 deletions(-) diff --git a/doc/7-systems/ted.md b/doc/7-systems/ted.md index 142b5760..e1349a55 100644 --- a/doc/7-systems/ted.md +++ b/doc/7-systems/ted.md @@ -1,7 +1,10 @@ # MOS Technology TED also called 7360/8360, TED stands for Text Editing Device. it's both a video and audio chip of Commodore budget computers, like Plus/4 and 16. -It's audio portion is pretty barren - only 2 channels, one can output square wave, other can change between square and noise. Pitch range is limited as well, akin to that of SN76489, and volume control is global. + +its audio portion is pretty barren - only 2 channels. one can output square wave and other may be either square or noise. +pitch range is limited as well, akin to that of SN76489, and volume control is global. # effects -TODO + +none so far. From 05a949618938831160885b27ca1fcf314a867620 Mon Sep 17 00:00:00 2001 From: tildearrow Date: Sun, 23 Jul 2023 05:26:25 -0500 Subject: [PATCH 126/163] update credits --- src/gui/about.cpp | 2 ++ src/main.cpp | 1 + 2 files changed, 3 insertions(+) diff --git a/src/gui/about.cpp b/src/gui/about.cpp index 5dadfcf6..c74f8195 100644 --- a/src/gui/about.cpp +++ b/src/gui/about.cpp @@ -182,6 +182,8 @@ const char* aboutLine[]={ "Stella by Stella Team", "QSound emulator by superctr and Valley Bell", "VICE VIC-20 sound core by Rami Rasanen and viznut", + "VICE TED sound core by Andreas Boose, Tibor Biczo", + "and Marco van den Heuvel", "VERA sound core by Frank van den Hoef", "mzpokeysnd POKEY emulator by Michael Borisov", "ASAP POKEY emulator by Piotr Fusik", diff --git a/src/main.cpp b/src/main.cpp index b5484ea3..bf2ac269 100644 --- a/src/main.cpp +++ b/src/main.cpp @@ -191,6 +191,7 @@ TAParamResult pVersion(String) { printf("- MAME SegaPCM core by Hiromitsu Shioya and Olivier Galibert (BSD 3-clause)\n"); printf("- QSound core by superctr (BSD 3-clause)\n"); printf("- VICE VIC-20 by Rami Rasanen and viznut (GPLv2)\n"); + printf("- VICE TED by Andreas Boose, Tibor Biczo and Marco van den Heuvel (GPLv2)\n"); printf("- VERA core by Frank van den Hoef (BSD 2-clause)\n"); printf("- SAASound by Dave Hooper and Simon Owen (BSD 3-clause)\n"); printf("- SameBoy by Lior Halphon (MIT)\n"); From 2321e7913c9fabb42f43f565ae4b74dcda3e2b45 Mon Sep 17 00:00:00 2001 From: tildearrow Date: Sun, 23 Jul 2023 05:29:30 -0500 Subject: [PATCH 127/163] 4-instrument/ted.md --- doc/4-instrument/ted.md | 11 +++++++++++ 1 file changed, 11 insertions(+) create mode 100644 doc/4-instrument/ted.md diff --git a/doc/4-instrument/ted.md b/doc/4-instrument/ted.md new file mode 100644 index 00000000..47a5f6d6 --- /dev/null +++ b/doc/4-instrument/ted.md @@ -0,0 +1,11 @@ +# TED instrument editor + +TED instrument editor consists of these macros: + +- **Volume**: volume sequence. **global!** +- **Arpeggio**: pitch sequence +- **Square/Noise**: select whether square, noise or nothing will be output + - noise only available on channel 2 + - if square and noise are enabled, square takes precedence. +- **Pitch**: "fine" pitch +- **Phase Reset**: trigger restart of waveform. **global!** From 6cb6cc2c41068ce765cc0bbd7b7741ad048cfdad Mon Sep 17 00:00:00 2001 From: Eknous-P Date: Sun, 23 Jul 2023 16:57:54 +0400 Subject: [PATCH 128/163] ted part number --- src/gui/sysPartNumber.cpp | 3 +++ 1 file changed, 3 insertions(+) diff --git a/src/gui/sysPartNumber.cpp b/src/gui/sysPartNumber.cpp index aa19d4fb..402622c1 100644 --- a/src/gui/sysPartNumber.cpp +++ b/src/gui/sysPartNumber.cpp @@ -263,6 +263,9 @@ const char* FurnaceGUI::getSystemPartNumber(DivSystem sys, DivConfig& flags) { case DIV_SYSTEM_K053260: return "K053260"; break; + case DIV_SYSTEM_TED: + return "TED"; + break; default: return FurnaceGUI::getSystemName(sys); break; From f419f1b378ea6f43aa3f6a8536cf4afa1dd8a123 Mon Sep 17 00:00:00 2001 From: Electric Keet Date: Sun, 23 Jul 2023 11:33:30 -0700 Subject: [PATCH 129/163] FM ADSR chart and tutorial link. Also several minor text and formatting tweaks. --- doc/4-instrument/FM-ADSRchart.png | Bin 0 -> 188773 bytes doc/4-instrument/fm.md | 42 +++++++++++++++++++----------- 2 files changed, 27 insertions(+), 15 deletions(-) create mode 100644 doc/4-instrument/FM-ADSRchart.png diff --git a/doc/4-instrument/FM-ADSRchart.png b/doc/4-instrument/FM-ADSRchart.png new file mode 100644 index 0000000000000000000000000000000000000000..407239c8ddb94aa9783b97d01027c7b6624d3057 GIT binary patch literal 188773 zcmZs@byO5w)IDrrfg(8|UD6>^N-2VXN{S#YB0Yd39Rmu|4GI#1q=a-M4ALsy4FXEa zAUQO@dk3HQeZRH7CI85C=f*jE@3YSt*At+sEPv_Z)r%)ioVcW@Agg}j#091kC(fYG zp8~(py(q7J;?xw6qU;?FXM;cEck*Vwk(}vjtM;9Gx8m6>SZz*7)jeGAbXK39cB5TM+85M|~b*hxrGJ zaxrRS^1aiEJ3shS>DIq`FXtf!Tf>6f^!SIOlTEq=H^RW@);q3@3d$OmVBTAGlA$@X z=5LwG2f7uf4i;3jYw=r8yMM zw9C9}iMG}Zl{LK2C4=%jS-aq@ptgce{c{Q({z5`J1KMH%Y;(&Nm&X zaAFH9TCDP(j2Y{i>iSw!9|tVShZ|dp*~35mbTNy>yBYbk)i`cS9E{QXpRF0&MVw_O z{upy`!4hAg|@SZL+3EC;*1h2KG7UAZ=T5Dc`=M}S%H`A7Z4bhR zKQr#Ct9M(&r>TvlR+t5^91#V%AzJ}Pt~@QXSh%5h-9&8HLF7Ni?Cdy<+Y z1Kkobw+WuHTi#NWGbG3HnuBw*w9=V*z`7y`+-dqj!o-p_lU}o=4LdF_r6Jx3EDyHGr)QldlklAjs1ODE{3M{4+@c_b!;q z!-vke-f4Xb;HRw%LIJph0F>GP(|HA*b?^wBLGCsC8V_c0ts+GTJc#(no2zEk(P9=J0BCuT@7VbuGKy|Q?#d-30AE(qG5P?`9e;tt03HI}WGC}sa=`tH zQ#RZ8Cbg3XG60TM$BY3&<&J|uj`PQ?CuDM9S8v}E;ZCttJ~Kn8Dtf#u734d=g1D&$ zMvELLrdB&E3qE$Pr%?OO(iemA_aF$yj&ERMnhv@IIj~M2!6(Ala|?Lyf)9F1=JKcT zmx9Z~NK%4~Q{XBLMtTH6B=BoCHnqiONAQ3L&{O*f6VoKA<4av;0)qV?qeCl2Qux^@ zk}ITBK?ndbc(C6Swu^BEybUmt>|81No%P*7AJo-Jpo&2>)B_~6lJlMpbdvxu?hoMF zjk7W?_Hxq9qAd?eIs-|R{V1kn?w8rot2#5^idx{E^0n!GD(V9I? z4>2lXQTj(#ZlkHJEnqhrXbFlTbhQ0N1G@gtxR0911sRT-3dPv01wN!4BvN9Uv)>aB&El!DZp20sBRr3U@ zVHr`AWXcLFcrHJ^LW^EJ(B?1H4pYw7mi?7 zoy~kku7dz-ozFNH4ahQ>4ZQ3mWDcs0vB3C=XE3KR7pDq{s{>{NIzft$77ebYQIwfL zAeCZ7k(z(wxHq#k-e^2`1S&O12q``sP1gbti_h4LlwBV8;%S2=HxPmRN_GARatc7% zA!O4U^khgL6AB zvQa2S1pY-E!3@iw@*(A#Bt5>JV9y3@$f;;CC0O~aibw;GIF=V~z&vBXNcwK4P%;WA zE=bL9e>UJobPAv-B}24MdjQ#h@Wuy&or_*Ueko{v>4r#_0$2T?^AG^!I5Itcb7}xM z{wv11v$9QQQ~&W2*0$xl5J^xq1NU@w&H^nXY%Cd#o{|+F>_{pBH3VcnYyK{m3{wRH zWH4nR0O?}}k$kCiwLPj#R?DCs6pN&%_<=Y=3rP{KMCfW!iE zl24;Hp6-OT^v_LX>x-0W*(R&J=LUNaJ1{jsdj6ab+S}as7s^Ax;+$H6BWxUsE}a(E zBF7^;tL<%|)PKYy1eC$!VhZ73$Qutd@m$aK!FwB=37O7} zbavLj0!gJYA1fJ5PdT^}NHNsRKgVmJqObv^!2fFa4W=g#Q9?8n?E(sDQ79-6JhaeI z{Mcj$l8HYmFXl8P`A~H398?tWy4Uv2@3)KNnReCl5LxGa7%6eEAlsrChN8h;=Yy<= zi9m4(4~07avIfQoU>5|y4W<|h^R(=TXS%+f^*{zU()IY&fr8`TzL|0iN#HU1fPDa; zg9{|yu>kG?@DJhx1Ak9~Mx`hh@;01a7=ndjuKW_*>IkBsdp5W?vHNN1+S{uBBt*kJFFj3rC+}v?BNGxHt zo9;CDRz{TM10-&qdpCMX?jOMS^0miO;nksiU|;+qrncH~5ejpPZKVO039lSF0FVH0 zO_K2b&>J|=06>`$fQ01F>w=07Nq4c1B^e|InoV=+S^U+M`!=Z?vF zv2O66#6X8fj)MvQ%!Us-EG{JIrO#%!0bl%K0S?-$pv^--^-TvJst&(!pNIyC;2@Xm zBxxYTIk0AwxJP)ADIH@MBh@m(pd&{&(MakoTF!w zY0N%P4Wz~YNe9e1Igm&r;65p9N1~@*+e5qCUH(jx2iqPxy*rFAN2nUeVNlOT1(B`D zR$vrBSO-MuiD1yaPGcybvpjYP6Hu+7DQO5;EdKi&)Jyz+r?N81Ag=&NA+dC!@bSk3 zCc{;m|GNq=X#4&f5m0E&slhw^h&pbnp;DgZg|uIUxqN+0QB!$POYu!ZMN5?CTtX&5 z#jzly*fu%BY#$5g%DZoP9Twr%dYJ-ffp-TR6lm^n;I=>%idmW@4Fbmep&^0Swo93S z@-GKOmU~xopBO?K@DKPKc_#nplcR#OTAaII<%|AX2n;9$VpD@a^E$qEpV8<4R}f(} zLA8PkL9MJ|x4fZU;RKvek|K=Q;p%Y-01b#B`yo-#-Yl{eycL9lYj;*QHw-YviKM$6 zMC~NdmmhV1&gWOAT(PhD2p`*e6NoanBKSjb?7mQ8pI!=xzpVKQ>oDv#a&AJ-G3`Dp z_GXpvh?f2ZBZUk3X~TYW|VI8+YJ^g0HWdNpfNzp=@=_ zI7bCxbWthHyIepT;z|CCop~fgBHU7KY_G`Tscso?JF_EzbpRG0#_^CnE?^#Jfo|2P zFeviDz@?U%@c)KJ<@AC-WvNzC8_%OnfSHK$&{VmuEmJHy?hVbR* z{4?N2VIw!3It;}X?lL=4S!Xr{1K^Jt55Q1dI#zQ~ilL;97S-To80Iv|$FH*tClvIf-kes zNtBr!#|$k9F=Q@T%yPVp-sdCid&*2&@z0$P!YlG7h%0EZU|2b^lZ~5R5a!6%Z~Kd# z03c>l@I8=h1Od$FLi*@>yg5ta`+7w+-;s-SI(gFzp;?x&=R0;L;u+Cu-$X;GVLqVfC!e zDvg4iseC>k04~gXi>=yI*em1F3mmo<%2VRG=ry3Enm{5u1ls?chvj{n_ad@26l5Mq zGBB?x`O_ZN>_BWms-PP^dbL6bTA z3InKr|6p5Y0+NB~9u((Zy#^NB5~z2df}I4a26VhYIsRwizn=5`zY|5FD(eBs`eM+t z3H0$8v~W=gCN}`%Y?gis4l2&TM;-$k>eMo{@SweewaK;JA$aH~Fr;|xhM3joCy9t% zmA{Ah^JFZ_Ws8BDfm**{CgA?;RMM~SwbPnIyt_rZ8zvbQhUWpW^f%+InmE-*3g zZ&W>P877GxKm3pad`5y3$@+0=C4IsAozqwWaNg_F7t-b5?2?T;gc?USexb?VO9p$~vFK%dLe6h&L-^qd$Td z-26sGq>=La-tz=02!8`cl*(>dM{k7f%9#nlztOMDiPG||hrSk{>Je?Pk*ls>O%MEv zl6KlUj4{}rnsq)PtkuDN?X}enu^ut_gm%AU=l)yRNpWn2^h(X`4Q3`W12WuC-Ww{Z zC z#)`d(tvM%7jsH3N&Tb@zPStq*qP!u+>*dV3R~AOGI@@nQy?rU3qLVv; z^Zs=9@`@pDy`0Fb6=48{n{gCY)f1CMhhskuE(Pl~hlD<_iC2*-3W(xve) zjJgPm=hR%<+^QuGW=&VM!0zbbIFQ$9Fe4Z3HwD~qEmJ94g&5CU~ z!^Gv>dK>N*j!DbEO7r+kS!&(8=cnb$R26bGb8?AE6e3yMi-cPJR#=rs(q@K2zdD`? zaJx|VRp3G$tt|hC&_+q;)~~u}Cj`SWN-%(48%lOFi2N%^`S#_m?5U(#qx5|K1oPa` z7F48(&n`j#ebT`oYc=u*=ZokH>F$7Vd4-$2iq>qvZvr5(;iDtAK-g1WBP^0HAes$# zDz(hj;}dQPxfWijIW4AK%%dDxb;du1HQF3lVM7Grba~aDiMsSdp6uWsINC1C zV;veMIW;oYaluK~eZS7mY=yx?P7FE0L@o&Y%7GTzsv_2iJXz{=MRd-q0ao-NiZG05MMDT(MwB$wl}V=~ zvb{gJ!mJeuqcC(RjhN@q6ynd$%F*+Di=d$Vl_bg*?8T_Gj`Q~* zeoWtBxv<85J&V2PG<^y}VeG;AvdcPzzkXKuLA2dN`~&R(0k01Gt+TxGh8O0_ZN_zSHO}U(EHS7XFRc)py}T=CfB5;ea^#Bi zzSlZ`^@5>+yM@(?m9Cpx11^aFP%vSqH+^vSD$mWspPY{ZD@m^0Qo$HJb#qzYJKFYQ zINHwIHKe$RM9P1;^jHXME&sDZRK@QBhr;=nV|SqdEX(>|gp3H^WCJnm8T3FJFb##K zL~w0e@80!Yb$ofSAsU^52CS#w|IS~}s2nL4n#z$aOrxa9ouhelARl(Xuw=O1|88)s z)TkjxG4hx1?;gUi3m@3y3CbD{op)3`x9k&y6nbYr42KE?9%0LYUd;e1<$^OS&e>9z zlnAQGyHYC{X8M%V{f|)mx_7dGPEIW$Lp%9NU{p!1=hD}m7e!Cv$XhnooG)9BL#WnsJrYnxUWnidpC0Yy;Mnl@8tR6ulv z-#h^=&UGxvKn$<>ZcnIBGi5hQLK2lR0Fs7qc{QRn*aK!*1E&w~AAQ}q*U$SPQb4SWvchBV!e(k8dH%KMt_`CKJ@Faw1Y3VBhkWa3Omd0z!xecsMyUaDi9Q${y9bhtM*Sv?&&bM~^d z>z@@phvo95-KN?%_4>tMDY3nLqY;fY?G{WZ;tO>mjIOVjKRjhQ4W2w92zf1_(*3TL zt8Yl?7eNa;SBG1L^U}bOqk(kL(ys;;qDRep@w(C!XZdTZcZTPVHfw3O8S1w^4fg`> z9?eg?W4fwy-!Nt}2QRr?3~+lfrc2J(`7xcMq~w$>fLl-bJ0mkLo}90ZdYZ}2*IZvW zqIm@}=~DDc)_KS3cOuR=sy^NGX3^Z(#7VxGG@1HaZn}(HxDobb=l4oAI_qi~OE$;! zuiud9VxT$&)z=lD;>~50C0O8I(sgU?K}0`(wGm=B9yCvYln>d}S&nE)q~R!B&N$(| z^IMBp+#U{Y*yc!dJ zV?scX{Hw=cRCRNinJu?s>tbTq9#f%ry+t(5s*hT2@07wlTi-W9(18l9Y~XJo%YW0~ z;1+p+o5I*#|BFmq3WnN-;UJ6sLnS{>j;axMqotjTZtgC-taL2XOS^3+^|10P#YwpI z(C^5sHa^O;NnE-4bi{%|TH{#amS!(@KadBd@k=6Szf692ED=|gOF_gd+!fV= z{tFy%ET3g0|J_)Y|Ec&@_x;Se$^E2rab_J7o2MJ}Jh7jM4PWlpO=7IIpTtCulI*xM zy&WiWXKEevn|jgIR8F)^RY`NhXIWu`G{6AKd}&+{xRPo5YLi@Z3j!#9u>MA3Eb-FU zoX8Q*`dvGz(&Mf%=ji9y8+^6fLj&(Dr`pmH^sqE7&Afyp|FP^Z)E+4mvc#&L+OhQ` zr-nVzy`P4T*I{*L@mD8Mo_l07jq6DcpiIlQ?blRaAA8+uO0+5`%qT;*RO#dS&^ zsoTBi-f0;t+9easy~XBENq^Jr`PJ*8QTDwVgB|-D4(&Il&NbXG?IL@L{c{kXByib` zl70uH=WWMdu{lU%Flh<4N>jUf(3#+%K}9QORr@+>$zx;VJU6vsWSVx_Zbs?U zUn|3%=f;zzH8LvS{(digvBrM1Haj{%@gQA{?+pXpHL2jGrZ1mWIW)Kq*gw47T%mRU z*(b^Fw;rSK+{QqUZmLio^NvDDaEDj0qigo4jSBlsYu+D-2l`ni>?c{)}TWvUz$ zf$2husT}m%JIN)1-9m0P#?N!hDM8neTcfRWw4TBdYhK0z<%AYjRq3@sU^~1GS_sW% zP%weH5kE zVN-KTm1Vm6kq!Cs%hfY_$cx=U^FA{MqFUjYpS-7SsoNeM(|ME_`0{^#wz$_=DlkR$ zB%xfPPU<&J=~&aLy-OFU^1i?9PP0tE%8rW57?rdpSBU()>TMC7zk%}+)J<*FbgQ8= zNo|hCU=DdM$JiF1&C{?vyrhls2>XEC(Yz>nLAb2KquBnh$qUf-Fhj1V@k2*{O?LTb zwB}D<7POHY`?1X4<8vCx2br9#Qsq&$+PU(CSfZor{*%<9XrI=r1Qokep&C!u-D?6h z+l%g1=8g1GsL_i4K%&+Yc{ht$0|TeDL_IB@wm5b4h$$|{2xmK6kZW_wR+)Y`Cs88T{ct#(frY!JC$Ttb?YzDUv|@; zyM{Hg(|Iu#|LF?~RKvf}uUDH?o51Q0cHoBs)g9D`W<^cP?CI0f;`~!WhY~1hap6~3 z(V$xM<~}tca&C2m$AQIvKQ~rl?`$xmlAtG6CZxG+>1zXCGfkUAm9?kV2z6pTtgzukCZ0^Ezv)(`z8|xIGOS?2%4?Ls)3O*h!`Kni!JO=#ko{C zdBuKxy*t+#=hk&-l(2M0#Ac)jE3e`?hi$>`VpEOUP_=|0kx2O~HLo^&8f*S_#o$IO zHZtIikBxi75lHz5q0-wW>4Y*CxnxUTQ+C*4zx?Xiyd}*^@j6-%MOl9MaROWFkzbH^ zFg%f%e_2zyYfwO^?>cpzFwEVMO5&(KAG5pp#j^s72$L+78obaJchh)c%n6NL-A8}I zn}arqr@Puo2fmF5{vW&e;YKPhg!kGV)YX1B3uX<)3RtY?zphn1S&8}m}pYfq{jmL3mI>?~c1 z&%-=ZbWQ+;o=GU=kLADgQU>7D>ldv_i~B&I68FjW09cBDSy{GhshRg#&TJ65LFiWC zQW0>>VKU)x3RoZ7YW_EWmaPb?{iZY^D((II8uR3VqsEyqyO z&k-UH6`f1K?Qc69Q^t=#r2VY0y#ZCjekU)xR3=TTP48&!C#^IP5ff zv2vjDT$_n}XiZxxaE2rB7T;R%3~Q2HyWhnyva?g&mi;>9b3>tcivInK$8NOdET^ru z6Es%fmTiy1F@?U>Gbdk+Y3204tRz1R@P-*?E+QjDDy7_}^^^aGxHyHXkNs=jZz(sO z2%bbhiZlNNSRjdS3Y=RZ;q-kW(E5j}WfaB+Pxb$p=#BZa8XA^n5q-IrxhPe$*vITb z(qGl7ZW)q7%K45)$;adiVCMC@QBq-21k`IVg^&fr038Ot1$Yc*bajpzxdhpp^%{{P zgh>6rTv5BdX;aBp3Cb*S*Ra;gqi?uK;W#dB23LOfltNo-2vmw8C>UXC91=CQ!nOB~U1!k5ZyK0dD_i2MKP=V{;YBy5pW4os(`s znOGKo(a%SQGk8Yq!Xz0#9N3MLh+&WQ6(hp6PF`&S1^WS@2tj8c1Q!!(a;%1@wdD@e z8zZql86!rt@*QT%2EWtP8CJmgQzwMuRy>yG0j$-2}mUrll6;CCLr)S&adnFP?ojN2Z_rB=b*5sBuS=opRsEE5}$9>9u^loFBvZco5 zK9ngJQ0{=ZjvnY$u308hEDbd;&z8`E(1J0pee#DoiRFv3{4(0X@vg$}3dJlZgnAnP#@)rsDM#BhD+BX(V<{~M zjcRgsbM!(^9e0chunZn0HsfKphC8}wi9*fV-qWA$l&;0Jnl55)nD!-Ep`G)t>2K?) z^-1!I#U0V-86L^xwU-=KT9&Skv8@%ef2gR~+&2!BE}XyHVm(&TePmOTPT;rGuiZ0v zM$M*f6 z_IFln1M_6AW5y+j_}K|$A?HTLxz774oo%MCbKhx8-e{OWPKgiJ%%h0i)c!{MoV?}6 za@xxHbMR{q1x9oWB@E%8sr2TI?oXTb4Vfu=PPZw3Pe{O#s4Rc!@3{{*HnwBU5{H`v zX*%!s_4H(ur6ShtMfvdM4M@yEI4c%3Q$0?4b;T)Q7k2Phfc_BBV<6>&DCs3_ClX#a zT%r-F#?`Foms&oyIsf}*Woer!*+N9ybjQgwepn~*1ANN(`(uNSSHJ$FmllU>?5j|> z&^;Zqb`4qHM=yoQaG;dOeBzPzjF&^UM)SJu{0<31@N1VY?sjt&qsF|UW+9)Sm=J`1 zm+m5z3P|)6M0e|%mt%HggIA9hsIK%obUn#t_BV+BfU@LByhNL)|HZLAj)u@jCX)3* zG>>+*Gs-HKm&cW?=KUu?#deArBnz0l91n%9PeWrj^zC*?y9jcC(CHIbWW~ypD=j%4936^2R}ULHijSuxjhHpeiaQI9#URIP3RuvY?1kt+TAZwHbVtQ zO@WU{=Qw=GO5cfcYgKNK+EK+=nM;5c5TYvDH*h3HWfyjH##Nk_Lj?4 zKHPFJkL4w@soWIlZsj=ERWLa_{>p~{q{<0Q+Z+EKAn;sd_LMO&Q_JSV)SseTf4^=h zwHnMbD8AOZwy6>)hoS{j(8j3(RSqAOIFrev!!hOeh!8ca?i+`lL2}i(;HGLpCXf z!DD+$)pTzHho!HIv+hnwt^XN(Lt6N{1UD^?)C=}j+VNA+=^aO0mkD1WpYRivv2;JM zAxc3M(D6#!#`8sRYk4e0$TRR#vZrfPwkY29CBC?NFP4|9FDcpMFhZU_QQGrx+$pT2 ztr>n3@~`YVw^rfl2{*SyHY_D}pnVDqDk$*I*j2maZ>G!oED^2mL>RZ`=ge~dHbf+A z&@_i7$ux@AkI-N;K3CLrd&)I-xoRoTt^g^Hh9Lo%1Mj(N;{ZgDzY>I<6mJeAI$|WjkxC#{&Dbh5zhd#|{#e+X zo5eWka-DQ_o@z8j9_-RlDts9Py?Z{Yi=CU8h*abVGm+2izlogBJn zt%}1rEv)AEMNyTN&o?!5AN$eYQ!C*P*qe{0iSCdzKN6@~i>uw6?srlG-;m|jb$PEM zatrB_FLe`Uro43dv9{hhocG{YhL!;*0KNsK+-hFS6e^Ag+a5g{r(5(~kqWdS^%Ka` zCL#fD??1sWK4L?NZjI@hCWdbO8$gDF3Neks++22U4K7)_oV)M2)j=Q;xHdMkH*RVw z`u%MG7Ohg3U3Mv{f9WR~|3LNZu17hK84avnpOG|B^Ge};;-5^hB+Rk#lBd7>&|YYA;;zPtK|~(^HSE;xsR~TjP=Q!3yP(5mbwkqMi@z^1 z6ny-1dBptFQqPb~va0N8Mpy%}_(f^H&i-^?W88~;1!=*UZ?oO@SQ5uG1!BC{uu*p} zcK*2KhOB#Eridg<)3{Hqp4nk8XPWG_N2!!%Ly_jj5{_7?Ihedzx(`kD6 z1)HE7n~;Xan6f!f`6cChvDvQb;pW z)%(3A_#OD6Y z&>hU5eC6*3uJtkP@$?;avD--M+lt)a?_W1Vi1iF#F>wir1RKzf+FXl^L$-wOD%$s1 z35$|kQsX;eM@OK`b}i@o1!K?030-AAKcBN^UBfP1uFY zk1}C`G1DIo+h6`;W3>6&y1Kf0dOQ?H=b5NyF2u^t=y8~c*<8E+9hsh`%FW>avD0Jr1RzKYaT5FvPhJ_&wTa?u<~_tz~_i>-eNqtH^gz`rPZfv;MG z*s1qj{u2#)nhz---k2jNB!`$lim{^X`j`T;n}qKBuV0*f)lGPt)mGrWrjWzSQLYFd zHypNjpHrQyxl-!AKy15!Lr&p!9n)Tk!=Z*g##jI^cmwbQ!FBR&$tnB|P7IbuflLcp z7$isa<#*|>HkG6aF?;&ljkjJ>lnhZnt3>y*PFur4hcE3)!1*Eegr{8$$>j_0dY<(B zB3z20S|IC=Wl_br)uiX<is3{EOQOnn+eLbmQTuWpOu( ztF=ucLjT&e;-j@UqBPUqylI}Nv$2ZIgl#ZNx} zfL`?H-Xs3wd3Jf8#nyJe#W&>0dG)u`f|jg9hKRNuktBe#__#54_+i^&m*R-$}} z=%L1qFQ1E|GNKsXfPZVO6GmSu#>?!2{=P(EVzNoCE0AGLI(jCZh_0ai=2GM52rXl*_0m9Y5GC(oe~uRW|Aymz#kv0zj=5J$ggj2os)3x2z(}ee;?kB95CU@MX(4EWvBrhc*+Ga{7*n25ku4pHRTvhWm zr*TwtJUQNc&>1liJygIFecsGJtx?{?rM+tf7evuxPGdLo@utZ;l@52wwZ2*2q%KZ| z?ny1drOoa8RA_I?PSY4nzhZA8A9TO{9?>2dN!>3j98D3fj+$_2^%v~$ z(Qp-|J-0rf`)+b?BK&cV=DqL8%F}kuD)0S)^H7EqrBFEZAv*D_^@MNci9CMrzCi?| zDZvXK<@hU49*Rq;JgoItOv{mYo$K1WCA?JDrKwdr+1q2F>z=Qyx&FdRrkSOTm^zSr zXyEf?$|V>1Z;f6>;`28OjpQ-1=aHR9s{;z}td@6cdo+tJ{067;Mt!B$TCG^pw?{_M zZxcSn$6Q{ZX}R5;#cuvO7jyVE$JYJ!pkw`p+G!*C6R8MiIYn{T?=&|hL`7Sy1_lNw z5eV+Aw}Nl3=<^~f1>*%TwF(_PFU+p8{1(n+Pi&19u-zsLJGgb>!EbwOFzN8XKk%!} zSpaGv-K_C=Q1jnzyC@{WqfEo@9U|CoZ;T~;hpu(QeGOV+aCITErk<==h!*!ON4FrV zB7fZ|zQ{bNPv{e;@`E=1Fh5njb}Q;fY5eA@MK2;P!5#hA(Bpo~0?%f0Vxcd+>vN;# zSW)6H`**D8`))KVCuq#R`<$@5oLL$}mL(Cxt&xYH}k1~Enf0EiYmp5*x z%gFG}X!iAO_AY)fNhL5pksW8v`(fpUxK&8|WnuLs?{inLUUrTPxAvP~^FD{`y=Rsc zh7%aX9F$k>o_289Q*4OeZJm>zEFHbEv6IK2b}F=7h8rnm&FTMn{5(agWWP*=AQe~+q*7{B~7q)`o?7CM}+l-mMf`xJ^ckk3R1;h&DeobxuGuRp(jAK5l2A*G~oAr>hY+?*}9ur zzTCyJC)3-qvn?ZsCp?c1p2S`v!7)~XijNm%pv&a8FCq)#xbd8?--IPXUNOg}S=Zb8 z`#!Mi+zrn{G#N} z#&r1ra!pgS_g+9%722dTcc4Yypubi>EWSik{by#O_1@eDTbo=`prG|=cZPLKs0do) z_7KU}d4f~~Gbf8nanWutFIBHdfVGz{YY(})iz0ITT5*I$Zwb*Ov*O3SBgjI*QLi04 zMe@dZf~Om&NdN4f0>udYXxM@00*}-9`2@5%r1KPXbNOAU1O~mxT$=RXIaG5Q3&d$@ z>1pYodZObJBd-#jQNPr?`*Cq5@CPM@*$}RH;ePXb@}83Y!?zl(N%fUoWr4(?}(CF@b*kM5^aHRT+gp|Nuvz<$PiikCOUM(Qi|r{a+lxgTaL!W7PPzSY_K@ns@4bp{nV6wNou zkxr_P>d16)47PBURLfW(kc};~rZJM2CwSFlQ7D!h6&z8m3`KJ}lKO_Fh_xV5t7=9} z<3NZ&hmX4NZ{jDf$$Lw-{*05ww?~T$u-`Xrz(+`x>zv}$v6}odG|x0o=ox;UqHtiZ zJl*kkKufo3TEDk|huf!*zoFo*;5(zpU-y(+FDjTg$Er8*eIGbQis*T<*L6>cUnc2t z4;yPi_vOu1<;s}z`k|I-X+(*s5Dwr6i)qV%TgOHpKX$l(b6qfVfb|Qec`pWysIB+e)DUn zdWxdMyH}I1XDF@(3o6NvTp#CU^(^B2I$d%isCPDmHX=TLM%dz@*rKU-j4L7|T%Enp zK|0uuGtJ^j28F=eO0&+1C$YJ zFjMgI$vV%BeOkTzK(6Ylr13}uwk<;>P5S(3S9;_^g9YiOD&73sMVz-AC99d01YP9*KWZ9w ziX|;Di*)#40r~K1unz;dv5u*3#fzz*b>6AZ%c^s1GxPIX8YSmJwE=R>SVjbYZkz>H zDvuv}UtukC4of6pZyP9-k3^tCf0#9C~m>Q{y1ofcUZ?$lb3%81z) zjSB{Umei8XQQB>^s8NxUp8Grz%MN6cdWQ?yf{l5%FmC7^M7XqDz|vAEdC74N?NYLH znRwLEf8f)n=v?O*ArXDPwZx>_+buQax39)ed@>n*qoJ@xSzuf*cb4SUWL1|(<7 ze>ywuA+>ozBV3%3VJPf7*3Gp$EvKYpK)cFgIx#V9+0sP*TE_ybgg)QPogB}Mmk~8Rk2p+1WJ^W0 zthXM9FJ|Cc6Z6CGqnN0yuA`sdwX7=mBJBBw?qsk5Xk5e;S$)j#p6(h~fRcg*)iw0m zFIC6Q=?tk}OOk@CXyfKzy}dM&t{O-Yn(s(|Q22nL0odXdj;W036iuWOF#X}dgw!X1 z-jSxpVBZZPRkr6I(vJ8&O*o_qQ$yXFwcl8dDgIC&`yZ9vd#|?GSh?yB3Dt*IAwUZSnxvW;){sXU zLMAVt7pJAARaG^YoU-W=QK9!tK=Gj?sVUd5r(_i}NhhV%i3rk|%s9MjYbK>f5ewjd zuITy(xDBkcU?Zq!7|)V_&j5yA@Dw!k1f)JvU%K`8x$Xw7A;t#8&{(KAgW~{5PEqTx#Q}es) znbm#YdKoQaj^_{9yz9t#Jnvg^3M9W(J9PIM7~()#*LNm<^0x2pVj4C`R>;zc&RTyM zW&7ex#v^r54thx(`=FXMaNp;P0o~l+|LNu=l&CX|bYz;f1C}}R+A|(@jDJQ=iC5Hq zWK6m~nW;9;RBW{21)8obT2QOQGd=G~hWh!tJ#Q9!Uk>Q_YAN3RBRwh5Bi4&(M+RkQ zYLW48ST`N_u%wQJyJ)?6t`^iSu7{Vuq#2qv2UMXpRp?!67mb%g1sT=_>xAfDgjI?C zQJ8GoqD$IyH+yvB{j9B}<*(1^>qWxL!56%O6l#ONY%+6N*p6=Le@fbNAiY}LA?46I zDOGH3HpIqzm4a+g5VL2)^2{<9ZfFDcXOLuZ%a+jG`R`xJfSh-bVr&!-@+8#T;_bWOl zyPUo*=-VxE@2gcD(@95bPR*4+y`-pTB3zKch0lX3^7ZznHV27Pnt$Focq?cxsI4~~ zjbciBo7mU1aWXlYXEO8A@I7EcoOemNu4a+#Me}%?fsQXD?M#*#P4ALaHFlBkmZc77 ze+?Jp7c;g{%=#t4dCE`*`Im18KSQzMFMn98?rVO@M8Pz+z0lfHL`39mbaan$Nt=kR z&D5K{S$^lN^;Cqfzgu6$x#I>5^k8(N4+Id$clXHjU-WwsiH!rI^yyGh)h`+#kk&boHxd znO4>EqpK`$<{GpaXtygmTK-(Dyf-JkG;Qwui2ai1eint>7A-l+V}`6+#<%;~dcWA_ zB(}R+PoGQZUQ>v%eXCv>Q=rgmHGrlv(K+hc&ZxXHV&4@!D{eETqFg2kkutQfh-EK!`EMEk{uR|1Fn>_Ld7MmtUOQ%7mIKjAs*7n2 zn*{#aCtskG3#TZqU7f8@I$Y+iO>*Hb4f2e@HUCg@+Y%`m-JAHrxAeh*&n!egiHva+jEXnqR%za&} z#kx>4_uLC_PiM`lqH3 z#0bUTKZ)hNchCE)?| zQm^;{ccu9{Tg?p>XH(5P=c2a0h#0;ndfDM~rKxw$&_nykV1cvp=Hz}uo0l7Vd(NaC zWA?g!`K6k@tz_5b5-?!-out;A%aEmTV{hwj>cY3TNyO~wN{GO*FXc>Z!rur~-Y(4C z_;a2S^ctv<>WBtAJG*LAYiny1s!-im@F7!VO{fjBTR~+vB_%?)+(y5|TrJPF$%LtI5|+g=A1$N$DyHJ@AoPb~ zZYcBp$RL)E-EEbd;IgsJ9d_^b(e8UM@_Vt><1YgtWleEI|GI+Z54sno6Ms)SZ0@Zj z=^e&Xi}v2p@k4eIXg_CLY5uf%uy`vfu_-3;ORJKX@nC3Te|L8)nk+Ak*CQ!XJ74a~IFoX)Rlu*}izeIDSX2{@izmIlx<}j9;-TZV|G>_pIY`)Bo z%rv2eXf9pMbd9`z$nt)I?c$?W0~al7U;n(P&EHDIWO|v`auyf2mOfy#V+nt>T<_rQ z&UN0Mrl)i~a|K0QAZGo)W4FDnmGXT~SH)Ii1<{@e>W%N?o|i7~y4yb1yi6_l{P&M{ zYJ$4huL-MU*Bm?lv-HQdtQd#|GRv_OVXUC0;p9dK6|Y9pf?ke_zKW)*fy2 zVw77Hmr{7kJXi0o)4Q7|8a+|x>Tu~Bu3YC*q)2TBo-HGH+1OO)dDTK$EDe{Z(ldHt zJG+iF-XD$DPSVBZt5v$q2D{64hmzB7v{jm(j~};-^emdA1XWT4U$KqVY*|WhnRKaJ z_Oa$Z_!RVeQp~^X$!Rk7cZVKZNj%(N zUxj9(uSgNAZ(a+nWtNl-rzcVs)Kj@6ehRpsztHayp7k~;PDx4m{5c_zhTFoq$vKR7 z>qh~MyUqPJ) zXa$YWm&!B>!Qr<;<~i9DjuQm9hw+%(a}siDm0JxD_~X}p=FeVWdM7yVofo`(W39@s z+-9_YD_OkEx_4&4Xq$ERLxh9f!r0@&YaExb@+|ZQMStiPlRR4=1;tqZRqiISt)yyp zkI@7F2cad0dC+9E6k4SE=Get<+%ci={DE;;;HDW}u0=L|xrtEXiLDgs8$+h2Ys z_T+Hia^+{wZ<>adH)+MoY}fsj<4re^{0H4{iL<3bHx%ZRH`5AOPUN3|x)J%V-waIP zGg(EZ&MKbgq?Xo{d`XvN6z4JWRxl?!ThTQ2>igZ#?8TC+L-}rvcxT}Z4AvFhv+xHM z#>5rTcRV>0MFAhIXUIt6`1Z=c=TTCz)sg1rvz!z@e{~OUe;F4umbu>`WZjY>7)*S) z=wm@#E4H2Dq46i8(xbU-`3u(oa(8>CQzqGzDb47~4}sCvif0MyY^|bvIz@>ck!tkV zhOA-|EWblLH`8PE0cQV*i_^pW&`NfmPKb{6Rm%kpO%jiheNxXs_(<=)&ndaRt z4GJyy<`>*;xA$XZ4z0jWMXB8XMDd3M!RuZ*qw1(HXVQ)xcj&95=nlSXVLEp3_=YEL zk?aPgc&L(mrX6GWB1Lqt*SdKx`v)pocQwbf1u(_foemnI>Wz^0eN?`ZXvpDkjx!|M z&lD1Gn%=&CMUjuQ+Wg0_Z>EZhiVhAT76fU6Vu%q=X21M0v;Ub81NQZPu z4x)gR2+{~jH%JXNl!SDsbmIUbB`pFX4FUtw(%lUbBHex8LC^WVbMO7*KWFc~-WAV! zp7k!WMGq7^VWk$}#=w-5Y3aDIfXDY{F~&{cl@h(7+-U!yXMvx7uD%v!2wmY6+MJKb ziilSvDdQL4dICZ%;6sw;7Z2azUmVu!ZP|2*FqP7C1uAD1ky%DKe83Twk(U?4Z!;Ys zqI&YSsqDSQ`}F6;J3Ax26?SK72?@2+9=>M&jtuRAnw=l0s9{N)E&aq{11r&Z6K`>! z^V;Dgy6j+6IE&q1FVcK@zn@b~ zKBB;u7cPKHXt_?MNR8bFg;oZlOcZIjD}&$L-M#YxzO11ys>IQ>y&xHB>)hW?=Ki!3 z_RZ1^-W5MClKJ9y;laT{5WnLy<{wBO#^H60`b%_`yhTB(N$A>vcz?M5_n6KalG#AC zX0Hm)EM3FBFK8U5HbcFpYkbmCT$ercko+wlcQ!5yo^>1ceO*qzr{XEuod=n(JYSAl z7#ErtJ~WQpyEPCBo3y*Vy$VMdG(GRg664NQS-z9lzd)Rh&XEl_4JdpdC^$GtqA&GX zZHM8$>bjS}bo#3@?+B&I;ds5L)HyseV`FY#^I|q*F3iU)bpGXeoFg$3T&PY z&0>Hh1bC%!XYuv-kJq>yl4hfOqp9pIzR4>4>Q}6bsO1~2hrh?EIZF?^e-SewyDb1} zRfXoNK*;?o>aH2E>zwENnz+kBHz|lg;`Zw=PH*ALih(-oSUpX2PiyFRhM5@NuDtii zFfZON$wh};)@j&%Z!53%kBIE|`;S@o zFKUkOOuw5uRo-U_O1D(FfbW+(p2{XxWb@rAEwpN-9QAbkV(Xs8BYfiv2A~H(j}PRF zIG4k**Y|ASjj_rB$snr_Rj*f3-QrPG?xK2GSB1lToJyFK-@ zM>8?}6yK&RiqWP~YholTW}K)x7r0m+PG@<#Rx(!%MZ=rrUgAU#4ZVEFqKB>*wqe$oeGNBO5pq%kiKXAQlQ4hV!+nq~5asx!$+)0PWDvs7O)TpE`@ca*v_yQF`W z(t9N9Ii&Af#--{~!PMYLz?IAGY+sjoK2_ti($_byTJv?_Ge_o%TYG8r0xWT(W4h** z?bz4!jINP!_sMxCS&M`!axmJ#ya_Hh&v7T+s5M^$v)CAGVf)}70ljx#F*mpYtx{6e z{@@5lkv(Bo)>H)b&e7xjfr%1Cbv64Vd;)@_{e6mc#}=Wc&mV#$ZJ6}$2J9cZe74=# zFubbW@{TjFm_=;XA-XC^dfDfyFo=#sbMG60uSc;TM+X-OsrJ~Pe^Lg|Gx%-w<*^?6 z`yJ<+`-m-^{uRZX|@IA|D5?gUST+VApb9l!7Vd3t)5X{qC=kd=2Ts#TlRU9I}rUUfUU zwCgbSh*oI#Vu)=s{j1ynn1Fx0KVeL|{5s5U|;qGr7D6AvbnslKeNr=DKYHaubc zkw{-{4*Nzw4v95q=i~T!Nd=>8mvNZN6_E<~F#b5)z!SQLLox;?n4!kDvY#@BCL%c` zNLzS0FrG^{yqwr9H2B#zGn4Y>$rI!E_gXo!-$wHv$Z=#B;?=IQ<42HqdtXQf17}D8 z&pH~v?zY*yvsh$N1!yP~w(BirYM(y8hSYGlj z(gqu%w27$~TV$7;8#pV=A%5>mt08YhpoJB76W7W>MASCO5-qrN6Rm(FE9P+ z!>!9g3SSB)#q$NDB_I$8i!^W7S%i0I0whfp`fgWcwmV+IO&NV40k3%&|2l-rbRaE^sP^z@lG;X&3HIY zCE4OC&py+48;)T08OoGDd8>Bot#GSUTp z6NU>8w-1IL*G=5g`=4Lt%`2t3QIQGr9`YF!IX5<2T4GAOI&5E+FLo}dKJT{CtRJU~ z^tx&@x-fcTf~9>7RRei|d9(DN6c9-hl_6|uWUj#6A2!gTKrP-Bbc?MMR^z&%TW%fq zuv5hMf`)F!xwEixnr8qd(i2=$b2LH&lVxO-U`UnTG0MR6k&e-0q(i8GgUR}Bw3Ck= z`kcO@yX@1j6+|p1J-f2B!hzBH5^(Z()YYenPo5y+ZFtxm38Wy;X;R~Qx|2%AVP0K7 zUtV0GjR;?2=4ma|wF+m5}pN0E^a2fTU0727%9F9^GkE zq)1WCuZ~J^1qdb^?b@qgYTDEqSrN)AU>ok_@2j;!vMdErwXrS4YkJD6Mt*a?y?u3` zZ}LOV@EUvRd=+vmr!zN;5QTH=>Kih3x1t+4GbE?U_A zI&QwK|F*agC%)1NlZ`~|FGTr*D^=k3l7|@Qv|dd|R9#&if`t?Feyeu&kWE{k6hy&l z9j^W^$m@|2N9o3FeZ7~ZnQ0RX$lVusf)U~2!OfEU3t(8!JD=)r{sxyC2DC_)`91Nk z13=>d&0V5n+%VqZ1COuMS;W?M5So$r>CQ8+`JaQith*=j+|RWM161VG+qni-0(L@n zu8!XMp4KJN-Hj-javb#>NUFlfAb%lbYt#8{c{%6!p{VH8VCnR?2K01+(uwB4MMHM; z!Z1FUMDxzZyHwO7h{Fy}r|RTwc-**kc~ZnGI!IsO-kS<=DJk?1N->Cq+H8>XLHJk) zN~B3aLj0RAyk8k=37>Cvr|ok*w#h6flU&{--czGU^;(PWUAajA?c^iV0$@w)F+nxj zn+s1TNzB<>m6y}?GWFX+C@@)2$(hIL-*=C^vS0ro%o5&QU0Z_)Z&-p>svbJ#7W_8f64_hA09R?eE z4O{;V6+C`&_2!xA(bTiXPd(KQ6pJj4?~KHEPs3(jEq8SeW;goQ*w*>R;dfrP;F(Y< z*sQ$f?UKt6btw;#d;|%7`ZW9uVilMC9XlhuSc33$YucyxiJ;+?GD9=nl-Dp*ny-g( zQ{%p15^4og{9sN)=|Hu+n!AMtPr^DK1?HP}-Q4n2wF;cJI`{wuXTa45uR1Pe7iVWo z1_9U@ueaq=Os1?f5rYRin9f9KVAk9P>12*O>;}@b!<^^%8q`SnIHs|4x;yO`t>!qdixplJzuH0v7v8tNEPf`SR*S#3T^SH9O(g+fd zNLaDa@2f>9k!fw+Bqk6lnp`-(TuTp(4=B%zE!+JqR1KDOiMxfSms zD}_isnE+VaBWJ`rY%PMyp5NxWlnZH9f`P|AGgh}KR8#0=RNyqVl~9L|Nin2BM!hB| z3SFchC*J3GSw$Mj-5G-68miflMFsgnz>zBk_~R`NI@DtCI4^b zAK`FGRf2)!z|Lg5Caig~jIgazgYmQbx8W&Ac9V{Cv&66XD zX70rXliV*vOz`w}b$q*v;_!{!&aF(7o&1GGN}h696~0pyBG+7MVQpc{%{K4aB<}Ex=j=cAiY6x~)7%z909et2kI!8B zC)J-6=1QS9I7UhEMl_NGIDIy8?Hp(yl>DJ;wdRaMsic3>+C%2ey_54{EyHDkrnX4& z%b#0je4U|6Fb*C&EADcEK{S~7qmtUzWqm>!7ggo0m3OHpa#s(1f5CWZlTW&4l8cJ5 z7{2Iwu5J3)r$~76EvBxr06_FYs1UO4d>xe~d&eQf7H5IOUeTq5Ds>NA#K+)aFu}A=~l2|G=fhI8JN!&40}vtZUCcyPy)V;6^pFv_xT-- zUi7h5WZuLN?SeA-9xs>?C-IN47jj&(?s)$6O;C%Z%^q4{c~#aw@~y4@eA;tsf>+11 zX4kgnlt7N1*iHl$m|!*AX)gx|qI6Ex`o33>m&EW|t#YJz`kXkj%SJwbGgpDfs0P2w zsO=&vU7V@8Fy85aJ?|bM?^O^MAOxt1j8Yw3{<{`SRf0`u+oT9UZ{$iXRB3&FIQJ0K@FYhq!xyUqCYmc}1YU!w5S-{W z=y{NoGp--FANR*X0UY3FufzNdD3#ewee)|3#R_?5GrpqOPpR*_9Sf;6&(`|BCWCm$ zm)~XdkPqI#l$&~Gzri7XczB49kFT>H?xL_3fS2VsMK1E$#nHjsLfz|=|y5ip=v&tzZCN>%2(u1xG>43}KIdV+o z4&^h37mqBbdhKd-!Y)~$ID~cd-qIOa5HOluYYq_dS`7ut0Qnjx5i7E~gsukg!$RV3 zo}6dnaVlb%hV$5%C^~Q^X{x4dnCjiL|3Tz?hMLa^?peeSLQ4;(C%~M;d-@J z_kl|4y0at{c{cXW*dRJjZ`sHnhX4=1C%5aC6c~ArAzTBS#z5CO!}s`GPIYquW&2jwZj z^umirgfk(}{qfrBR6BIFPK9=}_LH`~5^YDn802dfazIK?j`Zwn3;;p}EngNXh`d^J zdDK4;2%tfd3MQDWJQ;d|KtXWS%UE^Gp<|hrNh%1qy7mKr*6tiX4vv3y*2e3oHYo$- zJ%fG#D%cj{H2p}A;lacRV(o-s5YQH^LMP8&7!|J(g7XjYl+nF`>_*~A{-Sq~TA9a? z4KDVZptPufv{;Uvwb%}mkM+JqCb3WvRG92P4fg5DTi`XV9?2uisCFhy9wD8uUDCG{ zl#~bN6&Ghm39kS>qPqbu8_YTkwzmhnk%G1?#FO2I$+1_C^SWmQO)j#A{@5u1j->w5 z7MSi6eI$*Dif}DYl6+8E*j7b*v1!{%ff06Iq@mwxz^XK}xW~V`E&I z?i?wwo5BcXj9C};=Q?47HRDv&JVF+N)N@sAj$3)4al4M(ziBOOUnvqvZO9o(?@R8- zVtYG`XWKtjM3=tzn zs3yD;f3QC#%0JL}hy!X4Vcmo43Z694T+yPt^16>A7fzyx(H{mpCgBHHetu`5%JT6J zF(51c3#e4^krBDr+wj+#JS0dMg^KJU7`-*5qu2Whb`_E_!^YlD> ziu|_TTPC~y>{$(R`ILVS5Se`#z2BuBpyyPBhrZUh9bJ`>`aON6hwO`HPP4OGRhhB$ z8t?d9HnzlRs*2;LjIl_R$=hF(EB-&txvE0yASFc4YhfBD@&3n6<0bLRa*g=$l5vj? z*u%~7$H~8%#Yo1acYcBNJHz*J9O<+MB1&^Q5~my)3CwRc-l^j1?4D*{Juj&UCP5dBA@3l#m43lqiSvY z27I?_Vs}|(Jv0$7*iddNSM@Zus!cBtiI}pfugjvG(Tfk916sH1UWh2Xd?YQ;?{*wu z+7@|c>NKcV$0LWR(iz;3AD9pGJ+aM(!>uE+%fC`wKN>6=`foZqI3mzqj~xY|9=K8> z{aySBTqc7%RO2Hf0(D&#IacMLq#dT1yceB@mnh|?v<$DgDP-#^XC%srm4Ik?pp{jm z@Nyq@EHW!rHy)77Q%0FIBlLAupo-u7Kq-H`kQ$>|{HsN;mGKQ6EVTQZ>3O?J*KDLzZ@^@C z!nsTfAUZDISqDn?=LtUgW?O9arvu`+VLA>+k>0Oh>zylX`h?tinh@xB-&*L|58}3q zN=s8Q-8C&d?CfZTb$U#FLhHhwk*hC6(jJ>WayF)ADhl1g9wFi+s^oPj6-*#H^E3X3 zpm^s(wGLNkgUh+9G@A>oqcX7V&_+$Ydv?kO8gCUf&yo9B;D{v85m3b2#`Fd+$70TCklxr)C za)MU`hrRGdaItvPzTRj58J*ktk@#`iNP&;jWW?6kwC{^Rdv#SCQ-e9;nAWlJG8;J- zrF#dWF=>PW3evjcZ8^^R^_?TKN7L>pgbQQEiwLq$q2k)>KX9PqkUMnPI0;DJ1=Qra z^8E9$$w`ri4@urqs@;JmeRKPe1*n98 z!a!1X$OKAtwv?V_tQMc;!Gqsvv_ z_eOB=vg5Akvy&ZhwXAxT7>wS_!{xH!0C@*UeRFDd>5+GL}TYc@m^J4I?$Lh~w0%y+W%D z4-X$Q+*g%5cLI5u=m=1{GbLqUcfvIx5B$Ocea+@3C>zq8Nh2fZi;Ig)nQTRug|@y9 zo&2p6IYwu3|x~qj(^+!#;zVp<`uq$*@&0{G8 z#3d6TjQ+(L`}^|-|F%&oX>m+@gccfIgy|m>(+_AEex>}QM`N!5m&KBgbO+{5`6*8d zs}{yL``0gc?GC)%aTVAsD3dBw(W*K&Z+Oc_@aRN}FH8x1e_!@qMNRuU!Is`?t6pyE z`T81dExwvQ8#D4+(^LISk7+#YxXxo!^?SiTh+Va zav9{=@OuK78N6yMuU|Mjmvp&q&q=7rT=Q(pIA4GxqJcGNz)8cUZw&){`ZwG`;7@SC z0sj=jW*EO*fYa2jtJS{Jn=Ewykz=c`_JmYzz5mY6ePUvZEcghzM6s-t0{=?0w^w(q z#%<8#^(!8YeAzX%-|G3E&R~;LteN-A$|RFrl&3U6f#WdBYfM(n^ zH6UCm%C9$~AfiM*^WQAqplM+#Pv3-sh7Ed!kbe9OUy^_TF4z=`^V-1~_S*n3?f=Dg zcaB1CAYTBooeVl%ntxv4KPkl{T7lB4e88QYEJ~HVe1F=7r)(r-!9pjC^)x`@6cSU0 ztn~i~RJ7ubjN!iuTom#?7n~ufp#mJZtc{Cg+9U6hx;g{QV&kU3P`;$uZlF=AWF)Yl zMkB&IzUu^57JLTv{u);fkZ%|Ck=Wgwt9nK{kXb(P`FXI$B2g}XKy1M4NPFn!MdO-5 zlgw|%cVu-a*F6^p9TrlqI>X55;%~=jH%!aCkAI3)}@`6$L};HXk(a zb6Dh|WI;kan<2o(A#kZNS{uDsknsuToaHc(fXZ=$fYI;Kql4KG&ZP*DUGl09xjg0Qt_yrUC# z?;0p^bOJ=}50tch^gq2kXsJP%h2cUm21bM7Z;CWGq@^}h5s%mn~I0-6R869({r#`2&3H6dsFWONLG{ud${es(70egwG+>`lII z%D=YHy#`A`kUA!iO0$ZR^Y=9tYC%>2uzoT|&W{5%BjNR3&<{U>_g^c`fBIqTTgA%z zsyOBX)NhSh!}&(4*a+@u*SHoMjcWCGFB<_ttQm=Mf5@aI61H{1*{nY$`;X)1mTp|9>#Tl zLM>uv@FF};p`XO?b&f-JSvgNQp9;K~=b^JD?ir!wPOPfnD$*+*jN zX)dsW%D%)b8Q<|&yBglNtANWwz>mxP1WMIM_B(5w=)hJ;;Gp9bjZo0X1fBgwW5DMy zu+=OiI|Ash-hbc|lKvj{f4HThc=s7{WZ4uuS;o8JFYq&lIcQhy#Y z$K8bNwf+LtIUVB<>x}!wfZl9ymD(>EQZ{UGoc)7$YMdM_@8VvoH~CbyUupbRJ196C zGxnoR%$&>0k_u~+Y?TJBji5D`fk`qlGQox%V1od?_fGuq9YCG+Q2ebdPn`_L9tnUT zMY8rkvb|Jqw0ZxB86&qj*x%PSEp*Xcr<4X2tpf(+4HbjA#{T}|a+@N+r`W)%mhMag zCL36Y=;&HH?xVbxG#I_zm3IodGFxu}seSTxMJ5Z0v>!*uzg1(X!Ha@-sv$bBH-h=} za(FNs`-@eKpq>jMY(&qYOpecxknL8jITli~{PUxzwxn*FWR?H@rW+rdP&7OpD)|zoJOLM=jYh= z1md(sOYj~Vk`)9C{@8g$LF^Y78=J~b4zX5nw=l%`3%DLC!5##>;hOAT1`DP1^lGlY z{<~~|@JHfcw@z!UT616vq@}?IHjAH)hs7WV5*>w2L2yC)-{zg}X`^*g{c5Ery(uUK zCk4+sFU0oyhsHH%=?E|_RL7gONW;V-^jM}H3~PMs1+a$Iqh zRcg7Gp77~Sb!+k?i8l+I zHSLTS7j@Y!EB7znOC|b2WYq!V3D0;+DAlTM1huiTNt)>f{ksdE2y(=N-a8lI2cWJJ zk|K?5{(-Yk(^!h?G3lSm@Nn}Vf>NyN==kT(G;`wb_xFScVxaqJrDOO+L;{b3!hd<;#J3R$GWLKo;)**%wG#{*P1Zj#ocn7dpd@pE;u21sX zO_CO z_$JN5%)E2HJaR~fl-8{qZ0**&0N@SsD{C+EbU>Hy*6F6)g6^*De{BpTTzY)UifFx- zeL)KOIQ}51aBF6S-$h|5zPNaCIppM|xLIp_4I5fJ&?GtGNb$uw_UY zWLK?5WMM-G+&{9v3y|LbuZLs-c$Qm1}|_K8Iz9v4M=;y#O?uA z*;lvyNzg6tMBA&&3oyPBRm5IiaSGGy7mrR(jnw8 z_T#(|d7bF;3_ES;U%K3guxX*{x4RSB+UnYjN{QX*V0b0PNh0qLL~s2&!vG{QQJ~-g zDjr$w{oLh1-2&x)HtY4ixh8Wz&euS^uQa#of=Of`h1~1lM%qH=7Tqr}gEOK-ZfTqS zIRJHX01|U68}7mr$+(yvgJi+CpnBCWTh7ECI@{YZ`@ym<u0cp{)TTUTiF3*O$d$fo>OC8b=M-Nl&57-XqrDLra1dpGhc zinbs41i zvU^`7ul5*&j*e33BqG=6IIalK=fvjC<^4#{EC@it$gluOh&mXI$n6)BMI*XGn;i)X zi~*D!i(KekOaCuy0z#Kxxj(-$r}uoO&7C9XQcBY%`p^tv^0%3$V64Dg^Z_4q>y0-; zRCI9hSEAAk@4eA3{o7GrE+aZGmr|(_)mNF+g0;kLV!$H6x&Meyf1eAo?S8TGH7j4r zUXdyJSxV&}+M=LbnVKn%MB^DF%@KV3?LB{7oPb)A40WjI?_$}as)f+w53PZUhdw!5 zr?b^oW@cr)@;*OX>SG~KNzxuOm`NyPm&Xs(zqQy6^}0;ZtYsIEIm#V)$Ca(Bz?t2n zkn_XFXD4CiJ!EMS!{D=Y{W9Ctk+g5m3R};#z%K~Td1L))9}t3O5X(mO_1+tRhP9id zGgyyG65sv!9xDsNJAi$SgXpEq@u-p6B?%yjYsai$0?h8D3WI@r;9tj_`TjkfzKdcV zJ}^x8Lh*`)XtgTHguCOGv3l(NY`#fA{{dnCxgo>M*^8^f${C;I_W7NiorGs;RCMBM zV4-COefH3VHo5M)_-D!DeX)Ds zAv=ybwbNRpSS?B72Ps>Zb2YPPXU{Ii6U2{ew5F>!zKyu#2N0IBm)@>Jskw_B)#FBs zStTR|UxYj*IU{RW7m}9&UB#+&R>=Pg{A~>Ge>Pw}6k@4(&>H{fw5fAJ-P1Ej{FrYN zZdZYEr2pz7qE~e9>7%qG4bCgW^BGkuZaVSQ%jtyKbCyfSD~no`o~t(YPP6l)r+oAE z8?W^jZ7eJdE6)%7arPvCy)NvtCnZk^bye*U_nuP}r>4CaY7+#^+I%MD;w1G>e;A6Z z3P5t8v%8-+b2A7zD~4oI9-pe3nzkYb&|tDskTn(QahUf}#TPI~gwVT2S?n6zqPeQ= zOM(HVNlIitBjE!HnZm-Lml%f~I(eSy71hiqTHv#1<5;X)C_GcOd-YsFXZ_ss{NY)z zZqmz(EI5w=Q*%I7E5!=GpK6Krq1xb+B6e~;wrmbEBJaYDu2lbvqE6`M;nnNTsDaNr^ekP`jZT9fOV622T=^v>wK zVe2wT(BOPe%jl#c=txXyzvM2EvoKY3%%XklDM?byWB(+`Bx_{#=Qm+^OscU)C6JMj z2vH=6MnyuF2LgsAe+Kc$Obg0;R;wCh)T&5+pkU>f$4h)I;K|Gq^*${FFTK-DT=Qxx zb)frj+<(^ds(bc)L~X@GIU9cYjO76LrI4lu`5aYxS@FSvj&IQ7VpGu$O^ICbvUZ;? zXZCRCW!l0J8|`sE&8unW1AG0$KGSF1fsvpvgRW#dzPR15=l!o31bE%9C#YHWp%P#g zZ8RADjnDiEXcmE&FM)l|fS7*;PxE}Wd522)jtpSj20q{VFlJW0E8pUz(2xf=tSB(# z5aJW&P!2;Nd>Euat}XREyV@R!5l_AHwJWfnUn3=OcRBEym^?mm|I%@a1 zb=kLs_Vyk&R?n!ZgE>*IC4W$0R7XBnh9h+*O)xC>_>?LJWtIF^2KhBw*VP*l6clV} zo1dRgO{FyzQ?_|GrUW`$c>-NoKfXpg4`{7!YW%64^ z@5%GTCT~SH41g#5rw9Q+0j6a@uQsf!R`oP$kru8#qD%g^B>3UUFY^lIs44csyezGv z;{F0gWlwqQa*V2#X_-K_DMQ+kq@==MZ5{d8JgXSQ^(lNnV%^bTC)9_V#WW!}V8|Jn zS3do>z9bi^O7)j4z~z{-3(9jGVlu#*_2;T_uBCO1Udo~WGb|bfsl7sYzP&5D?*LG* z+>?EcXw@DUAE>@*=gQvBReFa2A(Qv`-|5#bty7*0KWAix*W4}$cK6UkS<7#BzoE+0 z_3_!Y@%>h_i;KU%>Us)9B$GlX3CnObh0q5;vM2dmj`f;Xt+K1W00;lh!>?chQv5(2 z?s(-*clPc~Jbrt%Wmd<>B*XbV1zp;D1V^5zh53`2dJXeZt5f8x+BN9Bo?Zh(GoqXU zPLEnZi_+Rk1$GBN17a-_O>WIhX!!izm==2Yz|8hVI5+f{xza?W85PaZM?gcP8q>rI0=A7jcJ2km#_$K@fE71Xi`a9q{a_?8c_A`gQSoOks1~3GLIPJE`L@u+eo?Frovin*r=!v_gc73{ zyLa0D7)Ez&j}uRmc_6>frw7Ud&|0+38bp~xko1V$Z1XjL!7l(s;=0p-cln`yt1SOP zrDiLDx*-{+T+dQx3?Qr{1YBLXi~oCOo>Cy|*bINx*oiAFTz@O~GEr&j)$9eclmimv zfnH^%q$Q|_0y$NhX85OdE+SIA`R36dVk0Brf8>{uZ&e|MGHHX(vJfeVqhJzVBS`Qg z)vDM1c%zyo)blvKw>j$ZK-Jcz_;~T6PX@UFAy@%yH1RcK@5pzs-p6y=I_AasM%?D$ zEN=(^MGPFg1X$MvaJv9NwF)HS)^55527r-n@;`l~rh-O|(tNDf3sNbFq=IcY-s>NH zNlxF}+uMP;_CDlD#gAu2tJ-8n?9f>Y%!8@GHEQxP+RgeF@-IkOgg7skKtB2;X}|K9 zz1!_h*xOHkU?THo%pb9RXzVM^_pZDXY0z(PUqK7R(>(gEzY2Sfj_hQK z>)hZ2BjdcE!+!lTAdHBNRDzTmOpHZ0@Fi(}L(I$$t%q0eQ_C@Vi^1p-ZY|VabsR|% zkrB!IzE8Hcw|AIVo+Dr44yDkV{n%_pz56}1YkUTv_8(dN##+tx8It+30a^kTk`8Zh z9s1{Xg8kOGVKP#C%~CJ76Zss!iVh|Y6>Qd$?=G>OS6}0_wl4suh4SgG+h0X)xhTr{ z6_$O;*IOnqHD!xenBfbJf#+ecDB9c@^HOiLio$@bDv%YJbzG6tg zi{K6Otqj7jvogFO^L@WFdPzNdntoLtb(L1k)&4B}Ea@Clc(jZt83x~el0RGbM4jEO z6Ch(`lLe%CUSDKOuz&Cupdv-;fB5LgNE)DBjws}Pr8!X>29qiZdF2&6xQ*@KK59d2 zUiPMnfdP(l&zA$nN=o?`iX7!{8Ro-}UpKenZJl=*O`UE(7NsOutGRnDJQXDFJiHiX zXIH)ave?pWo$2b$dHzmpSS%QgXn_K8V)Yf`=*`Z3i`{_p-|qs)VM{j9$;;IV`}1zu zt1~gd<3&;5l|>^@U(?G`pVOBQeLXK(>-SRVrpgzK-6HPH@TqVD@F8q^J!l1nE3)kI zhL995kX+R9Ux@sgs?GD_GX#?%TXbJXP7+jy1zCvN#3DVT9!xwW4>WEC!$vuUbr*wa z%Fc-!YH7a@ide$2_D-JE?n+uzM1bVp6?5~^1Im$#*`Rj3LYe08y ze7X)(J!#@3f!6AV`h1Sz&4-&IhL_@=D>sa!<0^KZR^LBjoxkNUE;(&rz@P4uPxv~6 z#HvG;nA9Nq3ui{bO=)d*|2~Zol-HX)AF)1?tVw5CVk$j;OY-=8T%WVX$o|Xqqb{Kz zJFJ3O?BuF$X}CWUe#g+$v1FtlO497rrjv5Z7X!iC$rV9JU;7u^FiiosVCh zoOf)Czluz){3+8_Bj@+RpF(34zga2ifnONKE0Zw2i@cmf$L)GG)R6Q5#+02<>M}8^k5zr~!y=%7Ahmi@j zU$S~Y5Ha+C#x~60U~MTRs={&xlSb$3DpMLPOBUw{X`udmNTQ8aP$_!|Oj8cwd%)74 zZ(kTrDo=LAyc^CCGk!ayE0VDhBOo9kbg-?$4hi?g*J2JG?0)ZGs(VsWW-p_Uc^wsx_+T-@uJ-=ogCZ=6BmP2n$1o zs9r+t$meCrS(DnpKq-3D$AGg?KNE(<`3E=p-a9+K4}XGoM`ncBD0#Ma<9=$nY0#wi z<%=px9nv9egm#sxK@s9Mn#i`)escLo&2M&4WmrjW8VxgHl!<6MYp*OxuGiEZ{IjGm zfe5NP3d$B*tE$=!C-g36loV{U_$juIbNP~+KhT@i>2&s zo91IdZhe3@(DtGKFglz4kV)(3HPzopM^8LJ@+LC_21lcJ|EI z^l5!qL`ipi+I#p!z5U(EhlN_kFHlB(qKR6q;XJxri^0D*bDe9p*$9++wT3IFsZOHZsK3ppk~|&% z-uZ^p_m2jH8BPrBB_^u;xj%|s+8llIw4z1^p|;yMTp1_%h{oe%?$Qz!JJefiSF!bf zhWtn9bH%gbx3BMv(K`lq6_3Uert zj)=4$-sj+!x&|0uRm@Tls$ZT03s@ zd^dRiC1)ktJ*vS1t0&AAF!2v@wr?1M^_S_`&pRBB2g?}Ur&`J1z}%$3uArA~^(DCB z5#s)qhizx7(L8t)?xgJjMALVltCI9S{xD~k>csFPHbXL}s{rPlKFdV&f!_-AN+|s4 z1_lh#x$OC0$F4k;X0%WXUFML=M=WWoI#6W>JkhNE8m;GVhW`A< zVx9YBe{t_Y%Yh+5IeWJaTfvhsp+SuGZ~C}6^C&JNUi;_!LP^SL)w-=z&0(en;jwr##E(9DkE0Z^ zJyAO6xfADhw33PIK7W@|ZLXCd8*}t~oXMN;? zzKlY}BDYu~**@Ge-DC~7kT&vmL_a6RY8{L8SI_G>xRR6SyNa#*kzMeKLe-%oETQQ8 ze2Hz+tmA_!99vGMSS^3aSn7m}+U3`jQ3{O2v+tEup01)&Q1hg#(Whu!NewoNg}^%H z%=Bc65c~t}1iUFcf4HR)ft*jWv0Rs zCma}RJ@6h)v+?`=bK&IZU+5p|_L{tHzfWP_7;6y4r@bVS^^j3!@UJno{rx_Ajy1dP z6!jO%4Cc~1uhW5aCZqZ7o8k_voVR=)GI(0d^KMZ~58oxGj4sq3>T#it+I5bym~ zp!-GE_^#7r(b2R8gCa;haD~N^72)YXlfWiV_J&Ehzh)gb@}vI4jw4zT%LbZ(~&-|vU&ei2ut_%=YRf}6Ah_*Xx}9SqgugW0Q10o)fX z*is?qc?n`)=|qM5VMQLKL%}?A@V4jXI!L#yFlP=8k^(LZvP~MYR!La|BXdkk)vHmw zQYJo;*VZNfE>>#vZ&z0>Kcc_O;S80JW#JR~#xG5FWMVI1a5U{F4}cQC&pH`r>Vbwm&b{rJ&8LSrG-t-XPoZjyzh2$CAMAY7Zthu zZ`=TGsE9HXaZkN#hX!bR|9}Q$RBJMsGJ#{%nVO9bmA|eOO!?(A`=5SDXG+OHSC%Zl zQNE9Gmj*>dJs%w4^PgOs0X0}|r7c8$Xdz%*p51h)X+?0bgdkce7X8Oh5)udNqxK&^ zm%n+f$i+7kmv5E2gB2!`<4b~CQFXLP=VA71Q>@L&>E-UO!_x9Hv}pEyU;18W9=Y!v zrp2$U8!ae8CIXB@VA+% zo)keAWsD$h^4xEd4%fyb(+bY%XADTxXhe54WMp{0&E|DxeOlF+HN1d$w4EJqRL(+z z$F~QvUb!pZe2I%#7hI6ZZHfW=N>bwdn~W>5+PI!7XhKhqX1zT(CZb%El(rcp7~L(N z(7oT@diBbfLghAHZ*OF3>$%;uW3q*h>EYr}--DKq!#G243JSKf)J4@p^?zEnE|}W! z86eKZ9q)Zg)m>|e)MV(U-PCwUzSUNf9?PlGkM8`|9<`04FiBEZL-j9mtUHzD_W{A# zlT-FfkHZHEChrN903-mCAlc@R@v`_so|jYF`NJH_VEk@zL9;P=Hp?0tr$M@3U)~H% z)D(PTHK?3J@l<|V0PPAqv=lb`LQ10F+*{-IldYF~M6~)za|3==;}Hk-MdRe0upB2> zSC%|KgTJz2P5h(Xap{1QNxed7Z&c~n8`~>?xFEUxt>##k5L=GCc{=S|yIz+q)d7kX zEO&(OZ|?}Y`gny3qByMPrtzHx^rrFKUxbcLZ?{RRIi^+JPE_`CeB?UJR{O2*uyT)@ zg*9tI)0abkTmi>ExO>daV`pGv!BCtI>q!uOw9R6w><1Ay|MjoCEc0h^@14`_kEaqY zFL`dIe~qF#4Nf(2>tB7}qNfV+G0KXHQ+?NpCneRr&9LWF8y`49+I2G@U%cNvVNhsy zJiwC8-irWN`NYuMvCiCq7?|WZ^xmFB5+j^t=p(`~2o53eC)6E0E5|@JLA3hIT zu7BXCSs71fcX`Krx3Qtp4Qs1#D(IWK5?Y`->E_bXEsc`J%X59WxXq=9)I`}a#%|*@ zk05q$d;5ob7I+iElelGp)aF=yWEzvOlZQ8bOKyEop()aWM#`b+7Io8x4gx%J%lO-= zt!^=*NgS(w!Rpw4o^@(fOQMd?2PJZM>1Ie*dlwF70o$!R-en@c*_4ydewUK?(Kr)g z=tf>*?C#7E1O!za$o?b%t8`AmE~uJnh2Cy|P^kfFCU=F{e;rw1FZ=@gVRI5${#ike*t z(V#QrVCJdP1X1uTQROR&tT!q5aMNK%*$}=-2bZ-Iu{#A$Tj%4SAIQ z!WU?MEq+4JSPbssTDb+iSBvJ}yoJGXM>KO@;0guY7rf7T6GLH-08T=Qhv(9OPka1>B|d7uHO$(a_Q|&IGQBK)#K3un3A@Qp7yrK zvCy43hN_0DyrAo9xz{DV#`Rp1yf3CvE^C=XL;g>P3rdd1K>+4gWKsgSVgHw>)A?BY z!gXkX0|2c_@V11pary#@|5iq(1r?^mAZhj&sgVD})>p?>)pgy1h_oOeDczkOI+O+h z2|>F1&?#^Tk!~bJx29uhsvzj$0_mg$b&VG3*xGdHJ@X0+Mo|&#lsgan&5W=!|oa@+kaV7|CU0{ zqe>w%OT+1ZY0xmPyI?7xKb3!nl=MlI`Knt|qHhHt)*{)~*DKB1l~4WEO_i%WF{7UBhOA0|@+VFQ&jq^G4{5+onhEqmz2 zX{8dRSy5R`kq{lJRbd*ikIJDwiz~3m48(n}hVz-!vm0L^Vyema{QU>LxG(0cPNq^{ z&NiM-zeBZh>6dw6^q|E}QmypO)>k*EJ7Zfk4VAENvOF0pr)X~E{QPf+ZAvHo@i>Kj z6-P;i3V&1L+PO=Wv38g}F{lyO$5W)qN#g+OOGDVq;p(TP%mmRdx! zWRvYx&%WtzMfI}Ga%9)Va;F8dV2hi)Asqy|bvKkl>a`=bB|%hA-&mVWLjD91>ul2$ zm$dNlXl$;?dTd?hwSj(c_Y=$SOg}$$FALAe<6tXbN4D```k_+(Ty2amLM5%^de7d+ z{Mqj+K!sutyS`DOcP_e2EAk_Tp@A~F!2ZWZ>ac7liK&n+6Xj)FOtT9tBp6l6j*Nw@tlTuubJ%T{VEi`5 z7QRHn!SfiktuYi1r;n70XEvWjm96LXAbFoN@CvZ!OS8Pbe%e^0!sfrXs2zsEO!Y;P z1M{QrWf%m1Fr%>yM#VFtdvK$&)4NH@-hze{-(BcGh`z_qloaC8M`%duO6% zlrYDv_AM-f?XFuF3Meztu0qwOQm*zwTF0a{ra~1CImjx2M-d7+lv)Wko}Hb6bM!RN z-Y<20$&lC#V}8#j6nse;1ef$jr6S-?9vm!nm}_tZPC-g3XpWecZ0(cpq!NtE%%Fhp zE^ZKMDNL-pH9UOS=Y2f5EbK|h_c0{J>$~`H4D|>`8P!h-3)7o@>DWm=`8)TT@kSA8`O5+Ui-ocPmP&R>4BaS4QKFno*nn7X$&#e>pEG(^_S zeGy-9b3qb-qei71wMDqoct@b9=?AJYVBf9(z1g zTCA(X$EhGI_il8|wYR49UIcU?Th~HQ7Qkv}``po!0wDgBT_miJpLX-!(i;dA)X22) zQ)=?Pb9u7&xi&i?nO#?-ngtHX5EsnCk)jcnw6LU}ML^Wj?hJ2U2h;gsVs_ zBcsyeTrQ$UzL?to{G(OUD$m4NU`mK(Cf3k-j2h`-&B!6Z73(kEyph{s;wkv34o!{0 zpgY>(Q|?_&R=PGCH|&ky6o_I3cyCVZ^az=e z3mtv=<&5#VVkvP=|6nAx?S_>!T>Gv);f{druW5g3A#s}XFT-U{CjI$65Qi&TfrVgQ z>3vz6qx&#v)vlz(v6L}BSJ6v!bYj9cLd8){2Kd_LLIHIdmGnLuBKh2&DrT%Zbr|nP zQEAlT!K8uW`Vr)q^=s|57B(NqyR>YiOeiP5dZ+%gjHAiWG>MTu_m7xC83kbru`zM> zIzvQL$@MJxFUo+THVV{6{Ecprv;=FABg$JkCw(29RdfGUjp$B~Y&1ki%!oLjsi^Si z{rNI!F7rtvJ)C{lh70o)$M>VAOcFnzdfZU5fN3W>za`kM^Yc*g9%$>eJ3--qP9x>? zWykJv`1eSOe5;=?*^%Xm>CEA4WoY$-!^1@E>HY+oeGau#_7M7|vWIxqU1frw$y1qg z@LQBM7*AFLaLV4d8V_7<-TzQvktePiT92`E*(yxaWPZfJ)}}k-NvYa=1_PW&nnX6y zhbTHZ-Q0*4kL22Pn{@+L%T(1m@NBx817xd ztd^YaPjVWzK!i4fC>mRk#xGKzz{MSAxQh;9G=53i@kLR3SA97n!>{ldd9qPI8NX9} zgsyHg%I|;E=F;x;b&A~T%ExGOPBh+S)rEBI?(^a;B1$&(yM59|xof2lU4Q?9!-&|Y zQ5W?f-<*6rIE0d*1I4rm)ehv|bmh725~6UVv|IX)NEEs~lj~(+2+H^`E`CAaB0mQQ zhp@2j<0rmobg6?e{WSP8t`CRVi@Rra_ALg`EQ3O=9}I?MmkJ=kE22NoR>HL^uEoV> z4mu|&dfrH+hLQIb*!bzBZWwbhxH!6{_$cO_;>WyRXdD}Z%Vb92LpoClX=v957e24= zP<(v)8TZRcK(=Bm1#1OT(g%*2SQ=xxPuXp$hqVC$;R)L13SZslRJBOmilPX0z71L` zj}_brTbDJKWiS%*`l+-e{@C_qil;VZ?_fWdha=E0(jYc%V^W!hPQ1U<5-!8+l9HT4 z8of5;cK3<#vT3quS3gSM8{T3&5=k78uvyH0x0{_Epv94Po;=m@qQc!Ib<()JO8L82 z8qWh?9|_1qKVQ4HpiuwJNUIP}J)*R@)Kt$oR1&v0Xc3*rX2iO6q~?qy_lVI(|*sIk5D`a zr5f~7YD7FU+=zn360G_S0!Xl~9HNUPoYqSV*+$ol^x6G8UhiE*%a@1EL?eap60Ces zN5*kcdQQrh`!UYu*X8f@ievAO?ng~S)+G3-QTFz)9cl#AKlP8K(6fYSRz*wLP&b`@ z657s_rCpnnEEAHeu*NzL+teenO0H!bDjSGrB>jncb&dV0FMbl3x-)w-z8 zv~W6npmx#N@4<;f#G>?SW z+fTe8deu!PPWj+uTB1gQL`>$((65pw^9@%dB;+b0?o9+Undfhwi3xv{+M~4h^8S{v z!%9x2`O;EJR804Z5JHTON!Dn)FsABZre8nMx)8}VmNXR2xlh=wY`R##TF(1io(<7( z0^kYyap&8rtU_rCLy8ncQ>A#fD+Hng#6`ODRwe*e`TdXa9g)Hn(P#!^Zt!B;%4aj5 z$1xumAHIMrm_c+>;^PhvKMUv~`YOadP&+M|7=MeM2HcXDX}P_~fr0B5-m;cMR#1~1 zPj(+W`dM!fI8$>?VHe(-vQl~ZqqVZeW-fP=GPz`e z{+X{0k|Z6i>@}=?QDEWXrIVAYd`zU${G(v2JjX1bj+-WCKEBfiEQMtoTEmw1{l2o}6P z3?oCbEP$>DtJk`DNLnQ&pyxJ(y?kd7;(NAlIz`mPv-d6R%Zu`zor1`D!-hIW6v*8# zL5^P=l19oSeKJuX)_>Rr7T5ENSp(kCW7y^{(x!)cWqO*1fGE1B?QAShlWF~M)NRPe ztSv4M?F>`EJLXUE>1l^lOi;9c^W$8Fm3s_#YFG#^UGaj+=HkHl3mVj2JJF5>ChYdk zzKC|VRm{qJolPfhaLZZ)Vozj!6Dlr02Gi$gcOwYT?gro>b*~);e=_5Kti1LK`e(T} z2iMDOVV#bwP4)HbKUw}KORvSfKkAGbmA<@qmPFpcsHoPh$}Tw&&vir!nxQqGl-Ive zN;SJ3YzLCb0-`0D(sPnqW9U1K_R-S86AbH)=s!GF$?x{a)>yb3{6!W-_$YMwjTUnOQW`cKN0qj> z5aCM+`q`jq`C%H!4N2)_DIhBne?X@E8^uvUP)2P&`m zEo~?E=Erb(_j+N;z`$FaBU+E~!UhL5%=#8;^F@vQPVdF*xEgyuE6K_(x|l~-7c0$u zg{@7dc-7rFA|7=p*H%sGM^Why@@_B|*tO7C^h(207&#W2VX{}*0db9K+mm?bzvmO@ zE))28UCu+ygV3soXA;VE{Lk8!;2*#5gmRrO*LpTxC{?Hi%^yYrmaaLXJ zd?bFh8*bwG$?sG~A39z+)sSSyGxp6@;1K$n@O6bj%K-tcRE_=BO!61yR|W{pVX)uv zNQuvQ5~o6eUw@tdQRXKoSIoxwL5Uq^PL&ADM*B4i3-0U!ej(onY0=rOSSknc`|Le7 z41094?#n-ul!(dl?d3aR86v28N>U*l$E91#zo=g2x|ghyOOV7CyTeHfgF>46z~MkB zt1qU^cE9t;X#~ln-(0uZyXRG?_FI!nCt-mUcReUB24|@CepMXetwhl|92dT2lD(_L zfxaKsKG3ptt>WpQb#da3CCArrntCSyO+vz0=_sGqHOIEtHWoCYJ*npIT1TbVHAuGw zcIRQqn=nbJh%r=hG`^yJ0kSQppeyb^M8 zyyat7Q(P&Vf^~d8?zJP~+UahLN-Uuc{BtDla%AiK!N!n|9Ag*mRFpz`O~oBJT$|e-b6?ZSCmnOpHw?M z&)fLX`^U{Vp`Hda62cF}eyIs|nBaYmf2k0qBWuOe3J68J5LrhkVg67fe;uy)_0j7N zxz-7HxMoXRRPPsVLu9j#q}~eHgoB{&aSA7)phG+Fwzk!%8__|<@?n>Ax$a^=qlZP1 zz}jmW5oDw06tnJFSY5UUH{?1eE?*CkL4gM4earx4S&rEsLRK1;IxIUKdOp&{K_Ioh zf(L4hL-6^t3gAQ zFopxKXx}Gwy*nbYJ`J)oVGJL!J}n4-jP(v?ORE#C4j{~p#J}+ReNZj(H1o-2v!mSP zAaZOoav1s#$ulyCDUa_#DD@G~E23s8VTD~x^u%n)0+@?E)442_uXDXmq7|R19DjNs z?n<5ESxo`wx=tLk>Dl?^6CvaHT}k^>4i_zbkx{`L%f$yUi-Z2gnP%?gax5M=cF6k| ztMdl+yrkR{PR800XP(XRC-Xwzwk?&(X#-;dVqHRAKzLREPm>X3SSt-(ux>+&L#JkW zEcAeqhnoUS+-9by_xj$uB$F}O4X2K8FlE2&Cmc$WR#_6b0}teJ`j2(FZvCdeqcl^;eE84JLJeYf6?PnOIKrZb%E^Eey2#-X?>8m@Y<|)hC36J zAY}5;K*vIF$;5ngw9;zu+W5qdyg_{O!y)VozSNzf6hFbFv!QUVVUcu?;Xo(qz&n~U zGH)MwTt?|oHC4Oyh@H-cd)G(Ke4#U9d?dVbH_o+Q%|KR*vhEvSL~h#Eljo1;*n3mo zxvj>fgrCJ-QS!9mwmpmpjk>2Dv2{wy%_ks0j!{Q}c2Bo_tN+8j`_cx;fm)INNHfUy z6TZozzk&XQV_6Lu-Sl{Pw&~!&?wSjGoegyU#8N3lf!(FLgHJAM&MBuXY+jSSgxAAL z!ezcbZ^heFuntZZ;Ka4II8p+LTC7_f0r%9iloBjIw7_{lYLrCtiBOl}Ws!M9ANxUB#TSvE*RxwBP zTK=AQ0lh67pjO;6qB6DH(`4pAv>-vCuZwCHWa?1Va;g1iBB5C;>07@b>@^_U18h#9 z5!8Mt&0D2V59S}tHMq~zmT$fr+974DBopD}sW)(F9J(hP?1nLcnJ&sAoQ)INUbyl- z(qrZQ-I_1lNk$GT9x4vQ6)RS;{?`mr6wDZ*Sk3p0kgs^iE4^q5=-arF#N&Dg22dm5 zGY6h~LM>K%7@1x6vwKhBPAMN&9I(hb^zKmJx%(Qs=1L$_|uN5&mn&K){drK0SX&gx^CzkFaOK}+nQ;vkUHplp^ZBI}o< zIDhpD!b0`(y971fu@rNNh>OV^A#C{60gwLLu))Q}7F#I_ewKo7PbzW?_6Liixa!bO zRutSIHgeyt56`>_KS2SO_1z<6nMIb3H93M3{q|44Rc-Au&ED36O`+vf2vVwqHP% z*t;DD6p;r@K^^T+yI69^hoUn3a-}yTiszp*LH<_fcn?0li8sE%C$-dT@qGoHhl|&T zAlAscrkypV;tHNCw`*`X_{=SNM_cd_@2(4(r_dbg z&f2s4%1&u|_tm1AQE*|L?U4O!vgBOW}G}=EIbQ~ zo+@_?tts=zGOxB%Rqsz_qs7e<2}18zEW+h+sqn=#3uVS@?x`NN{WT&wn%=RboB9bV z96}n;?Q9$MFb&I338?Trd`vYO3dDd7^Bu~Ss7wQ)3Sej^54{V7A;~0tB`F#lR|1Py zrVtfg!cd1_gzHMNJG`IA1ZJw~pDQbSlj5zDVc$P^smkfqYv@DrPy$=-+PQEBc*ILN5D_ z)Oai_jLKurskfq?KMA=Z5jc_hrFfQSc^!!-{|uxJe66|qP`fWIPt=V@MU9G;V+^Kv zZ|V-eX*{{bclOUXB9EO-Lj#vMwSr%8x8=WoNp)V2`D{%#`dOFYM~50}6uRv0@BGk7 zJWnfg%U4gG-F}b=D#)4Ke~W=EBUIm6-YNBk>8S~>EQ749$@YVI3At!LyOEQRK-lu< zBAqI^v73~LwJ?@{!Pm=N%u#^X-G){8aDm~&=$FhvOidv(vvVbT>Joz3>rpa*bZkRARa^jp>p({3*~7$%ZEXid%>(1ymeA z>ngNLUfilJU1j`wQOe#9qZ3?+gC3v|F-7HBNR5hm<~T?+!Q%${m!PMnbAOQWNj5EK ziYZ*gNtZ%&CV>7-`^2`iv(rC$ZJfBOt4%DT{|rL($G(V)SDfSp?k8T{sMESXT#I|A}pjF7!b zdc?uq^ma!^%eVWMC28l3whX2<$uwVnbwZJ_k&y#Qf{%`#eGc5*{1*MWE`=JET25xK z^O=w1>lgPwTj5n7fJP<{v$|7CU00*|-b@Et6_x0sVT^BDC9LLzO1|FZmp?jTUyJ0l~p^b z4u7CEm_xjPsZ!0?bKhazvVdBH|AA=h{!h0&h}ykjDoaSXw;CS{XstrQSmRF#?@wp* z4&Do;Om`WqG3n^$G@vZSvs`I;VGNbGviP-F#i={HQdP_?o9INaYdc!^r01m8$1qjM zGo#=4fP3%pVe>r+-%7r$#=&48DwoH5lJD>3^4gr&{NYl8MGsB7!GnElF2^;?&iBLS z90rE^WHr@^LN(=x`@6?M!H&=*n=jNw^MxA_5AmkmE@!s->uo1AiBA*}NdR;HDFFVT zbJhYiCu(-bDp0V>DoeZ5mqJM|zslcz3WUbjXyz6cz`*^E{Ir?tSpqd6mcexqlU=(8Lk=P|w8zze=0c66*s z9J5kn3zd5v{a(D}Q}cyl^>1f)Am@xlP1&WZgpMIkElpcg+;s^G5q5;Di;3W24O;U4 zUN1s=S;q-b3JK!Fp%DHal&FVl$@cb1LrKQ_u@Wvz zWMiBlH z{+GMT)ASV8T-%*73_>=CzbM?;KBM%w1z4a$+TQ-WI~k9Ag2s)1MZ?5%`Z7um87`$;hi6_xgRhI(*9)RDa5z)46KV6yinGFiiq#0lVKFd6?EC&$fk0^ z?M@_48>FUudNGb!+xfJJDGLROy5viK1$c@D7&PJ*X&i0@4psL}HqxgryM;!z?MXZ>cE${ zJLQz*-3Zg4C?9GM&CIkNQLPfJ5<5G!M7{~jfqh`Au`G^d57{t3BigJuEq4qlh+iAd z5P5ZAu9{|lptk_K+%fVfj`>Vf?v6fyQK0TqLLT3uuDI5uSuCb#5rxybG+*?RV}7%455L+z8vNbr^=tfxKLh8qD^#GBzsT?;=A_|i zVKj!Rsv?cVQ=BO0g+b^tP~Y&AprqV?tz(uiYK$in2NhR+fN2W&E)Y-Tj;WjiuV!Y} z&OE-5>v?|?^RV8QZteH|%%`?dm)p3(wS`kvhw++zeW5uOeDz_lSYY48;Bxzsoo_%V;!kwm%G#>aUN>>kPGmggjy@7a&BD{O#g z&ooI9xOler##TYRBKcNxEG!W|5o}W<6)L-R?5&!%6aSm8%s;#OTlX6-&!wU(=ij*P zOJz4+#2bxL^0SdGinAzFypx7wrn7KdjN50DyjZ@tpM3O~epdnq{3x}@U6RvZ^;5%U z)w%`-28U|HFAEbbmFVN@RHf3Cb++15l1p5!|D1od^f?@YU!LymLE#jlXNyOtRxb6v z@Kr2`^1rSJ7&#><(iqi6GCg%Du>DP_@~&xxCtZFD7vIJwe+3Q`}LKTE5JNg&a2_JI`EZsrG;epbk_6S zJC|_*CK}XmFxW0cu8s*Q+-EgdgAlQfOnuK^`PEn{{glmnAR}Z}niv7TawW{9)K#Jj zw(DN{Y6}OSQ)Y_I%vt)FZ?|0TUJkT+tFtidzWLpOn{0&}+A%iLQq$u6IO0G(p>6?L zegTOSg~9d%h>&gY={fiYIKCwnB#Ke(___rw@C9uJU3~8313Z!ULXZr4g-dXBGdV?7 zgjk5m#;hO_v6o>D&lN?8Wq!8^&ac6$DjSHVp~Rf}codI>j|z-hV1~088gi{iZ>?I$ z?hkPNxW3Nv+=RiXhOj4o$FNvC)#Ex@}5X{lQbI(8y^VJZBHW0%q!5hs%mdI@`C z0yYbt*ZJF4ei!TXm?g0*}DZcjRi#~0u3;nLe1$MMfrcdRezQN0vdW|GqLg0&gMMd!09`)9M4c5Mxb}#TSA7^tkM$+g+j^R|b7E72hS`xNIy5nBJ8W>()p|3M$H#U3 zEhzSCPU6~5gTKsuLa6MR6J0HzYl@c#4?H)>$W-`Xqap5OIi=2njK{|D^D*2Rmwxxj z$^26~4f9!)jt~DyQDfHnG0ROnTC80Rqoe_+g!$^SBulxg(d1A2kE7GAI2YG{qWh?py1e+u!a>e(*y@fOV{bj^zR=+dIem!Bi~80}=cwy(A50Y*ppthS13v zy{+`vC}%~BO)_Bmh8-M~*#B}ZPyf*xjF8-niHS)ye%518UeF638Vr*e`8R|GwS_t)0~xkqSOR(Q@+J=%dE7k#1cv0i9Q8 zlS`(~02h92B`J2@erFG7;Ju8iD;y$fVRruIlE=^U+in`lN^PKlPC7Z9oQHsdDPC&5 zWDaoc#E)R}Qp5U6@qQ37Mvr4v(3#4`v91{AmmnPb{f^G=U*}vEaPuzwlj>L zVSA2(m6EzVklot)QLg&zS-Obl?$5rr0OtA}VW&H_{w(>4n!wj>4*7PQ^d=)SuyR8q zj!=2oHe`OIi-4;KIOBH4SjH$bDFE!Ytu83Aurt66ovf37-+5)wQaI_PxbdeY=cjAy zl5c*sj=ny7k|OQbDa*^L4Y}@EV0^RUM+~wa$!VJ+8_4tsb0H^Ksu>-E_B`pgH*JPd zB0xI0egC_8kx$r89T+B19-XA_9DWoadHnR(V(HsgORz^VKLpByA$n({LPJDeOXpS{ zOG(;Bd)gaVB&PubNZ{(v1rdDf15rK~-Ve)|=tJox{UGcGie3V{=rxBW9J+zRJ#{=JRin^NL9Jqbk?yNtN9+$Wrz zoCXbU8-uBQ03lmz$Dr~?fRpLnyIt4MxZx%m|GpP98ZJB$S`T`-=`0`g{m!IX0(R1x zRb#F6FqEHDZ!5Z5y%@Pkn(U*YH5@fjYeIN$W#6t;%>TL!AiflCi`DxbrjeVlP2l|R z2@nS)AT3?MB#;nZQ@m`06~EB|D}stZS;5XkQJ7CP8&gQAimleQx3Lk5IGhj$l+xun zaITuyh*PvN(_8-8;bf?zg8>rHg6NFU5JcQh(3eu=S`cAP>c!tudfACDwl7Wqc zlICB&c^lB)A(K@+!{_@&_pZqxi}*H^ws3_7s6(H6eM1AUu(0>ZHpCu+a0Ow~OMZ2m zDH1>4ns}uqJ?Y*@58OmW5a+yuS?F4YZrP_#pU!W?6@#T-nygNmtYO!3CXtOzUA4c)SbUyuw0u}Rc9?D zwyLjP8LGZJ%rFYJ5_4JEv08fUO4FgUb$2LaCK!uYIy-OZWRtD%Ej_|DrWWfq?CSza z5Zi+RerGBMY!BIEVBrbilpn3sTOlFm;1BdPxpyVH`xo;txmkTk85$@63K9ur&px{A z8!cFKL~o~CvQa()ulKhcU<)lO%h#U(Lf^ht;J?osVxnO@Nf`F`%AGM`6vwr!z&ew! z=YvR(vTgPB=s{&Q=O5cLN3K={#IOrsnQNaOo6B4?T+6(2g0G^u+$B>P`i1@v5M z2|Eo8-RLd-Y6g-F{%L7{JT0nZIX+opCoG3s^s&w+s7<s)DJb8m$bi+vOn&14bOwMf{ECOV_~ZX1 z+EVv!-GUlYQ#wQdRZW3y(KElcT?Uzn%00Bpa5uDLOUm~~4rw zt+e`-mE%;=BeldH%|9XMoIbObmtNsv>azU3=#xziy@Ge)R9p)p6UBKms{twtIG#R0 zyyc76+?_FZB)8GS0L*PtnhyAFTdz*KtuZM44Y`*;Cj>JpIpPt}d-jjKMbwfj5%e8!by0;nMw*07;dDE6an^ zMSQe-H>MJdChSD9fs^j|684-k4~wixrR@M)++*+NLXavw^2=}ijyQRZ3m)EXy0&A~ z{Jl9T{WMDQ3OZ^8u3CQ%-|mjV48AO6RGO25_CzB_>5NSquZaD^xA_(ups7f1*Bq-) z7|OLa((1nc)f$v^=WCf5tyCp(Ad}}G)1rVB3~~h({vyIQxen4u8;+0~s6E~|XYsuF zPB?Th`!+Xdv%P(Kx{G;OXDd;wu>|4J8}0*gak%7RHxA3s&CUMx_4O&Z)#={C!YiqK z!sRnF)#uIBFgOU8|6s@u;tdgFFkJN(L-0h}>ssfmdkup)NT#}$!|G%ZRT^vez4QrL zMVaO6c_c)Tr=M2Dn%Z6U_iwIS@9~3aoJ-t8AxCpC@caLkouinb>_n&)a}N-ErH3vN zc8CBe#1A-HmV5u6_uunb*Z}4Gf4H&Cu}drx=dHb>3M(mkk5<}FXE*`c{YQAUBq9e+ zXMet!sfGdf4`*ES0q)(dMY2_z{2Qfchhf#!!zj_0#l%sr1aRabO$^tYL5D4HCl?|Ff$H5@2OZ5DuHejVxf0HO*ZIK=7X`~x&5 z8sO&L$ogI389lb{Chqn_x{iR$7U=TJ(~N?6Dkd%lM)VUC=Ylb=!!qRL(civ>0)7*` zbP0m?9zd$w1Q32plYyD?2_3sUTGCQ*(`~*&9v}GMoK=ZM!?3xRu(_~}VN{a~%p;0A zRU=$WkA;wt|3wD-87R0-1U5$9c^r&LR&ShRw*dJd${#aR+IeaSvVf^vfO8hT)UWfY zU5Ao(n02oU**IElNKg`GE*}REmX+>;Gji9NpyQ%u!cKOor_yi z!@cVaD$FUgAH>hJZqp0M=_`JS^vW(@rlqH=d!p79Dg`yy3Q)@D*)7oQp4MCVTMQoseYWQCG@ z7b|$Fs89VAZ^jwuE{0%~Y=39)9&KC$peSg|yz)hFDh&NPS68dmi)x3G>7`g~;3l(? zU-)4NadkH_*;$rZqqTzBg>f8@E4?R& zf}N2f&sI?8u9iNs7V1*pR1J9sKW2}9mXO2G9^F~z_+hLrl<=0d=WlO8f#o~$kCZ{o z#f#VdhTG^L4FeEr0vVNvI(tl2>>iM-$5bWk%it4sz>NtkeU8(l>jwFKT{}=$t+o4i z&qCoziUl(>h^+@~ws-gds)LIoydK+*K^-+pj2-K=W;}cP0s&@#k{K(ctXavR^~ByR zPLC_wHCMo@5EU4x7mx8IhrXMPF4G4xLP{s5YHkkn()Ir4Asl zv~(2!?-h7^%d`Iyk}q|8C5Sr*B>|v0Z|QBQ?5d}gxLW!7kLtm&;&C}W+9Jg4=x$i! z&?<~R7#kW<5~$fm&_ah0Rwb+09YBgG0%|9y0r59F0Y6Az76hbk=1a#dT z8$ome?IzbN3RWKgz5?#O#N}@YRyQ;>oSZLQX9%V&G+hVA@#=wTU<$MFp~nQzo2zy$ z-_HBfXO%~Eb#*DFaApX(T7n}*MNqI!05bs+!CM}1^-+N>#7YutS{4(71O}*DiA(o? z>tL0`xHt^Xgi1w~$#uARxcT0FK%m>Pf$Zh!`x%|N54Rpq=;h_*0SvNw&+#WB&S?QW4P%2tqu`u zYLAUz7@^lGEs6m0@eV$W(f{i2x3z|6i22mGzPI1*?N~aj=*hzK#C1a9VJo}KR>r+c z^I}vRA#k;QwxMeO%<`F<;u(bK;P1Pt$osFF8Zf0t9^viUMu~%f=I7B@6+$DJBK&e!11eBg>N1 z)hU+C91OH#@rNW}T$+`^B~|tGzqJ8%%@n{LPL$`lm7|!zmYKe-Zciz$rR_1)n0Fr? z#5f5A)l}}U*4gm6p@swJ(Bcn#>MpD&49!fX_l*3wn}2$e|;y4Bk5sDd#rWfBY2#nt}B%2Ux)@TX5?D z%=$^TV1&P1=Fb8Ds+t1*gp{s-R&$CsL>U#~A4_t|@_G<_L`|XTT|)ft4gXtv{GD^5 zu7H0^8h}`7FsJP$(4SIH#clb?aK(grWlZh4dDEK}6y|+(^S%q1Q!NMMj!lQVjmwll2si^N1jPPxQ1*gfzydtQ{F65_n2}y*^&*A@Z^iGw zS{uJu%raaJx2|WvF^J$z3#)MOzqA!G%$jGP_9{DQuX8T!6jjahae`Z7Qf0azxr z1RQn2sCSUO`}B|RY$N_f>lZ&-jyHz5%l|DyW3Gt%Ja0zoZdO-Rq?4&)sQVRd33r?Z zGVb5{fUOL{yD0=gS-OSA8eKtW+=EC_-B*JZ8}tg(J-THzO}^9hz31}eGg;Gto581= z+k%MbE6|*tWR7sXJn3>C)+JAtO;%)huNI+_Wljyeg;yLW`?fI(&kIlM@C_Lu8I+ zcnI)Za1U+VBA@B1XJA`M*i`MxZ~yb9+9 z2l%;sZyLI@yR(e~y7R$Lg>PSOfxv6$n9uYFDAjL8kPu~%K@bAnoz#ck(2Zil01D8N z0zeiWOTj`UH{IjiY*?fjg(FxNofxrM5DS#aP86P9&x-8PX)h)eTBjGj$@UNj>U+0U zKgbgZq6Y{GFPpK)-h=tWP2fb1NaD@(w`~I-rJv98oPnUy{j!K~T!~6**)R_1p$k_w zkg|-U+^)v}6}>h_3;3*tw-;LdRc8VAAgXgdZ?bHT?~t4VC2ZT8 zTN|O${?lGEap<_^sYkD#s2o3CHq5W|4s3z!&UnIQoH^%pQ+=-g{5KBFp@4lm02F0_ z>)TRK5r7Vg--4qDcSI0L3DjkEtcABVq&sc}MeT$T73oT10{!kKDH!my8NB%`lQa5A zjkA_3Wr4V*w6t`7-jW<}?e1yltPFDE$I>c%7#cu;w>&{f98!p@huH-w$lR_Xz_5xu z$yW|FhcC-GOFxy#s11{pBq|04P&<$KOgG8xvBZH0A!p08gcl&|+SkCXMnESr!@dS| zRm!HA*1E)k!03`&B?}~v0rZ*0+m&>-=bp_++XgBhNO-tmX>496cCG?7u%4Xfn(%GmaqTCw;oFWr?YfG&HYdhdy_RjUOmA-a|8E;R5%;_ppe*WzFZB$q}pzq`+j%uyTMC0OqXx(mUH9q zWJX=AQ`tv}lsS~rXv@^U&E;o5Q7QV=@D2S+T2~W0=^pcIO?|@0GWFy1*3w0h?}<1_ zWz^q6UgS%T-DhD9mvV6!X~L4gRR~T0On+>7b~%(0c`2ATj?^x+b|bMSoXW9bDe`LB zm9KVhwgqNoxjNi@d1|3ebA*bC7?ll%WQo#863)AMi4G8SQFx__4s51r|h*j`*fekX(RgUBLOo>;2#+bw}|HKn#jvp3g-oTjP zU4ixGdj$odX%>*joav&@`YD;mSSpT<;xIFlrNBQDOZQCM^uWZ&fOxGzsdhctB}#O} z#3HhpWQm*}Rrw`KW?({@2g@tj+OLOOO$bypli`LB-eZKmXM|J|KRVTVkLsjsynzcd zIv+ARA0<{Tt~zm^gJ$Ng*;Zdv@XlB^?^G{wm2|HjLFSGJaXLkifp;ehEB9yw;R|)- zs^{ax=rEe*%!k|}l@u5fG{HXEPGHo~36|a?(qv)96t0oxD-X^e$rx~-Nie_?3>8_a zJ5{H+xc*HA^UJQkeqMzF_Ni+%Gi zV1ZwWKVIYlXVG@V_#>p5Z!4Bgiv=w$^FJ%pi}W8gl7yr_M}?SCY7l@~6>ZL{OY=(M zZIC~q{-?x7^({3umiCX)qf#7Q>1YjnKl%4r9%gzqB&VcQr|nn|f`PN?lM~tV9(&g! ze0-l;hc@irv~ZxlxCd6_O^f8Zl2G4_+B%k(7SaeNNhL8MO!P`jrdYX*^um!%0p7g@A zmdVZjepN!dogtF(nM|)`j32Wf+&n!6a%*85P0YIoHCNwfoMwa8c9#FWqYq9`jV#Ka z8c`piin3Wl&AAA{OF(6wm4Gxf+|Ls6v6LdvGk(VSc6@GO1^o`f(7BLhR>@-BXBnOq zGkv(%e6x^pvrW}G%?%FNkP=wlk=Xx3mNxD^@?)gERQs}tBkOW82$wZU(cQjGclKf# zNDvbxoM!o0(U@loD7?|i>g6Hv*uk~Z7 zoC%a2K&BYi?j!Z7yx&hg}~Nd-(m=*2yOwL17IS1duO8r50Qhx z_>;u%R*`ufTZPgs4NZTT3&+g~TyXS?seNp05N5bsFLE49!vVAzjyNvp^{&l4vrccD z5HuVNz3H#jDy_&V6Haf?BAC32J({;5&+RN~hkGtI?ZPB`Sxk7dj{Jl^b}Bc`V05pugN`4Yg(tY%XQ(^r9J}IoNP`1|?&96;-hQf4zV_<3p6@~}?L@q_ zy^5uh$5mt+)A@uR91eFBZdSIaG2`*ZE8uC~nZNS1wAA-c8=HAA{0~B)!)zd^V90$j zz=qt4-vkNGNPLKqFqrF`!oIuPsW{5e?vE|WkGs7x{kZ|ZI=N%cH8Cw5&9Uz}?z;FY zbB1uC_K9)&ERn|8jFz2eUHuCmH>!2wex5Ei6pq26aNkpoKrqtE$*=3nqZP(3X{NFsj9MlHaqq~Z*=y< z-uM}xUJ<*Bis2XoZE)bmV0IbE zn%j*Z;yOti&>GMJC&@@FY8qZ;n!CKx8(dyqt|oc#YBkj=rVIN23FXh^Ib6>XQ{+G> zFWxg1WoyJUy7R)Q?Gw(2R5-CQHP==9{ajk897p@t;6pV+hS*;&uS}P1TXqO?`tDvz zAe^J)nxEJGAchL4j;6Hv$$u!Wi>ZlSRcK%mP(1)D6?tsjsvl(!{u{z) zO=El}*TCRCM^eBv@h)zXUu*h3E2SE%Cp)?=eNV)cg?h~J&fmqYAx$t7_dLb;HF z`(+LD%_1p7)v42oz1a%Out%p}2>mhq#q927OLWlC^W&;%dIg5$sq}d*_h^TRGT0x> zsIi&!$q(yK61$IT_F#Jwnl@}HtuVXQ;n7jr9Ip9FEmTKRFir-rQ*_vu4@H|;ny@c% zU@rF^;IAKi*)#m#`_6#hgz;_Py(b!AxR!IQ6+oo73=Ko4UDIt7y)X6LPpcSy)Rc7r zSG`5(_~!qLJ<-c;uJwy(qnoD8y}3pS_p9!~!3;eo~$&xk`5SX*$S%GBKJ zLTbD=KA5JpOcXchu}%CFbRhSf?(Xi|hvIIztm*O9+L_LjrS8o(a9{ue@b`BC2dK6A z@@Y=-h0*^1q3f%Ig6P|>>F)0C?gr`ZmhSFGKw7#Rq)WQHyAkOwl}_pOTe$D%jrr!C z@ef8vcip|>oO50KJDrj}sXDzz{QYmPRDl+ABNP9I+iJJd&C!yZtQl1nybWGfSnr}N zaCw5eZ(kkK%}`oTwqPBaJQ-?fE5-z5?$XMufWf>$)O%O8J3c>O>UvAJqI2n*YWSYJ z4xkFRIBaN+DcrT3Z(#T8F8Tr`;JxLG8rC|mrz{|>`w|dbVrP7~0^D~VOj{**S;Y4_`<&jl^{#KI19284 z)6G|Q`jmCs&RNhB6N|viARzs?*1WI=bADxj>iXHpPVilnFRT}PH&Fq+HGsHKsM_+f?5!) zxFA*4Nv?}m=1WRfFmU3L_CU;-&6raG@Q140szjolF02VCxuu#Fv_#CN zZ)f!-(CA-U4U)2LNIA-&O6Q0v-L~nR=Ht!9t88 z@Vyy_`iK_tjaA_dUQtxYu8)^=$E2jB{C(&{UR+GfTC>r%o%o#Ow|EYd%t)!$Rdy|S5DC)qo?=+_c6y|_84geHa7Bl8I3V=Fe*Z$XS0WR&G z3Y8z}P-U@G{d^*7F02Br$If$#+q9^>_I%p)s9jUp*|T3ZB~y0l{>idzRsaGmXsK%6Kmwq#mhd0vt)0(* zX=4%O2#aTbceuK?>1DCzpFbfk{&;UC+pW~s?tKZY!>c4P{Uo)r3w6z!J~eAv_6)7S z={1VO+VqN!KxS!my?3&=)M64m02vdvo0H^Tq22Zd8aI&M0A>Z8Jq^pdxy*sidIS#z zcuA52bPNFe?7`rrPyZEWSeYyc>>?=7Cu6)TZ=Qw(cOl)o5vAb=^&;C(-A#e0wiQiU zE)i>yXR<&~Wnfyo1`M{TB*ZznmbRL!HnAjrl&Mj>Egajcsc~-_YR7klygzUN)d0rZ zK!r&wcl?xhBzIt|dV>zO0`DcdlVdT5Mg3mb0PKe(2voLxgs z#b39a)&o!fzv}AS4QR=zy#*cVHK!Rfqa%OfUeL)<;afwGV*hWTm)@E=_1klEP*Mct zq7np%yDj&E`#m$R&&OkT;B`pH^UY1#rn+*OYc`g)*_*cxW$Nv2Wxu@tC*TYYE_FX# zIkc}a%w5#?*`NTmf*a9=if*)leWP30K<)|9tNS6Y09Kj39uQ{CPm)?oJFb@BD;-UI zIEm0Q5anAf73_ex91&cRJH|eP_0z9eZQiX|!vNC0vh2&v0EMZhyB~)<+v1vq<(B%_ zO^@q?I&nvI09-1Z+sQqy*?hu(J|V9Hsto`rs*S+~=tH^_>&pSp)%+vd+hb}7H~>Nd zuA%*1jPKDmk+#42nLo{TB4nk@?hVtuBiwE$r2|_}v`>dv;mm>XUpLmuY_s-c=xiNw z%-V*he*7p1=c%hwrn$bp9!(Y4a@SsJYhdOF%B#)lp}h;sC-spgDGl2fy=-)<% zN^>?f?V@IqcVgXabGeVNEN~+WF40H!e8Ozj4z|jUu9l^ZgwkD8a;`f>t)n0UH9u-j z`QMAX+(8x@0BuiJsWd*2vc#t$3=cTRCACOI6x{9}Ts+byh#{vD|Go;7Z=It}Fk=Ra zoYOk`Bj3r{cw;*>@l;ZDQ#0Zfg=v>PX9jg!Ya?A)ENSRYFcq6v75ZB z{W=ev0=-TFe?y1=WMK&@vp*1k)WEM9Knt zU_HV1Pw*ACzfx0G@BInuXZiW0z)d+Tm-Jrx=Ja6w04oG*hb!Rw2dcw)uBE9x2M0Ab zS23!prl_chO{(&`w%2C+n`W9w#caO(3Ij*AI{Td$=&FyccX*C)051h9&^y4f=Ax8Q zp!L#(@l(kBEJETVVuWrd#gWxaq2GjWHEm~Q0v|nQR^R?KMOy0}^NR}rzB(?M^eB&u z$Vt~jhxX$odt8DPCRt3n*}49nzRw%;_%nGaBONv=04TOJl$M95lJkh9hj zvA9cHXq)L4fqn3fG^P|c?;JPJyKjq&;hT#;F0Z$@t@R@|Zy#^0H=35JA|Sbt9dS47 zgQ4Cr)w|#`YquJdgIV4knJ@wim{%%%5w?@UIMa>&mlT^8tK#B!;8@gW*fFu30U}sh z3B<^o_Y#aBP-=GN7@9-Zz9%&{E!o9zYdE5#QaAHAaV=BC(XTc^>9qOoC)MXJd~dpP>@hrBCdDxD?tfw#~F z*ta7;w@BZE3+e9QSE~1@%%c9twy-t~VanS!c0ilojCV=&cCD(d5`9q_k> z4|I`@mZ+{d6X61YX0GBlD+(m~H+P(WG)5KMaCEJjz4`~1NeGenX|Es^UOloZXdul( zx}OA;W_9#6hnlmvZ9iop#mhggwRd#j|5#gJp|y7?9S8B6FjC4=0Q`yG8%l3OkmZoH z4uD(iq?m6a7*-C55ftu!LH05h^?>q2~m9e#iru+GvU($c*@*Qt_36M$^w zV3N~R6MWc6uS1^)z6+WGX zd|lTBb{#3F>^T>&i4XEsfjh_~Bm4hSC@Zu5^0i--FSB1Tv;Q)clONEa-eJSP)jOsL z1mJ@{&V2Y5P;hruga4B!{5L;6?_GVniT5x%4wH#&0&I)s8=KRcywo&Z+fD$~R46s^ z7+9qOB-GtFrvA?yt+_S0(EkuLdp9#L;~kONt&&e`KW*W#;Q)i^Jm7?;e=zHE6QJm7 z7y^&t4NdIgalzd&N@6r&ONA{dMG$^*JhQYDzix|J(C*Pjjst0PbU+`}=amv&C|hZ?sLQgd?xT-AzD{$4e&-&`we2R+xyoZccSHqZjMqkshNoyAB8=D5Z#0@QXaql5kjn#jZvm$o+z zaqBi>#taOwIRdQ8ks$!{-h+-I0U0IVt?w;OA8i}jC^z(@vW^`qa;eL*KJ)NDEuNfI z&aJ6i$z5});LWXFPd69!R5^FWBxqusT>_y2_isxLrC?X!;h8E?_XFMxz?D@{Spmyz z&4PafZZ3{GNGgN@d86okS48}GY$JTI24k@Pz$jw0>z&x{Hz_b?qtUXOGnu4rJ291I zo&Yqrs{bqR>cw!ZC3d=RjEgXx54L2O9 z0q@-fJl~PrYu7%9`tV6}P`g;ihY~NVz(?cm-rhYzqfG&qknspaW@!uP>a2teE8&}a z(~8!vPkpp+-tPi=F%;i=C7|9(i|_$pye$p)o`X}^n1Msie=iY`zMdT)D-hAAj4v-s zpj)tI*rA+w$x^)M;tG5|vt8<1r6ag)4689f8#c7GwA@|&ozq%?*`Xq!kaTd-k|_y2 zgwWalA6coZ#vKaW$3>^Uw9#9Kdj~X7ML$2XCbIReO7`61fWqAwjTs2VzSla(bhHO2 zZ{9RpcB*TE+&38)d`{03Th#CuS84MCa#Ca6yrzap*OdNd$-#=>fATRq_ej8F{-(vg z5Rg3Gj^<(YZ$7Qf&&S+hV_Cs@ZZq;*0i+!O7H^_KWbbf*n*hD!EXW&ar4Zif#{9S1 z0TUI&u1C&nw2ZMmF!VG1)Y!L<`m%eFun-O^UTzqVuwHG9Zj%rP_>% z+zp6uQ(5qL;qo0ve!OXJZL|Nhcbg3;(dxK3){uZa^#-WlQH8yItt~##Eth}x`%O%@ zj(AXrOWZ8)j@qh99sc><*4ED?5Z=z_?5Jwtfu(sdIj5DN8_;E~T!H6`d}Fge7MmFrS3G zqXp!8lt(t8(cnXr0I~t6gZ>$_Go5EvIy0y zv~mExs7-r-^v>|dhVusx*kf9QZWDx zduopn92ilHzNvBQewURedZyrS*9-3#Y%ZKa(B~nRQ2F!C;jTte{?}D*c&T`1vJ&9H zF1`a`N$`ATo2)gzt(Ino^r8ZLd-nl~>{l!x@}r`nl9G~^sudzflaz$=U;anNxfI<& z>RbMT#~z8SZcqr5+3fH)1kilZtS;2LFhWT8%+31uT!B|>K?Z^QEl0hlr9~vaLYlu7 z%-wk%Ie%?BI~%Xbt=$0$=}ouZW_t#J2L46?f~dmXMQh85|g} z!1x_Hpx^O_nFc>fxV_wl=-$sq~pU zKh(IBV%iz*?_?_9fw;^j46=es592bgfxxQM#D6X zsiP0q|0!8_RuZ1KOm8HDj%wP+ltG-ajy)6&5DvwKl;i;y~Vs*i6aTw^pK< z0TUf_!R0Gd=!Kuu|MA`qSAEu?X+vuv@Ue<@l(`5JA|40G ztOe}m-pCw4GyaOr=arub*vzp3lsp&2~mj3vxbSWk2FEY0->mX$`gAo6|EKDC@i zG+ruxYAIXW(u8i$)!Nuz3My2eg<9ohF+oEJlmTbWrH(hr@Rd!lxs0a976`4ju+B-6NLP@(7I1+Bx`U)GlEqjCrQ+lzx)4rb z<{uMAOH#Q(0|xWrHn%!V33_2kKr36B;}lDV;90EuDv?c_qx)o;dp_p{Imr}j2O3Qj zWKQPv{05E>H)7Sj#sR}}+AcTg(B0J(0Gf_guqV;CI38qm25PrTIpIXcEq zg@t|i5eUcV&?JGo$S2l9DVh|$nPdrZ@t{5`X}ikSn%@3MA1tcSh6^pjMYeBdDq- zc?uf%0=zPWU-j`) z+Zmu%k_EWPP<~oVfG-zOVQCW)gMf%2$#XFt+R=sX4E_P{wW99hbQj(K;H$S@p+Oo| zX}$CrIlBW@EH5=wG8E<|)ikUyX!T@8XmMd-Gg%QwjR-e&^qCxmjop=~21R7sazAM< zwQ7~!r%zK4Cd%rf6^m_5$x(E5lu^R#V#fU1=|`slLTyIhYz}u7^V>tyO_+@SQ71!x zj12_gZjSxBkGD4x9?m^QggrxS!vr3OJ#OOi{W5CQ4S#}#u__O||Bd;OpoqgRMrrJ? z1R|V7X2bi@FeaSO@+iQGq@=rq$&f7g2-1m^GQNv7hCSMyh)biWs`m+3&887B&nkX> zmJ{0PxZumtAkCAL9SwVq2JLKE_yI^kWWQADv^Tm$?#xUYk8p2Z73PT zW$f;~UHU|6^b(|Z0+>PSenm87vA!X_hal+ROQ5`NZ( zJHZ#=Ya)7kgp8u#H}ZP~yv$Z_MQeN3I@TZ?xw7@v?3CD3r)&1<7z$PEOXA?eKNT45 zpaUg#L2#d51Dnk+^3UhXM9MK*+S}XMW*vUAI>DBaSnc~kLHM^8P{B-prcsX(!;o)3 z%j|9I>ft=@e{pe}y(atDJ|iPz&0K_T+a6>M__>B42vr(a^*ua+1V=Hj6U`yhb?aoCaR+PEOhlr zFDqwQhA$IyPKXtBXe-I3Tk2h|KY2E&9cJhwk@c!nhdK zTi1yiXbjmaDozJOdrNwTs+Ib~J^vbE->>#%^#s}BuFCW|{rf8VumFuBD6B~$=#OEw z^Qvk-V;?byg^PK<{R^R9h`|Wl4xLIDQW~lu(%buP2m#aey7$h~*$=nbbZ5Qyb5|Dn zBvfGnX+sOX=ucUqEME*-CE~h#Ykx{XGmrV{gP&4A_kRqC5Oc!kbm`Z;Ui3*KG)GUa zYo3;7^ZTbn`@y3;U1v<_`%Ln>n@|q@X9- zzaEfL^EIBzqV|xFK6puNiV;puCibtg8UM)mI7nBgOmE`Tgs1!Gj217F*hJ3M#n1mh zKsn^4jvb@{RO^0!-2l`tjcui|sGtc_L2lO?ms2T{veWG2^?U>|S}JUs^>%*h>0?Tn z9wb>bzLA|j24{h8+Lxfg5~y{Enk$=_vRjiHF$8g8X=JuRCCNQ~0-;{?pcM+_Am{x! zDg#zEP>hhi_$akQUb}(Va?Q1`g8uGO*O$|Teiw}q-)(7R%fg(U>#(^fEGIXem}oJ5 z*qA=*7ZyYsODW1K!W%wqNOkEdQ%R>JC6#^)hLeG7@>p_Q*J-%*Q72O8!bu%$ZCFfe z>h{&^0K0#GK_qW42=ngyIbA3iJ%!hJk|x=R+5RsuqdBXpC0U9PP_Dh34^6eToLX3~ zM-#`5*FSz;%_RmSk2z))7FJy&zq+Tsw6yW@cJT4?{=`DQj9l*^6VcJp@$&LAGBRTP zC>ckDc!Id^6K`DSwKh+Sj=qb$t;6CU>(BiG8TpRw97RZXxZiRD#06$wem_yI?sHxI zPBLn4qLic*^+Re7&yKz7pQc5^l8w$3P`j>c^r*2CS#PB7N0U7s9wR z0u1A92LE}NV102osgayU$j?x5I3=JG_)Rg_3s3@nrBZ3+fW0pGFFb#H}`$KYcx2d(RimaZ}SW80xc+KatoLy>xVLv==9X3(E93@AntuJ8u=+Z5nib zAYBf(-RSRdF4U6w8HW}}X+X=x=#*WC&PN_l{$+YYM31=E*jM)xIq|2@Cxa=D7MB@l3JcUlJ+`FH`S-HwUd`!X)cGuo2^ALYiOuk zYL6wOI~XuIO^m;H5g~dFK|Ow-9x`=pWWpplvoM8G?=Jef_LjrOM{r8T85&?Tmlm-j zqCkQW;j_4_!^y@?ddy<{3O>6cIsd%;=O96Z(6IW_l5TqDQ%=1l2&Ocn%{AT)f+WP0 zIQ{LJQhkw7fnx%=g9MVaLpOad1m5yrKes|QQYiDSS2M46wverIAN9~HQMkL4o`U5_ zi7F!5K<6ax0KKr@DYfcc8RnyJc`QnT?+lgEB^uLl( z!~G&=W~;5=bdS8WwmKb>ahw@wei^wHo7SLIXjEP^@+%45jJ7J&&beuW(qZT-|2zv3@Zv&NdCt)YLdh^PeX0ou7MnV#0N%S6C1Y zzuRpGA7AJ)X3AzEu(H(>@TLGT6;S>csVmL%?(fx;SY>1)pqWW2ASq;%5<^-@b#^{} zgmEMkxN~3yMM1-((9*6VQ>=AxWf8 zFTk=gpzP_9AR30-@+ zcS=2_KG_1JE=i|Xd~C{gX>-k3iM@Mj>PzTB*z_m|;!3o@qBq~F>|d!T{~2WX3+lH$ z(RDq-txIqHjD{b#1w3l>`uz>$N(CR}@;fmV!ea$Rh8-FCK7=S`7Vw3*rKbiATCEj+ zj#_cm;YDW6EUA~!DKr)FBpEiwF0LOGVo1A52bYGTc6B8V=!d#i=ea>i39SREDna3I zm?i&8q^y*Y_pn^&IrKF^i)&RZHn&r!3=F2j*i=X25@(v-mOfGN&{^s-!Uy1nx(y-n zxdok}CiJwlxSC{u`MSHJ=VSE~G~L-pZRhX(MNY3rwh{PTSVFpzzqr`sDNwOS(<#tt&-Gz#CZx491G68xzYB&pr{Z!Y)`p`n`z z2O`YFl%)2;g(CFWj5qhIWQ%*3?KOheNYNtBgl<*e>=#~{RzKAwA4c#1L~#PpT62qy zmmR=1th#s$LwTi~@&(i|qZ|!|El&;1NKUa$krxNcIJx_a7MM{-&gZCEG7?!S2yu3i zLwbxI&8aSmfEYGjD)XS`@X-*lbjP4p2~l%SuxC6-r8Au|hCwJSY-LtZFv?;*e&1hc zOe)YpQz%mh-&DmyOiL9|2S96axjgPR8!|rL!BDa}W}&`Fg5dAgY`HaJV0fe)a;Izk zVuFWJ?nlOkc!B3EtlY1{X`cP+B#+r#7GIYmQd(Z z1k=!@+g7bbdW$6|Xt44Jcy+W%#j7p|K5WQtxHzf)#M=hAw2!qSRkgao-6#?;I0zMD z@^-9_bxOa z1`f@!iJ&gC{>%B5oeeJxp)t(LIpL`#vI5lDoJG%aLDZZvmGa%~4x&3-iExu3553Yd^cWbnoRAG`DT8%L zn&FaywR81wbuK$O(is}6imb3Tx3uII7^Lr%;`p=WabYCD0vsmd+hDU$4(uY4vFe^AcT(}y2|Iy>BgqX}2+XZ7h zHs??I)NGTJow|d~hsH4Hu&cOmHcPyX9xo(CL!rVQOzbJe_@c7CutY+>!p7QxSzP&7 zXMUFW9Ixw7=Y_ufP=#R33j`O=GcG?=)J8nn+PmA`y>&Tud{uR_6xm;O*p+QWM-B}f zW35(gbWS$eiIJ^TmO9!uRW(fnqV{eJE~=N4a)3XbcO&5ZRl^MJao(UjPwJIO{J0*F z{;lv!Ji_BQTsC>xHX+ZQ+uP3#KalVBI!Hm+ceA+JO+0c7tZKon@u+?Tu1b&&`ror4 zV|=a84iawyolH%->P>c~?f)+R9VEu~Dh}7b0{i-#r47=3?KyV3JA^P8#Xu=)_;k$~ zw1Q#2li56}`4*r0>80}wT&9t<93(90IikM!&dODbdIe{#`_p07s?xx|Tnz#aw{=WF zS&70yPyov#bi-JJ3N)nf?-M)Aa`X$?ydM6-ICA3^hwVY~N;QtlY3fqAbvZ}$6xs5ouZoIC3e;6_VIB~)L1^V7~X->8OS@1ry z7s4Rpg(T(HyipU9lyhsX_4UD>#Olpf#NT!s8OLiISk`7yFPn8z5ywQ}5&;;|LF`@ak7q~{LfQ)YV$bzF>T2<2S*`-RQaOjob^4ig_*43}~_Mnqyh1AGm znVQ3HaM}?iy}&lE3H!x9YIIP${UlXT+Ksj(avQ(%oU?&VGXCFYbC))rcCaN^O?TxF9=+H zQ?lLh1|t)uPBVWv6Sic^@5ty~VC>eMf9+TFaYof@Z>M;_MufX6u`-8400k0PgEvs?g1g8OzBLN{}Qi6rC0pm2! zD}xJ>uq6e~@l?xg^yx-Ux{w3~3KM)j$P{Wn!XgYI$wU6a@VcH^t{PaIfR#VH9C~cW z8crgLtKVY=v)9Ufc%hOG#bM5?x;c^!h;)qN)Ah_@vlHq<3x`h(xYggw7T~GnmFI~R zn#cbw=)4~YRsgJH1ham>6tC=_&~iYyH-r7uqy`OZHOTkkvSLuj8i)+7&3*prH%T+e z%8E?<>o;m&*NkR8Q$<6Q2JMA_N=)BCD(aQ$=;EnVtqwXmmI+N=v3&LnEEFB%(pEdq zT4DKo63B44vn_2?f8$~rPFhf+?#53@g!cBvC{QEIux3Y9ocm(dhFlxB><4>dx9_+1 zc;SBE)#VH7jkQoY{nzOLV0z(fU-7f9j#>~)2tW*GYV@gqHrm?W9=Qg%SB8&D6EKWO z81hwZX-~6%29yb<^{WibH}c!xO_O{}!TITyB0F23GAU7!3HT8;>!P{0w^yg01citw zCo@y$1e6>QBuW)CaL~I>*E7&7G@0;G?okEW-(aecZi!==Qq`A4&)#@S+>ExMSa3Eh zquLO^q-jFQu18YlEIha@u1MTs(k?vyHQQssN(3~CjpePBpip}UqbRR0##^T zNr>%26d4WL(P^MOKu*x4W98#9*#vxE>GDvW(%XGoQ9@WiDLjv#Jqxx2##lX`mr{s| zL#2z!LFm0{{3h>fB91~vH~4z0TD+2LulJMsMJT}bLSo?Tg&{`;_G0x%rn7tKT6sRn zlQf2B25@2aIvd6l^yJ+X^f)JifFPF}!;!WoC4g(rOtxidN)hxj!bu?2s)fO? zQAxsMYB${Si=47?XhU}@hgg2!YWG`m7AArC{4K<2TZ5~^L@BSlsPeAd-q&Z)wX?We z!k1UyTV6bZjsiqKlhj0fbeQUSScNm-E^h&idtc@IGV0R)?P+D8SbvCyjIq6{@*~Wr z2ql_KqvYTNUF)EiXjrIizJ~j zw6;a-T8E&5wED{_z7}xfk!$8ROj@Kiu{V zJd(=$KyI_Hxa!JG`5$$E-I6j3vNkn!nf_+wJBSNLm{DQ|e#qdfxAw`)ZZg`~kK~_X zeiN0Jwx1Um_=$q+W0N^FVp@uBM3MhT=kJkEa8y7g2PhT8NfcP|ION)aof{8qb@1pq z*E803F4<@N`E{6`d_@n!B*4El;yw90jVn%MUO^xl5E9W$y0^;c@n=iqz$d+~eT ze`K1FQz_lNO;lTCB3bDSp*G7c1L7Ioz!p%PUdYVVZt$_FwDS(9Lu|2-Ja;)qxSEeo zg1t~irouu2#o!Mhsv54m` zpM7G=KrHJW2!&h02%N>J5xZUNiXL zZM2|PMdS!#g_O%*IxP};4fROS{P-HdO_0w1_8q_;qlh?~g)X%K92}+RE0Psj8Cn5@ zZiIWIwMJS$yM?1LM}R5F@Bsl-8y2_^vMa-@Q~i32zvLv>EK08LSFz(y7*L`zuhsDp zWYCA-5&1m#zAAw5fZi{!@sDw-BxvsP1c`a?{-TV*i?C9rSccU|Mw3NnXXaF(ORE$j zi;%@yK`4RxqJ%y93R5!!cW0C0|G=qMbJ-g&4qoV)+YbWUIqvfD>6AsT05lGlgG1gP z9980gh5N_z#pwAAv=yNyabPSChK-Pp*Uw?XgouVD#vC;ldDu#xd0gJS524Ny&^}T} z25l`(ktt_Y1hSQ=by`Mvc^|Bc!DX?ZSDK? z6^M3GoTTIwm$(?2U9H(BnGe7ZXwRB7Jy0q3bqvy*c_QE>_Nm+UdG!xI_Ya;|V(Aya z$;{yQzD&SK6_Ad9F`#S0oq&RX>Vk>EkZ7jG3e_GgU+Xh>jY2eoT0FU|2z6P*_R23y zi+@3iRb(TU5aaZ8uRyp4!_w2JC#Ml0t@tFWfV?eo@e7H#jRxI{AR%tDOIff#r*XDQ zQ8K?s=~?(!)h??Lst?)$%r^J$zkrE+%j{176PNNjJ+4Fv3s;P|dLj*Hz=${`hYn(* za9Y*1xWoxc)+=5L)@V74^Bj1R@Lh=L@PFCUqa7A5DAa6-*LESS& z5tRic@>M+#CS7vkgQKrVN&B?vj&QSe3RVL9rEzrE7H=eD3~j^f{FbZgYOSdCE>k`% z%QqH`bl{gu8OKisjE`Q6KaB_m*U`+Y-mgEXgIQ$WcQ#w&_kA-O(g5|B<^d6d!Xd5_ zkP%0!w^UZhIm%%{p<5EA)H5UHVy!jrMSBrGgu8O4kBCW!Md|l6r%}sF%0djOR}1^X zaoHWi6TLjHczZWM55|V>>OveatMJVx13r~{k>@@L#9f(FFo*XD&JG!Iu$U*Bz6rV} z`C&Vv(>FY)dRTmD-Z%ZPviN|jt`Dpg^%pGQEEHY+Az^7J-cw96(LhZd%~syDWJ$4= zR%1rdK}Hp1SnAM>l{+(-bycwhj`h8!?s95S=gfj)bQ3cwg0^4V+ac90z8VSOL#(;Y zj3$&+;5d@ptLei%)_J2FBpDf9KFq{%E5dy6b96YSrWOeA7<#Bn0&RP%Nd}$RIV&Ln z6%P*%F3ZjVG7MKk)BhygA>D2~@+p!TsJ_N;pqo)`EGS@*9>_v$CWz*pw0JHUAw({#+lke9*w5Kv-HFk!!g zMpKp+(MN+~6==&F0$&A%uRA2F(^f0Hp;!s=s`yWLeSO9h){iFM_o#8i2g#vW8gCG zZWQ@zpwj-h{bHb0K9+j^o2!i0&iAptzKlP#O1@LdZVGr^bPltQ>!4o)BqI(*f<7FCNa<92g_w4F7jnbLY*zpqX-em z$q2}YFpPYqQ~4pGuA<;}LLSYSCszoF%h$bl0vNAj%HrPfkft8FU~7jR_pUUV@_MSY z{e(n0Gta{C3mnE6(!P{yvPKPu#&)uN3{;ew-2LNWCg><~!==WIL$W>K-;~<4!W(g* zylYw|v-b=dwX{J}4m$+}F?!Jk#pMgzDX(-4Q$7dHjuq#A*6(y%(%L!`N&i+&siT>f z%9Obl)n99}TD7l-7*Y~bCIADjDd;hm?!|WVF(l)C^2zS7Ob0SNoOAs?)}%kHQa8e- z{dZLJYqKlruR4fDp`**<=M$KIv$=gm0Mr6={WrjBIf2p68qroD5-odK12^W&x8o`Lk_g8<=Ar=sD_oBJ39l1`pqvrww`X51 zynjfCyCei#o{sR~A}oM{kU&TvBSG{+d?AQbm*Kt#71Dxfa3`A{lIvMsJp#9bK#R9+ zg#Ykb&M{*N;tA^|Y|4So_DplZ=!WK^{3(!3gaa%PqPN5?y2viGu3(I>xGJmSCm{7+ z+`5fO2xF&2qVIXrir=r^WSNYpJ$7|?`gDc1p}L}=;D{RJob9q^Mn{2g4IqL3DQRdTU;8w#9TM1D z@bD}2m@s!KaI+7cs2Hsl?~4oq>gQxvSbF#~aO0vnVEAjJdRjc*^O{PD25wYxL@GLY zHpf(*q7tXt3v$XA7Bn03sUngJyksVnJ!)h9D@wN?^;1Xi{wN&1u&fT%_z-1_N-zrx zT_aL$YWi$Yc4=!c26$qm4b86zc^5~?j{=EkaT{nzlr|~JE((G48N<~Wh_&ktv{V5` z9hqfNc3sn%Js;yFS}ga~ziN@lWo=0gj$Mt%epM&{8uYSGb8cqR6$rR&M!HR9KzvlC zRY|_}_prs5f)z27sv6pp5-=mX6PY-G?ljcyZhkVKJU|6bq}|1$D-92qCcv7rv6Hje z$p*%>Z%a;9ZGW^30XAbnc}tPcwRjjJC==ND8-Fz^It|hbSb2bR(XI2!O0%@rBbSmk zcG;Bl%4$mNcUNjtd|S)v(+cn>d4TlLRx}Y2fpdrSJTnWM)bYGfo_$@$O{S=IoSX6H zr;WBMrcYaDsrTj%8%a^w)|LFh3>VH@GrwxN4;+?mNyoSf{eynP%S`VJvdkbpU3>UQ zN#z&B5N+~L$Ma+=Z5kd3IlrLIKdsp1lw1n@8OLgSMKQKJ)mb8D%!pFQHuetn5>4+JWqQ9EjnXi6HXhg_C1|Cp`xp6J($`Z^j>}T z6i*`m*u~}iG?G_j8p)W!+5MMsa`FB!GAfGN+5W5>TWprF)fZLF*QckKp^1W0g+~-m znoo!0%W@xHq0nP5Fsxv%cNbgCU#!vj5*8MBi`2W#;x|K||Js&JHtaerTQn@30(4n85ymd|3OZ;zgLx88yFl5JXp%4umVc8uEj- zt{&C3q#I1MB$&0ILu0ocazs=2V%$5|-Gc}As^%ky{xgBea*I^FJ+;f$BvW`SsMUN| zhxXC$PQ){N0E)a){{hT#U?l05!X3^v@mD`$F59N$f21D*7{}w;Vt66j#Y*e$R$%AH z3Dhx|o;I*WztyWw)EZYi9fJu+9Yi0liBGo=i*b_Ki$=@=*%@$NJPjAxY%4_G^;xWz z1W6(4Z1fNVv%4(I;gC7sJ_zXD=(s~kb4g08)(i{bLxZHsXM_GlV+aee2U|PS*~XEg zlYynW+oe(CYx*&t;8|5cRu&H0++JCGVTESi4BiZ%M z&GDl6kByGU-b>6biS&C{{z7zPu=%l-g%SZ7yTJ}L<8)u&`5q;t%de2SD%N#I2-M(h z7lRuyhU~vZSh@NpT|Od!aAAHi{h3K}gqZjXeu=%|jBcX(r^W!pw?b99jmnr!zetv5 z5#lan*90)r0mdP4C`qipvQkYVI^o-Ohi0?OvzV;pL42C2Wp;*>_}g2ytc{={Y)6!L z=d(`0f>?@DEWwkEcM15PP5vf1!PYOygKh9FphxU{V!w^)2VJ#mh7_+S95bxsWdgg} zH#yZyY)sNq%ykU4G7IW!c&8;g~bN>NC?iO3433qa9HhP3mUL5W)U5e!OCD>-fG#+lB7W2{m+ag zEU~D_tQ9289D$A8Xe!d@<>+ISX#87&U|C6)*KRr#hPX zH^(v`sY&8FZF@eroJjTJjF$l4dy&Q`B?YIW>7@Myu{PR5s71u(3-NB5ZOWpl+HH0* z-|vLpTh(f-PfD~^{j#ov^T=K}+R{nDvJ5PBhOk@qHM`>@ZH)={940U1@KhfsU%sXy zetT$v_-oalI^x?zChgIy={VOc9t43>V#RN&WF&+_pjs`zaku$^4>?!;Hz&m%`>%Vk zfjXD}@CjmxE!mWom)bxqvN-5+a6 z>_l|j4tq8R&`c6#SxrgPyBx)i+pRR)XIydC9e5Pb-IyIr-=DmE9m$yt2ipfQQJgr6 z8b6W;`n!Do8Z1ZRknnl^F-Tfo=4%;;3!`!o`gp*1oww8=XBf zNt3UCd#gCehz{lcrh(!ohSY(^65cvz0V|t~1jqiD^dl#MaohA;1k zm>$@2u*;ZDFG4Emqbtj0p^A`WSwo?r=u{B>sj4=osy09>{W?xx1AKN6alz%Z!SFwp zd&%@br{8Is;Bh72Ha#9AZdx##)=X7x?1j#-FvWy5XL*mb;xxzzX+gf~$xg69cJ$^p z<0k!ezftDi(Ta7WUSgtJ%4ABCWp##K8i7b(a(l@@1Dnn_s7%|&wm~zsbPfzEGRx@= zjAk;dTGV3H)_C8`{$;(dr>IWObyDfI0|(1V0pSomwa-OXP=+0lWPwVT?aH}F>KfCd@m2<^QIqBp>Xs3b=6sxW_R+3Lr1LF}C#aiWX z1EEkpnaMwpq&j)zxk#r0)S#bZjBHZCFrW=Ci+GM^eL5Q&hLBOPtG4SB3I~5z+~xsC zJjOgU+Q+@pBg{eFTGOpZyPtP~)G{e(niZLu2;RVu|INZ6X)*hwyqXTyKSPM}2iN*x z9lQhn9$mPS&wyyJv3uAcABA0KtGW9|!b|G}mQ?}~@*5onGmf%))*o=n^b0u=bNuz5 zhozmc`ucNSq}m?>xI7dH!C(PXslIJxj4oekO5dXqcZPHNydD>zg z_=yIU9(;_LoF1!d@uXk}!@OKZg$LgH+k`gK$Dq`=d9syNhOu!TnC?R~3u5G~kw~X! zX0~f%h3qsSN@r1eWrc-x^n~RCTS6hQj!{wk0pEdR*(`qpi=|2ZT{c?5eZ7?@KEqha zD7r@c8WrucSH!WeG|U8qoHRROQC&XLIBU>TM`s)Un=o-PyRclLva_h@4q*V{AEiWn z*q~}s0;O)y&QpSBxo|-&yFMafPVZZGbAi}7gKv!r4p#eErJ53QTf9(pRgZ9^A;l@{ zFbfC1!_;3vj%2hSRBxaF5)5VvZl-48`a?2QWpui&gPp2QEld)kfl#J%hn^pnTrA7S4f+BJLk_wq??H7Nb2Y+g^G6eJ%fy zJ>bjuLX}FykUcK;@q@=XZl5VT3gIb!TiYE7L4i=X<=8jUfvd4Hg{_;QLzRHSzYmVF z1;wc>G$>O%uYw+CK0o9>e!T0eVm~}VVJ3JN!#oQ)CLq^0(FM(BLZ7 zRE+8YHUKesko9MeNDf=@l!(v=`@;~_aPnr_Ri$NsR@?ejftdazH8T!~0d@d28UC~N z>@IIq|=DSzJR@@Y{n z>B$C6Ku%T~LRwIlp+rDhuy*YMLc`|~eQzJq!b-a?8~Q!94|#4LHk%3f9Do{z$pTi1 zWW~+y&NEOY0r5j;u&VCxa^{YAX>5$e^cE%kATi)b^9LK^^(yx-2|^XO`tV(W=^}f4o{#3w z+*u?qY;??aZb+eZm9Cdsh(22cqVxDo5moQdcge=mi~IpvTH2-4z#~*Nk^#)H&#f~p zUmC%m+-+P~cGz;#@%Re1P9yl0NTg7A^ZO|dmtXCzgPN>CqNfDxt$xf33TK-iC&g_b z2HDFje_7O&>!q&JK_EEEEns2ymYtBY&2qVf3JleTY})dIEkh-_W_n5ESDa=F*L=($ zH1Ev?=Yw<&Qo%=3%0>ZUPx0bm8^xyA=e=P)9z2`?F zV@pwEw}#gzoid9Me)%Ttd@z$AA0NLrl|^oUwa178_5av<$M(v)CR#YQ?WALLtd2VB z*k;GJZQHhO?bx>Mq+_$=v-^I&yzjZr4_LW&)~Y$GX4R-M-c>utR~w)FdVPSSAU;=0 zW=fB@viJe~H?{^2F(@Fn7@-L3ufBsba6V%3C=W6Di-5S%vw3Gvt>i%lt$CENgu`cC)luQK=<&ZH2UY`MbucGa_*16qi^ zV1Cd?QX-rA!}`&v+}IxO8I#?KqFwi4+bvF7I=d^n$kp1%R>`%8MHwdo!_D{9@9$=h zJt5y;_Q@RL1p+v(Xd6P;2EGV|TB6{}M0)+6ZHUEG37nJRhNzco-{3?cSaDG>pdeGz zj%yd+rM9@)Bl=j3nH*0eaOxk-kc651i?JjYnN`;Mk%KP#sz{_`BetL1J5si*(5<~1 zb?D#q2Z;sW{V@<1B~zb>1*j+XZEr3hwN6^ZdU6gGHA5GGbKAjXzDPVC$YBx>H5Q6c?}v6b%?*Q zh+YW0JN2|LBw^~}%V%8idj!wnvkOUmOhp!6D^{oBEWPRe*TG3+ob0E%sxAt2`nFI? zoBLg-ft-sgxSM#O1k&WIu@uGV`@4o#v1+5N#%c!LfBOYpB|@iG5LUHneQJRGKYR`1$!!wx)CVO#grE6S@A4 zs=jzoU`JA_+m3J(&mS;kg)6+8oCD$sB!f5t2Veo_ z^7zR@R!07(Exy5!95xTM^=l7te$M!4`^cqn4lk9);9Hd{%y4xw*|X_q;DwIA3WfK8 zq+_J)#`t{_dOU-)<4v%CVdnuTk*~nQ7}0Mh0~GMDDz&oWrW%TCc9#is*GFee-UebG z!AIgh`(fT_EJ5jDT8DtF&sG?{z$ikXpy{ji6q&RLMaf9>gO*f^m{OLln$Kp7^Y6~N z4q$JN167sE_%nd0v);uU?1-NIW+OS!{{RniHGt}Ej=VF+2i*`16f|bLe`w|AoAsae zas?XZE>K?I#(l%cU502?y)odO5=Jk7eAI;UuH`pij|oM| zf^lM&Lo|u+p6)F5dNm`rceWjR?g|rej5nu@x@8b&H?AW`f$ty&{hCnX$Ub1FVYw!@ zG&JzdSFgz*q7K!wL1DojWOdeAV8zb7$t4BcYVnBjXYIl*OqD$seNh3fcxYWV=JKm5 zh*tc+ZVzyt*ENVhcTuKCh=2w!_^f=*VllOaOKBUJIdtr@##W9afPEP)#=l`$@q!YK@;7sL4AQ58 zmX!?KT^@G`}A9YF5#`J-r1)u(@C( zM=DE4d~9^S02FgjNE&GM3`FF#EHdRQ0M=tGuP;7Z1ZI!j^FM(_-O*A7)2QB3upp3+ z_?-j`_@@xv!2~~ZJ58=@PJ3zmhc7p7xk5~4KFJ+~PkwI@jzL;eTO1$@#LrQ!8qZLb zf@WDh<#6WAq~XnWPi1BTayxG)2s1R*2}x8725*%}RT*9&kUgO~Hy#M(Fv?B6F!L}? zzDq;)vlxA}vv+mzM)*m)b$XKEMqmt%-{m)eYJOS_*@1b~XS7`XpfF*kPCFQJa&UC) zx8AIQ1^)H0HwKaKUCZ?6dM~|@2QNj7`NV*}f%FCbpw#Y2{!ct^S7>8W=~2bJ7}v+C zb5VVh`N8}e6wje5_sn|fUiiT(sH>PIJXaFjBa7tUv>^>&aVi3!Di%_p^iA0GF=5<4 zjJ-fgB5W($&B|#y=*ZQhS|g@#!B-l#l-USGwK0{N29-J7QiYKpu5N$kw;75y5wd=h zM7F_JLJdqvtLyCt1AArQ^LTIQYXJ+WMGht_*ssT6VkkoZ4kq=9btnc_)mMl4=pfP= zCxKn}Ul$h_0RbVr<`fRnva&T@fr$@aa`=|utCILr|F-bBfn1X!J78D-t?J)mp@IZI zdFz5ig+`egJgiM1h+(47{XIO4`G9pii0uXgJQ|o`;ufGw_xtwI+1dU^I|V}!lufE((fqK>c+5r=3DKBTea{ayd;44ZhgT){m zdC5~aNO+_|bMl;Kb1c#eP*Pj*r*w3oycK5#68G zA^Am)LJ;_;7GvSV{(%@eV=I$AY=#eD+7*BnGxh&S$^{w3yMI~fWio0Ea$)hypEvX~ zK0a)*Vtk~p!yV|x1S2RD>+#+0Ooz->DQlaTyeTI3eZ0g$NQ)Mb_p@k4a12v-wL2O0 z1sBW51?wA>G1wKr={I&tnH|(>VR_P>AYzOj0 zDzgHa|Hm#k;NdQtO1Y$xClvvig>lu*T=rq`V%wk31PB)PjCc6<9EV=#6Q8-MaKh;g zUi@UY^gu@WWMbWepQ@v5Qae06YO>(>_Ps#Riv|)vhNL(>kkuXTpLy))i5Rd_N)O`JpQ4Q)r>#CW3M;&cEP7(27_H#QMhzCM5H8*o0wL9JOZ@#EPLL{ zT;UDa7&IH8cPr<8j+F{Nh0N$E@!NKv_RInT1JTd=n&7Zp228A5NZnyHs&W~t4F2`@ za?wvWN~xk8BmZ%o*ilh6={3R^->;J_y)MEqpObUkfqh`Qt8|R%{$=epL&!(aQP3L6ir65lUjcBe85`BzavvatoVGZx}6R z>^GnHxB@#MB)AEI_Y`JyO`?sB*8mHCYr9#8IP<8IA-b=edjVlVqY7W#iex{KS)2Hz ztf!1;s=No>VF>gxjq_7ApU-9#YtB7fM4qvY>zHh2Pd<0Cg#j)~+1OVv2Z)b>7D=?WSY`YT8)(7RkDMV{8`2TZa5?28kA{y7gphHb1k z#_|Z4LaTfKx_XkPBiFOUrS~~~@{3$R2qKAB!P`qDEmFBoNPiH`S&0s1$DyEMk2JC3 z7dHIGhBQf4nzP^Q=jXK9swF$O?anje=gXO`+QMfbhd~hnAB>_zT7mlKE$kRn(i&J- z7bz80?Oul=e`YJ5w~bZvHgMN0Qm%2PR=Z6>p86>QZCCvVG)PsF=Gpa%yxh5EejXl9 zm0U=zSD_9H2nTQ9;ULBmb%_~GnH5rkujI(=8vc+HXtC0xT~=w+;vv|8x86nRWl*#> zd(f<4iAr4^J_p@y{VhrZlWtn@Scxi?j!sS>6x2@%1N^rWx;!W%dzGrzuUyz#r7#sB zn}0Q&t#(0C)DXDq9R-*RMW8OL7j=q-IQt&H0USiY-xtdTp-_4z{BK*n;q~!6a7z?| zb2WucDeJ%95it`-P@g%E%f;Vq@3&YYo}B+C>J6AbwVu9;vUSCk>@h|bI(-4f0>5le zA1GM1ay&BNy1o~XVhQm@s0cyKNeKuh8_h~BUo=a?dBIhXz~wKVm2VmpGun(p6kQaz zxF1J7zH9|X>Hq?ldY zO;0~>PHqNP`uvCm@xQ@D_euHIZ&g{*Pc-CnFCTinUnVK|2I1a;kb5*2A#S>P-Ohto zUkgx&+lzKbjLKQQD;qU|aUAi~*l4H$YxY@hxd>w(G7k z&$8t5mSwO9G(yVNsz{cCi|j&Z3rd!NB=$AgD~SrH6sVPlpE& z8mx^cFq!w-Z}5#8p@#KH7ixpZoL+_2dFRpx)2wTga>Ms8XJ4wPAQMbnk2l*l)NJqu zl=9P@3|W6MVKC8h7d!{BVVG98H(L!e`!C-~&tYstwq&AabiIPa1_Mi2ONLZQm>pnQ z?7<8r&F^4x>gPA-mz~R(?{w;uCJ;n(H@ok@&u&+xH1ugTwkOrQ%~$`jSYoiLNbcJA zb@If+PLMzK_IPqid&)WI#ds#XaLxMO{&0o@nR8aLTFBdOqHM{E|8p_d)nU+Vjfh3N zdU-U&wKz_8X2~7-0IO&>#VJCLW#DOl&0|tyoEh}nHZH1gx(+)Hy+%LmlNPf*t+z3G%&;K8JNu7X)bT!Eus+R5sd8knHSqU8efi|ZLWZ|ShjQ5 zv_?*&G#=BRGghbX4%2R5hW0j?S9lyFtD9)t_R!C=o9tG;nFu}Ywaf>*eSqmKzDO8a zG{`^1NPx?Nwsx}`cI>I8-=tjuL%&Hc2Sps62kCiW8pT@uwRbt>P`(RbV1BB)9Pky7ZzmTWV4jqQnBqpXWSCXRFCJ$C1gCj z-WS6SB$!={t@i?*1gK(!7-0gIKbuux$r~X?E0vEDEFL?`CzO=<$3kxVNzwq&BQ(gH zf(HU5ScH!j)q)YTar1Blkil|MsXys*bQYl>K-y0rzA_VmCG2;|Jf75&v6Vz@Dmk5T zzZfyLp(|nRp7ITX(HPm^7cLVthRn+4McQOfl%t^70^H@QHQMPfHz%oLF|q>wZs7_S zUW9oryAb=O1WgPY<2@M|@CZ+k#5(U@KyTwxKMbbxDXoQLQ%>bnfl)@0VBC?R5wooC zldXOMf{XxJ>1hWT3u6a+Hm~z7d5YrtfsF{*#L5nTU4`%N*~MlXi}mxLPX zwXN9Mqj#32*qa^a^?4l8^NvIa_NV5k(m)bIt>2m~T+hx2vtM2MRK4ma90-Q%X?DhwU$EUmzm_h+M~(YU2Q z={M1%S5><^MGKPDe5K7-uEJUegUpvI8v%C>Mut^I*8KKmwit}Gn@JzI%nX;<;LO50 zwHIuU^=IDp^bHNx1O=OV%K22j3OAY>6x>Zpb2u6~jwFJhhtR*)xqv-e@j^hki{7YE zQ^E{|5ZrkZWbd2KONxm7)2vK-1>A60L?hh-Mk$yu3Dzi7LzDdN*cP&hYXWBewsW31 z61JuFyPsYadz^g6BrHEmM9Y-Dq$9Z00`00a2N#R3B(!P)(;*swNntYkYQa7qTO@i{ z!edUt)NA?srw%0Sc3F8S(XjpZztJY?wdG4BdWkank8M}-_rf(+kqQXb+jh^{=O>yE zLxY@Q{F_tJu2nKG;NXE>!I^WBm5s@#ch{25re!Q_q8Jb-BC6dZAMINfel8fjNBMmA z=S(3~*a+z$W-Nd6?4yjFn{#xGDAOQRbK1h#ebq>&vU9xE>}cxIY(#l%U1X5TOD6nw)Ir0{f zFqQNu;+xZn%)*b0cUIdqPqd7vAdv65;g&Kw0MF&nEt{@9Xm(gZGZa}!rzvM(MPHml zTYF1f0(POrI+QpV?#h=&KIqVrWJ6GdJ!tC|iFQD` zYYjsp@x}2De3P4PZ8EM_g9B_5T7b@}@D3VY*IY1?I)qTYG`q-J_EY~}6y3K9MC80! zq?vQ?aw;n6z)H11xugl!7N|XxOG_B#DuwSX^%B-{FbZeWn5)4U=`xR@QC7s*G)EbcDIMaO}R+-RDcIMn6a@ywEGa3CGFPh#3*6K}g9vkw2H zhvZUFFNxz6XOhd6n#FosMTUSM7g1QzFI(=73XhU-v&7T2uj`(9u`(>sT$q{?8jo|a z8T1AYh1QZKmLC|6*oAzJ$4D&`wHNSI99{zwG*N0diI41?^LKb7wmPm%@c=uJ1}SCb zQUXMOfkc_DI(@#3oYzm_O!K9AfB+3d81f^O!CuPSM7eB;DLSfN|2i*Fm8r2zOLbyd zplpCy=N!9I{wD{eE*`Z2VffGvrpt)N88kpZ)RV+ zdOIE07i&+e=L>%-zXym4w6WpZy?KbQEhL3;ZQguIm$7vG`-F zA9TY2Rj0jOc7F{TFN1GOm}0qY=es?6qHk#~IQa4&*mdu3UD$r`*Yo-+tJOMcp#hYf zUa(gbyej^eM1wj0`g(}D+3mWC*?QRt@vL&57We`sy(XF@?nY60NKKuDK%=s86FPaA zwTxCv$`x|cdTty9N`SdJAvvN#!lzWm>hn{_GefCV#&Ap`Tm8q!U$0w7FBgaB>DR66 zx3@sB(?SrK6%Jehd=8LM5`t$gxyvJ&eECs)MRg~~dxi=dW>vJzCTsVhX8f`3SM8zF zE3N0^;34?39tK3tFFVfum=d6jvW5-M6{_ODRh_YgHVi#Cfdp8p@^WqN1Q^DPs{XdbYd}>zq~5aGUSOAO;hyqL%zD zd60UPT}DXJyAefv+f5xB%`6Uydsve_SvkZ65meO$CBfX;T%`2n^HH7sffAokS9)e5 zQ$5vKPzly_(#b8wVj0Z*LS2*eqb3#>qv=&!!Vno$4*^HXfy z8$r#%rX&IOtcd~u#^#3q_ATCH^TF%+(!EDkd-F{)X6{_z@$n#vjv77#S-V+lFD>^R8IK0yR zA<9yQGT-pf`Do3)@7n~{uhM<$qR&wg7`KhD{f>e>gSznbJ0CgtCsqwhD$-_kv^k=) z6QQn;>43i)Ub(?x&QbJE>szXKMO-Ym!X!;*frpsJm3y`q5v_-~T?7t20^R81Vi6&qGPE3QgHa zU0bqxdY6JwQ0)R)X(EoIBnhXF!)vlmK)#Q{dhyS1G{LYGN^dTP9vf#=N?SMkZWb|` z5+Ca0%u<@}Z@phyKkph9-woe0W4${Qcypt=I*4X-m9&*dF*dHW~x zx!Y+{iJB|-H9UQvcQMM6yGVi>qe|Ult2W0w`69x4L$~CJq++pD>j2vvQv+(fPS9}R z8w5~a@zbANw2)W}Xw~=Mr5OKkV?oao-MWs1U36pb= z4%3JTQPK+-Rn))(q8Lw*1?=7l5QZZcHUyIl2b`_)skv3{E}49u_O*GSZH5g{&b+NL zoP#`?9<6-YL+b~Dtb%RikVofx3wk{cctWfw4QWytQ$@U)rs0%g?fD3~WsXc8 zqd(RwZzG|xPF+3^U3Pl`gKhpAwvV^CcAKT_jS)2r=gUQM2v~<4 z6exZE{6*AeXjSS|I9~Xu{P31EBjXP*rVTa$BrmSGKAoB(DVjaL+SZ8j{pymM3tZawlAuefvy+swV-t)dweM}8_g1uir*y?Kkwhm5En-BTd$zSbJf>Hj=F;>5 zr9W7i;5xR?Lk8e#QJ_K2?>z<&hsb(>#CL+AJ}*d9 zE6=XVk9hIE-wb?wfE)daHWzX)?xJy;NJ_~j*%uZLbncKh5Klqy3;P!Wc^P!OaAT0zEq&4hDHUANgjCKlBEM+%r{%Ufqp{2ZfS$GO?V3jp zv~}LD=n$GSYh_WzV?c2w0C@Nc;{A!!^Sl4{SFRu;A(@qSHdzleMGWLNRrM-QDW-ML zr|^G>oRh_V02Kk{bweDd@9&%~P)(I!G!v)@;?%Ld;RG(>^?(|9??wFkCK8b z${V?_2Qx%kO3fEolE#@q{y?==g6D+dKdsPVtB0hJ>>o_)@rj zt1N6RqfQHI6IK{55^xZX5U{LzkO6&MCB}UZf4tya8)SI6ezJ+javjS$DCl&XYuc&H z08S^`?C=`xe-hp(>Ox`0%a^R%yaf17>O3n#l%e0)pXMSFui)|b30?zh0)JQ zG5(_ft*7G4QYJ}#Gp0@p1pf>1hA1#Qj!431kdlA;(DlYyj;__30OnIY9@l{Rb?q*C zS-R?;a)X41+S*zbLHxvR(HHA@adyna?=&>}2Z|aBvhavyennI*3I+HR3~?ApC|V_u zEdssfM^i;bsECN|3vrHvRnnN+b}F7q5O-)<_SMGozoiS~U>C6MFvOwF23$_rIFg#i zTNw?2rW2%Bf>UQef0aMmbpU|tM2Z;A_RZk!*LTC)n!)mSFj=# z5I67q`fsdIz4ozTo+xmbY#fWxRcJ4>>8z&r_s1vs9Gg^lUE+})ZPukK3~2gdFg)YR zGz2!lv#$RN_T!2b!wvU6A~SBjl8VN9<9rPZ0qWqkZ=qCf1MXGj`Sw6YMux|ggQlxG zC}E>%TeQul{KOjZ(YandHgyN83o*4UjD7;nJ*`pOZzk>3li3^*7iJd$I7S4WXU7($4qwH*I^wvq~lWg;8SOtlB;F8`<}Xfd5uj=`9QJ zr0cq5COIBWC%0^^l-{qRS%|d$-n42?L2~hY>oK46$i({ho(3=;InLIA1)PJ3t*{kt zf(*q3iQelx;#dg~bl{4>-MpzP>iw%6fVuh(n0eWjS6)KrPS6Rnvz004o4(spamApS z+?`&8Mk;dI!Sw(8wjc|f;K0MsJJH*Q5&)}@Q-Wf{EKzPL!QE<*=_6oRex)c`kz{u= zt3Qm#WvZ*(X1%l&-_Sr|rENp|TY4ho@!71ay86;@c>~8EN8T?%wGlL}n^#Rn7Z|1H zm>|}xdHEV2EYIX|e0VHjPpVqZk-Pe*V6!5B0 zq>?A#A!=y_!()I8CeY2)A0zNzhU09IG`d`KJiZHblrTZ>C$Sw(R>O){GBUA3`T>FJ zk`&%+&)*D&?{^B%LPkSC(lSX$IR?R+)LebYVW4hzzm&KbSq&%q)ZEfCD}*03ppUje z8V~dTLJ_SLodOegD_rRvd203h$Kw2e-JRHO>~mbbMqqW|nMs^Sw)E&CH?_6FmHWHi zxR%({SLJYdDZZHP?`N#e-`^9=1i%ylB3>!r|6mS{hudzaa&8EPH}OyxZ%Rbzk1kcN>zovbg1@UhT=ty+(UC#Rq!}iD(1w(#Kt_+ zuR1;eY@g<5;lo%BzOw6pUXaEZ_hwCdg(hd{Q5m*oR_s@sTLIS>uf2SW9=Kny` z)34|Tb~#a581UawS5jJ-&X&=%jr>*7{C`0ZN1zA3!GyS#I^gKOvLe;vEQ44PEXVTa z-Gyz;Y~Xi3IVJb#-{lqWr$H@4x!q^chF|)rDXSeD>MY_f=N_e|*O6ktzWpdW=1n@` z`RVNJ?zBp*uT#THn-NFrmyxzQukZbb3SG)p)e<|hoI=diD!;7F+Vhp}?N&6MI!lwm zv-Z7Z6OnPtoZ1QSVZaLC%dt1VPScG;!rHb+2h~&G$aif2Q+U1C0)f{_GIa+31XVNH z^LWenPN2i<@GJKh6JE57lT$*kNd;~E`f48Z{Snq=rfa%>8k^`U>c8V|pUVJ*41)=f zg#NFYZD$Zwg`%%#7hM_1MV@4ND_69N&tQ~fS{XrMu^$QGT3h>8xOs<5n>y@3ud76n-$%T)I8T>1EV@@vifQeZkw`rBYQadNazhMG_Rw>q(c z9)+SK3fP|C~`d1tki({+E_q48}B4g*}F_uKf4*(E~UwR(k3rr!Beb{p(x}_d&LAe|I9~h6vv7B4_d)$hxCtG*#I8S}exk^$5v5oBl-Fnsjx= zw{*S9k%_Wc6Hnv+swtwy7ck$Yse6QT&kHCilec5|t9MR!kQy|lxnR57(essKm(9ho zS%VRRF36)&cFby}v~!{WE54jzG|YPSey!iQyl;&ms;dlf!e{+J%y`yS`1&%ES6ayyY3tI03^80c8{^4p%ZR4~>>O)S3^}yUmpZ_iSMEV?J;ny6 z<)kCmJ=^8sS|tiS$Z~w0^u9RA+273OW_Mi{Xa%#?TEn8j^czW*!ox-aF6j8T+ zI9kX-gavtC4Iw}M8nV#z_tELu*4=UIdR9`l?RJ^9`t8{Te;$uSP)cb&UFKa%gqUta zjo>EJ&iC3IwBor`=?Gun1C9Ju_idm0_9pLxcDPMvRhLKd&K+E)E#3M6WDv zCldttbcQ%p*hj`{}vVC8_y8AO&zMy9H zdeIw0pU?i@wYLu+pM2>IP90?v8eJsxr@ckGR4nLrk-*70aSZHhHLNX1?o|D9O(R`H z1GgrMLGpE~{yOyi{_SAI*XwxdTUfbL2iAA50QBk~)5zB@vMwoE1vvlQGbH;n zs#WWOG>uCZg+B#q4`)lY6vl%F7m;FRe!718n#q>>d>+X0Bm3A~UGY)zYx1JeFb?WI z-L`P^vCK=z=!=TBx32F7?fB08ooTqt6}{kTzs~k>{tCcx<4(fBd!kMovJf+A75O{9 z1rFUb!~;WXBRt3%a)$K3*KeRS;0*3)Wi=lGjCUQEK^TNi*VWlRND(VWL&Z{010{;S zBW(bMI{)ZI3=Xg7-Wt5rD)6iAHX9?j7|H*P!nX%XN19a#lA)}Wd||GdS_arjNlHec z2vDHxEO&Xj-dI1LuW+`C*iDdF!A$0GAvSQJ0R&HmEhi+!5+T@o68q<$K!e@Y? zGSol9Uk?@KpA~x+8kye&;Zb0YV|OI^(2)QV15eal*mvru)5tfx+Gf7(u2GC8M_Vy^?^!iIx4X zu^2*5R~@x>2rln~@eL4kfZbl=N`!S3ZXM>ysxOz>eT`IQwIpM&=7tj+obJmHFr* z)|6M`;OKjL0zO~oLOCnH;YQu*XdfAWN~$m8sS_>tQP!2!j0yAy2$&y+CLReMLITaM z(d0|aZz`>7m)3nHm1@NC(QWWCn^dHzXjGV+!KM z;qwuk^mMGO2ZLjd)xrgS?o5iOe)@v31$1OzDq9NEVyXYaaN{A+|osSIZ(9uPl$HsTHK z8wT>+PWbgs_ZfA9P6SXa#90h)VDAgn#1`dkcKftXjP@ZQK=QY?l zvE$Q1jHoSga79x^yc36mQGNsT7ZlQlSTR7;CuLqdU2V7Py@lnGK$|TU5prBdYH-TD zcA=+fn6pe^uQfP|O4B~Qi7g)O@&a%Z6$p?tt?WRh?-7Ys;V8`4-Wei5m;CR%+U^hA zqeHjCWbh%E)4B7Z!Rk#Je3~BW67vO6xRiEKOyzX5xDCm``UG8{FXsvzLx*o7MQ@>z zzHV+4M&Yq*amK+&%_p(;U$kMv3HG}1GY^6k6qHK`8-hso$l)IcF3iJ_vu;A?h0oyBQJ-Q2ecDqoLxxGW7-xDS~J zyHuyj!vf2-=1NG&Fa~&5RvaXreP~n4N{rcE94ARXIYub6%-cs_90!Bl29S}_2t}s?t3fz7LPNY*6wz2UC;`(@E=H z$HB@}_5J(u5+a+eJ}Y^+nv|rRxwTo8uO zhVf#3pYKv2{a1+HxhmpR%2Wjk2$xLfBUmArQ<)iw3S^_9z2+0uOGRMlmidJdOG0*A z&WGK$oJ9BBH8QDw3^X1z9)*5)0_z$ar9CKEeO-U4~KLQ3Fbpj4`&|qP*5{4NKx)Q_Z zU2EXIZd}IyiflvA0!yVE9mC=3pf^6#udwIkl941o`=QW)FWJ2UJZ#SXOFN|%ixC?6 z*ulwUppfcjK5Q_jEg#&r6lQ1PVgFp1Tk-UWZUuhcb3{uuzSz~(H-!u&vm4nv_w$ zG-0g5?iS>SX;@8ScA2F9K9n39A0I!_KcIgnq#pjgpCp_T9Iv*uR^XwT|0&9>)#-?40y+x6_^;4(WMR@laT zrKZ?GN=|M7wQ7(HDOBLELWUDL$`}i4ji7^=L|M9hT6#Enxw$#b%Dm$IyrR9J3)Uau z+1(u)vV)8qN_NQ1H#VaTFwdmkaM+3Q`Rj+JtCJO~YPY;4eBFyM(f2Iek6zg1?hd$K zCn7=)8+CwhE_5W$06M!_Ks;aN6udR1vrt1&jG@@dShi(@wjc%f96d_0M8Kuc`yX%U z?g1#5Uo4z%hg_^VPDaFtL;h^iXsw&^dgy>3jnBQVNW8k2%@d-2u)@IPM}q#V>fGJE zWq}MaT#tv?7x(3Q%NGi%-Duu5e3SM*smd%lS%G3&Jtf78iyOu2ykBgbCC`fb8;96^ z$d5=i@zZ{HJxA#3-7cUInA@Cu`qB%0>WNE(tibx7PT7-U>%J#b?&B}Mz-3ZVb>#qZ zA@($`WR?-i=(G0I|a& zf%&%%zlA^A@PM)ksu3INJXphO90tLP$?9Y`fV3rb=omWl2B2;9)$_Q#4tW2weAaUj z);AF|js%qW#lZisI{k+b;{$;5qCa&gzTg>C8yhPlBf3^TI@{f0^`}Xhh~*H?g}+3v z(z)52tT$X>0G2BZY-|sIZx2HDXXS}akYE26B6oi=P&z!<*w^+v%?cwYq{)hpWEl-W zWW~XPuwb9=x=Umg{KC`dT$CGCE#)(gKc_^L?N#~>u!;TpQwtCgxfB6Ya}jgJN`_@& zxJ=FcdOlpZuF(XlV@hnmn8zlL3G(8?F~U-{ zf;kp;>wf}%UOGAIb6pJ8`pRx zJNxxCgv6O%8-&6-*>%cya!SLloUMNESz{xDmL$V_-`A;m7@>)^PVo5vJ}=e_d1~O- z_#()6D;|M4gx%ENdRl^2B&tb^3ndFfoKH|<*0Q*{$c=xQQBaofaoz=PoFV56arpjC z9-bPh*|HOWyAI*oYS-hRyjnk(>FGgwXfNll$k>0rh;ClFICi|}_xie1j^2Q}T=Rr$ z-ZP6)JbRgy&dyDHdM+WeXS4z=<8RvT^5~_JUy6D63djAd)z<^z8y(864QNP!$RxNT zZ9UP|ovrW5U1|SQs27W&&*{`~acpcJFpPLUHgJM&`ukJ@LuGTEwEPO{d3!pMf#~(_ zzw)F7b4+GbugfmI*FZ_sOpq82D5A)NiyqkAHE-)Umk3%|K*O~j-SS_oY4_;?t*hWn z{}qaI3YG1A5)DJtz-6k8@#W`zWFgEr++A5aZbgtJpoWg5VFK_P#M&xyFdSVc!xSa# zcD?OgN9BIAyC)nPJKj%C`^yRHMj`=%>XJ}&(<#a~f3Ok9N<6e-oUIk&m_UN2UU|Bz zP0p|$mn~}^`8O;FITUR8+1ND4USA_bZ)7hg&Y)>s9!z0;G5+cSw3HuX?Au}C5Lq$d z&^I~2dNnuKk zb!zo17>L>t5@h)}-=II21b&li%aE7^Pg77*i2nLzwcZ4)2HRb2EiMl8^xqY5XaJc% z2+_Z&s0bVyiSUOT%v;*3QiK5q&SZmlz#A)A4DkrP$&g6Kan^7Yn+b~a)xyb$YNhOu zv5^T+*Jr;%mV}&1z2AWXD{C)ZU;m+{?e~oqb5abh1rsrHs?yYw5=h5ZU^9pIW{Wd) z`R17t3e13U-qYU`1RRve;7zSwf<&M-;jaqaMFh2S_I{D((MQWdj2)_N3m^rE$=X1WdYuB&G)m!2? z+SmkUYL7Vwr(LYV5Z7w8XGZazhN0hf$f9f97~BB)^T-XifK1c8$s(R({rffRNdiM{7vLt{4&}raEX}%)xY(= z2hrV!$fhPNMTGm1Vx8m7LTG&U`1o=YkFrVvITswnN7&pDsI4W&RtumcH%h_90Cf5wLnLp^Xf*)MB)^TGp@Sf!MH&1Gz=;8Z3$QQ1EHB21f z7lb#5%IcT+jqb{D<+{J>zW=O z8!NJ4r?>;LVku(43tz^y#(%Gk50fVnC8?7wm1?_SQX>eFfr+nS@XLNQfLhu9WYSE# z;$=FP4YMe(atB_zE{?LLwcm)=E#| z$Ba(J%xtQ{9T3ofrFTIS$Sm#6hRJh)x5y2hdW;F+t=BY@&}QRen`2PRde*a?GJ9L# zuC=wbSSl3>kL_;^&lSXQ6fXEH;t?r=pJ#WqQfRr-_G*vq^JiDbj=LuZf-D5YVolz2 z(xTPZtKMz+i6JuIUlOFPdiy;1sxokV9LkgSAn&$};&Z)GWar?jI%s;f!!~zcOP2R$ zSgA2UI(l!D^%@h_Fge{mAvdaHj?nBpqDMRU`kYB)q0uTzou~V;`%z>5RyEt7d`V$W z0dfzB^jipl%x_4kdHi9TEZEA3XCa*Su+!^Rt-*xN65g=syDoX=MXQm@mc<9F5YeWM zXMoukp-sr={@*Czp2eK&W z>m9hmirQ}Nps{V+jcu#3ZKJW-*tV_4Y-2Ze(xh=?+xd3-JnuQ@9pn1}Nyf<9d#`oR zdCj@r-jIV9MYhZYi0iI-HT5B8gYzrR2Dfpl_L zZTt4~Gbw7AA`Wo99pSyj=O7N)0`(av*jtWhmK|wd-`D(r=;%n_-5F^H?aq$P;F?_s zd67u2A~!kWu@bXSd<0!DPyU8cYV4q@R3T&1N*-Dud$GVJdPu$>>J|YWCke3hC7{6W z64-%s_fK_%BTE1}usN`Pz%G~;g4DJ#v3lGUUY>*i%M2)%fb=rjTj=Qahtdaw&QwlW z^7~t`YPe5J!hn3_YWOIZ`x$We#MEFYd?tq-|K(;u|7z>` zfB-jl`%fZTrp>@Nx&4*=pFI9ssK%Sz)h>~Ay~`OrQ3pmvVB9k+jon?Gg2rckQ(s}V z=|+6D1@V_pXP|Z|io)Fc-d;mi$K2o2tAYxlat4uQr+6G6{ zuN7SENL0<5Z?u*83ypE1787tlA(skjL7v*X^Oz#j5)wd_$akZc%Qc#3cs={=tuGCANxLI@lQ5Gz-TcvbPOp7u{GvdHU-NPi(M*D5Y2n%0{T@y^qd$0AZ*1 ztl?8$Vwc=aCdXIi^x-xcC8myrXk zWHe8Mi|E@zcpV?0uHyH#xg}QUOqX$RYh(tBNOh?;OE)p^1I9pMcBMj!2uu8#@RN-e ztyM!jCA3tfF%wZ_gTY7DdHkCCO_J^jxppi;XE!#EZ!SGm1A!x@Z}T(PnItN|(H|w( zrXXXMqnA8l*CRe`7X=|92JG*}jmXm5y|sF>Oj6#Klao)=T-^-WtdB&8xy{$uLX#&iTUbN7tL%($a9*7XzgaM<ncS*1F~7nKJj<%}ruY=vDT){!%!)1oei-E$&)r)+W4X zV2=lWMCREg%7j`9CqP-eeccy7mo4r(S4YyG5YBzd> z3WdpTq{Jie)ETP8yu+DEHNn`>Am4v{*Oy1ksdu{1P2z3+QX~tBWQ%dPXYrRS8dSrD zmWKKf)zeZD=PBXscsMP9d0tqKwK=Sd;BncZhqjqbSCi z04}O1NJ9f2Wz31)I%};TE;QiZyEkp&p%mVW{saM^-6`Gq7&UEJt>%b+MuIGP-y+GJ z-_5k~ETaq)MJN_0`ePJL4iCMACb)%nF9$yY8}wSJA9x z`0ZYl@SsE!Aga%IQv%I7T8RkE0A`%FiXQl+z|+bnc~coNE`75%vnJrCANV8upWl z^F$^^+7VRuNjAN(xT#T8G!IVwqoPzRaj^q&jY=w#OBb?pUi$Ji5+7TO-SLou;6j(x z4)2Ito|w>SX5Hp}-bH!c3Q9>&Uk5R4BxR)M;!`F-PXTBTEXuW$Z6(_5WgMc~DHFUyzK>%UMhZ+(zdu)_` zMh||Fk`%_e@jF1Gbg5yw*aN4Y#PKpstYA+}bv_qFsA17J3_sgBL z&^wjR-Ssq1l>z=bf-CjYqupwEBu!!7aj9fUtUTJ$kKN>($0!aoaVUP>Fv&B)mkDli zI{Q$2v0B#Te{PS40VLo)$HrIy0wm;zSu}@-Vlo^Zq~PiquI20T;MBGm8Y{14*;zn! ztnW-6eHduWw$oYZM|buyoz+oihjj_L`Y1foi4FogEIsT#)^vhBYkHIJ(_d5|Ng*BC zZ%ew9n21V7O3ERA;PPbxm%XRO6$vJgc@)Sy2@^dbPePYIix^$Hg1K_&Ny@|UKN&Dd@ zHh?#lEo%I2?6|AWI-J+c6xZ!MVub*s2+c3@ckw8}?eSa5f@q)u|4L?gEih-)Y`E;+ zWaP;*i@)9n^@`Q?#{GF1rBiYctNxXSg>)-EK;D*LWf@v?B80YLyRMusQ3D|w?%2;^ z|XbQW+puhQG(z z?QNSj&jy^fP_bLlU$vYKB5uwjlD>X9$vRQZy}j10@oOvtf>oh*;@u)7%wAE zrwnU&VB-S=$Aib?S(Y9MEf9eBGDPCf9G2iJj%AQ!O^;r<_z!@gi9RW6knh#`VJkT1 zY^Vb6c7}bw{sb#!MPdg6vNDI29BPlgEe}pwd^&c$G}L#<;YEp&RgiCgR;v!?FHvlv zWxMR2V{Wr<^Kvzw)4jPC?m&)(F zBAQ_2H&2<9$A}s%v|2~T1uiNMI3srO+VHu4tvA{5$E|lbywY3cRG>I2bRPkq`y#oy zNRe6S=2cA6M!|FPJfFQoHA$k522=ioOo?3yHjT+;3^u4ZR43|L zSQp!gyqpZg1pHgGks$$@buaat$MTfg3BfbBwG!s+)KaLv6n@t6U*csUsKO@Y;m~9& zs|q+whYYSSW!%BRXE63(IW523>m~o(Hc~jLm8=H;&$07=*2l2(b0FaVeH==V_9t_^ zuS}yC_QWA6WO`U_Sn}1T04EkO!~uPc?*^iB^~3qY(L&e9=_z#NprpZ_DMoREA-5kJ zLh>eEm3nbI(*^GQlLZVwV`LvZzE+ei$koK$3%exBSg2tMAG|wg6(d#g%d4wC1Z9<4 zjIng#=;jYBCVJA~fgn4wurjj##s!O>x^DsJA+GO8sxPQvq4F=)DQuy@uU2LuEUnwl z%oHooUeQ$Wl3xd_JA#usZJt-({E8Vx$Y;F-#0L#FHyq0P50ds{3EolIOY?tU9ZgWX z+Ny~^DYzsTZJ`xGE)D}O+S9K#1pxpeU`B$C-QP?g%Bd_d3jSI7V0&FKmWKAtSTQ{Z zUCYzcn+!!a1?N}v^*&Red6mXK=C{>my9R*YQ93Y&YSsK&c{HW=6Uw+RBHdY$=jnL` z9L)Ol$tJNNTxV^Gd~+4;61wetKh@S|0(TvqsQI0(Lkz`SN$GVNeYD3avoM2Q7pW>8 zz!4}6BA8+hUUu^b?RhZTV{Fl}g~FK2CRaOsVC5(O`!+2nf4Fp?HJMI6UZww~SC#e~ z3*eJgQC@}X1|DM4sAwvO$=*}SNP->?N@XvvKY>rH=h2P$NO1W4fc|`U9cg+>yZgJ9l?Jk% z&`o++r3&)5Wok%q_G35EYRd8Up1&ZEwG3QpdSYtg#Pe|w9rZBeI%;|_^nZ1X@)meK!7Hi5lf%UVqbqX8vh(-f z62E_aiG6D2uvb+$dYNY6D?#~&u9i1xVIiN_dA1QHLEK;#^&^4XIS_sCdQuDp?olw_U?##y)mauVT6#sM83D}X{kAn$`` z$uZixH7(i|b8-Cs)@syG>Cx}>1WV-TEe}2E{QPNpIq#(BCTS1cjPI!3o89O)%=Urmw!!GM}%ZyeQ-JC%P-YeM6+Tz3Yf`i47~ZbtmAvN|F<$q@Y==KFl$%nlJ{cyYbyPeh|3cdMxdk z`8NtBPxv^4`y6gL3U!A8fC=qOKgK%fUgs;kZT$Dwo9X4RbYGjPL=9%I3eJ^$9H;+m zF6%M@YzG=N2W-!X_3{Zc=OAL%nxs>GRx2Sp_B^T*9A(lMm+!ib?%Qnwp2{r=InPMi zs!ah3!He-C#Bif;v`D_5?QYE^%atKH7?jI=^6KIRb<%W;b@lpfM<3_}0Bk)zK92T} zjEr3T1qk6`iQFpL4iRKD@fXv})j+FE>{@1ThU{nj>dC>64iUr)CfvN${0yiCXPF(0iPp@f8mqeMTg9=SeevH3qf zL^QS$oK>L;D?1HjcOZK|74ELK*kZ$J=@h&S?|vmwp}HyV=l3@0wN|{9dY#&{^LNBM zpLh$at({mQH4FYNE8s&opI`9VcI7iAhk~8<&9NM+#KiAP*rs?-*k0ZRUJVPfVeV(s z-w^ki)(e*c_8n4HeTH)w~HX(nSr^R76)bwbTHN4Lf>nI7B6bNjVD+8;8POC38 z4E~`qAMzZ;|9gy~G#6DLC+SU3@d(K;eDW~KJy#gx9+L=8dk^y-k|pDr3C++k%j`t1 z6vS9>wTOztLV)lMc@lIyfD$bk2#M0DD~Jam&dc=^Pixc@x z{qWbqF4fad7-sxIAqhw=otxe|qMrFbYarSG+GXi>kUHA3;Pj#+Fx_%q-dWs ze6QK+liZz$<*5Q^fN_`&kx`3|J$=80P&HJo#bJD#xCKm1?x5Ik8;{vNnJ?>5P7l#djcwq0*Tw@5CZy>A~ z@9fr+ft=U*L|GkvOeI)U=X%zkomWop!VUm16tyy!$E!2?YZ*;UNA_GxG4C!Au*4GJF0LCMQr2jn}6-oknwyk+>An_AOW!foQAmX=3Q6sV|gmxu{ zBN#MiT}f^@pYD64Qaw!u+Nt5oESS&VaT+?O@Nh5NxU`p_qLJT+f{DUOz2Z}6Bu|9w zWwUhgwoQo4Ep>I8J5KWt-~%@J*KGhLj1o!#?O(~X8T7w3tK%pCXMT?1*qe3&p%IVcecew zRyGEm>IrL|3f^p_>BE&FnWi}JHk!W%2CQ2DpDDGv{d|LU zpxN;_MEC6xe67!>#@qRwrgE2;RXSvut2*aHNtMXaukMTI_mH|-EEe;z3=U}3Z1S7y z+VxR=uTG}-_e|7~Eyb@)Qx#l5Xnho${iy4#l6a+1KdtvkvvNM4#%vMA(KnHgwA)N& zz-qymDBO7>2X?sH52;@avMXot^BQjz@H;F3 z{@0J7?|TTL-8Yv*;jdGItM$O>jDIx~FS?M_P_x2n<&)dqmuBGO@~159YvdEh!MKHi zW}E$A8z*jDjtuaxdVn!X&C2TAlT@Rqw`($WpbQfaISK2F+9BlcUkkuF_Kty$I~HH0 zr4KKbcXM>g3LN6U!)4iQqfzZV@xM-~^ zk;ZP5OW@o2Q`w5X)HkiAhH>=2dOJ_Jp769Gmer!{I9d!3iqc^b!Ha(V3}{Sa&l|r z0rWlfF!y$Lk$+cTUS9~ko8UOM=xGmodV_(S=Sur^)k74P?E40-(m{Q#FMP0H(uPN( zu0=cPS>O4bMU5V67aV@TpEHJ3NLm+}%sII)(w@8Z(n(Z&+}0kPWn=dQFZP@95Yy`z zPl@>=iju=!ub^`9-yv3^CWmMc#H8{Z_*wRv;}VnRPW1&QorsFQp1;lczA;-&j$0fp zJx9$U!$FYmfgAt$0iNd#fX`EW3+rl-P(chVw2<;_H;)iWL-z=WnB-8`rt#ghGAqC& z0;c2&W^H8qc-g>TSm~k;`@Q>($zvQKf9>XeWytZny}U#*`8(aMF==UKcN`!=Zy@x27l4<|C$lo~^kQb?>FPR`1) z=kZvYexJ0Z6ovQ{fuyH0tj3CjP&&;;Sqy+54L0mBhjhS|v{06n26Dn?b>4NAx^H2s z_Lciu5EqMHIwCA5q^n$ZK6lfkqv{&XIZxlpdE!S8)^cW|4T&6Yc$W2oez7p(npgZ} zKKbaPY}sbQGPUJArh;>51>K`s5f^8GqNfC1L9cH4ocirtOpUlc+X41E<^n9&mF3Ro zS(2)dur4rt;5z6ysH}6tPnNO}d~}lpzK~HBjuy3~S=&ycD8o9pnvFC`k}&6+sA(lF z?Ls?6?ND?;Wu{UfB=OGgpCNfu22Jf+b3dkstrssaOC{$F$u5CdQaJ+tw)T9RqxHez z^b$3+I0%c3qpfL)|7$53*XjIJjQ(BI{5*i9oUA)HsLOAd%b$PRkQim_JpEFD4Hy&! zd1Rt|6*huU=G~1jc4;{|5n)%14R4q8wAq}-xE=~cSUuOuqDB2=>!SrA5C9QhB^VN6 zKPhT>y9fceOlWDl!-s>C_XF_REgKnQ~vQ0K}Q)gUnyN6iY>_QBfb+ zz{DDS-%1|syZiVZtsk}?8-Z{IrIpb2R;%jnJw@1H=acVXSz8JasUe9l#PrdB2wauN zm*wrp>$h(Y*LpoEn2Z3K)lnYX-34?VGI$8z5v5+Su2=zy{_!gJDSd2!yu@IqF zp}Mh5hHG4QyZ;ojjO%|$>vc@9z^k%JQ)zKWF5GSg>&pG44(ZNYjr8U@Y#bg4S$leGJ zXeai{ih-oWc`RQbTdWyK7HK-t4{=BFNeIP+F!r#6@e_Jn-K{CxQE|+hI%>g53!K@V z?Kc2K@XrzuG-RXR7LG_0VP+uqKu_+=C&Ah+HVnz;*1(M_w#5jR_=GBsmOaUn+Xdh8K>l8}AWLinLmI z#|T;I0)CTTpt{OLK%6_EztVUkX z%k=XrmS10JA( z@$shgu}?zfKPKFs9?1SsL^ss>3gfGyxH1frl^PUMVyLr{Z}mQ@1czg)tDMCbeMl|* z3*GGWx51l%1V33f-j7h?=)5zB_2i`nl4T?t{_-RvQ){%~<(chn6_FTe^65|yB1~bD zs*%1-E#>lTA;BUreXkUm%#5l|t$Dy@ zq%f3%>SA8c>1KG_UZCat$8ia+%{~7*r|~y4yg+Z}@=gr0Mf29vNzQR)V2C-9&gBAB z6kmKFJk(YU;w}VkuaVXq9^bu9;n`M{4RtO-qh?fDqtMJ4Hn&V6fg+(Giloo>NSbhZ z_1~njMVd(hd@i_nLdcvz^o&})OUf*cM#c1(rFn!q>Ke3dNpWorLXlto?lDk`f+~Iy zceLc-jSS3J0gVUE5m){kVkk>K6=1#@TIgk67J(R0o(t~b-)g?ayC`gslH0cUiZ&V7i zp-n3)9r4p+^l6A@bTo85%ZiH&3`G#j_n;@0*(<(G+033B4vUWn&xJ#!ubZ}Cl#k|lgW7Q>W9i}{#sUMZ|2)dBl zX9*D0u>Q$nXto$oK#UU~&wJJc=(qiF%|*)OT=)jQJ?@TTW1sbK<&^T5P_lj&FRtra zoV8>;lnvR%sN2>Lp7Do$wODZ@BBE>O#|DO1jSWo|TYC>m=?0^>9m}VUg{YJwnP^=* zwIhwvpF(l9e@8^+uvl58OAU4=RqD%l0 zlA%?Iq3cj=g~xfiieB&k`fDlgU@?eqTp{Dqs>>wwC&bToOy2@JpW>u<_ye%vSe;v{ z$iDw(p8OQXa-(~5`}6>k)d9Rw$R$hY3xdD@1y35I-Y>LPBQ&8Psle%JMO`U59Vw}M z^NCE{HPX7?&gD>ezs!HSZIBcA#$MqVs8RkkJ~8dVVp|3HAy|0;8{~l$9~Y~f1l|mV zDRB-<+hM6repH7KgiR>h!Y4N>tO$srO%X*J3AH*bYDk>fWbznl5aNuuK57JE%stJB z^BGnO4kp3Q1Y2#|!f%S0Tg)Yu@QL(dDtk90)R0%i>ktv)C!*w}@w}H3U#$65^JmP9 z8!NRRipQy0zh=qxrSy{tu35q*59eqzc7H3EXef!mmSJ*YLA@561WS-`3(ah{Z%D5R zLL02lh0IYwb1xo)ZD~tE-BqCoPiChiwjsR7y3HoD)Yth%EAiD4;lc^bYH^{@Dl(yp*)XBjZ*@XF(D`hw0QO2KU}^9as9q`*}D zv5+#rz1TnKAKx@KRJyWefmgw2W9}lMamZZO8QF_YB9E^|?d-m2jM#ZjbdUK6@YU~# zJuYI{Q;2)F>2+}@3raeJJpJnJ4D345emGTJ)15CdF@Y8L@q^wAHslDy{|RJ?9>!|@ zSUlkC`Ldo0W+D`3zC9LBXH-R)fCpu5G{079hACjcVk%l>u=H!3=CTngw{!YW^KAa_xWuWm-_4{<5>4;oW5ZL08vcN7t{Mt8 z#MDtlbeGEWZ?8(k&Gzs9l)thY@;UHHHZS;*->qUdnuPB7E>;ZEPw1(L36cw^1QQ|6 zCdZO~>+x&4L_}41ExjCmd6Y%*sZjk7_xhjWt*amg#<>xl5me*-tAJ!kAH(%Q7X7l# zaT&j#)=mrc8IHd%EBkCw9!~l;uI9F-db- zu&h)o`7?rLDU*eg8prwwBdz0}(s2$6&Z&>P*^E^li!uLv-UB?G9HP9tzxygZhP?$K z*@(y!loedIk=nofzO0d-iL1#tYP<&wiu_S3#rmWpSnV#hxq=e)d5U zdAqY$BWVN$euC&ClCE^}@}yedM56pwU^N%{Godz4p70k&GACvJTpy}by}c?=WT`)! zucx&-rHlP$%%%x`ec=7#cMzRe#2U(U<$)KP0ir79}#_p5I_mA{$KAijOxigjsH>nDwB z&~VH#ww`)D4b8Y*Dt^>kCcdC&i|lE>_s?Ic5*|j-T-36qrbH1n3I6H4Ft>hJ47f98~h+X-xXQID>)zS+cw73LzZ?hREsqbZ1l9zjkW79 zB^&vrsNG`-jX^_mh&ygI8##t5%$}jR&;j=;cqRdAI8S_RuwxQ_fxK*b_mddS_>33e znD}}(LE9?gA@);K-rWqUlreq(cSHf2rh2#Ps6qm=;o*VPNwJ}Vkve664#qmbqPH)NwPh#Wu<2QWFvcak{iCx z;f~puiq9>$g72A@7x3v*{ltl%<#t`LY}-re8x!9ocM=K6iz<1JP$EnK#aJ32?^lcc z4hmUM;f3`l%4J$m4?CRTrPNFGX61;!k}X~#3{+B<0lQwo_Q&Wf#TGMJEc@A9Q)A<8 zN>E+RTK&!zuz}Wg1L)<79kONQRG-y50^Xe+rqrUiT$T%I49nTdlL@&7Z%@*&gnhfA}D5)^my5KImRhXQqpKJk6eKi@aqf81vak!-aff6AIT3o(|JH!T4N z^85d=qHo7}o_W5GnNE8YSy}mPhe?f}@DM-GfXE7PSMs7~s zgj+sBSO7&7)BVl;f`ZuZ^Si;r78XD`J10~ftOlY9%?1E>RM?_x4zrt`uG(~z`qcg1 zFn!qEBGQwEAcXTS^Vkix8|hL1k0^l^*C!_|3ZjVuxNN+2)JJQ=W+06{AGIUT@RLhk(tj(}OWM`sD**HJJjjq;#KHiZZh65*b zL4UuBfX&q-_j5uKAG{{}|HT?KE;n5PNe}Y;0Uqw3U>P_#mTo_>+iAJEtN55&APaSw z($8iPit`a5xk}TMhj})j&-ma><}!UItfTjgo`WtE}iWvI|?=Lc%xA4$rdpsnKtYjUi zsKJA~+=(Zjq1D6`M!k7RX-=ffOLQ>Imx%;J9V`K5RNDw?EARWf-aX4@WQ&BM2#h&C z>KYr|2ifQUn+a^I-92?=LLKr%^lE_8Sr&wi)vb6MMSyl?&Q+Rl_pmfkQ zU1-$typl?mOk8Zks;cq0T%zHEbr@T+;KIeLDp{`-062u#gCXwq)GvUI&GPU8Go~_o zM9Ro|sDhATSnk!h{rCg-y^(nF^6s)f6l6T~xRiyS1HLB#8EYUX4#)%B#}V>dFI2h$ z&Q%-^DCpFx3n|9QjFn@K}c$hb63; zqL`pQM~ky+%9d}4yj&1ZO$!@syrC$%VcljP0)SuQzi6@lZNgdclHwAalU6tja<$OgyS4dIHg8 zT7q7V?UJ~zb!SpKIu+{irmHtchflbi)uPN#WLU*+#580gbj-}Bk4o>AzDtccgh+#! z^G~kxvsVk=#ie!tiiEF&5LM;?iyhi>(f+sMBctf|bmF?siFEONJQqH!Ra}rI{dNL` znW^!c&)IP6g&UPzc-LCrSJ;Xmc-&?S7TF_MT@gczJ`u6HdJbJ>qHJw2!xVUW>NQWjm0Pu*O zr6o1}GMOmAT_Oo;{?`PT&*a)t*k4^HM2(XFGh_R?r<`?qeY0M5=9+F{tO~W){J!B( z;*Fwr2*^`>NLGO^faTVRCBf`x>@c136L^Apwq=0A8;BaQSb1sb!Dy^+Dv#~#5?^4| z>#ccSlTL70_fU{Dc!b6vl)sGx1DRP5@8^o3T}Ao5zZXomBDwxF21GV8)}=`=(4qE* zSpsytaP*ncQFuiP8okxk0M$ugrcU&etzKb_y;FD=Ln*=i{rP zm<4M_=bt7LQZfPqR5uGCX2cFMr9znmEqTSCgF*c6CqQQnegk59gi12MLw~8Sfn+XM z(>_BJ^waD-4F6E9&LQ_OCI91=)-;UN+TmMbSEn`)fT|ysh%+h61L>$)UDJE}iP$_Y z4MyuU4TGalkkLIMzF-1(d2ymiV(Vl|HYLR(<7!4AkqiuIz)|4i;ZgB;ys&FhLBEkr zv6VJPm-PExyvh-sQ7?(UJ%G%_sSLt~$3pU9UjE5qx3PAN>VdpB#$$P~`lgU0&}cF| z84Ts>oyaF%!v}2InshG(X(|FKal{%JogP=rRWLwKN7TUN5oj_2Iul%NfqVXX$8g?2 z0B-}JQ=N2oB0NtTE*u*(s>M>v7F>G`4~6pC8q)+PNt8=z%)&s2DYdeHnl(luq9c6+ z9T%6OovhebT?>aFn2ItH%y?W3t*?EjqN9Uzhwu(m@98km=JU9Uo5vGRbQm&$A~#ysH;J)ly+qO8YQewLz*vfR+nGFd(jx zN$q(lEoHYxCibLNSo{vTreCFG2*RwN)#@wSl#L>Y5x++&49`jPod1b_?+K%5gpuO2 z|BSg)p~g3PX|%U1ozEaIR%-73Lz_tVAB_?K4u3-Pb2~;l5$NBl7ojS`n@AcW@9gw7 z)IqK1qKLLGZ^E~}Kl?+xde;3eaIoricLGzR3B}%CZ0}0qt{vlXq;D^;8O=0khaIBiP+GqbyuHE&^kTtl2Fk;~^ z6sUViVdfYv}}K%Oh9R}HkWa0H(b!m@TDPC z`470b+id8pzDz(W5PWd;=)Hg8@L1|^|jQ)Z!%3(60bz6`CtsAV&UE?8*NvT+2N zdvP=*arst>0)e^B-8L8+#$lz2dKe?oNUw9cYAq!LBbOd|hjw;@ER?|pel?5`5RIru zwNv`wv=t177h_)>X-8Q3K6{~#uHUkKT(b2;kvw@r8#0R|ug3pRlBr>0s6aQ!E&?nQ z?Gm zE4-5!H%cdTi?DA~N!{cX0=y#<^TAJI`Cw4L>;LJ7wyvD%h>_$w3j9sf^|VQgbnmv2 zSHU-{NS>P$-IloIl5Bn5QsmO|MTo&Acy8m>m>N;T|1GW;Tr7_ZkHdz+dbGSKeg)2& zaqk8~OZigQ8bj~v)HDD`{U;UCt1^H5yyi*fn8H&7xw{7&lJy4O0D6K_iVa_giiXAs zLmY+6ZY{L-(X@1Oyj1Jp2v=vbED^^-PC+5M=mvCY9;|-J5tsnXNO}$iet_i%dJR5u zR3GSF5h+KCbMXJBEa2C0_gSfjBC)$sPq!``$Rg=mSK!VV5yT~qLrH7Byq}fbmXm_- zLWpdi`*n+J85VkVi_0;&D%6FwxEoY9x$B^@((n)ld=JX#VLe#j+K94KXnGBTM95IE z6G71xpn?RH5+$^{jcn-e7 zUuKdpMHfc}xJrVBk*Whykc_hIGvLxH@rfoXsyVS^6YTrtD_qGnH0e@wneh3kWSA|o z!<5OC*6&u9Y43L}p)ah>*!OO-82kpW<1f7S*H`_#5X`&e z8*AaH5ae5N4o#;CuI&telxXD^sxx{gW>#j@xz|y_nC{!H_%A1Y+Q1|U!0)LKv?5DN zg#N*qvhhE&TZKy54wHVh)4v5Z1Hj4N23X82+&B8q!$V`SnoM#=z?zZ)Yx+OEf54Z~ z$jHdhjM%RddU$M;!88DyGA@lE#evLPd7uSI>^&wDd#XS%11XmPKbzle0vSZSY4sO!qf17nVbj{iJ`xK%M*Y~` z8q7n>-)1>ZIn>mHZAL71c09zIt%2|)OUn2W0?B5rxfEFLWRN$~Zr*(&uj-wNl#Ie2H;bO_+IyA)6)deTG#^K((FPQTxUPb~@1xs3|n2J|J0yjrphe%lC zHKD&ebQR|P@{v&j-bNXSq5x>x%uLCaEvi8~5NqHcBM%`FASvnM zAlfSGtfR2eNTF!p#(hcHVEL?;h~jdHr$6?_ujz$VrE#A+Lzee&Ph}un4s{*f_H&+( zYr0}}-WRG{lJcs$yIbRg^ZfDId*?t#1Qx}%FDhG};hnEb64X1t0i62ykL#2C7IcYL z+qp^~=2Ly)jJssPI**oEv z4}e;mA3eNh;H$5j3&098th(6OLT11LB&d?ZXh^4rHY< zcU(8|4!o{U}u;hW?~I#)w)Zb6+hm{5I1@1O9q zKto9tFCH%_ne(VK@RKHWf2BC;jKN!2ZGVo|Nxi@00p-Mz0dsT$ID}GwBbYONpOLG(1d3ihS{0ucD=S!dDv(hzcCQZwU0W{(uz}0D;Nl^-k}(Qu!#LS*Q(bHNIB?ZKK6x_=}?b z=4xd;OX$Z(iOvMTFAdnie;D;^?JaBK^aKBA_`SY&ddq*@fzX{FM2m6ZN@Batr9OK})KonN`naHA&HFon*K@euWtJ?cjVT_d%M0 z$AGG{Ni=-kEFy?{@8mywaiESYa;OLJ!8h@NVv&vTr22g#+egl?s))C@Cl}(f8Yd!M zqIr4U&c|#6pIY1Szil8AhKGT-Dmm@cA8wLxttHc zJpe7W`y0uKPX*N$5QK0ztp+2~xs;d@BL#Nd%v@K>H+3a>jDANiz*e;B3bld{hY~>3 z{Shs>FijB~LH)J6C*mVjyovMkf~-fW4{t0#Ro42n^=`R5$_) zmi$ASZf_Mm*cy4e<>#&PY%aOm%J(~R*Di*{2M+9b@~?QCBpV!F$%K^qfCx~}5(<=N z=r<};{GSwc3Nt(*26Hdu=U#Q?o5MeJTwGFwl;q@cNLh$`{kD{~vN8}|agj~g_x;bW<642(ZNW2FMEx%T zWTCgKk=512WSkVnRR(@dpz6AFRv$4i1zpEK}=CO zooukxWJHlLEk`UjC58)lz=zuo2Uv&;^b|TwZ*e936KUhIuMJcgRbdUIA9A_zx7eu* z%0LIQuXz6{tPuyY1j{|G6ciLdV`lhB3(y>!G7Xef04sx;^-f8(W$6`K;%n~78<*%w$?%0`q$Xb+cnTbR1VeVlf`;1tr<C|ALg15WWMdp*>jA&&66mQ(jDnZ30MvC7}zk8->y1hnR&nfa%Vh z=dT!&qix;UW!XP&Yu5844}w^Uhg|O3Aty3zFCPH~JacR#GsOiXC3IbVgn1FsA(^t% zY}18fss68%Sv?&VfVccqQWmIHp8Yea-y{(T zijINiy-sadp1HXal~kS>*7dTLtHe-R4jhsj_@#f6RTl9}lQ@n+)}`H%V-%shqi#TM zia6TNdgAq+S!e>XX%A&|Csm*=uOqPaueuKn4`074Y1wKc^w_BX!?Ql3WOv2GUPhY| z({PRYnkMxXRM@=c$$5Ese9_U-@JI&sjozZe5?{(_&wRz+1lGGO%c`qCt&Q}XN&XH0 z-MVDCoX-)GR{I+PQQG5$1ykIs*Ja%z3khungvox+TwGQ0HC~6wGd(>UG7v@klMI<7 zMQzX|KSU0XYP;^lkWtpp zj5(RcAGxnc#D#u5;=_HNSibdU&p!cKNAurr$KDg+7Df}oW3V^o=jp2!Bw9$}YMi20 znp~gj-PiF&ls5Ml9e9pfh;Jl?63Pka=PYVPTdA^=`XQS=9eT02bmsp*zTPq@&Mw#* z#e=&O0>LFX8QdL0aCZ-Gf#B|%0Kp}=y9^MV;O;V5aCg`HkoSD&)>pUc{+Z$*GrMK2 z?!9~UA^Z(BWq!vBEFh@zKuDOz3injOzNGAF7 zKM}|O#I0xrTt5$a7y*rq|DC%<@PHjgyO!-~!{9Am+uF+CgOg#`@4_C|_dDNxE!H2? zf#*#V%*hhCrL070A$zWplK0!Ts{l*XN9L^sUUXR%5ptJQ9^1Q)oyE8RQcBlUICWTl z=h-n53QL&cRpM*?w(VRQTzTDMO$QUN?+c?g0jQ1cNP0W%506zKeQFLFiOEPx;!0+^ z*cvhnBqv4L!%whaJ1vz&Usq?J<@H@`7L6up9S%}!Or~sjrSMtKU{Axo)mZ2-Y&MmpP<4J)L&1DndlqH zNSu8)g^hj_gBFU>&|(3I8dVIZB%;KWTeiVZP#Wq_Fp9^z$j~bT+oYbZb&M|uYE7B3 zAD3QR84PhYh;@MjsLsFO^K9fORg@Gu z4y2a5mzg0j7Y0nl+F(8!0jjw5b|onpKjBS|fa!?|d()T)U^Lbl-od@re59k!y$cKv zH+?+yn-AQ<4yozsQH&7NmY0*l-@jk!R{u&6*;s!)4*@oj3)E9Q7$6bQF+kZKLHJu zP9o&<;a~0!QBwc&JTZspn~%R$)JEv&&v@$urqAs!R*B#Q42bv`&RZP*PPPQ6(Qn81 zjER7T9iW5vBfE!iuGtJ0#YgZZ3*{&0oNoVQ{~S7T!0Ys&0rQP^h1W@1m@ z2t5z^^}g2Aok^#fWG~!AjFChZhM!wzq078Hn~;Bbh{ZMA)xlekU6%d{F^5Nytt_s1 zQOsb(-=MpK!5?4~{~o9^c)hNC4t{=N}bsA+Pj+)myf`{x78llRLBy@Jb+3 z7QsgM={(hplFqCR1B9k|`xhh&r8eHVoDGa!`58$rl9NU*KKCY^EeqY=pr3D0U+gVE zK?lkBCB&uP4c=0J#W$O;F&YN8DzM`Ofo%xo_WZd-=X9G=;an@}s0ozhcgfV#md!6@ zKaU`$6<;t<8t2gt#ULyE!W+ffk2Y@jl-7jL_6PTRZ=#EB^;GRg-1(!`!JnH|59Do3 zU;@1tbeIA?Z-l?AAF@$qjxtfL=Xu70eZD8b$z4qaDhaQx501m zqaIHKF#M-@Tgw!xqQb63rMD=Zo->57D{lt2UvX7654fj?$BGFdrzep>Cz);lMeOi< zW;@trWr`qX>owRsND>;Tp{fBA62+Tx>VyF3uB!afPOe+0FR5miS0ZxyV1;iT{pb52 zif$Uq7cQZ8;F7w*I4_c@ zyCF;BSH|>Tf{v7D3?u;o7fzX%$%emwq)*l86B;rv!FFJM`|rxBEj=y{S;2-T!;nLN zRdU*)@1SY@-M1iQrd7qw6ShJY;I0YjDSo1SnIFDo%zPQjgoK>{$!_nI%Ysy;T(i~U zu~YynAWx?E9sgr4V=+$s$=A|QV)9R;rHE~9t^-y_%ilZz9VHg@6It!*S9v?gPz)L#*7)4&?L~dSg7k0&3R9DOGZ^ z%^+X`hD5#fbCTa~m!#2N;Zg7enOR=pQ?Bm;@$*VF$$s>@m6qd7^HUX6xbQMBmx;46 zZq!}oKwMlrnY+zoh3!-ts3O*FzT%I$LnolKaW8c7yfsA6s~25b?cQjot=6q^YzLGq ze|?W9syEc}a7h=*Gvl$@aQnSusi7$}FPtq{B(wNK+2i}m#J4G^4I)7pX|0LF5-`Ao z?)hNQOa&R7NI;i5m8Dp`3cJ_SA%A@Fq?iF51J`T&OI{nR-dZ=)em}LJBE<$EHSo*jA2alNVv)#AV>kwP|;me|6^k`ehZvVcT(_30dg z7N4D#M$dCCyIS#0K*R+=CW>r)BPaa0! z!*baBX4#GF<^?naz0|A7j;o<|z^uI47CQh}iq@i7xOgd$?8T@M)SXuNyEh>??* z86E!AXX1HxOK(+e3iX5c-s?V7lEu%jdg0f@dCwo>w{RI6e-cRW;VoL4(9aBiWBSXL zsT=vLs8Lz^-{x)NVhREG0m2^;dD;T%i4J8rLZKP}l}#atQ=T`ZU?g=#3z+(0jtLh4 zgeZn*N(cVrzn{5WuogKYC*!a)shwzr;-ru8hi`D)VF4sFpRI8c>n)cNJ!nitok{_9 zljqAR7U^Gz*zg#k7T93Zqld(8@j0ph@TV5lBNi#xqV@~--GaZapVxpZr0 zDsME>DN@L}IdVWho@>jcl%f$4znOtbXV6!q1!D&Cx_+&vUi}FBgZ6`^hQQ~xtk)~~ zQf{K4Noyg+nZT>T@N5!a6>rr9Pl6@%*D)}UBsy*v8Va&s3d25J_U$d5 zjZaA-a^p4KEwJ(6Oa8E10bI6(;)~Eo$L>2NWL+a$eujHD?FQVVNt*1PxZu4R)2}LR zF+2_R)YrMDRZt=-i!y49?rm*ulc)A3fiff6<`D+bLu#=6=XK>@sA=}SAO-SRja5ER z_sXjwRXQY8KBu!GXn%pJ7;Lcj-PS0|*%`ur!~*Q~+7F482bLTKwx9wuf7G&meMMDr zHz7PG^go$o*nJoq1fJtI!J{y(0^GXnnW#DI1+4owM6R8gaI!Qaj_x!d<*>l_)~tRZ zHH_zFihO1%j)B8bsGG6Ue~LW3m>qc&Ci7_POwG(Fn zPmA@|jVL7($>kR4UubGYp2S+EZn~f|@wG?=u9tyv+ek5w4=_zXYUd9P^Bm;EH;w#yFsVHpkr|^(VfuExT?yo_{JGhy8w?H08;l9x{D} z9>fOuk4S>@e!C4ehhJg||HPF8MV|9`Et6IauGW&H)Du7r*CB>FlQ?a*`w8K|6$(%W zT8)CMfm^Qsv|zL(dfJPJs{u7gfK|GyZcca(?oRzK%*8nRZ+Sz7nTniSQ*&yz*(;-T|Eu)#1fBJHvc%`tD0KKpk=JwfAB2nYl=66N~gn7qd|Z|avi z`ArC zzY}{2=^Tb52)mym?hX4$ssJJYZ+RU^G=0i{NqYihNoSris#)4_)X&$Li(32NL# z5@*c!H64<9G$j);!s>|;45BbgWb{Q|jp!J`4A^Q$oaQBKA(*kwF+teqt%t5meJo5& zX#Ds;f5s7|>!7*hX_zOLL<~+_3gsoKnPbC|$f5*{;GhrJ%gP6wk=}O&imJ_DyWFt-K)S*A7 z>+Cyk3E%VO#qr@bImqRfbU?)(Tgsr)WQy zRbonYByTTQa{#r8f0YFPp>2)mqM~9LO#XJ8AV8Fb-Wk{MLYa$T56(st!LU^>C=_o zhVy}Ky@+Z*_@bGK4|^#JE!|&9I)k4Uwm;|>cmmM_^^GW0AuqcFdFgkZ5$%s1=6cOv zWgOl%rhVG(=v_E8WcnV}kC9U^j4T7*7sWB}SxL2}g$XbEKt*YW?Z?V21?L3&Mq=rw z%8w{>lrzc&o_hsWHFr*I`kd3yx8EnLgFIwfTAiH_1Iif0cciHDl?cIb-~z;ENr$@B z2Q#V5+p35ZYj~r;Ds9ugc(1&Pm^U4;*d{@xn^T>BlumwleQ&ex#8O+NaK`HY`Q zeN1;>&Jb_2Jb~&UyIV+-4X&~vU_m+JJxzwtIieLNTFFJi7n(E08u*bfB8vIAv7vN1 zcwvWibgsb(Kc<&zoI+4-X7+wano^%%luNyjfOm<8Ly%Ov5sosTh6kQCR?Cz!JfxoM zXg?Je@k_XXXp4y~hwWx@tVglA;Ghok1O~p4_H}~aqfkJA<#5@dUOI=xQ+f6#ZPCDd zNSybT*{d$(zfC*jEWlM~8A0zD8lgif+5yEmD?2+ozZcIVgNSRcdfrcIF0$k32*ViZ zcD1vi7&wDjfz9-+b4QyCzp+u(sh3krhaVR5Y&!*4K!=|d4sx!YF!;pDTqq>@Ys2oQrC%2NBfr63vwN87A;+xdtldGGf zMNrm5I*@Oe2bae(BvC=8y!{&Y6;tP+G0LN5c+#Ov{Qj0w)(s|rW9Hp zu5aop4QFS{Ibc95&fg!}axnMl_Z6?(TCKi)T4v^%N7@n^D`y(^Jxn&sxJ^WFuZ)Lq z`)S561H$`*@Y&~&@-|lpg>*PUZLpRXr|Z(7v`4nf~%=9XgBMsTx0zYf1ficf9dU#fH^6!`5FxA+Nd@mU^zP0(W^>%#cH5&r=Z7tvN!;DYTG16$*8h(hg zS$+Ja5R>s6Y^QGGHD;u4p_J)$Cse8T`wjvd3n#*Tc}wZR3Tb`L0?}XBWwvVSkz`G+ zWbnBPD&AV%rP;Tt@mzmXxk?Um5f}LcJ${Lg^;DD5BW<;G()Db8>*YC%Ytqw=q^}A(n+5ZJ z(gyiG?H=?~*HM6v5gjRMJi_4C`P~IUO_QBapk2HerggM)C+O$~TjN1uhVDk|x(52_ zo9!(h{gD2r#dh=6uSLXa<%u&K*9Ff{_4cjoStG7@u=BhQs-p_N*RvY2-WS^=K$ZWP z&!!IF@CigxA+Uyt=nZX8q-X}UL7=RvJYE08J$H#FTj#72ud=S((($6bj9IO)px|>> zCiV|fKID=*GzMYF)UsLFd?!{2df}-D?H=zcCdaYKeKWW~X8L;ac0QH<$6STMm?e%5A(08>JYCzm zixrn`@F@-Ww%nOhE??bCl=IUTk2-kRs?%pua-IH!+jX-d2PndO{#+;%TEObphq5PP zokX4$jLzXaih^!2vksMsaAro-)0|s0PTY_vzME;^$WTB*(fFJ`ZataYyrTXAzjZ~O z4p(~u(ip1K|5GiNB9oXdSsxzj;MijASq&w6h# z4h@$7T#FJ)DkUHV7DWd?^s+1x4mmGEHBhq7_HLUwJ~wRCB>ge6jdl*l{YL9&S>Xpp zCA5@?VEEemJ;&!%cd&A{B89g2!^3F9&1igj*2~1>W6K;w@cFEHa{CITq&)?>90tq` z{2gNiD{26wsYQnI`CH^_Qd?5Gr9ehfZzWc{YQ{dG z>^RmP%WUBX4eD(bp$O zvF-_p=vnXR@Z;Q?cwY7(_tV%;e(Qbg#CqQW!>FRlle)M z*K`5{J2^4G8wLD--;cP*@3U6*iOF;XsL%Va4!E531dIrYtRYr>+K$Y7COY_z;u5AT z9Yt@+FN0lpj={2jGy8a+SSJU+=fvgMB`$53p`@d9vs%5SX|mNBJA!~qen$BAk*%ng ztN;I|hY9Go@cDvhyMHcxT4wVWRXP+Cf`y4^OU&*5xBsu$otI$KOeeVkpLJ z;Bf*`8!5jHALoC#gL83HX=m&yGE@HvL=Zw`E$@kPLj&Ax`>!;Hj}*um2X1Q7>0FE0&R!cZTulJ@(MOQ?}) zIUd^)(-I#rpKX%i=!1a^=YI(A_L&PEh_%1(q?P<}X9#gBK`FHK*cD}_kK6;|CZ#h9 zj-|jNdIjaH2B#*kLrpF5%i)GrSriW)`;*a$32%?Rl>^&VA2UgfBbRv46x%;f$!5u} zEZm~S6Xi95>U*LQR)x!@d^a|lMv+1%8{D}VC@&D8RmdFNZjPgA_*_Lw zWKxFK?CJ5<_vjvtv*GL7@z5bW9b#N7@NGJD5Tfc}TFjz7(kuySh=yz@N{r&zKKdSn z%J4b@uDY?2FPgpf0J*eSt4_QGwcp658E{oQ#Db$!x8)~HV&#*_~Hg{CYzz@l{^bpr56Sq^2dg^h4OqkW*;%_<`S zStJgT@pxi-N3&Nlvp+pOy*H&oQDY({@&E}5jpY4QQ(38y%3f!o$g;c(H6U&?B?)%@ z2aT^Ek*^Gm`xs0yAJg$OCPoH!Yom`vw*?EATS;2zyA|pqgep=c zOe)VahcM1HCfF9U4rxXQ3jV@x`*t{F^5Q={$M^@to#eJMh6YNPy>hAjG3u{xkDg%pr3$8?p zG<*XqXRm9*QIUv_OR>96HEGks43IXA`}MK8#fgEFSYaoZpuPmCs*+>vDD?$ZhL!b6 z1}#x;yY}s#0xd1ALV9x4RfC|d-Gzrvw&E8FdlDhuhDNuKd-m2!_PGlQ|C7xp05pN_ z^OhTDLA`r?B?$ZUKcp^;YAY%-StFvVGtBuW#fMHlp45P(rP70rq{AZm_5A=hcm-H; z30r^*use724;WtY%dj-jH`}MvHdR$AGAG57GDh|TFk)p}zH8gs$|ogtvzPB#3HE;Y zNu)Ogu|a+{fLv{Hivl@-C2wy?;GVi1Hc#Kz-%^8}ot8sh3fcRITybsAU&5 zTfH_@#38RJHMu9WK+aBr1Qn7wQud)f3=vrNn2D=i&OY>7nykX>#Ug)>c5`WlA&m2td*AC^YwJrZA z<+EC<11e)3@7x>aXUEZEwXd$OpYu$k__1yp@FKfmVPj=f-nrj$U3wf(WlcWy$R!mP zT0HX0yr3%oM>0DqqI)G@zsw0h+C=wulR1H-9Ls9|KJs7MG~u0aolY*`l>rzKbMOL6 zov$i7fQYXr(JRdee6Y$?k2ZJk(CXV=JlO&eW!*CIO)qNDcJS8CvM(lJ4)T@A?U306 z@>;l@d#Wu0n$LPY4bGLVuD-8Wmh>8(@5L$M-`?E3y$?3}YP$Nr;I1FRz5rlIP?{?? z#KT4kga7mwjWUJ$t1}=11r1J(@r`QyGd)K|IP$FY&=}L>_FW$}748CkPk^ zh|{LN*Ni~~ghzC&y$+uO`>_*{wVf=BdGoCxd-PaJ(IZ*^%c7@guO?gfZ5Njcj3JC1 z78UwWLJL%WuYm%j?|h0&36pNupbeiPk#^R%JYLc`A)D$ub6NZ@3`s{3IiE1$dXq;# zERpmq+R#ic?PchE{CciBAERqA9|N2bqW4*%tvnF7^bEiOWtw^&?8r0+2L}%f4B*hx zL>C{}_83nb*e)K->e_qm7c1;v5wJYpt*@)$LG(S3T=ZO6I@oMTF*O{Y#LaaoW)ew4WQZwCz(0q!O!@{X*jZVBY3nflL)9NE7Lu9Xk~ctco8qqa zimTJ2we*0yjTC&bF=ZLI%8v~o|No%7lBum>)ZToT_fjwZ<)sbIPGZHfwA8$~h@vHv zCv*+LKOF09@;qnz^;+;`gSCV{O>WeKo|M+>@g=fL?6~Y#R6FI<)3SWUlv+m!-Pglg z!&hiztvw+5L-v_`I}Z@$GVm*g{a0hZpA;6UBH}*&zkHP@hYrWAMg)_{nzzNF@+4Fw zIp9so&ShIIki#$2>S5f8p+pT@%yfv}-UzF3|MOVma%Yj!9M zQoF$#-&GziE-pSkF2?*gtTS22>FKFStN@Mt-fF8{<<5<7?}04`hs7h79ZXQ$QOLgl z`r`@*7zhb4kYIZ&r(lDJ?B)*=`}T~3eWjEUZAN1d*uj2QsqtlWLBqv+_pe?Yvcr-I zpX~cyPERU&#P!BEEoOT#ugL|5o*|iVSre(H<~*B}OkLtAt;_MQH0L9hT#oeZ>o!#-~ zfQsHSB!?BpW-vjT45|JRm#b2ssSZwIJ|>-8ViJb1hTwl}m5sJi!zmJx>SIrI{tYw4 zUz$+jOk+FzXTuC7^;2(oBM{hlloY|Dom}9(#ko0J`2~*KM*R{n6O*3YWrJK2iyRE# zGubR71tf3p|4X$(-2a#FcF{$!ix3i9D;x1S+4b0Sj#cXu9DeQBPtQX$>=pQz=G}%2 zr&`Qxp-&V2&sa>J;){};vEe@dAzQ^~w39u$cKXmTlk`nW7PN0$QceA2+iWkWv0Y3n zl$~^p(|YtXg##d{cf8yoXo%jHpyly@MC_NrZD8OLqO4S3oz#(!PpRXUhy=1d<0oJYct&0enPS2}m+IjTN(=3VP)J z+-Jr*qcb{?%lzqmp?XML(+Hy@3JOX*o4!3Gex$zrls*_B%LILGWyAn6{QBhQLBb8L zhy_|CidPaQl%ClJZq~fVJn8D%2$E9QXlQ6O;GeQ)#_QB-bL=TLuh|86KBgsWoav#} zoEw{GPnT|~@LUF-5=p+!p~?O~K; z>zK#QXgj)ejhnU17es0-CTQB1w(@jC4Vf!_{ z;PPZ8f9~Qz2;j*nDI5$<#Va(zyAOi@xEdl)Bn)9^wH@6OkaH_ub1qO+y+_TZ8w~O% zm{&IEW1rQuP&XCux}139QqJ7U^sd7d&N>dih5#ts|2YexC463ye1}d$L(hoz0TpTn zz!hm^b&gsusCJB1UmIyM&N`7E5CZh@bqsqkd&)~&(tqLWFa(M5^D#ocscGvH;C9T< zWs&`ls5T}a?5jtQAj}fRQ$T(wm5rEF$ZM}Osp&|uUj6p;k()d5Igz)7G2}DWL|;IO zdFAZ?Du`GAu)bTk83L;R3ZwKqp)UD3P5X-rs?wQ#)u=GHR$DhW&AGNVLCe9+&b}+< zR$I$G?HsUPvzL}KK)W#SvF{rS7jV0nvRa{@x^Rz|?~!j1ZT_dS`o{2c@XsG{Li!YP z#OUhcT2gqCD%%HF$76z@`w!k-8U0!OFK?RfV(p(!(UI^B`6xWGY6$+-eXrQKe-C^~ zx1l)qxX}gjaW$<84R#s!wuIES7UaTqyJ?83iQGNp>+0j?Rbl31kbbkmYl2~B(Qjp- z|JR#9@~*bFfA_wD@|S@dDbS^{0X^J}`PyA2^i$4NuJ*fJ1sR!^$!8qV*_ohtcr=7N z%gx!f7(^d+kO`fwjbf;k+WTJU2yym~|IGc~>c{qNz6`4$y6?6AYcUg!SY*#D@&lJS zCg;^Me>a?Am1&I|1ug#>v8`U(uF%zLbTU&u^U$f*y!1%BZmur^1D&obkF<*Bq_6*4 zNI`9%L8yq;q(CS1z^~i#ZjU|Ev+T&4~~|3CLf0TYsaWOAj89@&CE@jN4M!&20oII!KtYEmv!d9=%CVK8%*9r{gXi2r5jr1dsG$L{mf z#X|c_pS?w`9tcq!anNC@TboH2)JTq~;Jpq|%y;7>O>@3Z$8UrzO|IEFZ~MnLVt2RK zw@RlhMp6D9YSq~a`+p`kikn3cWPYV+MDz=+3d%yPLP9USB=&BtBayZdcCSVC@2__w z&O7VR4dV8>|Jofu1s8G@RwV7P^uhqt)c>XOyW2Yy0;i^9)~K?23Yv_D++M=P<&PDU zqSu+R0xd61t0&7%V&eMGNhe;%3NM`qB7_pN2z$sw{rVk@6moLykN54Z6^si*+owHa zLR(y`+)oCP8otbAQ9u61J{@U1(>+@YDf*YU#yp&~UvErUynE^Pjy-tpPkP=vc)4$G z@dfxMz&uT6pV2xw1LYtKUn3aapwEpFt=u| zz7SP{M~2gt2IQ|msq+82qZ9L~UNTJHAJm}WJAaG)efONzdf*pZGPsiBZpLnjIju*H zR26`XgrzDC4INA#5iKk%A;DUPOhK&p0T+iNf-!(x^O=#6F!dL%90J$ zQa^KLa+j4C&lC2fq!d%s0Te#}i!;JwPv@PChrz9HqfeiS2+u5sc<0Kquv$4zc(2sarJffqeBMfltGhzM}rVFZY&2Ky)$!FJnDChll!)9$< z2}x}Bn+uctzK{1@NLF31cIjU>v-_E$XfN4v zDLOLqXDtdZL56uqmc`qdQiNbEgg07wNX&;<)>by&g=+dg^@X&yyL9K_zt}Dj}Y1H2(VDzbL z*Ut~CEfN*G)!4X&Nie5Ba9(xmaq&QV9*?j6fQEy7EwK25%V8k#C<7c6-(o>3%t)Y) z$PQQaXBwP?&fM|jCd{`^0_S4~W=+>f$j>@jTH%HuXylfMynO?7b01E74p|O~GF7dyNyYDV?h0 z&C${Cn2u(AxHDyDM23pTn=RB)QMFwYiv#DsCoOlZFw^61fXu27-_`FAI^s$tlSYHx zj!(QzJg~R5MedX!y8$oD$DL6&TO0KKusZEwm0-QKVwE0+*!wR}B}i6YR5TkKwXKMC z4kzy9tL!lObrg!Si~(oCsD6@|MBVW+9`shwTuQ2kQFgGLF=#ZEl<`!qN3OaFt;$;v zbt^w82b&LBRDKPfq07HLZn{E1I}niFVtUfIbtiqmdi!$ZGfCXQucastTMy>x&+x}2 zUbJ(2WPSP(6a**2C_)oPvCHI|mF=69^fSP#i_|W(c?R{@aS!N+IjByI+M8{UOH@xOkx#C_Nx^9W$*{#bX=^q-F3YWVuqbS>)DIMJ6xw zKIp844Ny&sbolvXXa+3=^hj^TGt1WOm-&sT3Unr>{QPZlgOzslVUbC+!iucmGhC(v zJ9p6nrI^qrC&`WI8WqVR)FaVSNgE9a;q8;Fj>q8obRXHCf0*)cpP7c85$K$W^1D5e zzp^$~zj%JIr$Re^L5yhll)fa=Xqn>ZOnF?kXrdWmS5J?GtFY3`TcJ$v$J>^>iygK$ zv`*V72eC38+uMe49DVq{-dm8@bL<@`Uqr(jX|q=Je{HiX`v$L&nog!tVf^|;l}x3&szsCdaZdao`9*V%y>G*a0%P{#sIB+IDun-NGN--*jDm+KrY(A>r(LV1A#x)wp2IBh8V}Ai7 z*5ajvZpnrndii&-yqmk>4Ma?i783(qfN0qqBI^7V`c7d5Q z7-R7gvV|#6<#8I0Iah8?C-*j@CF2G?xkAJHZ{zSIp&v3@4&8d_#}Q*M_#D+Z)7~-a z_A4H4&|9*LrRAZ-lP1v*n*XmMzGPx|hPYC;a$aW>H3pcAvdvev?K8MlKHtn4%+PT$ zWl?RcXbKJ-9v-F;lS6%k979=kg5lr1=>cqj7ABE)W}61G?X(aP>77sF8t?MfvtTeXT=Lu9m2QhX#8fHx^n;oROIMAtI%OCJ-3=*c*A3x= zZ*DWW&W<3z0e_4L{z*WVA2w8b4{hmU50>gq*moRFvg_&P8%x(2wcGvJM=uR?z14+v z+nxn-`0@NkD#Gs@D|83&ALlhGnKs;p1#sLssh)YAelV=s$v=QTdLM`8hVXmPmYQvy zoakw4uFFvW#$EGz@G6QwQ>~Cm^R{`H?XQb!$z>}bGft;c)~4&_4pxJ zB7YHzh|RW-7K8JTeX8`Se`@d^TK)kkkg!sU zOmjSpO;J1DR%;|Nyai=?4mS=^_y)BVzgUbTg7gGsn0DpGw6#67wTU+%!nKZVXus<> zUojzI&^FBe_oWw!0 z?hnE*BZN2c`%nhdv5j=n?$msdk<^J|eCVhhZtnz$7ygTt6prT8U}qQOZ6emxWs1e(f< zW?^zBN2Z_PB(P5Fd9he=rUhMtXBNk4x~6K!jc!)Rk}7%0txp1#Z7JTsGb!3V-Z6Y^ z$2L!dBZOITv!>?;{d|1q(Kor}DN~;l?1}N_E)hsJ)ByOhu7JzVy8mQ+g8#7<52t$} zV^yca<;)3%4U@y!@p z7~3e#v-<3|SK42{l(M+3oV@x4rjoIMU&Xy|Z$efyL=`VOio!sL)IyHy7^Rh2MUj_1 zU#-4PxwJTYn1hlzz8B}@(KMOyzuib>LmM3OoBT^T9lmCJ)idM=4%iuT%#2l|SGqAT zM|6)%kN<`cc!;@zy!p*RaVPeLNd*n?0Wi{bGv^b!w}ICF9VbM zMgv~(kQk!A_iOc($-`y_stpZ^${nD4a*$>V-rLpLGR= z>~tYzXCH<1VU&tCMH89yFqBoUHpQ_k;wSxtC~ah2Zo0x@MN~|xDJjv*M)ANfk9ZKd zR%63Kg{`00C^IWgw8F2!V)ymcTzB-D&r$vOHpJ^i;W!vU93WC2XiRy3iH>1S5(J9D z#Z8B_-t2~q5Fs8o?t6{Qux}@ef2TM?EFLwfs4XFnIgmG69{wNFq z3{t`BuRSZvo-xfSUt~5m<`uA4b^WurT{;Zs!I;PshuVtA=xTRlT0B}%iYik$?>nA++LM^rt*htGJ_TG(cVbf3Hj!0WYJ{Zs=m)53YY`p)p* z8O$(^%$4Yvc)qRl2|Iog<C1uivb};dQ?_WFLqUIiVMs*9=;!3kE|YA02ZAu5VFK3sL=ph$Xs)-K8EkDV z>PH0jvmzrS^Q^k=o*Kd8AK`Tq9KTu-VC^x{gH=D&H}A+f`3XKtdw2)<5D?99u(Q8- z9J#2Itxnb;e|i@SP^9k=tb~#cp7yh4KF_c7ara~s`NPRFfy51F>hUq_8fy@@b(POi z5|p3&(X8fd6<@;s%o$IN>}imbR7JZVAK(6Pz8X&KF>b3D3yV)Ut-`!9NoI3l9o-&$ zF@hySU}yRJQ(~>Vq!39gaGshqqW_BOHc_Ut;e#1&Orw7PY4-9Pv^yvY=BEI1x{7ZF z{F|I^ieKhW@#yL{i%Zc-d5Q_3NNB1TJxZZE0*vcUuD+tC00 z><1KNnp;N_p@-=zUf>0xH*?qqldxLQB4oIkWM1#~Y(=1}ztlE_V^b4OVP zjyB(J+T4#@rWuEF0CocdWFESFyb%=*OuUudjzSBxU%{SwUBny;G)UZy4H2>koK1dqH*LzWaDFCJT@VkbFTYtV9W_q$=f}6bAieTw7w4a+XN1-EtN!s%Ap3MKg)PS* z=HX!xXQI%eMjeomk`8 zTg@wMH*V&L0jJQC0K$_VBv5)vxZj5-*6}w2r2<62oIUMf^Iam24d1sHi@sw93Q-6G z)053+K3ISK-sRbCR&ms6Ycr#FzUqt*H3ZfF%ZEU^_V4)yK0D+CT}$mQVbLbh`9n8f z#yHe+UK^v zW`(Q#%{ROtdaWj!{_b3MCcTyaGTp!agxVx!c^CkLL2O95SE*mDtgHqD;K#qs$S1I> zFn999_aB8V#q3vo`uLE0Wl1FDY-hO;pcIBDrY@=ap)?U$))?(%5?2Db2rHs2fJwwOOpNY%{O}RI%mAT34=IVBblpLJ-iqNv78H^w5 zekPT6w&($As?@!&Ok;4d?D*Ny!-fT|Q zwrT&K6N$U>gVIR+PF7Y%w_{;G?hBzl?|pdF^0d%p&kB<6ysIV^Nab4l3%*^BA)8#5 zYx+8iV$tM4Vsh;5eZjSwl$fx#V(dn^nZ)=_(uFKEZLF~(ypq4;%6>`gGurhruyc!Y z$AHbl=3!K<&*wh4?`}_mMX&9l?b&``A}KM`8PE1ZAO1A|_j(R?XK^JMvF*IpD)!5L z@o_dC!-rWPp6y_Z6JygfzUgFY=dqJxr~QmsGz3K`XCWNc}LuBIj&mdQ_lwOv~jDjnWdwry*T zU6*Cld|`aaj^8!%%|bFRkNA>JJOPbqWcpU;duF>+>rC0Owvm#|r`7QLa6f{zyC2_x znRy;SVx?M9+3 zd+%>~0lT$*5DP;04-Jfo7W}}+JO9za#&Gd;BU8XL$NSW9F^k2q&iY}q>U}!U8oVqj zDnk5RQH%yFuL=||fThAsa@lPFkOOGw_se^@r{m$2T6T60>hSVKl(OXN!X{EIAow)2 zV24x{RVObpk;2}YRExjmw_abuSZ{xD#SQ}_v^e#Qu3D6zrp3JE10(kl#S{dnm)^IL<^ zTEWK|>6pYW$tu2dwlUQK!K9lM2Ob(##dXxajCN!A6U`OgfPf>y-*3$uqflqP;qxC4 zZfdcyFgK})(a1_G^*Iw^btP^>5WAV5VDKWyrRT^&9ewrxN8(L$;()!c4E_`*bSZg*uw)Z+k}`Aa4~2`wq=oKFN+f;; znUFg8(7^xRcjwmVqnz1?!zILd-xDBr+F1}Dh$tjYFR1Z1LBA&3f`J+C%%ZH-cIUlT zeV3Zkgw@rIWd2>p{cSdyoB-1k_W{wuod@Ue{t--GLa@%5v&~F?poWcym)V^a~?*-v&L2kL?ctWd2{mj%J$!+Uydbj)xOhLa}pZUg?dO z>C!bu5?gaM$3GM_7?hbzrx%d6|e*F|VMWs@6X1dqjd#&BwYkZ#Etd;IW#l-su zuI1gnst^G5|6fmxnBzr4lDIMy^8}GWP8tsGO#UAyN!_Y9?S(a)W;Kz)ly`aK>sBl% zR&MHNZF&0G4tNZDjg|xI?e=^%rFt^x8UoeM_;QSjzVPK-htO69!ju zHQHGY&)_(>p6NH8NU8Q>u{|85Pty#MHzQCfN3BuUs(~bn4=&n_p$fw-kmCJV^|Lqf zKJAo?_b!I8SUc z`cYzKu%4x!)tn(RV2Zua(2l8BtTY<@W_TiJ`l*)z_dOL3Xnt;fwU*wEIa)eIJaY** zAcwSJ`O0c&*nZrnRZ~$`;PGX!)B)7g$#s{a{JWDf6HaQVGkwOIbcN#uFVn29GJFTy z6^To8oJ1A%#Xm+017pEhZ^5c5370t6cr4k~4DiB`C|9do3H`*j+&;TT$a-VE^@9=q zzf-E!Oa=k;9tKLSRqVBXqy|lQz%lvh>`_xApyZK+)#Vxs-r52GrImnrN(B; zn4+drKd={**Kx4P>$lv`_lCOJo)XPBvun5>j&8J=8VPq=N2%(VIJ{^XmDt=5T^a>T z=CTkKh7#+6h{vm*F#%J{oqPLL{DKyV84yS!Lp1r7HNICV0~=CQ;kp(u>J5E;B5k5; z*!U}vAv?A0NW8OYcNGY32h|8r8RCC(DMABE)C+Obh5fb)A2m4Tg6v?v5bj99f6Yes%cL9mr>a@ z70sp+zRXe16o1>fWI`s?ikH~wc-Hm$u~=-Ck>ge= z${+smMWZ}jn=WJEGnu-QiYk-#C}mBQ!p?H|h=K}f7o{uBRe}Z5w_9o#?*~s7!aa zfN@e(3;zZ~KJb+u@|r6CBh!m2dO@O?Hg5;JN@K~Rm{)oo!F<2RIlOiG{0S`$!zXdv zryy@tqUpOH>x$QL2=6f$AcF5_FM{GLUdW^|?W`7rxYaLO6~e;o&YG&swdW|vx|BPS zYqLJA>nLit$uZ8L#77}xG!%~g`uI<64U2Y~y>&35;5jI|WEHaz#T3Dh-s>-3om;zH z+Xm;M+AJ>cY)?0PE8b$$s#j{AFkRJ zgn-sU+`dktQSxxr`M5FwX@51YoQZX$?1eZvs2rx|U$T9vDT3TJmM|ZFR#{*2KSpnc z44!+Da&@U%-g;qlxbAN=Bd=lkn|%k?)*I4p=K=GJ$UjIr;1tU=x+-!Rw23p4g zC@}I{8xD<%<=e&QIuY=2jsyLB>aqjao_)tNYZuJ@BJc;VrQX1WHBVa;ef?S6?&qdY zAxJtsJ^rC4<@6KcfHVd0D%^oTT7xP{3$#U}A0|{F_?q(a$?k1{7wtG);OWmBE&iBG z!Ba282Zq@`0Q?5CpE_EI)I`t!iHea?dTLylQ#a;sd#A)XWT0sIA{@nt!F+16J9UPj z_Fa@(k@Wr=dxP;8gDIwd|UyDCY~!^6X=fRP4RatsU% zpGKEc$XoFmr?^YZ6Qjo2A8vWW*RMC{mzWC)+8P@B;%qi(e;lTDuf;t~ zTnH!wJVv5_@gyZ#O$%qh7#HHSeQ2`uB=|wQ=!|_sm4x6Zzz(3hvo3i*%e+g$J1e4jQ@iB6)8l%n&RBZYjN>0$eYdr90r#!| zWBNy@(iDJRAwOTGEvRC;xL`4{wKV}PztGmWqEQl{E2dtV=9y2UjUw6}goXF|*ZBlY z(ALh5vbV=8DZuJnynRIl{!{e8&ommDjP7Sbhdu8m_rs=0-$|Si_#f9{=G|W%cL$`x zeDh!}|NP0uz1nXOyok`J;!y>w#j|f-)npuf_3N9MypKA)*BJQmqaJrzT~+{bj1-T2dm)c>$*WBtmTcKAPx8!eKPXl*#K?5IDZmT+qR_ao5!D*q!9l)W z{P8EsPTo9$hW0rcR68kxL2v?AaCZ(TCVpg;xJpAT?@N^jI}{w{y!+CEw_2!vxjg58 zR$U=5SaOZx{WSe2k&ox%7DXM|`f@JgtT7|rFIB3`j3-ZI9Lm+B4x0uGeZ}an1sLN0 z^wOguO}#kT*`0d>f``%GeG^jPZW2$XhzIiMbey%zDYq8cDkX6eIWgOGQvFgB>sZyo zgsw@xlYOQh0we~-;tvOyIwd>!+$I`7usF_mEUP7A5~QL<|Lo?EjrDdGH|w&$z`CF6TntQ7wjbsEiksT%L6LCHA2bY7>DK= zd&V?SR%%+J7EFWn{r*Y=dj|e>;n57?y;HoY0?JYXtPNpsP<}n}M9>lPyRcDGngA}W zC9_4viw>6;MN_FeJLbf0N*d7qKWN|#@*M$srzU#xzG_bTxjq3EP`yYoL~87z))j4c zbR&5^a82UdE~3OSp8Y`+&%}hzlQ04T8M9VZ`i)B`r=EC%4t$S^K6dv_^&JF+b6X*X zzhT#%4reAO^w0V-J6M~Z3_d4npxRh<;B#JF71~T0;N!jL-yr4YxaRa~$b-@lRSdcx zF2NSdUmz~>ufP#7W!zNRfskExCA3(~gS~m+M@eeCEO}S*72DguW-w}@hC;cgavGY^ z0J*um9d4u*e4)H?EzDK}s9Gy;^ z^=EJ15GXy2m5xQmZcG(c)Tc_KZb5YXWnhWg>H8x3Gsb4o%KWXG4-{4vm~OaYoGTYr ze0mdHS2(I0O|dD}6ahm?_r|TulR|jWv z^87!!E%q8y> zQ0x|ToU0fgr?V|b=HjUD!Tf|L3#45471jV*>+vvoFUJ93?aJ~muwUX~2I2O6fuNwK zUd!7ZR;8qFF+77!F@}$&M0!TMybNQ$QF=Lj61mJobF^gTLpQRQRa50gg5C*m?N{}B%{ff2A?WBz!;nLIOxl1#eX75-3E!pH1)8xBNcKmAGZ@&l^QQB6 zIoDpcY9%~Mrac*x#rVmzxmlc7z}h_4KeoGmj4?6&{Ovr0khB{8qqb< z`A$j}o!X#0oo-(9%JtEAvH8`ltFlHd?+`KB9c`iOk_lLN4hy%c_xcS!pTHUr#U5Ym zb3HKX&92Fay&2IK7Ms;a84$OR<_u}+hueRKx0Uq$FPUhKI}4y)^(~+lbwKM+e9qbN zDYgn+^ec`7Ykv+8@$k_cPF7l!Zv&wx!y8;WnMa{+0fRSFP~_|ek> zK0O`h#VIH#2<)>;1k)^6Gq%wI(Q}up`xH;EK4$N~8#v0aWZ#OGjNBU;{O>F{*T%9@h5RN4VGa!zz{*rIw^}709|L*S|@$e>U zZ_(c@^q`fF*?IwuzGyF&k1r>w850aW)~{YK^&7DZA9`D|T6uaSLuz*qA@7?EFkLum ze4!3&^v^lGb`m#Gtv^n`rs6MC{Ivyg_R8;aLyc`WZdlTKV`q&f14d*sPt(y)r!wx? zeRhqyc|6U%5#iiFJ_hipeA*soIw!(KIo?>fsppbr>=VWaJ3 zjh>1tge>=iP!059XtSoHn(ey%@ZW9Ai>*y!zx$}tv7RsNK(D_`<8GyH$FqAa)8FIE zf>;T@9Nxz1^Jq{o(d0w>p`^p*WCs(&PNy&5B*c=CA@MsB2Xzwy?%qKZjA;*rSIIf| zn~*~kz94Web%HVeRnk8eY(?Y(IvOfJ-ow9#>~%l-RzxS~?S2$!6|`QF;kS*4Pxjst z?^m%a*I=vRZQNDlQJnns<{r1xAET{A3}1^*s^9odSrg(k?Zu9Q_p<`N2%e})&=^~I z$|*N3{1tr@zJ~6oaj5pQ$uc?w`HiH!&C!SRVei1kumvx2!VO$6@P5WilucUzyxi04 zjDTx~LWx58oU0CL=k5-M>zQ+(X2}4xNEQcWzB(UoT0Z(tP<pz83mrAMjgCBXj6cX=TI1b|{w;?6?z&2AH_04rn`b6r+e z_L+`iz~VZAJS_>yLI;RxV40UPzoWxTcQo1iF@{c?tI9aS(rroH>NkAq)7)Odx(?~s z+FxGV-RgW?Czx={qp{cY$7x58HaW(V#UNjTsr$;MB&=WBpNM}|cW2+5%_&Dp9enr@ zJ<;g+_eKT^*?!t%<9&71VfAlkgiToCU+3;h3U)4cq1<|OxI@wJ{}TB}HFEc|Ufj2C zXu$|N@s@C97SD|kOiS2n_1yaDE6X{B#gk)UVhpVCnqRj4LDJ$PRw6TSJkH5Zd)}`} zE0)h`)aZal4Rn#i3QHgfA=zHQUVF8pM+)!>taykJa4s9RxVA^@)c^dqUVc4LT*HhB znXBBoOa>f98M83JurDr1(OVbymHV_uswE{Q#eno^)z$4FAmvUJ&UzVN`})J?#MH;` z!VI{@&4jZi<##BsnD?sIfQ7ff2o}Saal^J#+ znd$k$pTWdwJl&j>gz-BoBM#qqO#S4@=~2Uusxy<@!U_T5BtlE^hQpfoX z3lou~;eJ2a7KAJ=VyGU8>4x?6JL11O&_@f;IX27*J`eYQrWRkLe0QH4u5t*F-}lwG zo7(H_mK19+w$z&WmBkq&z>x$QIiK*{6wdGFXJ%WP))XkOy^wC*V8syf`hP53ZTq{= zqp5p)-FZtZ0RO z^<%2JcAmFN&orp66=;|J2KJoz`rbg^e%Ks5i_=ec{_y>TVm7f%9Tgv)%S?#8oU6s| zoI|o_W2E)h8E}FaXe@x1qZA75-e@ohBs@nj$zX+GL^DQG*k)QvcJ^l2XR^=N(0np) zD~2f5M$KdZJxB}){NGJ(;6+TinstpY;wdyC0PlUBT5BB?R}{1N+T%ZNk|q&n4;7h4 zzM%7A?hi^e^YS4ULk$cI6A>jrgAScSj)%8k!j*-7hZYAfkZ%x56sbiMPT~jsS=CTG z0|GaXoVf6Ka`Na-@K)wydlrM0C>-M6`po$D3HRPwD`(n{>r|&cByoQeK~HDSW$aMk zue2rml;5&AAz4!`uE4u0E`}h#_$hUrLN4SsYd2YHBeg(dZOAZY2Grg8R2fSw-@QYq z%5wRQ$Vxf?OJ#G;zsbfb2u224<$5BTqrQyL8Oo`&tcn^Aip7tntu31G?5E{e&XNXa zqs!p+hehaN__+zo)pS+KA*u`vS!UWJmh~TpOmDUbnsVcr05`G*JDvYG>GNENwv(1WHLO?k&kiggQV;j zjo7J^?9dmeFaum7UWRPqx+`7lr;78asbX1zn2xISbT+{qg7xpgyf^_`;i-Ag@q_lk z%8Lwyjzn1E^g3S6a;8q7K*Bpg4 z>m$|*+lb*(jBU!hU4^EmROob@pjPpv3^$>1H8R^kuDXQC|@`A*OYSFlghO?{?_TW}mQcQf~#)h536 z8xwIAigjb0M#FGBI2IB=f+;c?@o8l`f2iAHZN9_%Rp@9xfCHdd|^1@;+;nRp+9&cPXs&FOKJ>YTGQD7A&$I!0NLrH>b^cBEwpwIow zG&_hgFjosK>ZPJp=rNJc>Qwd9mvB&#Cg6XO*(;H#4jC|+Y>Wg`2ZZW>xjV}4>^`x6 zrmq_aGb{_9?qC?swBBy%A5HirXC@;TzpeZ&y>PVNQcm-VuX=&qxB#O~T%O7)N(APb zVGQ}nOXxn@pU7{1CO>HQLZi;34pZr8O+UpMq0*wjgVR*iD#5u>&#FpUyjJDRd>&Jt=U)*t2 z-0!E#8{p$Q9>T}J-oS}nr~&g@!Ae+~CsX7xP(OuUjn)daj6mYpr}B=p&$SdkPKMV2 zs*oCfGlLLJoRFN1oFrYCSBqM16UFVBdH%ddk(Z|~R`amHh17-Y4;+qfR8}zzEF^6yq zfvjJqSQP%ieWD3MAqk00;3V)BdSr73(>_8f4i8(%JSm#3{K26wB@r5W(4GuNhg-0O z->6(Tx;_z+r$9GL6HrSbP)Uo?;~tLhh0grxuP|)n+`>0z1$H*nm!v%2U%%Rfx!CO% zIUDgtk&~{HovbxYeD(C?r@}72Y-4jybK1RU3ytn2VDX?b4sC}$!YktR-8^h}tsrD3 zeV!TRc3rt275wN!XL2d?){czI;YXL`Zbhh(O`4Y&#>fgwoY7#Wdc2Xc&*M&5WGM-=Hf6&7S>Qy# zqQ=Ev+IV%nIPMj&49T@{ay_mmy?9wMX+rJD+aGrgg@uKs2LwHS^~wD%aw(&zBMoE& z389#&qSHsj@{1xeLd1heGJ$81THhRX@NwrgF(C_dCY568M1^1I0-4E(HlXJ1WzPeJ z8>V)h*ftQK#4+J?&8>pC*JHtnWuQ6Out!4r7ZxZ}V!oJBOFQZ#2*qLJ2`8rZrgBvD z`Hs(^K%RVG!l%(|vcfX9GfB-%!!m6QX4+b)vLKycsf4qq2r{sNrU>t4#G*~e&y7w- z>`9*F?KSsA$fu?{&n=C5&ctfAi&T{D6LD{Z)fkCw!Qfg(_T|Q*&C7mA@g=6Bbj0Lw z^E$EWI_OiM{m&Yhfr_@EzaN9PMo<4_y05H0&)0oi*X=gh+{u@y)a5qq-HICE|M@FF zQc{pO8w-7Kq#}(u1HykqEpPfqDkc%1wMtozXwO{|)^0QoKRi|~;cjoBL@&DRiAb#| zOS25QOZj-KveIgQukqB%E*~|=%Xu!$@#I7xPJkSUy$s!qn9+d| zMy{v9_Vow*<=(1ZW`7gg=yL86k@uZEFWN{$J{*fZygZ>(RAOW5=ANkEVVT zMJKuc=K_E4zhV}*9d5mA4RFjg90@ohP*(33DTE{!dXGumupr*&6WYQtq0BvEO0rrk z62??YwPJ)PFQr>kfB9SXcU|7$yCtq>QOjADW0zy}FcjLYQhdvhHcU{7P$+y*iD7`r z>`M(|oWtpwM5EU6T%|TQbv=pq<+dhx0b{DyVl3esjt>{6N5QQm zgsvbCO5{)b{*V+tJscLxcYEO8!ipdTGp9)~9$d>?_~?0Gv)xNbnO8b8{Ny1J%|rzJ z26G~y9Gb1xSYjQ1#elEXg+b&?0Y-CdUo4MxHoWvJWJj$UwPeZh8S5$JnUj91flKP0 zl#V1E!zqZB(0Vk?XAz#yMc_jIqbgt;a zSo?sx-H~Bv!>n30YHAi>07jd0KYQ}g27%7Df&nKN(`A#CerTKq zl6`rOv}qcOA&RgCH!JsJk=84y9+8hi2Yq=3!L35+cy;BR99ylqVdmwC^5-<;E!|xf zd*p@a+=Xd`g0Zs#wV+i5B4(FUye7A$CptGm9zzu3? z`S4DE5W74PP07TZ7cGqv^w6;=1>;4DJZ*s^_Cbo=nj{|@;-yfZb@%z{JFW)o1pzev zL`QNPJ4^ojIaF%v#p=`2fKb};{a()c%1Y)13*HQtS-H?dS!Sn*5XM)Ya8@O;nvMpI zN``_Vo91P!wT4MvA~V(oO4wyo;M}qYwUJ0H7R?7a*%iGN*(B;MgC1>4vEE+$oaVRh zkpx!Pgz67!Dkr%10`)oWT_(G4v@UOsm-FPcOn8ks@rb?Sx(cn!_0!8oMrff_P{SS* z>F{q+#2lk4AI_=cI6XQ8i8I*;>%Jk{t$gU@d*lER3p!A$26iyUPaz5%`DM$XDU8DK z-sjoa}a<4WJ)!?iG(YWwQi;wqw-S>>#j zG&N2VB>730uEwe86$JSSFg7***f9O{Bs6O*xr)2U^!5> z=`&1#gWV)$N~73^0YBZV8$XX)^?f2+cYma3h9>p~pMw95Lq>_18?GQ`ZZAu2lpCH~ zt4YMyq_ymsC5JMOZJESV7#78D1^Czj<_0t$$$-Z zSL1%XT#R7#ty=v~!ScBol%KbK(n!QDU<91Jcnn1az*JDnsK|!+=@{wN$`KA~mybCz zWG%CtbuDR=0K1NbXmME3^0ory}8WSNqZV^;QbK3Dk-ykqj9M35ke^a6Ntbd$BX7JToD#4P7$~7!yi?zU6zkD4&ln zu?o$lWqIQVS*s-t%PbCYn$KH=k}EkFXtzL$1CU}~B@`O|X2vy9)Mv~=6f|PkJ>TxI zGy42^TU?M4)dVl}z%rp4)&W*Rigs(z5$0)TH3u70sb*XdY3fFfN$9m4EfZ-At7-;43<`(?1UI#kNvb$lKcM(M zGB?%;(=6ptNyQwyc)H$x*@M`Fv_9I$3fT|t_qGT5^kpk@2Z!kbUlitPzuV6bL=k8h zB2gNFnJi6Yo`e00JxMvGd#c|uezS{Lol-gu%-KIsR{?_(?RowRO_Ow5RXG>US-Z+K zsC5|GwEh0ExW`3wOCVz(+HM8%oiMTe6o1szmL|A}%G;TAI7I|v?^zp;&3;sgRjU|6 z{x+wB8T~YR_paL)*t|QS($KLGi?Zjbw@I&UDxD@-GHqA#Mae%NUa;4NTQ1s>|0vzv$kM$Uf?E=-P_i&0+ zDkk-lo(D_lXL_fRJ!Ult{mhb)KmP4% zpk4`>QtUWbFx4O$G(#|sxoQc;M8f6co2;xXCa)?%iWy1jq0AP+_G7pj0&vz7|9$AQ zdu!f+hW}8AX zzhPb|v@Ef1@Lr!1&NK`8=7tBzhNn+Yl~}Zwg(+QhEJ6>-7)7nPitq|zel!7tCf;WY z7S^ZEJi<(dk*ZQrpG)7biN`5yc*2vPXv%3bwG^LAHgkEt*%=rX{NA6zf&&@epyWvv zMl$_?Eq1OKQ@ncdJZ0#9=nKhtYU-a!5rdIjulvEKP7#h=29T8hT|PM~Yv*_>8xvUq zJ!xtP;#xwyBpA7X0t8$>wk3qF(^yjP4V*zG`6-JH_5x=DN2ey9XQQ+cc~bx~Z&%+^ zu2L4YVHBb&R0-+7h`mc3jsilK$F?Ft$=F$5vrqgDjW{5?K*<$W8rc8ba@S@6CZkcd05(73=m5f^-&L?87EWUQ z;5Qlw71Vzzbz9bo^WhU|Cx@Dpst$-mK;Yjpc~NYq?n8qHfsy>bu4Ns@O4NqdUO}M| zs-U0&2(osUW?TuW5Qgx|LIv_$D25O%stvg ziA|HRy)xL7JbMYkqU$_tEbgS<;0M}pXURB<8gC%@|D)P8(#vs~NXw{AWPn4dC?gQx zXUV8}qxQj|;Cu7d`F(04P0`QVwn!-G6M2zRLZBleKo@V{pURP3<#ye?F*YQD)j$O9fN)6yqz zH5+*P1)=vK(g-Pq%sfE z6b2<~lAkCSjNfEK0JOq`goMjWUVcOr?9KHg&6?Omiu~=@ppwOu#R_LqOj0=%T3T9> zP|NwMov&u(Aec(7JKWTM2aUm)bi{vz(ZsIoVaKIEfFx((Fi&Oy00J8=Ay*zqiYr0H zlH7lXDB%ID{v-9koXNhgY;+WWGYw>7o2#s-*!}*mOp|(#Ee^c_^nX-Ml;deEG zr(znJ3fA%CvK)YGFNk=aD*YegSxW%yw~~0P8gHqE%$`xqej_{j;h$ZKLm{4O_c-Ql z%=zY~U0UVC#gD1AyMu=)A~FEF8MJ2=*vvR?!58@E-as_`Um{wdvIC@F&^UizOxzFN zrvT-y*p`o?fVsO+XnHwIM$;TcNMBzcV~!WIjtQ_hFO%I$1Bh=>xT+ek=<}lO{eM#9 z9FJk8l9m*=H^99?1@*htG{QuYGDhbHs7L|a1VEeTC@G}KuU?jn+wYG4b45*c7n>p0 z(;w*phY%~t^(TNW6jY-^1NsD*p`F433uh93m-bbYdh^QqNlWX(_-$*B0hgd-xNz3( z?ET;%Vq$N9PxwVE;z|6sTCHIu6w0Gw;@HK=wRI<5+j2JwR8vO1uFNSLfSQ8L<-5s&+L0V=hNx^ZinauLNrHG9`6dpKoa6mS(Tlo~mi2@jS_Gkm?lDV|e zUI4C7^R!R*bYt|k%k}l;*~_IKHE#x_H_0er+o{BTgMoq(b$zIaUO42^-dSIdH_2xj zHS6mpMczbqQcAVP4=^I~lh#sE#(cng(I2t@KO8a1)~w)E0>a+5+jVC&siJ++j03Q+ zj_oQuHZ;V7{%?`XGBcP3h)V-AnM@eD2Z=qAe9m_E8y5n`xcPl7fH}}#c!V{UQ7bL& zy-sAHygeE>ZQ3;T$I8|p7y(>)Mm=n$j{zP4g<-vb8=#v#JqawcYUQZugF5_7lmYNY zyaPc4;Nid}-)PD~L~}(hN*)W_Mag(F-z>{=*mntl#ECt~p@1|`)G#M7aQ~mEGt6?- zwWKekbE~HY2_7u80Q(|VK#U*&i5Ic|O+&Y!m9 z)2D#m!CN>NFame~HWC^}Of;a&;uw0Pz-(6BZYpwWH!ov&&#-9kf|4aj=wOz|M1drD ziAq;HApF+)UHY&sD_I|`pgF807NVhU(ckpP45##)d;(xg$1;rBVeC}TO|oUXuzZM* z7}e48U$}~u*VaZrD2SnOa`>{i*;w1U@*oG=4QdrP;C`tCl(oHlx_`en4lq@DSiq&o zK~|n?b)_)2zzfl>vtk}ac6tLl5g6+MG6hK8^&?ZUfW+;4Y@mv}A6PWD#S*r!+SD(y zarBy7Pd0@`_47kFUG0?y_Vd4q4d9CZlt#sSAVX3oO%JyAJpR;jRWZRM#7#3w&@i(5 z3g`nsbG&R|K$OAdnN`)Q3JOp-dZ;dNA0Ras zah0V7+$zY>O6hvcO2Do-WpVBPUYk*EH?9i{@-0;VAOocTf5nnFV7soho`YsC-XX!3 z!9oK#6=N_=2`y#s8>i=`@Oc1gKf;t^9dNUUWG4Bm;`vsHfGAiiHg1CbIBKPc-ub%L zo`kh0&FH-e>eL-}KlxmAUInI5{szS_g#%0z+5cop(9CJ=dFe+gOBwL;ur5T4o)b?q z5kz~Y%g(a8e_QbfxNf|yEl!PpDvEPiCrG1$M&0rY$|Tfgg(EQTodW{{+uLz@-H*%N z_mi$KB`Y&nn7SUD)h}C*Be%bao)!z1Xuu9EMJM&&;`{%IdBY^7Jq7xO=+s@UiVUeO zf%|lbA^wS?;Amh3$4c;lS1bUf3inO_*l>IeLN-ACxK8BlRIOIN+U89A?05T;)x56d za~*;#cuzm$+EHovdUor}@Pd-_^5QFSAG7Xz7UBDvqUT(fQ2j12q#h0rRGSOfIb0OM zKzaicHf8o->WylgGp{U6R0b4`l?3?-MYJb~SOcuYkKM|ytYtv^8;I)kzSiARoGGd- zK6u+&uM*6BolaYi`F-232tlfZl@zIk{5s=VyB)+ycHk<2hR|g;8$`FA@ zJ{<0EZooHhdy232CZg|hPow-aM`om-rz$fNvnIP@ogTdP!n3=Qv~SnS(s{WZyDqc( zxYY5>s(9&>Cu-g7F|)|i>B-Ii6pSd(S3Q&e(OSMXGCZ6+ZoK)*0az?7Hyy(5w@G|QU;Io`RxH&pRz|>GT&P9tSQq}mSjVu)HYsWAOEqDy^ z4U~y5`l9tQ76H?_Xiu;rM!y9XFpN-eBgaa-P(YG^ zIv?^(v|r}%zGo_&cKs^pmOoW~L8+5p*Gb2i+3S|$C;KyAR~Vt)IrW#Fi05xGJv$lB zVqQg*s8eIQ6WxaGw-f6tYsN;J3Chpxg69^!vXk!ogpjFSRDGED_;|V`VC8UL`l>m?X{2bUdpoplhFgKH3oea+4c!p;eaV}nQ_SG ze@kQw{%M6)V1noMLIF7O-q0@AIcraF4r3$O*sQiqyf5rO5WN;yZsO#cY_eXSm^(~h z;u0_lQWy?CzH9!t^t)RRSt-7;D0Q6e*{6H;;^`%sCw`-@Ts+fzilC2?ubZgRU~2!R zpKM;v{i#x|`D>V_Wy24oBF51gF`l7s>To?Y5C(>ivXVIJU-~%~rO?c&%I4mq;`JAW zwzxWJo{(@vdG%aD17st10s|O%gV{MMBQWBo_ZvEj>>)O7M)g$%aVi;6W*liM zXKisK{UfTKXoKc(VmqpvtCao^_?4*}Z=9|no?*U$KWhEs?qPvxBUmxZWQ@i4(YBw<;YQn)- ztsfdTT*OUGPEJQ9zFwhZICWvb6>tqMrfz1}OuzKLUt*sTjl;v?!30=D`bf zCPNiq0Jp4Qj{j8>gz&H3?nc*EnN4BmgQ%xpzrU&yQ}j$#`nrgJg8+<#lCPx zv9{@SG5GfU-M&_%Da5{>#+Umj+u!=TPsu4MCCQ@`!(^jbK(08#vG)Dq(B5UEK~8x1 zg=_}Ltb6r*`C;RpSYnp6bj+jon)f!h3b`>sr$}g)*3IfAS zZ3f=SGG|n8sHc~P;^fcKQ7FDAzP=BOgu^sT&`jQBIfkF;;el|9&19o#S+nx-d8xS4 zOfRxb|6W1^#M5jI;Cc@D+5Zh49+cpy`@)4EMVg-n5 zzj1paE$uyKtvx4Pw=e5PLuf+FtPk%kC`3T`sY1E&O`Ee8sZOD#X{qRJIf#{}kE6XC z;`gf|3nBmqh(%}%EQ&Tv?t5)W0IYsg_`nZa2DKwU&1i*Yy&U&WVy)p2%&Zmdnl2BG zyVFZ`cUk(r#bNEyuZW9JH9FtEo2P3wGPhDJZLO>|h&&JCGsw;d4wYtym$E-{$$Vr- zpM*169c(?4Vd3i5yVaDzdgk>Yf2|7hecV`;pf=ss(|fu*lkeuyg3Rkeu(t{y6_J%8 zxlr!ZI&-JC$$u94D?F{-@QidBopB1> zmMfHUcG~^9Y1n@I++eU1?dugkn|rWaE-|0D{7u2TJ*cG%c!ln|r`vHcw{3TOK5Dl7 z{59G3H$CQ>R~-KR_LklsN@Z#DcViMd!21MuAkAGKY^LPqXW$TZk!CqBxH*31f$3v_ zmnKkp@DctueI10)uzgAALdk%La+nB&k144kgBjxgFG#>-)+qs22+Cxln_zo7%FZF1 z3h11MR!?{5PIb5wbAmTnJGPWx1dD2Fa$z7RkF3phg8ux@>ds_doO)479h6l@B%l~p zv*{Gj`ORk0q5~7BT4;>n?A~BxDm$+_`wId*XZKPqQ8|9(2$36)^R#n6z-QaGmFhX| zmY@<`+h4st@DClNPmcFDI1bt=a%4^SBb?P7&%fdi6>?#%CExG~kXKmgp3_wO8@RRn zXc-P)pJq9wEGERK2)`U)3pjzOGU@-LZm*m>FE z#o>o-6Fv?l2SbjM&+{|FWW_7>HQ8gOQwWZO3a?tG#RF4r7RLN3eOFOlz=2!|unJOQ zj;8gXM0*la4l(i{9Qz6R@3r&&RJcw0kCnMj2mqa91^+L7>OXLxg7EoPsi2PYE!sZv zggXMJM!I+tbG8E)g(?}9H8nN5vniZr4=f1LOFx&qvG zRs9?=9+KAe+WEA#@Q^i~C2mGvpKDVlAAa7TPk{IQ^P7GJZg103W4rZDdG6VrO~hed zL$Z^Gky45}i*5c>GVR*(ff=Uw^Sut~!qIN;Cy7fW!taBAFP#Q_HV-0OHO*M-8D3*g zT4^*}&G8?$k9Kz}*GCw!$TJzbV_U4<=e(W2$gwS~a2p;0xiruz=H@7#Uc21_zSfCc zsyrzNp^NYI=X4-DCJ~$GBT%GW8)#8npnyU%QzVaIV`60V=g%LD^zUWqq1Xl$+Yz$h zqAQRn86s8|B3AITSU}7QWhZffl9Is~Sbs9OlFm-_-#=jo720XzXLN@>W7!UaAtXLDp#9fpfUs-W+6baVirnTW!!{bS><)z_WU`QjIn(F4oi zB>$e3Ma9c~ALY)JQwhyU!h z&yA%5Zi#42mBf@~f~M02)}yimTlzGIiJZwKwq>!tY!^1vDM9BzD>_YJ!!kd@6S(hA zQ^S=Lt(uL_>muher@(UY?MT2!Pb>0+k$~p2UF&rW^kk*I&H2fvhvm}=KlH7zs29Ok zM=zVfc7dGR`^DzZyYX!9DxVnKT(+PouAPZbQ_fvYcK5KBQ`9G4?m4HX2s!u1UvZrs zO=RuA%hni&4Rm9ljSYOL7+YTzO0iv6nBRH_RKYJp-?Y$yNbT7UlgnVP^hh2a85p2Y z>L0`oTtFA<=l>B6#svkQ+66)!*GUFAfI1*Zf$>b2RaB9kb?z%XlvDj}QMOnmPk8-9 zFZ)=d$kt>~hbR41S3oGJs!_S<@_mu&wW}Q_h}*Wr;D#Q`)d>jZI?!5 z=2xt*kKGcothpsyNz>8^(ZbbJDGu`;PXTCY%5kG*?CjFyUsONauO@x=!uH0xr!_~D zO)>rxO-P$debgVBZDy(f&V9L@N%Wcj=4XCH*TaLJXpMc<&%&~@+2W3;>PvNk;YVce zIJS(Hn~5c82bTQ~@a}my>iMtWE)@S~;Nbra95DxU>UCdhp1DWTfMGNqXO(=8K#Gn-*eX3YcbtGSQTh@| ze^Jx-xnBVmkv$jzR%l;-(QM*MAiZ=luXe3o_f>F@<@1QaRxeVHV|Je}#=yRCmMMQs z8T+ERxsy5T0ZD0o+(9lxZt?e-o!6%GK&f~6%iJr#6!2avz9Cj-8tl&6&K#GeSDCk! zl?AM$p{J+*&{mA=q)u_G6X^8)Sk&UR5uU_*4B>F~SlxAh8=d6|#ZP(197vnVz35Wcnm;Ct zYfh<{_hwWLZi57KTiyoe#yPgbrOxL}-ro%msj6%4N3j78hJv?jD>B-Gf|~IZ_`U3n zejyov*9)07jr0!PHESe>?g@nVIDyxVS;lAxeTv0XsiAPnlmnkF7aa+ zJ5H?!cGH_DqOu;Y043*#9lB1E&;6Pj5RA*=lxtt2+W4~(~q3+x^XMWB3KEeG z|4srb7gjjHz~zRUe845kD+&(}XKX*JyFQ#p#$_8mNysGsWtBjbjyq|ftku1~mx7ox zRczj2uEb&Aa9~Y$x4bkCUEclr@^LVgw=5ygBGNvC4m}Nn9-qf4v4vD##?+uTJUm8y zHStF)=_!w^JYl18o1{T4dLc7<#^=VLN4wv1e4MqOlFQ2G?&9|h4frFc4ICUUt2$|- zfrj!#X=%gZ;TG2n&gF;5b6RAPj-Ag`-%gOQ%pN^iCxLjyt- z;Bf}ZV2e7n@)S$lZ-s;S1BFGK@C>QNoOC@uT2oOqv7Jn}71WbY$lS|dM*FgvER+07 z6AyzVm-hs3%uCZ5_EU&1e>+A0E#ir67Ht1bT}JfZCTAa?cfX~zYn^D= z`3dL$gsIO6uQ#9Exqkh6Fn9dAR`;ye>WMTnn{a3SEId_wG+#uw#)gsFWes6x1;-1q zG)n`)m%ZPBGh1dFCPUBdvts_PXNPFF1}g&sPz8X3wXl(|F9n|Zt#v@`07$&yU3j*^ zE9V9rfNS)Qs-h<)%B+ak+m9H33aQd-W(YFjb=p7EW8Dp|KEOMA{|<7y5+w90?P}JO zZ_hvS)^qM6wVHu77RkzLJ@-a$7y9&}ETSIV1FMftcVT2!FyyTzW!D|(vlTN>_mC6h zEYCj5im9n0RK&m|%XxZ{D_TyVDO}tNE^u31Wd<{@%Uf`?2mU%!9AF=5o^Z3+Iq3}f za2gTD_mQ^`*(8U2$3^1xd{rs5Smg|2-&5xr> z=smy<03`$jiy23V?jjun4Iz)ye%oKRTz2XQ(?&_6mT@QhbHtoh##!h^WLOE-la`E0)UDn5C)YP|hI*;bjokbf1 zQ$9Y0&sQSEIR#Vlf?cBnAC|shemg*jMx?Gp8Cll--w8L*Vr#0hj0FgUV=kdGd?4le z9jp6&LMdVd8}gO_RzUy5H9<08O?_s#n~yW(W-r`T?OX9dyYpcan0XSmYY@0oU+E#t z-bxcqSlLqDHLv!l%k6O=W_N32LBIAY*Z)J-TSryZb>HI#C4zK^bR*r3G+dCDM!JzM z0R==Fr5mIh3F#0?feXTwxTJK0w35Gl@p<0&^L~G0+%fbI#<}O5yZ2sm%{A9tTn{{H z?KiCxxU1dPhkt-Rz+$U4xvB;bd!$VG=6-d%mqZTnS6pjrG}&q9kN7C7*9qIO2w#eG ze9SNwock~{_btn8F$iDr(<+7N;ncCE{q=!1wg}U>YkTZ`y63^$YU-}()mdIB6?&90 zJhvyi^}5%0m;lU(VZ3*k`|y0uy-T*{2&5_9Sr^5Zl+C~4&IY#pJ`}0!Jxl)W=uN*> zGtJ6-cv9MbPW?hx6E!6en(q4_OirrWP`atZu89ckT0*#gH;n1|J@8VVy&)FF`AfG| zTjs!1)W3COmjL6H>#K|K&bO2R8m<+oxW8a{LSw? z54z8uXH3k*nbQVsoz6UgKL4fIACQ~ngBrLww{2l3E4#V6T7di~h=iqz32S%reXfAs z<7ggK`>9Ba=s>D{iPKc3WSwVP1H@&}!_P&gxb>-gmxp)7{M-{4oEuj;7WU5H;5$>( zekX}noj@5*$t^t&QHf+YM!l5jACD}!kY_EDRt2Bl4J?oLwYg_|Hy6ze1<>lq^v0kb6Z!LBFUgGXT z3Oum;5P99SYoFL17!lZ0*w=uDyakY-)F^O1sofn$9xdDsO`Q3z#+$BH3pl06k_mE)pf6?v!lXLoh73H2Pi{uZx!UGJ26SW{rb}j}^@O=@ZJ|of7S?Kkmy8 zOKNUpC^L*iiRHc9`Q)4{_dgTA`-1?6|_Bd>l{Q>I3PK zI`K>CSx?wT3#(Ydv$M=KOU@6VL8=O>On_5>c&N9!z1@AmLeN`=V6%yhm2 zZfWX6-rFi{5QBet=fbR19)82s(=yzl!$}8eibydC&D~-EC5Ay38{cg{L>xd<_;)%{ zWOS(S=VZO-4*N>md2Fd+-kniX53e*5;&G|V5+^+pzAkwa z>%e6|HfF{g!<`jlpm@8af!ng<`3@?_v!8rMAOglJ?4=s~%bQ*;nU^A@tH2wGk8xwX zcIG4x<%a&~De0^$bq&f{`S{zyDZg6T<>*%a7yhT>@^kcdmE`qn?SczFMdgR+V`n-!-Stq)<5@v?qyO)?9P!^+q8cP1HI+bu` zYUz)|wA)|`^~4ft)y1pF%hCFx$)qSL?YsTechN+oeHi;5+bmG{4 zMsh@*c^d*w$$#ue!B`#o@1EEl&W#~WjG{qK!>@0cf29k}t)F#>xS2kdr`TAi>N_mI zctk0l^y=s`=#0cVwOM+qF?Ub+2H9X=$v zgPs2MxB#H8p~W~qe#QpRwB7F?yJqV5UxuJ^^Q>#oy8OU=kr5HE%sf*r_xk#*!_Q|CMVLaGO6=?hrpzbxrwMZAWrbnPqGCxLO&lj53P30Kb#$g?$cDr52~h^$FMOXE zEid6(YPj4Xt!Tw;i-MJ$tzIea3$zxL5|+j?R7M)OPB9@?jdJxYo(=I%`O|ixZ-L?Nti9SN86OOQT6&EMn{W8p6}8t zC9L6P4LAe>zgsmoiTT~X;Kg40EHlQAg1(x)a|5XW#ePF%T_#Hm3|_n)x+Aj6psO{|0RPd`b&UCxg3M0+Q@>X4Yg2oJ zGBhLuR}C|OmHk865|5AFQ+uXZA_qQ3-F+5{36>s!1h)`5XBPrWHn)YQYD?^NSG^Zy zTLk;lpIK#~YX>qM2F>2bDF$adb2IZv#*+MD5S+NGmv3{Ks5SWS9aw8p1`bZxmZTLH z+YQVem9SqN?~Ja#@e37u8JoxOfq$*O!)fM^7}rPA^(Zbs<;p)BgUs=jo8))|%P!fE z1JgvA7uaee8VHi{v94h8+u};euGN6_br-ZV)!lnDYJTIpqVUm4FjaDuirEXg(DcWL zG&?PB7QN|L7V7o&o?rTYlmC>S^)(TFTYgu4!&QLEM9s*}QFb1O^*j#Va$$TI$72O~ zC>&r|@slBRdwr|zOPd2(S^B3p$KB1bR(t^m8MXDAsGY}eV=xDZ^9O9n%FsA5mgoKU zOS9;?KQ}hYz%bQ|65PTdBK+XBfzzJ+ETmUx?;q6pt4tCDFrQ!vO5#6yVpt02En387 ziz&o|^1H4mz$H?w>~;Cl^Bpg1q%JY}M3NHN0atRKIX}0T6Tad1bWwD5&!?+Wkv5mf z0-JfncNvOljnV9UwZ8ECm9ZJ&ZnX6zXUmeBTHI8buXg>NlO;1u(u&;T;^Wze8jpRC zKi@|UbO{NP6FfYw-S0L!{&jYN6l_? zyM=qfq*g2iy&%|_aNNM4$xeB;2aChUEjW*#y#L~Dw_W!=LW0Cm_xemmAq8Rdhh)!1 zKe)LNiCb_`7CIwsP5tFLotk{=V4OQvo}Q;mrmSCs)=Dy~3xC6+hFbH@< zVeAi!!u+TlKn}v`|Ce$K-W(LNPO}m;l!^X6Gxy15xzS~rkX>IU^Q%0R@RJaKwi*B+ z`10xIuq6M=Cj#DW1Zjox9ubw>Z@>xnz-vUUi50dP;VSRJjX{)|OrhmF9ZH?@@vvs3 z)(f*~DO@6B;$pT|ZFBCzV$HNWVN?dN6d%LG^flQyhc^eXxnsG7v)rWY=*^2tdGjjC zGBm9V!w4aZRmDtD?*spVKJU{UO^)_Yf$PKS?zU1=-+G~b?bn_i7W+0x+bqUC4_`Ll zCw=4H4k)@|U#max;PXeqM;*3G!nHnw;%Uip{19rU*~Ii@LEo5C8{W(pg0}R$El6|y zAcHKJ<=bEEBg7Kk?%-&rnrKGmk?07)RS!5h>F^JD94(nV_Dbp)NmHAPw9TceD%bTO za7Q^AmE*e1xxJ_-el7=v9lU=T7eo&Do&_~5dRKtj($E8E#sWd!m6QsvQ?vtiCCm>1 zf9!N&Dt=6_FUPVDsc@<*6iEkks&rNa^HOce>A#s>xVcx%H0-0dc~D+X?;bFktJ@V~ z#lt?;L^Cs}`L#4}&&lwUF^Wr4dqjk5HUivNvXmxne;CBiY+j6e3u75Tc6Gh^18uk{ zW@mb-fYc^YU8c1>w zU*9O-WLE9Sg^Ql#g|}?RqDYZb@saN~$!y8V!1{bHD>;2^+X;<|8p@b8EP^@Sd=8%C zrFrd836e`{em;>gIb>p$1$`jP{`+|?jRG>LpC_vs_GwOM!vh1kBfmbv(G2RH97jGe z_p(6~hXpkWltp^BHkkajniq*g<7dU=baRs`JZP=@&vRMOkK$&_>$Jx7hdT3EPI zsHn(b+R;evt)v6#T}ggjK%)rmiL4itb+^jwzB^b!^FI(_ zlIR2DH5)fNdLw6T%jMk@Q9dI#POmSIf1)>pShi*jmwtcOv=QG=EvsJP9`=zJ*F0$U zP{xa4p8+mM9T8JtWs-`*S@k8^pxv!~X{ejQ7w%1D30BvNXoRau&- zshk$QR+46@T~T5mPt*S@F^Y?`r0lb@9uu-#!@j6+@pOA@+GFOf2tGTRr>sy zp!MF>&uYi@(<>@$`5C$mbVy|}r7dy^Kf`u7{t~+6hPK=nR)w4%AdT?J|ROp17MP@@i}AatLI&XETrCIb@oBcJM)j}l?IpuCdI_zGflkH8=-T5Dy1!7yS*zOoPMFS+sIk)Et_}OPwd&I%P@n6ivexEchUQWk> z#B4BaxiOhH{na*|gnp~H3mTMgW=+r^Na1e73<(a4!mci-2N4+xkLg4e%O8yaI+^-&rc~K|+8ht-UvfIB4 zQ5WBQ2!e7*$L7PFdtBi33;PP~k6?d_Q*V{l9%scvQMjjPeYR(Wfvv1!?JANH24&SOl0UlWwrpePFN!3uGL>_!a@ z&Ca!W%$3;7Y05f8?~sLy5XAV&Z{L@sdo8pOiY4)0}UXCwFd+>~c9W{r=2xOv*3{S-1WJb)WvJ&cJTSjkP2vjUpKt5`r$(2PTV=~r z8a~CK7EoMXXNWI2&f6=Pz_kl>B_R2`hwT!J-i=ruu?k?-fY09&-IwQ)?mUZXwU$;x z1-(FdB&~B7Mg$;)t3$EuT>$nq7$F#h{npmj97grL!ot10LhI<}5VYb?G|x z2zj&l@b;qMD;TG4ffl&>K*|JpKrXYmdkU(#)H?a5SV#>IuqQozuJZe*n?{Df~89eEpQ+hHCzSl^>+K z@^l>A#DI>)IV+YVzkB-~D|&aqHv`NFvfuBaf8I;m@)yl;W<=!1kP#`>(npi}EZOkG zc(24e9DcuQ*U3u+c@C$4XEpT>nvS|4ko~UYcZY;{x1?rgXJ?5k!=g8AuoU6M%b-Fk z0)G3PRy?roR7_C+b1;D|j$pX@!P+A!OAoMThFS^E?Wxk)z<57epx7dG#YAY*COSl7giY&ge+O#qNRqUVvx&vgawWZA|aHdzR7bRsU~Z5|*G4-em-s37CDdBzEq%hsd}sAF$s>wkyz+sBXuv&M}B*CV-rMdp*-{5J~1;Izfq}Oh54RC5`K~|vN@^O2z0U28FvCaR1 zE6ydQozwrtxtxL+f5MCVKx1MHD?%0kKDBPHZhR13zG%0eX$uT9%^g^%ZDZ2?|9nI4 zOGHKDzh^+A;D^F0NUhMEQ`buZ0wNsfDO6w~AnCHJ6o4B z6DzoBbrXtJN3E!yJ=Yo{1`w*=`^9j|O1Ge0zIe^?&qec?Pu-w&(H>xt(Kg($Fn1T$ zP~m|9s^GOys<}@`*^bxB6ef{NRFbJeU=?g1Fw9{62o4|Qk}i+`{O5lD{^wSB11W-M zgbosnf7^5irNA^h1ZxHfs-H+3Tm}niv%FM)iW`^H-&#~$16Mcj+wWgc0)wuJJDkuj z_pz)U;ny5?Lzz6GKO8vyXY~6*KhYxeVgIG4^z@Vs_f*qLSq)!+oQ0GbWOa9{ZJ7`h z)!$qW$XQ&l0LB53Irn8+Fl)p|5%%;UHkKz0X^uD7`yyU@w5URF z3yNTYLrRKEo!-Ym9XCQ{YmMYqwNyjW*m>NZQ0%rPlOps)p_mlEl-3+&`h9YZqRE)NRJ9jU<&f>41lk$(}F9a3_;l`eq$M*&ACSiyzPGhmK8P{Wdh4sH_i8mR8=;mOoq zA;_M(Whd_Y*H#ZS;CleS;F53Z$=t^IBET=quG1sQ^f0k z4q-*Pr@rTG+Axo~XZXy4p;L7|fZ_b-#y21KwZT^q6>r25`8tIFY`0=bHUmesS~%3G z#Wl>zpd-Mal_8ogNSXiMn>&zo8^7ldP?QwdJht=*kng4#fewL?$P=R@` zOf$!Jct~3iyy!~6qi1+fdf%r5Q6!P2yBOosHjf%y{o^m*a+EkJ_SxlVUcXNWl$QMV z$pc`~M9PkEKura6Wa^Q@N#0|UzLP8SC{7_hs|{x=Zj56eM(y~ zcC8gxyo@1^!0x8;d~h&k(oX*RFndgwD6r=6szQWcd=iLwG@w?RHc@)xOYU9kN0j{+ zY7=84&LnP%9A@b9Sq-mNRa4p-Id$p&rjq{zE-L_L^bSKSMLy~lD2 zQP&4~1E+tr8pR^H0g8;qEe@ao)DEa9_vR*33d7KlRMuU{?M$z))4*P|nC|;N1$Qw7x%blP<54F`xf=jog-W7%^<2RQOaW4#VFn!2G z?aS1!noM_j+dCE{9}f{$PG6j={u)K3JF^PU6(RX3q*_sc%g3aq+PE9n&jTbM0EVK~ zbJj$>o|@wM2ZxtObQCw2qJd}wBSh$o!3W?06_XuJG6n!TK|XQM1@)ggWIqeBc?69e zu#y3aBPLgW_*hR~-)yt>{(PZcIR6DcXO|SSBeBjGv32U+vZ2V06P=YEA)D-dFW)n@ ziG8c6ai?>BWgRIsYKCdchp6n=YA$uC3U&;v)omSCMMJnH6J<|x9;xc$>k7JkdM{7( zEApMLy87vE<>OF8UP)|o>*JgwkLX^(S_SS*mtkpR8A%Mev?ik_iGg@-kM;V@i(pT@ z_<%V;jdwtSbIO|{Y^=#zzvQP9SLm=anU@X&Mm~z3(noDTaE6rxC+Rd5dnoDjNbX9ZD<$08woBnDJ4aL*We{ZlGS&I z5O#xx&{+0jTj6Svh}_U;A{wqmzMt*Zp?9Rz6W&8vcodC~irm63g#P3+`FPI61n$rK z{ob!~7Igpp96CdfYty?-yE&F6)}rC`)W`L7XjE2qHR{1wL}liI)~@V+vBbfPt}5ab z>`C3MkHdc5hVbJ8c<9||W->Z6JW_7Ra;vyNNp2~jSc%~C{%7t;Vdic~AM7TYUI!lu zV?gABM{Qc=P`^#NR3ho3C)jmR-5-zZv~AuxvT8ek>$&wR4!m4k!u()<9%TMhi4~+B zpz;cmM0x;7AaTurPbedmA_{y)@@@)$AZ3da$0auGLg9{?4!~~+CaoFgfT)rx zc84nS3ph*(I?Xo9MiPDo(~Omx=Ld+tb#)IfW++dyA-Sh<5&pU;RyVTl-4vcFr#IgH zQzQ?w?q3aFJ|FwQ?b$!;bCW}B@lqoSj*%Mu=E_f?(1MhLk3>WmC_amy!H+< zy99|{dQ!R7Er$1kpXet1q#zr+wilP%Y88kBLgX?$L%hCK9I<80W}Ft?tlQ;>wd zG*>$i$LiM>@iMo|>K0aqx3Q~(E(YD$2QI>Ig&bQzjZd>vyjwx@TH0aEN~P>yBANAw z?WqIly_H4@mkWd@4`9wOGy&OPkLkZ4-o~Sc{|RlcCzIWJlpX-7)@-vA+wcHQTJ-R{ z?+%b+XfHWoQBQ$BtLT@l!UcTUXCj@V>c1%Q6(26Vx}r=s1!jF4K*~BQWfG)UO|%we z>pJaTnlUEKX}vUw$Do(8pl*@|6j^f4eATjKy0feA(aM#y^>D02#liL6?($z~;}2`Y0^g#E z*!kTyiHt5EOhI>c9Jn9xst;9BQ2IB9o~X5sklru|pM1IM8M z)guCd7(v)mTYkv6fn=@XYW$LGlOltqIs@CK$!zNV^C_s25Ng<5bwd&3^q&TwWlP^Si=*O@(haM)wDjfY9zx3HC>X5v9p3|J5d^##mSzUjhXqSWTIY_5tyO+_jOQI> zwO?s@clt-00jDgcXkTv*jUuwcNX;AQP9&X5mZw4+?y3SR#Q`e5WI<#Ln#pEZ4-C4 z-8@+Ci&Tmwnptl%7S_|y(9qJ?FjO}N+sa|4$vU>OK4>=v3>)*Z?)AD6m8?xjrr=-| z1NzmA!P%k2u1eK-3Z{qj)h-ZjEZ@@&8nW((ETz2#-#=M4+J9Z;qV&>^ZrDj8CZ>$N z7*6Tn2k{AlCTzeZA=y073M@%h@iMh0ZsBv%p(Wv6fL*y5=621})h1)!DVHXN&EF z{S-v$+*~ny;1(P>_5H4MTfqLW!I1>04~Kt0+f-rI!hXkD=UX+2i{X`$hyLOm<|>nz zknxQiYgwpCAbZ-MKk-6{C|g_o}c5i|xkITx|O4nu6Rq`SY)l|YLjW-V?4Z=C8A{kr}=?3sNGjoN5R zH~SYaUWhGcxSc*OIe@82hCzdx8Gz z=K|RcOOo?}4wYf5EE!L%k+gwrUr8~GN3He8!WWH=cl^gUU|IGu1mnr4Ke*RSljLE~ z%D)N}c47SPai5QS_Z~Kwwm)s?>Dnfc!Br;FM_gmvMG56-xswOFqcYu`Q zfYA}j@=~$+kI3PDua%!S_Cq!#9F_B*Y}K^tiYAP@&A7rZWz&RLtTM1Eat1Z5Efm2gWdfh58V zrT{Dsg&HC%8x_7Y*w+F>#Zkb&RRr)EAmq>F6sSjpb%J8O)_t#Hw48SG$iim=$`qY~PxgP}oD*O=MepOjTP(Z=61AhBAM?6x;Uu(Vj-7e!Hs)JyRhK<;y0Lc@^*4bq!TPtF!@x{34j z-6KkotMt_IAp*pjXlaEl8BVIt@V6;R8m0uByZ6*wYfD?KGI$Q6Vd1am-aJtcc?}u< zx`UdCZSpNi-9tbd#!fn-C>AW^kpX*7m%wws#WkSy_t$G3zM3b|KK+Hy{E$(O_bofj z{7#chfnMLLz45$u$Jv%izoHa9rlM(Q`T!)=>z~U#@Yw2fs~;0Jkw!w`&uB&N9WnG*YYe$Gc3<&*IP{R+U82#O6z4Sv{qg zPJc*Loat0txUd4h(|TLN*(B8rCQMg$N`3Cm`!RG*7@~Q_+QMpWZ+_Rk*#l}56$ZJs zv~QZi2P~iD5ITa*F0l>$we-RHoMvghE zU0UTBqWd?oR;<13^JWOHX+cOS&@?D(n=YiwY0IzKNoh1J z!3jsLJY%fr2xUdiFYmpqr=2tDN1D5HpHtwJEH^lB; zseYJr6LpeEIbid<3t!H@>$qJ*rhL~ttq9(nblH@=hz2cPwEX zI7%zhqW1NPo$c%}V@c?jsZx{YkV#wi2w`bV`!qOQ4JXyi`CokM8LTHv*5d4yRxvS~ zbO_pA?Fc+vi^!Cq{5?|DaZOrIryM5XxO<^iuQDv9sqNx`8laecRi9hz;o=Y=Q8LI9 zX1$ytlBp#<4C}Y`T%~OMb;*-9;8{etNFWLZ6^_=H;EJRtxxMI{Mw!QXCkPB=_@G(>4yg5&8&E zoeA6`RwbA_$-(Gz;PF)mh=BgvfK(T;x%~;J@&X_5+KBS%-})M&EP!DU|HGmA1uh#y zF#|M-*N)B~G;`*~#VN9`p4AaAf6}4Z{5iv zG}K)$f43srXL)PUWs3&>db}}Je{_K&^zH7I-;4l(6c_8yDVmNrO0@c3PkWw!YIYBC zs+mh!H1D|erls99z6ESJU<$Zc0gjm}vQoIa`;yBa0cQSvAE4uXT24pG6vuNgB6lNH zz2q~CTNIf<``?BeMI+vsiHaGST!r3rE~9JwCh>X`%{=tDi-jeEE z^YR5s@|VPc$4yd=+Ci#E7gl1mLG$7G9lpzP|PrRjLdPIPn96C12z!t*>r_t~@DQhgN_qUO?^ z9LaVI*j9dt{-CbBZ#m!{k2n3fKjT)l{H!3D*{UAeBbIPKst??o_fx>uQPI%BT(~KO z`fWZjGs^|t6nUeB_u@a18RB$jm2T-NO9UQPlhJV{`Q9W($JK;qo01gEE|fHv>I}U) zXHTytBVX_`n2VxlOEVU023Q$N!*KSUY9a@Nixo5IDF^wzI|Ic3qgEIhbEg*8vA~vZ z0RbI+@&`bPO}@Krj+*>d+(v#D^9UC~L2;3vg|SE0NuyxDmCs=k1yBc3=_?wXD~TW6 ze8S930y+l3Bqv&tDc+f*5vaP>I3BFYjVL!SB)GD~Z|(HpDZBsJ8@$x3IQulYgvG_| z0D9(h(Nx#%p3%|JHdaWjK5l>QjdvQTUF_yBc#w~u#zb;}0ohIdS@<^U%a zOJeki$t*4+cbDG*c?EI`lk=JC0*$&0gN$#R-J#L5j*eb2cxOYtTSE$El@l88lh_Xn3F43%;@P zP=C4eu)F|{5V@yuQBH~|KhBAYaTi_z%#2=DJFk@Sc$u|s^QQ+qoT}QZ78jxF!Rd~S z{p5*hg?L=2<^)_xr`~4qiXsM@#aj`j@Fk|V)gfJD6!sQ zu0VieG0NDvq2b|S{TdmVyD+}&izvQvm;F0Lk3g~sczT>ON&A%csJH$k{NVpgU7?5y z_uuVyC*g(tT_^zfx$rQ1Fh;Yz9GuY!fPmZvs$Y(8#Xz+Bw65bs2x zAV`T*_WWa4UqF0KG{so0ACceDm}lnM7^=4RlIpqHa{0G2lYrBldAgb0;1!)2aX)TH z{iUFeD|6l9*qWKrhR43^(czPfp)4~@1Qv;Mjzd5n%0AK@_$TgS$7!h3BDEo*Wqp+> z;X6s`{RYqR1VH?J6nAZYVE*nq{X?RBY$62;Cf$`);(&S#X77 z9UMA6$2A%W&wYff@Db(T`0zkN0m;|88hCW-sQQ*V? zHml17@kef77zp&@KS?PxYVt`o`4f`giS{kr#ce(60}Sf@iq6O^)^nNN&3`^Z^2-99 zYh7`p!wISgJEmd7w!Hd-tRy9P&HV43zWdrjl#Iskd(7#D`cavX{PBUQ(a2Pl+UL(( zkKJU|h1NkuN>hkGzwRE$H}WCbgtJ5a{X^fN<00(^%iP`{^=>y@cOgPL0$>ljfWIXx zh9?W)h|z8Ulfm-Wi-Y@)@xmje+L8#nja=b$Ct_)+C)|>ncjhr_rtB)5l!sIuf;BJV z0JrzTgX%ncdD&~QPDq#tB5K{jEUt8GvDyqYx^&Un7958UsXaU;3u~9&)300BJ1(ik zBGP!)Rwx@6*E;fng0X+}RmZ{tK1F)48`rmTYn^@`8J*9e36M;o3rIRKEw$;9T;4|q z=5**3s2K9eI>eFYJ0+B8sN)X{8estk>l|qgwz~i@{!iQYCe4-%qEwy1XW+19Qw_57 z+m>a3nNMxK(^^5e3xjMwFt$Ynh3dQcbwLQfi`L-0nwX?6Tj6fC7cW5B`r>SVf3~T1 zZoSFc8ir_Vn&3k_u+e`-Vh*o@& zLmy%y_sL0G`!m=^u^m8Q>fU0g-n_RGq2Upmwp8Km46Q$tnDv3vTlZv7R@o|C5YfAIz5y`$_^xO6(7byFb;X~q1@(YM;@tPE0y_y;e86kzU^FJ)OewKBgo z5f>HUIufEG9Uet$J~saS%RG{U#C5HQs9S$6Ej8K8qH9fTVgKga{*k`&GUUe}u5Z<# zMwWoq1MrL1FYRy_FjJ`PLt;{zUCfuWnh)dGoPY zpSrOYK?0+lBC;YxSegTRV`4PT_wxoLu5k8`B2Mcxa$ggAhhZ?K3y_h=cgAPl$N zg<#?SAL|j<%SISMf%f&tli;a33Pkzh_GAPBqKE7nFeufwN4ElU1b};s0oVt8%C9wO zUKy{Yp}9-Ax$uc9lLhZI$6r201HBUB*QW&?ftNm>F(doqTAk`8`jLYCIusr$?Q!ri zj4s8Mq=wwt^%LL32$r=_WhXK#nx-oD^lX<&nsi$jY#bf6*pnS+@g(MmJz|8hKLC6$I!)!-xhPp z2%%_EkhXJ#_fF`Z`(|2a(^x*PdqmGKh|kW6eO1ghIbPza_tLbQn*!hSNtoLwl&#{; zW}IIaHYH1UeFrx&bW6*yQd5$-Y6EKH-JU#Fe|@co715jU6i)OgM&#tY+gmR@8sAwa zj7104+dusaLb3x$;Qy5#Fy%{YddP0|&5XNXA*8uh$Y8zWoGo5+g8=h#mKLo}79c%@ z=<+QF=t_HwA#GoaBTxS@cy(pZNfatKU6`NF6R!F3@|}Dv0dAQL=@ThEq0F>Ox5_#T zy_yNqWfg4;%hYLziLjcHHblT(B9F(NQ<&omT1L2u9tJj!g3R?ZRGQZtRy`OR;e{fb zkLQp*&JNLOR&1KLj`1;RpL3DMSAMkb;T?M6u`D&$&Gx9--wIO16cil~r-H!RSs|k~ zs*sIKcSR|_yhAPYeNFipR&az!3gOyBW(*G7Lh7 zO5pnff%X35KhksFm0K)LdKozAf|UXUPvSs{31W<-Lxex+pBO)x+1i)0MIe{xUz_fv zK=eEU=?`zZGQXRvD_K5##h9v712i?ovEoR{PIHhd;vuCg3t*7G4|V(&$NP^`HR2g) z?b^mpADDJc>#}7jWwHH4b(OKQg`o%;4VrUGCgF@!j-bb*e;5arX%kJ8@Y0lpN42SZ z!OB*5Q7OzH_QSJMR?bbk2yU~R5&kD^D32uE4YxdzFU$}Hs*K8)b`Z9bUzale_|=Ec z$43UehTKbsZ?t3_7q{V3iKqCmml_SF1U0_f#W*g@S_Il`aco z<`e(c>nTn>sZJG?J{L3pVS!9~K;Ivh6T$+d+jUB`%rl-fOeHA#MzN+rn@3A>K5p1` zI*i7F?ox&+DOhu;%K`NwCtu~Ln1T?|x+@ZyD|Tjp8k&CLhF&^KtSwW{Iyj9l!yq4S+xxJyfRys^4roBdu8ux4knb<(59;e?Q@tPYC1Xzt?0^4YZSwqTRrki%`9w$R?pA05oKM_p z^`_SA$#;)L%lUak^4CP zLtU=aQQ$d;U}FJImAdRab#o>o={je#lVb`U8x5S_KBi9~=hUHi`Yim6cRX4=#dM z`}2~glBN`9VGaYNXJ3fK9aOnMQoLeOib#JJD^rW`w za=s(L*+a`pgtwnvL57=trjB=F>@3WD-r_h|t-Ow`2WDviej%d!5BI=-|n2k{P4A?V$P4PPS3wC8 z(n^+5qqF0lc^pt68rX#sOa zw-_)S24Y(F`zRzUK77)S<@=05REzvNRmMh|n(-p(P|KVC^EF_lxpA*O#Ah=K*s?Nl za2Px@;Q18pSj{zY{$@gFKrreB7#stzSXSZ(py&JN6&+)Gq1Pc?JzcUX|CBsbp+YH0 z_~lRi7TeXi*B}!H)iL(8;!THoAO;|zg0<$|5&{gTx00W1cFasQ<~9}d5S!TJVK%V& z_KBH_eD&mYqISVTIMUvW@ngWY{?^?D#}6T1d~xtj3dISG_OT2HVs;1~{)PGM@TE@c4VrA(yGd&H414i-pJ}*eX21cY0q~u>P zASR*2`1Oha(i6-MX+&kfz8`);4s30ySuE@0iibRno_4jJ&8K&8lLceAN}UH;g&qHX zGfe!FR&6_(oupC8!pK@zmqxubnm{@?BFy-l2?gP#u3lbGOMVvRWyYrqZXTFPBj!Qr zfy?5BNhw)Y?91*PtxZleNBbPDt(uQ2fL|i$7#T{}c5uI*w%DNVQ-?Esq7olp#X+!4 zeK`9>j2}>D>~0jBlL3rz`#!?`@~h;RD4q4Vf*LSVBvn$`c>)6{2Y>jSKQTm=}O+PY%{| zYgE_Zj~_!L?6q?~eM-8(l0N2%g30$~^EgUVksy_;G5V`BGTm|;TSv?wT>DFKeZf7& zS!**K#7Gio;k?4%BZB-)!Gr?^@$7P8zENXDh`WSh$vhq#bcbe3G!A*5mZu5?UiAiE zE=f@P%i|yp15QOOaNi!|1q1}OH7$;gj)P=LWaiNai4)QaxMzZU%cL!LvJ%CK_mL3m z8I0a|-7R*;JeDkl@&(Ckz+vop`T_Umyz!Z;Q)LSt7C%`&cw`#+;f8BCqLkx?FH`pUGh7mpNj3(~qlvP9p(Q{Z|F;&`JU!k;OYCmW z_kbhsJ+4T(Lgg_h0hU_eAL)3y18)M;a`ubCpBf$WX_yis|92Obme@kxGx7B*kyQM> z{;Pd0Ga{GcSgn75L4*aZ>^^(f-w{8`snE0F9g)Pu#8~n34Zr!LtXag0i+K#*$`WN4 z%24j>!^0Zn&wRmYQwb9j=X=`dIM#i@;uyOv3}-6lb%E<0#2y68cFc%p$p8_xAS%oW0<##knImFK zfegnynu9!uhTtLybL7Zl*-Jtige$&J-X8mX25e2MLGM%$Lytxz0|@FE zIr?eZ5j*;SwpX6X*BAGT`mZP0Kz+(GZYgzUDq;$izCdQhc@PmULO|>5&jO3 z8;i%4Qna4TIvVLP1rwc(73zmh|BM*DJr<(`X5xC~@yD;}E2vU4p8Y*fiCRuyn!l=u z#-`bW7J`^Kqn`gN%ozM-r~+_c2LI+eKe@Fv{;tzm@Igs$yG1(P*?$sopkB;=Ei)`| z?1mPCJLxye%tvLjMgDK}wbQTdCEmVH(8wj$2}eS_6v}Wv^0P14wzuaImCMZ7k^Oy=eHw`s_s2kBB7SOD~vcP zvD>~oC>?+O&l||b0+*#`K^(*hSAz~vDEreS_^jhbGn_j$@1*<=IPG{8tFWnjQ;qq3 z;Gf-MKZYJ3BzF0$d8`gaq*^y(a;$7dbBJHz{p>JKKt;__h*qZmEn? ziL2KD1e{drc3`ZJZx+)R5bGLI9e~?5ZX2fzDVRx-MF3to)}AIflvSt-hpeI!rTg0h zYnqyb8ir1z)1A><;DBq~d$2*K1z`Fd5`Ddf`KL`{YZdSFn=1*~EW@c)A zj=o#gQ;2wp9SzmWBc>!gthoa=E4D4sul%+=tG?mMSyU8)sXgcB(!e*n---fOuhR?~ ztg&9wbLobV9nQw=7@y2`T(3bMlWB<#=A&MUo{3d%Kk& zj0gD}L8KrKN&p0o=_{xkB0`bc(mdI+Jj-q9K#UjYdkDfN@IkKyKxV)}=^_9diGJc- zJr#s5sY|}0pF-@kbHUFjAVE(ty!(xk=T?CKC&gz}n!|ElB zTe#w2hvIJP1FxXPpr_6+3hu5&IJfWUL*y_3Er=T?w4hVa35v|5&1$({@aO*~{RH z8RPJ}9Q?!OV%d6>@+Z@@aA~#=4>{So}N*$p!L1Y9fRkl zGe-h9MFFrQU}rHDFyd@HTfGtK)z*4)Ca}Oc0%mB|dtf=a2g4pEPkp5^sB_c#lOTWX z2-A+-gJ0NT`$`NH>zgraPp|4bmaqjUe3( z5*ukGrMsoOrT?|j_j}*};yIq{9H0HftXVVn+%xQZUe7YE=q(eg&&L9xI_rJmo85|< z679=)-t0YlY62hOnTiWR_dokDZg2L7oCe~@oc7<_#m?@BJ7we+=NIP2$ILoBi1zba z0rFr_!dTibeU2dcmQkSZD&GaeuZ)jA&V&Kk%fD(d_yGxhv4pVVUhbOm!?KgD%f1zf z;(F^o!zW-Agc$%oe^lVGKa3R+27B59vuZ|rC?dt9|e6-_FbX?t7{{;u9}KI!&#f*o#d6)%hr56^CG z8gB&CFyEBFm~g)u4w<*S7?`t{s|_k^Jx!5ia_TiNsT$Y*e)#LV0GC_$Z>0u&VUAbn z?vs&11tN})4y5{3+`?TMcJP>NAr?Rl-j0Nu622|P?&Al5JO!eCCYyL(Wwm?wN0KdT zXT7&FG9knftQAm?zT?Ak68~Hv{}HB($iEtO474kwTTE#1=(s6{b;s4xLRm3LC9*eD3tE&@f2niU?0r_O z?lE_nQ%}Qokwhw0;_*>j7iKwKQ14WOF89^pw-(fhvLiOa~#%VQ(0Hyi!Rp?PAc>N9I$gSxRr0^PuL z?Pb^ir6X15kcMQ;0f)EjiD2dH{%G7DeSy)Z(`qm~UZ?R6F)K^mxekD*OFKC^oX^~8Ii-FG5Ga22e zkF>2i|1rK@nf`Hs4#Yx-CYq;tKkO?tqg2>!5 zxJ-kTD#!_o9IgQu#sq|8|MQLkV3F@2!gJ5~OU}f;)l1nwrw%xU+WaC3J2wvI{>&Bk z0D`2F9zx6@rplC`qx=vyUHz!> z9HM6mp|~-Ut9`3kv)!Aj(`}lwJ#*4Y#Vj|aHjk4r##xgVbM{P_nJp=qzu(0C8cd^P zk%jNXD*CiHh4w?WyN(YTH>5ROI7(FW+&5G&_IQfb28V{oXeEQo6o0xm;Q~1CcITlW z3D5!z9%cC7S0UlJzI<{eol%oB9yq($ZDE{tcSL5Sw*MlYK@y}*plvCP` zr|f4rRb||z9gR2X zpC|oA>U|ue$Ygm%`EaL6f@k_G2aw7X;`nm^9ZA}6b%I%_=1%m-UsFeYn#2&hFvaev z9~uxpX7+bY-Gq}IG+4b4h}Te5yE5^FADky_i%m_RNoI*u|A;-JQ)FZ`Y>L#jzVT`> zuob>8r$bZ?EZo|h(l0EjdZ-wxcc)Kv_gwJu`@nMGL*Su zbY#T&I$m3QwcDb2Vm0J70IFd!0S_M$LDqwR{+@oU=5&$_z>J9gMEK_;G z6T0-5gxy1vkp16EO&9Ginqq}r^-O%nr>BR9p}#D}v#KpUxT0QhyM4$Gvsd93Pxn(j zrxrQ2P6D`^&orb?6!TJWS}|{AGdo*kiB{i2IqXrvKOh4@ZYQYWmqASofau-k1TRn$ ztw_G*My1O$U1>TT`%G)9sh2x9383t+m^*m)t7%lV?6|5;+?oXoXR5CW1)m;Vf2@pG z_V>_LGw5Ne=;W!KaX#`E7Pl5}A=7kH(|^$s8=d+aW;gNX16Cd$P7N%DuS{8lX5LSg zh#~ER>z~%a#8{Vq;1*62b*OEjYG^W&wmKSs)OaT)29F=WKS2p`2b1BVVXmq2l3(6- zdF#zPjC0j;OgFe3`TgBoLO=+4T7e_sV}Z!K>3P@=gW%22cYvBeor+K2G)}Obc51p! z-uK48Kc3C~A%t~#pQD8;OWBMT=T;gR+{CWqcsT4S#5Y7;EYS+0W0p|Oi8wO76S6%6hNEdaZWTYg%Ysi-Uy?xNXr&Z<<@ zZQmuCoGIt8hh9Y2+}y;-T03XULbuZ8xU5eO-#WZE{2$V3@J`fLm>~X6sAmu}^b#u+ z6d9k7S>bm42Q9w7@I!Cg6_v~iSrb1yt@CEAXha7ExvxH)I_oJ;Nup?VT=a)gXK{|z zaChaAh@!~_U^h8aO}U%}n|j>z4?ErLhUxvuxpaUOU3ApAPfa>dYL@Y$_)L`9ovp4~ z?xh~&SnWXx_gokJZ|T}fN(qc7h4KBXurx|!2YyPCMQ-J7{6n#yN}@h3khslQlaHfOt1 zJGsmkxY;3gui7F}8l@H~Zdi^0r{P#NEf$R4mcd42wi?p^w5$nmI&^E!_>t4EnOOva z-vH9G`~Rx*kSDa|G8Sc$@N5s{GHD!Uyp|u=$^n-JS)dJi&kwGCw;n8XfkBnU&i#6K z!SnjNVRcZ2@$BIM1XB6u%iJKMIK<52gRq~tn~P5OZ;6_j<1&-_#g09}1A~IUDIt7_ zoQx{#GOEY=!XyE(^$9p#v>7aUR$-b8+MV@lmAE0=#I&?yvV~TgmwaG+`;KQBe4Bms*J>jj{OP5S z5#kVEx}U-h@V5cNWyec|;41-Z?$gAxKlw+sFk3!S$_u(eML&aV1R$ zTth7q`k?ztX|F#R6%-fSmqY`>uB;C34mf=@3gP7;Yh8W0cWr#VM)SfT0N1w5cA|Xi ziP>zqlw?-bWZBV4JEU%Hm$x`HaWPf>cGLmK^3%m6G4Jb3CQGY>pFK>*b!4f;wnwaj zE{7YC5S^l~(zfg>ZcKrS!#Fwj)|lh$I2rfVvXZ&mg^sjaV>|oe4P#mD{k+LZyQ111 z2J+OYNbzWTh~6w+v@^zH6jx(b{=vKY&~vZu0KA018{UOTVPd1@vAv$i`<1YoAb|>r(^fWsxYdI~S6=7F$f1B=9`7gOVedxE+p{D;Ss|q)=4d@?fr{8y0 z*)Mda-L;t^CWmv&IDjc_Fr3K|698P^BS`!k7N2lMQvGi@MnfCj-Q6c!PoM*Cb8i#+ zJdcrIt;XTPE2y)_<`^_767^vsvKW>{L#uODVb|xu1GS6BbMm!k#;KQKAvFTq{VM{m zg%hY^2FFP1zq?Gk&7b+M@XXH7!Nz-ZTiL2V?R$(voLbLfhB_v;mqNb%1H_&MB4ZAY zJM10f^u{q=$(Paa$JR$%P+yGDxMH;sVFMTfz+)t!femEe?+;+eEFPd*t6Kqn4{tZC zqG0RlXkfn5G>SNqwc`7vh4uq@&cvR6n8JQeD7x!5ym9RR?7RCdjGv!>c9){42mr>w#KXM8fnRX0jCZ~@1NoQiQc2WX+?4kZI8y* zn3YQIUAg>bOwqZIN8XS;Yg@rK96W{;&Ove%MxUXniLc-n{u-eJ4m>k8SLQgM78-z# z?5+L7n|{k_hy?%S#bHm=-pnjXlNR-FnS?*=?~kUXlY>=63D16nkSV zt!&hTQ8G98c--=oe!zk-wmW((mBBX}X!ky;wO$u+{sDD7+pR|R`1AX%peqwgnG30Z zoK2F3={!y_cJ$D9$g|A-Au)}?viXWOiZv#$M*i8YC)39h%L8u0B2(-`VUiMCr~p_` zh3esJ{$I(iOOv*zZ5Z5H3S>kq#PpIULO;$JFU1(cpWf*afIA>o!`WHCL(u3NI_m%Qa2m_j-#EXNO@<=NRQTmw0MO#>P&;GJQs(DJb#rrV ziJ=|#uS~|3+!P2tvHaSyVd5S4Sy{t;*{ibjiazX*QqdD}Mx46HROX74Q@^#>_8g2c zZ^^ep*{6aOQrcAhe-?7^mXS(t>u({_aG=ZEVv`oAlzLA%&lBK>P)tDR{pA`oQM}OG z#OJ^DE}5Bo?_c_Xp4|Id@XKXYyEjjq)e#0TxVWEDz0Ew*()lvx&L_F-gR5xH`XH{G z8b|bNrNkME0{Ms6DFXKE= z`9w;ZNA+jEnl{oR=d#R!+-tF zk1<{CyQD<4lAxePGg5$mN6DLGuVn!hC#JzKm;TG{xZ)6<2K+nnb%FI8<0BAs94cJK z>IVJ0?nXW!r#~9}u?Z9l%(q?X+P7lO)hibimZ3xBY-(IQMi-4im@*yl&yp ze4Vnpz8zdQ`4q4Md1ZtlKusAnDC3pt|9LRzJVJ}Aa5;da9jG3hRh%=0KfFhR%i~^w znE%fYIvSZwFvKoGD|?AT1}7W7yL^fTHl@sqz-uIM{{MK=FYGGh_%iY2C+hG~cal~h zryQuAaY?u#rQ}@Ja#!nv#Tvjs^Q^(z;Kcy@0TFD#GKGYqqM|;`pRtqA3ScA5+IO9$ zhm8cb##qX#?^Tq0yLanyltBZLeM}9)NR#=rL7f2f^x)gg?Ij5Dh%$>dpV=)y$h_0O z-PnRF$%mEnW`~?03W_&KB(O?bhl+*6MkYFj;M%tT)1z=Z-K|RJ5s}<*$=JW^wt=P? z*bgpCKsc1$g437yMQ;Y!&Ug5lvMpBvbjlF3zPL z4b-sB+QR=|huQ3ZD9A7#*Lwh)?VtfH2j{jp;eF;iYOBGplM3pI`(8Ycf9G7NG6~)z ze7OgyslF&@)__bbg&<{?JXHg<&T~sR5BaZKm>Vc#3WTOLFd0JyXwpy>0BZy&WB_sR z@NEcBu+#m2k1h_0n57iSV!Zm(V%?pQgJEiQPW0d!wo8A01H)D0deh#0}u?Fl zNLv^nyBJ0uqrtN^Xt9KXPA#!DY2YP^*RezRNfzU$XyTCL>mMn@*P4xWKO4WBjWV5S z6n=R}4H^McbV#7X{TD?4Vv0!7(t?*H4~0RE3vY8Waop)7{~~x0to!z&L(pab$^@4a z52FyDx9Hu3%hi5UGkQUfzi1c)g9{L=o51;{hhZI{N}O$_zm)wuDNyBkf|wQZ@%a~O zVjyk^+6jdSZ!;IW61hW%h!5%DJTZ_vyo|;@b9}e2B^$!(s<(E^k#m{ zw4SSAcnQ@X>%+D@6_*&-`t{0N0GGklM+SWbK{Xs{(;Q z3_zogt?NDzicVn{NrY9cLH3*Aordwzs!lbCIZfs#rRfNgm38DhKw7H&AkHec2Lz=liIDQ7DFq%Ha+A{*VpoohYdOt=W;3sH zf~6O+9EdZRt&T|c9UrSWKs)sQ`~WOUGE0Srixj^IFHf|qHbncsrMB;WW;jL4W%2C= zqLtHzpq8S;PdENI7r@2BVK#_B;WLr>xu^5*H}vj9s$dr4KvG_ez$J+ ztcdt6{D#erNE4w8me$ZM$n_}XfrXx?MR1k-*Q%IEcF^U$6Ey~4TbRiow!^cJ&gbN2)XwKjYQ|i0o(@VCKFO1PvF&~` z3b|b!pRTrQG+ep3M%`W+^>}4?$XGnI>dWbF%p5jSD+R&Tv)@x%5J28lq7)Imc>GF) z-)&6#Y)uHu-ugpU$))aw{az}++s&HC&072Xl~GSD@y*R%iT&O{63+nU z-8b#Mq>E@9kF~nOOq7feQOOS4w1Pzj@v}*w7?27*oqT2Y47TV;J~cH3JI-R0$fK7_ z{j`~9eFkM-aSqTXktN?-NA@9U*0s6s7pC2ycCXAMlPN0_pFuX2Z)L~Y!>x`7dge3^ zIsc9dt3N%DWvvGj&JL)V!y7M|_~BbBwXIS0(Z@6*cAwmXIH20R^dv*LAg4Z@`)S!`fb!usKSj(3f|QyR!<8*ZnQ{!`p$dTn!CEXtwIl@WMpLA<(G!i$8a*0wLgJ@if4!H7QGn(-bwd- z7z$7DJ@-@suUenDmxO?4TBNN`UX1O{c-aiPcF5e1^IA)6aDsKoc`tLyPQ#UQ>T%Y_ z6&7>3BXHj(#& z(Mh&mlIq3x5vtCg!zE!mmN}^c4&9kG4J#{gHL$~OD|5uGN?hAd^q?K%g8SlqbbSO( z%o2L&W(~gdYDLGlEy+fJp(v3G-eXAY3cf#Pt9WDx(1J|K!^*&VC`1 zA!2bjDrE!-v5o&1DI$o((5GzuAcd8e-aU{PoZkw_+sU1PPn}5or3+a=gA-8Lmjr5k zgzz2n4FNR1!+~P9x;)@ZP`&o`fV?nBBNe7ZkMqDo{@;wA1z(Fl}@oz4rs@(Z*g#DdgfKNRFa3^Vv1sdMZ8)m3n z@6r{(Zy@b%^srz6>2kt86JR543-T*;k0vYNX0-?f1|5D!jKamcM>(U>TbC$#Li_6n0G4+tjuoV(l15@rC2e{Dbl=FR}DM9DBEog@lG5C6B$~!2h!{SbsOGf{%T1IaHTV&! zQn-pCKF{7O_Ao<5>u@gjM>Uw;AcmBG>i3VU=bM{XnOi?}-ldsjfmDhZ*!5I@Vf!xd zdiAZHwX@Z*wU#d{eqz&})=@68qSJ}!9QwU*80bo9r{41u_r}%N=d~SJe$*E?Jm>_AWDskIFZC$g;5EI%n5m^S6ZT1#V+7 z0>}~-_h0Ttz~KKJAOo(06>(QdC;Z-du72-U-K_n|Ov2zP&J*{G!A|ZXk59uFBS%T8 zd(5eZdxqmFM3(%pbi-@?ffI_OKSyDLgEL9H*!W7&muqKX9v4zIylX8^Yv-|Gf-5z~ z3m6+7jjWGtLeq2Eul7n{%jbQXF=OtyYV)d+{tW%mO@msn?=D+5HyC(lpoLJWa?u%f z6-TV7^$MTMd*>+(?AW{UX%Nkw8Sc_Cn8g%ey2TH;By#NyRgdL(#o?A+2mMQ`WlNMs%o-sUY z{M~4Umo!~_5}J0szGxgXCKSHELnVZd+%~y(=9g1#kTyx<+XhuLcww~GKc0%iwUmr$ z+_q!|A`{ry>!2qAqEqN>4Bn0%7`FsNbs!oaD$vBi1}`gSFv6*dF)jDzQYjS@pT1&@ zc=_`TUt?=sIA=J)&Zu!1({!@@1)AhVC?n&EH=bqTNAdLNp{c85|{sDe(2rA20X>PHvGH-ru&U*1J)c-;Si9)r6kpqDO z;@7=@giOgCd5fV#gM!e@WKoIHIl}$W<68351SNpj+`rHH+4ZbED$At!s9S3FM&O?q=vk03BqHY^|7dlKBjmcJv&%Hnvb@tK39CILQ}-ZTA7)my z3hHV9A&A2r;MZu`Z?0Xnq+Lcn%raA{)%%*UU4H5(7Sk^J-$N1N^)p+Vn=Y4?1{@Qf zFRKKDZgM5_*b)m^6S)i)3*CqZNT-$)G23-?ZhPWb+!v}`S|KSfl)cPI7OI^4OCwrK zh?6jv5G9_c#5!t$;aj>SSA zH6j#e(r!-YLCqyLj+VWujh&a5DBN(3wg^gJEgui>M;=&1)Cmm?Wxw!_vl9vubrJ|| zN^NQ)Rz&fIg_P5H3+)` z8&3YV?US$T2VVj)cnC{e_N@Qx<`6&MXJvuuuRSK^v3Bn+BOr3#lS_l0oVw1Ok*o+y zNVT}`Pr;Tk2M_{HD0%WyleSe)mn@q~b0?BSo|}GX>ZOl}e$ecb@7`#%W5nLy9Tf0T zX!4;@Cx7!WCj23#o=+ZUB32n9fg>z;^zz$!n5*fbhf|kj%JHih%j_1|wb zdp);}gjGZHHNsSL&AZhwRlqYm2mPqW}-Jxiy+Tp=;K02ojG1;c-`P)_C>){Bi zQXk^l5c>4zGNxyInsBjSDk# z>DRI&UUjR?7Ckbutyg1NrOU5*b;cV=AWbJlNK`A`vCPn@t)hY#))~5@jKEDJCEU0- z7}n2wJyY*?{OAjvA%wGG2ge=7GP?dylPRT0!jCnPeqpm`TIZ6j&v~tITQ}~*`NyzS zIRnVCpdiIX23tBSZ`QK5(x-8^g`ILuy3M&HxnDMNosFN}QBt+hz`h7|1BW8StmkfH z)IPWZPQlMxoEEmMM|hBa*7(V+hd1$N+!E(TFLa{e`?g%V(uL7YXOZ(!FZbJ?o}M+< zUcsuBlu}PONef_01D>7X-<9{&Z(TGiR=owLO6g3+68+-5CTjZ5ryeOG<+0`M{d}Uo zyy3gBfjp8fxA%ecEho}DM2&B%?@V5=s&K}ShJdZ9=&YeO*x-xoy_ce)X!}w_d)GSR z^`|Z_NSQy>pBKV@ageim>o%TRZu@ER{l$4PztapqX+9mhmED|^!DrHHtLYWw$|XjV zpg8p=PV3ovX2BrQZ=J#>@^e!(oa3jT4$pr|Iqpnpsi-hXT^#SV&lYWAVlj z8DmT$<6G}eKkIW|SX95AI}uekl}PYTsJL;u?qJnJ%s}yq6SiS9=#cpt=d=~yhigAG zY?GGTVI#MHEF6A;XFr2)(fZDkRLGLF`9a%!COp(6xvq3am*=ZhHdIz3P51t^qOx|c zbeCcwYER0_5_^u0l`;4fC5z6#ai?&;l#gkXLcelz`IA)V*gS7%{N4cNM&BVCy4B&8fr4olqK;<+_vB`m< z{@O~&gWy^r{W)yIZ}I#aZD;@;`pHRD9_DlkBT4}#_snIuFMony0)Nc!>|b8>ioke@-}DE@R57>9SWwqD=ELdd8ILQiPFCUc01Tl#3C<7qk^3TO z{F725sf@NTYlIVNKIKjndCYRY;7{kcj(XDm(dk}P4(qhamFT;7*-Dx}&<=eU-j`<* zGLP#o%W7(sGFP!#5cOgWr8dmpj$-EMN=ytY;AF~RvTe9;`-nQDYVydCJE8J;^4q^9 zar=#xL1p}&u>9)+`gPfT zCOQU_jxQr0+h)E|yn0?C(baRE#>YQU{>b~I$gFtWXC-PQHA-pX&rfQ}9tAjuvlqPV z3!T+wU)Qk`sB03gJ$@f;enpK>UMhN~ELz7JOAuS|S>0~l7fKuX0MD<$!AU}gp)UQ2 zz$fwEHFOG#WG~l8ZV<(O{BBvaZ>?-Y`G8GsGRC3=Vh(?1ro>|g%+eUC*C}z1 z8I(_XsdH=B)+$|Jcm=t61zo;IijqM$>p=qteG&>h>3w&TTaeLu@!-iTS|gbX>VPs` z4eVd+^|o4ps1k|@IFFe+VJyS4!@s5aXl@Fmc+>VeCW78K((%%ETi@$lkEcEDrBA~CZfXLl+32A% zsho?=chjlrBKiYXoFmfIQj_bBA}e1`E`ACW_3&*8RGr@GsW=&yGZEE09f z8UN6J>`FR!h*5X9(He;1m> z+115Gt2F52*v@`fbe62_v)Xu-O&t+jxr}@V7yQ||y~=62L(MLay$qZrdgFR+_^V8E zl`ex&Duz9{Y9VQ|?kPRpqvTZn_g;m|8r>MM4buI5;@(rO>nO2yWG~YRtr)PLTWwgS zn68OEocw_~919?pg6lhaAD;Uxrt>#69EiPF#)!SaajmhAGO4iMN|yTW!mEYIQsQA{ zRpjYSjFe4V)6hNjb{orZOloJxeR{q9d865sz4TInHNK3RR3R; zBIk>@knH6DYnVLiK6s8i56g{5tdTtx%c83cLZ-DX*R0c=eirsjJfq>qZ&%JTL{48O zmGScSnna^4Ui0Z}mV?)_xFdz(7h{IOAz!xx%D-vwH_{R;rSK-xjd zOYN;4xgK}B*t%5*@oD~XqbmK$owS>FDjh?Z=1sd9E-~r+N3GpC4_Ks`yK>^qXf$1J zXc0ZTj4CV>Z&87B;o|Xp9QDZ7P2Fg{0f5;YZ&YqP5$P6>v3kmXw=gL@e5|P@k3^58 z61BvHjePIJ=)mG11qv%DZOKRS2?Fh}TrgwJ^vcN2`bOuPBj+lRq&vQvcLm`)5F*Lz zI2^N&K3K2K*$xPGOVuVr6^EiL&ex%GF~b3tU=GrBDKdO}y_d9_HdOudE!pa$7}V$* z-55^*bEd~wNj`Jy-DpqiZ_8HV~s$B5Ox$L~Vd9@7SmCaPp zspyfTz1c&$zjQv6a_h9E{wKIaR1hUp&R>BNr4xRVBM&d?l*jN5W+Gqry;ea=t&+WAeVo?#CW_htWsfoV8o;z&Ne7kJ^3Q3ci zQ>zx}Vvv6^ic7eUoes5N1o`@Z+El+U;2Nl0&Q+BhcO;?pkoQw%`e zr>51gtbTI^QoJ%liN}3bnVCMtKKv3rOycd7nG?2eL~CgSq@6!fb$@PNT8Uml6iG-v z+C*ILA)oh3)%b^}%Z5F-+u7&%$}{-Nhj_{}Q5T1HlAD@xLY>gQK6n^av7-}*dfz;~ z_~tdDdVN&htwL;Zs1`XPag(Mj+=4M^{H*KS{wKB#XDiX*9g zQv1@bm zJ+aMin6+frF-CmohG1_dDk36bGe4F!(WPFy?o*0LmqBDNy)NSpngtV+Y@9@-x&pNX zXLNSfrv^NI?+2Ld+{)r67Rr90zDXnmB@W0Wiu3Of|5Zyg zujI5&iTlm)4(&CHB0|(2J-1>|g>z@BI4!O}rt^=?>fezK4op_Wul^QKeUjA9pNpn7 zB9IKl_!>>BKDj;&l`kXEDyeQ}*;Fc-FBRp%;w5+|HrXncQL$Q#TOlO4alc178QsKD zGMiK8y+s$N^eMt+r=!jlK`sO_JtdT7wi#) z7@p`9G%?4+EEM96Tf3@Nb}>TrZ$4ZNFfa-gl_QXA6HZZ=zLAlWAl3B?NO{g6dWl6- z#O?{|g1>JL_t%H;{{+VrhZjY_Mp#R%l0?~J*rsE&U^j$N!u9r2&mx9Xwsnj@=|t~a zZ~kl6d0Qn^w#=L@JoCbbpvq93?;!t+`RNwts`4)#k#cN~e#s0w#*4pu8F^2UW2JpX zZ@9+klBiD(-8S2+Dye-TpPB8x%{}N(`4W~-PPxIQq-Y-7{VDy})jEViQ6Cet)i>gC zgVIBHVocCZ?u9=wnyxmS>`d(l6+jdfxbI>@=jE!#Tny>rWVaEM-czJ0e z>>U>yJ)UOgZkJ|a;zU^0zW2mEB{(g4KVO$b`e)8EjOsIZQLy48abK5H6A9B{Tr&S9 z4&rZeUogj*BPOUX@>(|u_okB6$oF}Suris^6BeGUeNb%HJWne4&MaLeKEuvI;$$!E zYDZMajYWfdQiuXCeymg4CeXS=*K5Z&1GmLrc5bjXK`ms|YkXVYyyg_F_GPOt%_TuU z)C)`;5lRhx8jXc=+Vn_~rpsraLbRAY%+mk4w{D`Jt~A-WM|02H=WqA(JlUODG7Z02 zhmcHZ-B(3}zIt`d^$=Uv1-<=wn@-n)IVY-6kJ#hQKsQ~46oM{%qC8dFjQ5-xQ5?v4 zI!843$oV)`IWx1Y*uH#B$*gDnd5KVUAL~8r^J|pXy8sfPr)tA-x&(BKVck$XSv&{h z^VjNNaOj}wU3}XmozX%Zs-!%DTG;QYxO+rL>TM-jr&f!d(6LAEv2j~|AZHtA<=Sm1 zGFMSS@+Qt+Aw}SRkN=lKm`2mH*v?dC10}n>pn=#F?Ao6o$Mij6&QC|XUd%6!l+2Ka z58n^Tt46n!KlH-u;dLK+|1t#fh4W)N!FW`zW3!_$wSOyOu8x!QuU{byiM7zwXv)=hBsEpd3C-> zkXqoUZK68TVGr%r8V9|7&hqdENnE?^64n_M8FhAq8Ke`HkM`rbwK%3ZY8eva|IL$^ z>j9{v<^OYRPEY*cwt%NWaOAOFD_lSFP{yn2>&6h|z3CM1!S@*XTFyIrm=X&jqOVE}(6FGGe zn&L(;r%4~@&CKE~8t5Gk2_r^na$h{Xsu7cFoq%5AoKftzoG#5u6pV0DY|@@DuF+WG z4*vSR(Ibt?gX66_DgvRwG98HCaM_K#(65?{>%m#sya zBgrQVN>;j|PpIEo=s932`e=9TMk}uIbiw?{53HVjQ+`o&iU{dJOV}47T=Iwy0U)}< z5jy+;5d!spxT#~Ydjm2gaE?cyk?kFSZ|HYp!Pj-;dyprL_qZ2A>vJe&`pSiC`ZwN_KW0Sm* zzo#yVK0|}6Q2mQ~?N~%a-51SSl+j!UZPq*<7uF*qW1Ky=ZEr~41YdQ;h^U+$+5w=>1p~g75&}-c90J!!co0M~?ei zz~b+Guy=!2hNWWXXTp&KbHR9-0J*%wmt3ivKFf?p z<^go{FB?d~!iF!{K>*GJ2ydC7f(cR>I7Z@bo(`8jc+67N@`THMXbaqDfAC`9d6S{9 z4a4j&=m71mbqBqSM7~K!b$SM=W_bebE&m!*(_%bFbWJa}8FOIBNF3z}m${AdlQao-H#JVG^u>zKswQxc~PP@cyb@j(O!(8qUeuZrQ5YdkKngK+fszq za=HPEBh=xe=EqYj$)QhDNt)2UINJ7zXe;YSn!QrLUXau8WKF(U(wuKv-!6_@U4Sww zV;{)6xTpiBN$(dL@iaSaV=o;ukgHgY?=+5!iO~roB~7S(=IrV@3pgF1LJ{H2VwAY) z_u2G)At{AnJ!H0@@pnp%{dt}I)B6X{hDj5%OULMhH zYkKSlVysQ;Zu??Y?Uy?BU7MOIO?iA8L|;1WTYqS6%dfAyQwJ9I28`DuokkqfHyi5k zzOs5$tkc)2R@#f(#>Q|Qr|j%7zZm1EgaD6sqgB#Iv|MBud&J9>^kk z!y2asl9fK6{7HCC@aE66mEwbz+n*ed(AC?2NPp(=?_r+%%AgbMY3kp4AkxrKNI>NA z;b1Z7{g%!9q2G?;q80o6YGQAkwek9S!+FTYIHvH63R(!BA=*PmI{QeH|jQ#VBozqj-zTtg9w=XIU?H;osuyL>ork%XED7{BrcbbdCuuTBt@}-oi3^KG;z}WFUyQ=p zxLpj~EIMxq{mu6z>8b);YTsDXo>-_abr@6X#;s-k2cG!%b&AeeBIo>u7l(8`V-)|G zYEV^za9U17#q@45TaVV-;XCJA=yY9wa#Y%O?Fb=Tvlr`d?1w*Zy1Ef4gh=u}u!Xei zTZLFO5bV>q7i8rLRW@Luu(#zd*~k=k{KlYsAy1xn&TJ`u+7>SW!R}xsz*td%2|QjP z3g^h88`){D{LxX$q>eWi>3Ux+d(Mu|yQR7RJQp z%PZ+X7-1>Z$}ROOlU7iUdnxUkU8miZD5YWAPh@W0ZxqUE6%UfWx%Hf%fP;cyO8|b&0I`J&g)-LaS%bd*TMeJjv6XcA7dq?R1RX80UQxXK zI@9{PBrNKu&538+-cLT?3%m}Le^vT)xIAMpps@S=lZ4WTUQ68^68hQu^g7Qc0{C#% zxr;H}oo!HY*?N(LV$ky5sOw;{4;EDTXRLDl`Nbvp32U@Q7p31ArRMGbL5oc(Ko}^M z5{m-#&CNjxIC^pDMp!=83GxV(OIj~+(1b*)B~H;u>O}hOLVhFS+DtMx42i3?kYz+w z7qJOHHYh|dKNDfvF7%MRX#1C+jOtAR8ii6Oj0lT#l`Zj+go=ui{l}Ig5Rw zR$|Sr-gG_UHW|fbx=y}}l?eFC&Omp5^CPc7BhM!Ue$Hz;% zJ=|EP37EXtgk_-bMt`q*mxmALv_};ayeH56okD0*p%IiuNQ7s1{sHZ4Hch>U{CvD7XVSC9X1LJ+Lp7fk>^9fG9`RJxSfP< z7N~~zDOpUf-hmQy@4#~;j-4B{KT@@6o#rAQsDmy8cf&eLPdV@J)RRs^QTL?HIE}^x zlFyT9F8ln+2w(9M|BSFc%L!cYZT`LC($0JOhSP@-WB5X&8t3UdmC;DAG$E?T8V)(c zTs1YaK4bEm7g?lxyK@zLF|YPMov#SxP#Ypmn}$=sllL7x3Fi@B?@rDI{sYrAh!@|? zQZ1OuDt)qv=pb{hULnK3>_jXys`v;|a*G4Wk|M-Wj1H)cq1F~`+>xEtPV?#CF;y72 z=$iRh6M2&UT$QtG-kcmJJN=66M=$lwdS*x_1e$cfd(7_Wrck${)Sl4HdXj*a8$?u4 z?l4W&EbVc+->hCyj`k-*-H$@Q7a49l*a6OfUh$As)9m9`RRir_tMiP}Q_NQz=MCkD zLy2$#ZQ@D=isIVcZssY|O0Smwi9WJW`TfD1GndkaD-@zdncNXw1G!MilZGZ`fvD{HjW&8x!0hb);FVI?Wmi1#N9IC*lzKM5Y$gL|J)x%$M0%v)t|MSrwId zd3%B0|L5}t5_P&hts8;zGds8i;}khUFxAS;-)M^C>?rqsDSyBSW}Yp#WGTEy^gHJD za-FHVpDD`JCZ05R(c8P`_P-Vvm+H*;eK;9p))Q}`F%X&y;x_k*!+mo@!z{xrI-5c< z;Yby4l#rx=I1gmwODK+d9%Uv$1_zB7e-i!909TKDc>`D+QwCe_Ff%0y7lXGW>PB zyU-Y7pd?mi8K0dhE^aE*Q=a9m;cHBT&HX1PYs+ThnTTby zSsRI|&)YQ+y_z2r+S+ME1%!|w+|JLez{Z29*fps&2rfHh(N&4yao6x z$4r~Bc7C$6cx_y$e*i21wjP_VNq_Oqvo$*a25wQ}WMKv_q3c>!E4G6+j0yi=>uyTR zkKvbj#661-6`gk#fjr_q3GG{YJp5%ND?yuO_lqKL%dyw`mv!a~vzaY$MZeZ;5`_}# zi>1;$_yyy&rP_uwCMvUp6DO*|4R&ucqDkk%~(f6?O|a91nR zI>TB&>_OGzlTaDBJ>ch**Fpk;J>b`g3rH7y3pWYeAcJRBdlP&up@MJWXR_=L*6ta& z3N1grJ5#j=%U{NL2}SSDaPitG@!HQDb!@^q__K*aE|=h+x9|DI+A4}-MfvWyT~}#u zLYgg?B9DS=B-CtBy;&G{khn={aC}J{e!C9_`)KgX`pZ(e06ngZqXR@f2lW*@l2z@Pa6Kx4rR|Ke#G6LV~Y!Y2t9+}_<2SKSTx70 zM4O0vf0s;crU1U~Qx64Vyw|72fIZrmz?xCNz9}Rctg(cDvQhs0IXt&6|AYhjLihW-NN_DxWXM+Xh(bpEhUCD5PbHpn`Z-?y|w_CT~FGPfP2v2 z6$7WQYwH>BpffO-qr}I_h%cD&$)()55yrT0eAt%sRPRrt+iZwvjPPd|$8kN6sGp zSiQH;`~7-7f4$xh%9+ZE2wHpDT|Z}6>^UbKaALbq+&g0tlHh7UktSQA8K<0x`%bzz z7&0ib9*W=>^b6&#=c53OyQeFd$=bN$uq8n%D0(N2VO(N!f&0CNG)Tc@os^HXW4Tnz z^WIhhYOu7$hVC4fi^Mlhh1StB6t=a}@S2eFkUdy2=u%U?$43%Y`hKXkqt2WCEJnow z84!o;k&iS9a)oA7GWeuJ77~^h8=lQy-S~SMAOt@ym&FGsyZeW3+y(E33!c9Z#Sksq z`TC{)jpO8`0u2`mM-SC!;YvioI24IZpWe3|i>#67c$Wf{f>gX`34ppSS(cJxGq6ar zVFT+_)iW}Zff>*emh}>wB{m;*NMw$awK*_iv<@Md^T{>R}kf$oYe&Ysyb;zEX0<4IVDbCsx*oSi^^UC$sgpOPB#JowpYf z;nIvNJv3(qG=c@&cTYiWZMFu6@ zzo>_@5QFK?2?!p?9k#mUfE&07z}L~+uoUY>^;~)XY~AmM%&yh;lp4160rrt$d~yYu9%OnLf&nc9d_{I@N89np^HGZfcEcu)%$l>R=_tt} z#&V`3m?h63=bD_4y3pVC`h74D%qIz{S&PlT@1z~R*k9FOMTjY8+d1@uD#e@IIUstx z3#OnTZ5|ZYifc2QT;Wstaj2=F7fkcnp!A1+d3rhJGJs-Zs^T6u8nm^X$q5&xy7KgRxd$E0iyXjZy5LK%21SnNa2?YdQsm%{AE+`kd;)l;jn>#}7WeEM%zy+4I zyFXC-S}uN-f7U^JG_mUKS=IH3QOlv{3&g#aV?iW#PcNk_I$RdvzTq*#G(h18uw6Kd zM4EEok}7oL24EY$p5<#7>#7drw&y?oA`rG4d!IB{35;g?rj z6Zdx4)|6GE?GPs-INWHiVg1G0LWc3ss8@sgM>D}-@x|#%7dB|4fiQxV@|l9Y{V!_Q zan$FII?4>oAtwqy)3-5E*i|`b8KWoB6!p{qx5WBMH*=PzA)v*r-|!PKpF+R%vlUFH z`B4N1Y?w5)Ed~j0N&ha*Slu12=I%Y>|G@)-_c4gU7nnI03!e z!3~b#Q80yyG7u(fFE?VnFnhCN-;|MbE!Hnqh)Q&coqIID0B(<4qU|q^i%q2>3OISU zLyk8sqk=V5Xjf6X5Bmhj?L6?P^rSa?@{z+~9Q6_ie*qvs`X63L(xLAz^WZCO=@1V! z<3vjF_Is&wl|pb%{Rg8d(X81T{U0T{3FYxTa>j87KGTItc7Px-sP@6ojmwv?^U$VG ztgv>#yiTBT3L)n1k2&`&SWCS{7b^AaY@SAe>f-->F#hY9F7+^@geRhmO z6#9C!Sq~D|p@`r@8pNBepMUbMrEpMJc%agAp-ftG&J>ddA|!~KgYdZ=^><>nCb83R z4WyuSFW@*ADE2OjkqHPDMLTz>uceMANX_b`@Y}LU1gO zdNK;o+idW)AC)jLGf?V7He-QZ0aii0JK29>zCyIk**2E<$_ge*vI@jnt)^wb_*iF# zQ(Io8pdne%aBrer#mKbtCASQ|r?=mm2_A9+hAu~_s6wi)r52-IqQ2cbCQ{x?%PMC| zSXgw(_2{SjhKGoX3vy|IR`iNOisJ89aMTb2-^QQ1Ke;A?hT}W|f`QWi=sN@qo*ZM@ zK9Ww|{Q=&hi;rlt-k8VozCZ_n*%(59+fNYBZGpdiP?x;Q={`HMO9d-3q zLx5&75S)=ZiJR`Rtn=5#;SX-IR2yJ?PWV(UO~Kk=Y6x>jF+d?;#oE}52Ww#~X&>qa zs`hIw=@m@Nb30?+j4YFEnYx7$=h6Qn@Jo8c@Cn8Wu79X%>XSe9KddBF6bZT=hoW|s znjY(Rz-`eo>Yvoii%E5EGu&M1#s2b0F6eXb9m7q;7zM&eega1>Si-`S&VOl&$>EGt zT_us$LAs`ZQ7xB2#sJ{Ew*#F74I6*{C<8-t_U0om7Tp96X|hwv;{)sBB3DSHWrYOP zgpr?-YM=?@IsF`ncMcR~qY0o+b>q3M)}sVy8MDXykrX1A)|nRQwPV?h?i#mU%p z97-biY!U;3iFuVH*rCB8-ivw9OugAU@fsJ9STZhxokOHSCTweaPDL_ViedddL`Cor!lGZ`;(MSi20{izwl27Np)O2rz^I660%RXorT2Yj#V}Ib z_iVh2;}~`Sk=$1Ej3jG3zH;K|nl1==)ZNDd@j#L7)mzZS5-n)bS6YFbX&jxExiBr) zK^A!rEAEQdK33HYCFibQF?@{4dj%Iq>K;!+#&&YO5!mOBf>6kS?B4I6r_RR}4hgK9iX=rjr3f?#&G3QWu5n2mr^!Nw74 zPL#i4E8YEn^TS)FU8wsYtu2PkwixIV779U%`#u(Oi%LD9*jub(d8?X;V*7*bh9`|! zAj7fx3ftk8SgKO`uQ%tOh8s4ACd^|vWH&s>g}W-eVsLeDpNTtH1kY(+7L$G9^Q{gh z!Zee_>&oB!QPaPGzfcB{Y6*-kV)hc7d!D{Dop`xcAjn^Kq)a{%Es@KbAkgB}7y*e; zeZ$s0ub^LQ>a{xljl=jWcDk2w%bF||q7)uTPw2)q%X!j~e@y;Pne%8B`&Bx1 z(^k$D4p;sPEzNraaRAXRY;bRfa0ZD)Xm+JDLd$^Iv1xzDYB&P?48-*21XOuh?Ihd- zPU+w9)!$7ZhI!!9DR9we7)4Op>}BcaE66q0QMdt%XAL}}9uY!n1>|9X9iz=JkcGnh zsTtQ%(}VY0iaH0(%Xe`Uxe2xczfrbfs>J;6G=&AjT9E4cC9Vk!NyLw*e(8p6fd4Iu zdzy;3g26DsVwI)4iv9eLp&3Vgg+u{(a?7q)YqcbF6~jHWH;FG;3>=%`UtM5)7;B%u zcK>x(*cvW5g=?VP@EaI&C(VRAPNQ(^f%mW^P_$ZVL)2GcMedqJbUQdr34v2Dv%pir zSO{CQlOX?a3di|WDV!-F_X{Wyedt`EUv>_6!~LV5O3v{AlQjnZcyL#7{^zzK^Z#rD B{cHdL literal 0 HcmV?d00001 diff --git a/doc/4-instrument/fm.md b/doc/4-instrument/fm.md index 08542eb3..927f5830 100644 --- a/doc/4-instrument/fm.md +++ b/doc/4-instrument/fm.md @@ -1,47 +1,59 @@ # FM synthesis instrument editor -FM editor is divided into 7 tabs: +The FM editor is divided into 7 tabs: - **FM**: for controlling the basic parameters of FM sound source. - **Macros (FM)**: for macros controlling algorithm, feedback and LFO -- **Macros (OP1)**: for macros controlling FM paramets of operator 1 -- **Macros (OP2)**: for macros controlling FM paramets of operator 2 -- **Macros (OP3)**: for macros controlling FM paramets of operator 3 -- **Macros (OP4)**: for macros controlling FM paramets of operator 4 -- **Macros**: for miscellaneous macros controlling volume, argeggio and YM2151 noise generator. +- **Macros (OP1)**: for macros controlling FM parameters of operator 1 +- **Macros (OP2)**: for macros controlling FM parameters of operator 2 +- **Macros (OP3)**: for macros controlling FM parameters of operator 3 +- **Macros (OP4)**: for macros controlling FM parameters of operator 4 +- **Macros**: for miscellaneous macros controlling volume, arpeggio, and YM2151 noise generator. ## FM -FM synthesizers Furnace supports are four-operator, meaning it takes four oscillators to produce a single sound. Each operator is controlled by a dozen sliders: +The FM synthesizers Furnace supports are four-operator, meaning it takes four oscillators to produce a single sound. Each operator is controlled by several sliders. - **Attack Rate (AR)**: determines the rising time for the sound. The bigger the value, the faster the attack. (0-31 range) - **Decay Rate (DR)**: Determines the diminishing time for the sound. The higher the value, the shorter the decay. It's the initial amplitude decay rate. (0-31 range) -- **Secondary Decay Rate (DR2)/Sustain Rate (SR)**: Determines the diminishing time for the sound. The higher the value, the shorter the decay. This is the long "tail" of the sound that continues as long as the key is depressed. (0-31 range) +- **Secondary Decay Rate (DR2) / Sustain Rate (SR)**: Determines the diminishing time for the sound. The higher the value, the shorter the decay. This is the long "tail" of the sound that continues as long as the key is depressed. (0-31 range) - **Release Rate (RR)**: Determines the rate at which the sound disappears after KEY-OFF. The higher the value, the shorter the release. (0-15 range) -- **Sustain Level(SL)**: Determines the point at which the sound ceases to decay and changes to a sound having a constant level. The sustain level is expressed as a fraction of the maximum level. (0-15 range) +- **Sustain Level (SL)**: Determines the point at which the sound ceases to decay and changes to a sound having a constant level. The sustain level is expressed as a fraction of the maximum level. (0-15 range) - **Total Level (TL)**: Represents the envelope’s highest amplitude, with 0 being the largest and 127 (decimal) the smallest. A change of one unit is about 0.75 dB. + +![FM ADSR chart](FM-ADSRchart.png) + - **Envelope Scale (KSR)**: A parameter that determines the degree to which the envelope execution speed increases according to the pitch. (0-3 range) - **Frequency Multiplier (MULT)**: Determines the operator frequency in relation to the pitch. (0-15 range) - **Fine Detune (DT)**: Shifts the pitch a little (0-7 range) -- **Coarse Detune (DT2)**: Shifts the pitch by tens of cents (0-3 range) WARNING: this parameter affects only YM2151 sound source!!! -- **Hardware Envelope Generator (SSG-EG)**: Executes the built-in envelope, inherited from AY-3-8910 PSG. Speed of execution is controlled via Decay Rate. WARNING: this parameter affects only YM2610/YM2612 sound source!!! +- **Coarse Detune (DT2)**: Shifts the pitch by tens of cents (0-3 range) YM2151 sound source only. +- **Hardware Envelope Generator (SSG-EG)**: Executes the built-in envelope, inherited from AY-3-8910 PSG. Speed of execution is controlled via Decay Rate. YM2610/YM2612 sound source only. - **Algorithm (AL)**: Determines how operators are connected to each other. (0-7 range) - **Feedback (FB)**: Determines the amount of signal whick operator 1 returns to itself. (0-7 range) - **Amplitude Modulation (AM)**: Makes the operator affected by LFO. - **LFO Frequency Sensitivity**: Determines the amount of LFO frequency changes. (0-7 range) - **LFO Amplitude Sensitivity (AM)**: Determines the amount of LFO frequency changes. (0-3 range) +Right-clicking on the algorithm view switches to a preview display of the waveform generated on a new note: +- Left-click restarts the sound. +- Middle-click pauses and unpauses the preview. +- Right-click returns to algorithm view. + ## Macros Macros define the sequence of values passed to the given parameter. Via macro, aside previously mentioned parameters, the following can be controlled: - **LFO Frequency** -- **LFO Waveform**: _WARNING:_ this parameter affects only YM2151 sound source! -- **Amplitude Modulation Depth**: _WARNING:_ this parameter affects only YM2151 sound source! -- **Frequency Modulation Depth**: _WARNING:_ this parameter affects only YM2151 sound source! +- **LFO Waveform**: YM2151 sound source only. +- **Amplitude Modulation Depth**: YM2151 sound source only. +- **Frequency Modulation Depth**: YM2151 sound source only. - **Arpeggio Macro**: Pitch change sequence in semitones. Two modes are available: - **Absolute** (default): Executes the pitch with absolute change based on the pitch of the actual note. - **Fixed**: Executes at the pitch specified in the sequence regardless of the note pitch. - **Noise Frequency**: specifies the noise frequency in noise mode of YM2151's Channel 8 Operator 4 special mode. -Looping: You can loop the execution of part of a sequence. Left-click anywhere on the Loop line at the bottom of the editor to create a loop. You can move the start and end points of the loop by dragging both ends of the loop. Rigkt-click to remove the loop. + + +# links + +[FM instrument tutorial](https://www.youtube.com/watch?v=wS8edjurjDw): A great starting point to learn how create and work with FM sounds. This was made for DefleMask, but all the same principles apply. \ No newline at end of file From 3a950619b03449447d6464416b08d32f096f589a Mon Sep 17 00:00:00 2001 From: Eknous-P Date: Sun, 23 Jul 2023 22:35:24 +0400 Subject: [PATCH 130/163] settings apply button --- src/gui/settings.cpp | 5 +++++ 1 file changed, 5 insertions(+) diff --git a/src/gui/settings.cpp b/src/gui/settings.cpp index fb9268c2..57f1fa32 100644 --- a/src/gui/settings.cpp +++ b/src/gui/settings.cpp @@ -2650,6 +2650,11 @@ void FurnaceGUI::drawSettings() { settingsOpen=false; syncSettings(); } + ImGui::SameLine(); + if (ImGui::Button("Apply##SettingsApply")) { + settingsOpen=true; + willCommit=true; + } } if (ImGui::IsWindowFocused(ImGuiFocusedFlags_ChildWindows)) curWindow=GUI_WINDOW_SETTINGS; ImGui::End(); From 27530034db31f5b6b8402ac78ea74af8f81fc5ea Mon Sep 17 00:00:00 2001 From: nicco1690 <78063037+nicco1690@users.noreply.github.com> Date: Sun, 23 Jul 2023 19:38:44 +0000 Subject: [PATCH 131/163] add TED to readme (#1271) --- README.md | 1 + 1 file changed, 1 insertion(+) diff --git a/README.md b/README.md index 6ae675de..4faad2a1 100644 --- a/README.md +++ b/README.md @@ -39,6 +39,7 @@ for other operating systems, you may [build the source](#developer-info). - PC Speaker - Philips SAA1099 used in SAM Coupé - OKI MSM5232 used in some arcade boards + - TED chip used in Commodore Plus/4, 16, and 116 - sample chips: - SNES - Amiga From 58fdd84fde087705c688f14de6c30cca2bbf067e Mon Sep 17 00:00:00 2001 From: Electric Keet Date: Sun, 23 Jul 2023 13:17:31 -0700 Subject: [PATCH 132/163] Making the macros match. --- doc/4-instrument/fm.md | 70 ++++++++++++++++++++++++++---------------- 1 file changed, 44 insertions(+), 26 deletions(-) diff --git a/doc/4-instrument/fm.md b/doc/4-instrument/fm.md index 927f5830..72c0ba78 100644 --- a/doc/4-instrument/fm.md +++ b/doc/4-instrument/fm.md @@ -12,48 +12,66 @@ The FM editor is divided into 7 tabs: ## FM -The FM synthesizers Furnace supports are four-operator, meaning it takes four oscillators to produce a single sound. Each operator is controlled by several sliders. +The FM synthesizers Furnace supports are four-operator, meaning it takes four oscillators to produce a single sound. +These apply to the instrument as a whole: +- **Feedback (FB)**: Determines how many times operator 1 returns its output to itself. (0-7 range) +- **Algorithm (AL)**: Determines how operators are connected to each other. (0-7 range) + - Left-click pops up a small "operators changes with volume?" dialog where each operator can be toggled to scale with volume level. + - Right-click to switch to a preview display of the waveform generated on a new note: + - Left-click restarts the preview. + - Middle-click pauses and unpauses the preview. + - Right-click returns to algorithm view. + +- **LFO Frequency Sensitivity**: Determines the amount of LFO frequency changes. (0-7 range) +- **LFO Amplitude Sensitivity (AM)**: Determines the amount of LFO amplitude changes. (0-3 range) + +These apply to each operator: +- The crossed-arrows button can be dragged to rearrange operators. +- The **OP1**, **OP2**, **OP3**, and **OP4** buttons enable or disable those operators. +- **Amplitude Modulation (AM)**: Makes the operator affected by LFO. +- **Hardware Envelope Generator (SSG-EG)**: Executes the built-in envelope, inherited from AY-3-8910 PSG. Speed of execution is controlled via Decay Rate. YM2610/YM2612 sound source only. - **Attack Rate (AR)**: determines the rising time for the sound. The bigger the value, the faster the attack. (0-31 range) - **Decay Rate (DR)**: Determines the diminishing time for the sound. The higher the value, the shorter the decay. It's the initial amplitude decay rate. (0-31 range) +- **Sustain Level (SL)**: Determines the point at which the sound ceases to decay and changes to a sound having a constant level. The sustain level is expressed as a fraction of the maximum level. (0-15 range) - **Secondary Decay Rate (DR2) / Sustain Rate (SR)**: Determines the diminishing time for the sound. The higher the value, the shorter the decay. This is the long "tail" of the sound that continues as long as the key is depressed. (0-31 range) - **Release Rate (RR)**: Determines the rate at which the sound disappears after KEY-OFF. The higher the value, the shorter the release. (0-15 range) -- **Sustain Level (SL)**: Determines the point at which the sound ceases to decay and changes to a sound having a constant level. The sustain level is expressed as a fraction of the maximum level. (0-15 range) - **Total Level (TL)**: Represents the envelope’s highest amplitude, with 0 being the largest and 127 (decimal) the smallest. A change of one unit is about 0.75 dB. ![FM ADSR chart](FM-ADSRchart.png) -- **Envelope Scale (KSR)**: A parameter that determines the degree to which the envelope execution speed increases according to the pitch. (0-3 range) +- **Envelope Scale (KSR)**: Also known as "Key Scale". Determines the degree to which the envelope execution speed increases according to the pitch. (0-3 range) - **Frequency Multiplier (MULT)**: Determines the operator frequency in relation to the pitch. (0-15 range) -- **Fine Detune (DT)**: Shifts the pitch a little (0-7 range) -- **Coarse Detune (DT2)**: Shifts the pitch by tens of cents (0-3 range) YM2151 sound source only. -- **Hardware Envelope Generator (SSG-EG)**: Executes the built-in envelope, inherited from AY-3-8910 PSG. Speed of execution is controlled via Decay Rate. YM2610/YM2612 sound source only. -- **Algorithm (AL)**: Determines how operators are connected to each other. (0-7 range) -- **Feedback (FB)**: Determines the amount of signal whick operator 1 returns to itself. (0-7 range) -- **Amplitude Modulation (AM)**: Makes the operator affected by LFO. -- **LFO Frequency Sensitivity**: Determines the amount of LFO frequency changes. (0-7 range) -- **LFO Amplitude Sensitivity (AM)**: Determines the amount of LFO frequency changes. (0-3 range) +- **Fine Detune (DT)**: Shifts the pitch a little. (0-7 range) +- **Coarse Detune (DT2)**: Shifts the pitch by tens of cents. (0-3 range) YM2151 sound source only. -Right-clicking on the algorithm view switches to a preview display of the waveform generated on a new note: -- Left-click restarts the sound. -- Middle-click pauses and unpauses the preview. -- Right-click returns to algorithm view. + +## macros + +Macros define the sequence of values passed to the given parameter. Via macro, along with the previously mentioned parameters, the following can be controlled: + +## FM Macros + +- **AM Depth**: amplitude modulation depth. YM2151 sound source only. +- **PM Depth**: pitch modulation depth. YM2151 sound source only. +- **LFO Speed**: LFO frequency. +- **LFO Shape**: LFO shape. Choose between saw, square, triangle, and random. +- **OpMask**: toggles each operator. + +## OP1-OP4 Macros + +All parameters are listed above. ## Macros -Macros define the sequence of values passed to the given parameter. Via macro, aside previously mentioned parameters, the following can be controlled: - -- **LFO Frequency** -- **LFO Waveform**: YM2151 sound source only. -- **Amplitude Modulation Depth**: YM2151 sound source only. -- **Frequency Modulation Depth**: YM2151 sound source only. -- **Arpeggio Macro**: Pitch change sequence in semitones. Two modes are available: - - **Absolute** (default): Executes the pitch with absolute change based on the pitch of the actual note. - - **Fixed**: Executes at the pitch specified in the sequence regardless of the note pitch. +- **Arpeggio**: Pitch change sequence in semitones. - **Noise Frequency**: specifies the noise frequency in noise mode of YM2151's Channel 8 Operator 4 special mode. - +- **Panning**: toggles output on left and right channels. +- **Pitch**: fine pitch. + - **Relative**: pitch changes are relative to the current pitch, not the note's base pitch. +- **Phase Reset**: Restarts all operators and resets the waveform to its start. Effectively the same as a `0Cxx` retrigger. # links -[FM instrument tutorial](https://www.youtube.com/watch?v=wS8edjurjDw): A great starting point to learn how create and work with FM sounds. This was made for DefleMask, but all the same principles apply. \ No newline at end of file +[FM instrument tutorial](https://www.youtube.com/watch?v=wS8edjurjDw): A great starting point to learn how create and work with FM sounds. This was made for DefleMask, but all the same principles apply. From e52e2da68bbbb66c374a83bc092c9c68f5184750 Mon Sep 17 00:00:00 2001 From: tildearrow Date: Sun, 23 Jul 2023 15:57:25 -0500 Subject: [PATCH 133/163] dev165 - Namco 163: do not scale pitch by default --- src/engine/engine.h | 4 ++-- src/engine/fileOps.cpp | 9 +++++++++ src/engine/platform/n163.cpp | 15 +++++++++++---- src/engine/platform/n163.h | 2 +- src/gui/sysConf.cpp | 5 +++++ 5 files changed, 28 insertions(+), 7 deletions(-) diff --git a/src/engine/engine.h b/src/engine/engine.h index 5c81f3e1..87ff7116 100644 --- a/src/engine/engine.h +++ b/src/engine/engine.h @@ -56,8 +56,8 @@ #define DIV_UNSTABLE -#define DIV_VERSION "dev164" -#define DIV_ENGINE_VERSION 164 +#define DIV_VERSION "dev165" +#define DIV_ENGINE_VERSION 165 // for imports #define DIV_VERSION_MOD 0xff01 #define DIV_VERSION_FC 0xff02 diff --git a/src/engine/fileOps.cpp b/src/engine/fileOps.cpp index 77f3f3dc..6e87a443 100644 --- a/src/engine/fileOps.cpp +++ b/src/engine/fileOps.cpp @@ -2941,6 +2941,15 @@ bool DivEngine::loadFur(unsigned char* file, size_t len) { } } + // Namco 163 pitch compensation compat + if (ds.version<165) { + for (int i=0; icalcFreq(chan[i].baseFreq,chan[i].pitch,chan[i].fixedArp?chan[i].baseNoteOverride:chan[i].arpOff,chan[i].fixedArp,false,2,chan[i].pitch2,chipClock,CHIP_FREQBASE); - chan[i].freq=(((chan[i].freq*chan[i].curWaveLen)*(chanMax+1))/16); + if (lenCompensate) { + chan[i].freq=(((chan[i].freq*chan[i].curWaveLen)*(chanMax+1))/256); + } else { + chan[i].freq*=(chanMax+1); + chan[i].freq>>=3; + } if (chan[i].freq<0) chan[i].freq=0; if (chan[i].freq>0x3ffff) chan[i].freq=0x3ffff; if (chan[i].keyOn) { @@ -359,13 +364,13 @@ int DivPlatformN163::dispatch(DivCommand c) { int destFreq=NOTE_FREQUENCY(c.value2); bool return2=false; if (destFreq>chan[c.chan].baseFreq) { - chan[c.chan].baseFreq+=c.value; + chan[c.chan].baseFreq+=c.value*((parent->song.linearPitch==2)?1:16); if (chan[c.chan].baseFreq>=destFreq) { chan[c.chan].baseFreq=destFreq; return2=true; } } else { - chan[c.chan].baseFreq-=c.value; + chan[c.chan].baseFreq-=c.value*((parent->song.linearPitch==2)?1:16); if (chan[c.chan].baseFreq<=destFreq) { chan[c.chan].baseFreq=destFreq; return2=true; @@ -570,6 +575,8 @@ void DivPlatformN163::setFlags(const DivConfig& flags) { oscBuf[i]->rate=rate/(initChanMax+1); } + lenCompensate=flags.getBool("lenCompensate",false); + // needed to make sure changing channel count won't trigger glitches reset(); } diff --git a/src/engine/platform/n163.h b/src/engine/platform/n163.h index 3ebcbc44..c5ec64b7 100644 --- a/src/engine/platform/n163.h +++ b/src/engine/platform/n163.h @@ -61,7 +61,7 @@ class DivPlatformN163: public DivDispatch { unsigned char initChanMax; unsigned char chanMax; short loadWave, loadPos; - bool multiplex; + bool multiplex, lenCompensate; n163_core n163; unsigned char regPool[128]; diff --git a/src/gui/sysConf.cpp b/src/gui/sysConf.cpp index a87f2fa3..0a746e61 100644 --- a/src/gui/sysConf.cpp +++ b/src/gui/sysConf.cpp @@ -962,6 +962,7 @@ bool FurnaceGUI::drawSysConf(int chan, DivSystem type, DivConfig& flags, bool mo int clockSel=flags.getInt("clockSel",0); int channels=flags.getInt("channels",0)+1; bool multiplex=flags.getBool("multiplex",false); + bool lenCompensate=flags.getBool("lenCompensate",false); ImGui::Text("Clock rate:"); if (ImGui::RadioButton("NTSC (1.79MHz)",clockSel==0)) { @@ -985,12 +986,16 @@ bool FurnaceGUI::drawSysConf(int chan, DivSystem type, DivConfig& flags, bool mo if (ImGui::Checkbox("Disable hissing",&multiplex)) { altered=true; } + if (ImGui::Checkbox("Scale frequency to wave length",&lenCompensate)) { + altered=true; + } if (altered) { e->lockSave([&]() { flags.set("clockSel",clockSel); flags.set("channels",channels-1); flags.set("multiplex",multiplex); + flags.set("lenCompensate",lenCompensate); }); } break; From 2342160e9b9e77ed748b6871d292bf21ebbccbb5 Mon Sep 17 00:00:00 2001 From: tildearrow Date: Sun, 23 Jul 2023 15:59:15 -0500 Subject: [PATCH 134/163] Revert "add TED to readme (#1271)" This reverts commit 27530034db31f5b6b8402ac78ea74af8f81fc5ea. --- README.md | 1 - 1 file changed, 1 deletion(-) diff --git a/README.md b/README.md index 4faad2a1..6ae675de 100644 --- a/README.md +++ b/README.md @@ -39,7 +39,6 @@ for other operating systems, you may [build the source](#developer-info). - PC Speaker - Philips SAA1099 used in SAM Coupé - OKI MSM5232 used in some arcade boards - - TED chip used in Commodore Plus/4, 16, and 116 - sample chips: - SNES - Amiga From 6e2df831c7fe3075de1242f5cd9037750515f2ee Mon Sep 17 00:00:00 2001 From: Marcin Puc Date: Sun, 23 Jul 2023 23:00:31 +0200 Subject: [PATCH 135/163] mention Void Linux package in readme --- README.md | 1 + 1 file changed, 1 insertion(+) diff --git a/README.md b/README.md index 4faad2a1..0154a765 100644 --- a/README.md +++ b/README.md @@ -137,6 +137,7 @@ some people have provided packages for Unix/Unix-like distributions. here's a li - **FreeBSD**: [a package in ports](https://www.freshports.org/audio/furnace/) is available courtesy of ehaupt (warning: 0.5.8!). - **Nix**: [package](https://search.nixos.org/packages?channel=unstable&show=furnace&from=0&size=50&sort=relevance&type=packages&query=furnace) thanks to OPNA2608. - **openSUSE**: [a package](https://software.opensuse.org/package/furnace) is available, courtesy of fpesari. +- **Void Linux**: [furnace](https://github.com/void-linux/void-packages/tree/master/srcpkgs/furnace) is available in the official repository. --- # developer info From e4e299fd8cc803d5f928debebcb6f195cd5ac75c Mon Sep 17 00:00:00 2001 From: NyaongI <100076733+kitt3n69420@users.noreply.github.com> Date: Mon, 24 Jul 2023 06:03:57 +0900 Subject: [PATCH 136/163] [Re-Opened] New 2 Demo songs and Fixed A demo song (#1263) * 2149 2413 * Five_Two_Three_Two * Delete PlayingOnTheStairs.fur I'll upload fixed version * Fixed a demo song Fixed Demo song 'Playing on the Stairs' * Delete FiveTwoThreeTwo.fur * rename * Delete PlayingOnTheStairs_.fur * Delete joyful_.fur * rename 2 --- demos/ay8930/PlayingOnTheStairs.fur | Bin 1859 -> 5912 bytes demos/ay8930/{joyful_.fur => joyful.fur} | Bin demos/misc/FiveTwoThreeTwo_MSM5232.fur | Bin 0 -> 3505 bytes demos/msx/21492413.fur | Bin 0 -> 3813 bytes 4 files changed, 0 insertions(+), 0 deletions(-) rename demos/ay8930/{joyful_.fur => joyful.fur} (100%) create mode 100644 demos/misc/FiveTwoThreeTwo_MSM5232.fur create mode 100644 demos/msx/21492413.fur diff --git a/demos/ay8930/PlayingOnTheStairs.fur b/demos/ay8930/PlayingOnTheStairs.fur index 0391fb4feae4ccca3051b038087a7a1bfe6f69dc..80c5fd82a26228e454ccdd8d25966a22a1c22acc 100644 GIT binary patch literal 5912 zcmd5=O>9(E6h3e6o1aeS^$%K0p`A&9C`OVg7y`z|j?hY>ltLkq#6>4%+Dr{ zU@J^o!pe;%Xozlzx->Cyy%Hjdvp_q~}%=_@p83om^0&b#-V zbHDR*?|bE7e=(m;j-_JLGnb2*)WJJM6a$=VV5t9Wr@~V(Xb|Pz?j=8&O^q_$j^Eq2 zMfCjXeahu&XixNE?az4q=X4Ecl2_8%iP+g}?80O!HZqq?=LLX2mS&+2@xggh`s_^!bCTLrh1~!f&CGp z?|_6wbPpJ5ASwfC6yO2yK@(B9ndlebb_>zu4x%GFiGBo5wi5jejJ6Sd1pEN}36M{b z;rU~@E{^4i>-t+V#L@CU1gHb|$?z?@Wm_Ej>C-dmvHZ-~WI7j1TsnH}aNO}_yO774 zyw9FC*e^BV7eUeeiUIfl1(<*z2mnDK1k?dxpdN?-7SI4R0>~EZ%djuQz6|>^?8~q( z!@dmrGVIH+FT=hJ`!ejyurI@&Z5>j`UaqXF!9c5XpUuO#->J6nV#-L z;jv<2Zf5#8kMwlM^%b5>X2$i7pl9YcZ4H0j)~}(^M0TB=;y4|&X>q8K=Nh@S>v0VAxXATr z(%d>bJ9oFYHb+AGfF&b<@dO!>pzps&57A!IU+v1$f)8(_>SfxxZ|)60`1P*c2VYdz zjZorJ>~wl;D%N+foBGe}qlYHNF1{KK_5MwCE*>>|zeQ8GM8mxg`P7Kk^*$od8)kZ4 zdcA0MXUgVZwfBvPCfl}iM{{E&j7Bml4&^U(^YZJXGI#rN?j5h$FhOzCGA{4tr4jmoT!HM(m$*Ff7*Mo^Sqco z_ruPiy#BdwawqqZ@h?7X>?_N6XHkA13@0uOm7H0XF$qvvQ{^D#Of_q&g1HQkDhkxR zsTLsNR;AjPS?Gy#m4}3ZJo_lA3A4gPR)h%NmfJ$a36De5VU@7% z^-x8)=%irpZwnWl6i%aL32QPJA#ziaf{z|#c&#iiORZ{|tvPX5pyXj)tMW6}=myh4 ziI5jXY5|x43czI`=Xy>-o(0lC5m>E1g|T_Sv0pv!*o{Lc@6ywDlA9$VBMk$Ett8_F zQzKhaqe@8Vj7XN7a8srhq05!)#-g&`k!X+_+6(wvWNKfi4D$BQ|4p4 zNw@As+xqcC3OffURvP}(rkbuEF&LY|9-7j7NE6{c*=izFAR>=^#Vpy%@$ZA4a-ixA+M0D9^9udegwLf9`$oY!_BU> zs_~0S7H4$#}XD6~?sjHS+ z1j$9XToORUAezvg!`>IkCN9G$0!M;CI5Zs=K9Sr+e6!K{|nniF^W@vR1}O(@WQK8O6=DJu;()G|;4t^rHHB5+lnrJ4ssnXBooT!L{4 c^J1XAbJo2LZm0#fGH1rUG*hTOufTidf7#{d^8f$< literal 1859 zcmV-J2fX-rob6jpY#T)se*5p;wHv2DAxWFqrA1Vz6;2AM1p+p0QqneQ+BAqFkg$x~ zMopY3KR_i8+~C*~e*#Vj32sQ7fI}sYaNvpr(jK|M0Sc&>nz!EF>?HAXXA`gO(phz! zm+?2>d;8{nGdqrZWNdY*QY@9T^9!%7&Xtec0Dvrn*ZAbvx%~g#)O1(v zy?xj|`Uo0c`MX?=N27oA{jqX4RD9ReT=DvBKaQE&% zHAsZ)y$kR8xZ&^LpO`HzEtIa#E@lgtjvYUm+d#3wJ{V-v<%Kl9cH_^VsuDICn}SWn zreV{u8Q2ooOl%f58(R`v3fm5BUDzj(2dp zgX0|>@8EcMY!H;b;exTVg;QtnozmPw>DqL8Ze(cKDy=TBEX<#9dq#$G-ep}a&RzDp zE*IyP%hvKrd8xdx?w9HE;z-V_QJ!o-8HH{=VJ^diLzzwX-|jwIh|ZEi+;0nyO%-D-zT|ph+HDt&UE*r zG~G86hL4gUv1a*>&eD#C(2*1bsMH1~B*oDEEL%p9<%DlYKIhAHRtij3`uqF)+=O@; z!MVh#)K$ezuRo8fL1w;bJ~T6aABxrj9|_XOVtpUS(Z`JIBkjQl1_t)_?&(e@R3H2F0qf77Z~A^eS@WBO!lmq)+0wP_=#e29n>Yx! zRLIUel1b$M0yv+`sQItZ;m(YezlA^LjG4a;7~Yf{-k@LW1M9@ULHknD3!rEBuI{d+ zg~^esLCBveVbz~?x3;V{rQMXgAU|i4gF#MLic95e;lR-dQNG9>rM%BWojW~N7&-n} z?(oA0GW|U}Q>ONO{#fo%wl|#sMG1nHHb>jMa-pSFrhS}tee`?qL0r5slvqzCiBpSL4pd^ z+U5RU^)I$xMk}yY#%A$szsA@GfsblaJSIoK&Dco-&tl9L#;_3N3mLqSMF5JC_QeQie&QTe0J7iClRT-Szmf{=wEgdiIFierbM z?w8_>RNz~{GlKA*o7y!YQEiGR?g@!|0l41;(Xo$A!AEYcqLjKjgj%=XAn*o(R|&k@ zBz}#+YXsgT@FszeJ3lsox0}Qtcl=TFsS|j;P5ER55uPE0AcP>c${#iV&5ED0lXw(} zf@cH~o*{%Fgdn!cAHN{#7dU-i(eR8Qf-{6Ds7CyqR#2Um|NqS+lmEvypbjByzs=aq z`cx{aP4S2i1vX=NKBb8|UlgZF@U#6=#6x(Js6C!MN5wOOkc1$FAR7AGPQg+&L)|aM z8U15dd8>kug&>3=8v5!4K}7u+Ro(gy;hiCPwmcp|uo!b2H$>SKkH*n&5V2AG)Yv!{ zfOh4d$_Z8A6sJz$^@#b8Dj>Q}A}(NoAcC(msqR;$n3WXPf(mUFkKTc8A>NLFXZb0N zLGbh7DSnN?m>m2RzLoLIOuxp#ZxiwJ9y}umNeDs+q9yV32!iFb z8Jj1DBwOG-yF&dPLKcD$f@n$nJc4Lf{EQ%!O@g@B3yLieYW1NCioy#)^T&TrKQgJ{ zUG4!U6}-u8C2YTYut}{2sMf`^{TgE%1U{-w@t7R_He)9VJc}_~7{A8RZxFFj{8|k2 zMRCdmo~jw0BOZMl`Hwtbp&-KVG6_Mf?{`<0@P4(X#82^P9R2KAnZQSlpW@_MFeqQ^ z1yP^VZqj^FHdW6Yf(XCMBm^OLh*s?o)csPNQ44$vuNk9A#3E{a5T`M+kB9a2n~=Zs4G;OB`Hyyp zo3H*^*8U&cLj9IgF5Y&_#p`>H?osZb;x@MzU1%3lkM~EH(uZ$7h0qy}00I~)@9(2ii)&U26LV8;vE5x?4!;w%ZBqSJw5p1AY zev|X?4LF#wr}pc zyK@b9YbP_A9G_0760xeY-)=gYL~MJ{ZMQBqZzVFdO5>*EkH>rCR%LI$u$(=_hL>$Uk-#myVr^@*PHGh zLQhHP5fl22^2e8Frp{O+CK9>7BBU4(3)$IpI2^IMdXID%Y30UqlG=xpp7$dS)0IZ0 z-b9oqCCaE-{Mve@cBARGJ`MGv`Bzx)2N>p^t;zuyP7A}RVW?bNo;#m7`NoMT9m+A| zbHqquN@y%!+EaE7IQW5=*C%+tDvu!(C-dbLpksz;v#WgU(eHD zA}$eM)z@?M^=k51)(>kvl83Q=Y;%^sv>s}mJgoKmfXk#6a=Yml1+URPUN=h3W8tAc z;E@*_7knOVA#Vk3p-1w57_1?8EN8938n!-!&^=xX4OneZ@XC{{@_x}48FWaA+YWcQ z&elWXZNAGj^w1&GsbX$Z&sCv%h zdC3l}hBM?#qGQa!%1GG4+emN}H4~&yk45}tvA7=&g4*auYGSVkSHrpYcJ%`HW1==Y z= zBL1>i+z$sqZS*1ytR@MUItc9QMeJt~Z#K9$Nx0O(%if#G9zIU>PUS6-7`Mog!LNK}C}kQG`OBCZxD(+>cX%1dCe9B(ZFd&5W%m zut|s_NGrCL5JI3XfCXYxKnOOh*unzQ4M?*GK20ks@uV~FcYo*J zH_zi^6P>`XOnYvlIn$|o$8Hdj3nZ;rnm9jfU}jkwQR{SpvSjSd$nC>;ezqisJ3b1# zv>g@Wjo0D(L-zkawV}ZiFStV|28XG%SZVrmMR%YyHFgxy{5D3qj+_u_@~K9LOrllb z7Vx4)R0Dnjp0|lU282Tt0$%{%0lxqTkj^W>r@&vp{wz@ucok>>H-S6AsT^zrH-X=Q zT%PDL;7@@1i1q+a0nY&?;BDY@;8)-e;2)r{ho}lH0G|L~0=Iykrs={kEz7b=jcgk+ z>S!7ovsJBk)T4b*!;OT|wxh(wHoY=0xTQUn%V*p{;^&Zj4#(T>ctD+oOJnmD@_mo$ zp_?e4k^{*JWN^q-A$1wlb-EtQO_pDCpLX#)HhH;R9CHU|f=(mk7sfkEXFK&pcid|< z;a0UJWmZnQ<7Ail>HtU2#~R0ka6AY{gOFxI`SFIKwm@7~;_~zZM0*k1L+F2A*8m+5 zfB~3*1=xTCWPmJ?1M)y0um|V|h$84m(2t-WK|g|i1pNs55%eSIN6?R;A3;BYegypp z`m7r_4W}g4=x=b!fYW|p;@s$&laQRQH>Yc5uRb!Ao$iF~W@C&OMviCw=2WxwN~Jv! zcyEr(R_dXbZB^RS^JTA%U8!GU{rPHZYO&>w3}!b>9p7YXzEYo6W|f_=?FC*lHmW9N zufJY{zHZ;q~O6=^YnK<)Jqqe*vt?-RQ+P;I?!fiHsFjKfgG&%YRS5;eIhd-@f=xU%_>*vN%ao4DbNUL#?;>#SR}#Tzq#%E> zY%j=pOjtgeQ^sX+_}5LAKz>#57t;5_Hy~;<1%-} zOcr|!Vha#kRAPtO4Vx4mt=AI$w1y?z$o6z_zQ#-?(By3C3NhW}UQJ WKBb0#GDy4U8EZT literal 0 HcmV?d00001 From eff0a2074134f5ebd76ac2865f733fca66fc7d90 Mon Sep 17 00:00:00 2001 From: tildearrow Date: Sun, 23 Jul 2023 16:20:37 -0500 Subject: [PATCH 137/163] reorganize TIA demos remove Coconut Mall (the meme is over) move the other two to misc (too few to merit its own category) --- demos/a2600/Coconut_Mall.fur | Bin 5841 -> 0 bytes .../atari_breakbeat_TIA.fur} | Bin .../the_erfngjt.fur => misc/the_erfngjt_TIA.fur} | Bin 3 files changed, 0 insertions(+), 0 deletions(-) delete mode 100644 demos/a2600/Coconut_Mall.fur rename demos/{a2600/atari breakbeat.fur => misc/atari_breakbeat_TIA.fur} (100%) rename demos/{a2600/the_erfngjt.fur => misc/the_erfngjt_TIA.fur} (100%) diff --git a/demos/a2600/Coconut_Mall.fur b/demos/a2600/Coconut_Mall.fur deleted file mode 100644 index 471fcbec3ea7067d598ddfe6cfd0a19a3d6ed6f4..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 5841 zcmZ8k2T)U4_qVMT7t2}^Sw%!tL_nHivb*YnjjXzY)F@FSQk4!VDk=gJL^=eX3KEef zy(UpgA_RyUAcQ~yL+mRwZ1>C`z2wE z!7rZ@*C#%H+_CbEZ~L;>&Ww*M&vZTAlGyR|r?AN0e5Ep9qb$(0jH-R03|WC&==+({ zN|*fOR|miVLOpKO8Y!5I6sTBnk-J%FSsHMZG-CNfq_FeRW}KUMq-F;rq?9S#MTB|9 zOVn$*Hs#_Xcfc4hX27+r(y_T!f|IK5d?+DOONizt0WF?IYO+5mEf+kpLsa?NQu|`N zh~8w0j_A$SuP_k(xI!Ai-|A@q?54;TTTKYQJq@UD*+!~#OF-ieBPc{9gut5ADo!G` zYJY+e7E4JZ@^BbSa}h=erEb?oCaPpfDkAi|_HL@y;EV=*P^dkds)Zz}&_3U_Nqe=> ztqSe?&sw`P8lt&S>z%3P5?g{@{3!KpyZBb}TKWo`% zG+HyEHaL|yJ=I7@3nMaV8vN91*TwZXqA&^n3CGa9zJ5Z&}y`{A=T{-=%SiG@hoect86#2vu3blx!h)P#dx}y@R@cRH3p`Ac)U0!{5N_0bFZro|>Z)h$* z;=av8X`oLCdt#0+NnDBV{pRMO<8WC>?ICEhjFnL*2|WV}u8|B3KB-5^SgYIy`)m}p zC!oRJcensjE~!M%b$c{der|AA#_<0*uWgId|KT-v)vxH^W1fopUFq}9GgV4_C2Fqk zD#q9M457E7vZ2BbEE?QaRffFP$i14g*ga8s_5QUk_|yxc$_!JAUVK4_O$Yt#QVK{9)4(3L@pC8`vmAAWsXogDg&W;^V7(mMa?pFCXLX<9 zr<{%06OXL<&(apULaI@4dv~HWcF&leKf;!yX9oNX$IlVo%#8+s_;*A*suXoYFGaXP z;_a)g2grAa@0AtzCY!s`!$gz4asO{r{a@$Ml|=cXnhh^F8khekk59Hg?wk z9V%@Z$g-D>Ek44CkV_Cx>p(&SW)LMcutE7B;WLzaPb4Fvlo-1&zPKxM1N=|rf&Iwz zR8ZnsqgzEOzSG8B$XxC-1?@r4a#1I!*FI}2@YGk&>#e-M4%ub-Q+rdb{MhDD(Y*Xv zSsgM75<*FyapQGG@#1oen!oupveFmtE>3@sG`&cSyxGCeS zCiT?pwpssLqguONDU7lD$lloQ=BYNG%I`0vHQFd~Xb4rN@hOtgvN%AmKFSHJqdDa! z93-T=5%jBMWf5y~n$x_6$xGT0LfnQ?$Xx*m<2RAX%01qZ zK3+9Uh;w(k5|nPi+)MYlhDt+OyY%O{WZqknmJhC5^$xW~7QS%hk}**&&Qtwek`h`6 zAC}*e{5YYcMIZ;+n@l-r3JVgoQMt*K26sG)eqR-9tGV)o__=?QQq?WIJCk8A(Hsjh zhfds7_Q));J+g8dU|X4T3GT(v%$2Ac)l3C#`fH>}4o7ZHT^NBth1dfhyz(Xwb&%6n5z2w!i?msgKpzeOjV;o@v$#ikbkY9e~78U*uN$CNo>CP zTr3s9BvvQ-`Ap(=@Hf;f)Zr_{8Sijb@IGaG_FR|k(^r+;kY^wR;^E4HEa^x^C7C2< zAlmICHOG)*AfEqoS8SoJhFW}rIy?wof819%_91rBx#4z09U}S`}TRL0J zI_3Blr6-?^F_Pq%EB>1d$0`e(G{-}%Jbmrmp@pc^-QsD5Q)td*cD(SGr{lMX3idAQ zo4&C7fJoh{{CRcIkH}n^K*_UHluW5XB#Tly|5=SUAc>?AZZ@dz{BQgjxjQ9{;~tq7 zxPZOVBpw~IZOIbs+q}M z-DK;B*nWTRKqx2dm}n-Xq6`g|$28D?q~SZwl+I)v-wEqq=!*589H09GJK2;7Z6Wrd zq&E5QE|I&<&cbDVRg05D>fkc`{n12eiIEeoAx)y7`47lERDR$S+m8fm!n~qIxNOh} z@CNU$H|uahTC-ezPZ7)=sT^P;>J#q&Wgz$&ZuK(1qi7dt`Hb;O9VUhLr?P(usS!;! z-9%fVS}=I&;zC@`tj#adVNB>MO{9+OEM02tA`DHscaMpe;Owf9gZG+IH&w5Y6E^(E2IUa}VKr)N13|%0X2o;bNW-Bx;g>2FXfG!~; z>+-5d8R-(#Ihqly%a-KWk$2?C?FGLB6SOMdj}fTL9~0;RuCRhLY&jheW5(}=iPkIg zIec4UG59vIfnt9oAm&7$vXwvFIamYhx>U~Ms5T+M`q^SDq|QmMzHkFhF}aOC=lQL^!+m!Qbtck1*P#5L%bqFtRtV_hx0&2YLin zUN*Er6>M+WS7eJeViVhuxO=i_=BHQ5|c7n@ZzRB$5|G3V(=?AU%f2${sW$b!)#9h=G3}x=?~PkL$8I*-Gyc()YPC<%ExTO@kl56=tk5@8?eL3=C+EZ*B(9J z<$+BdI~or!+Y^6T`$d|h%x39xV-$|2h=R?aOHdZ{m_&Ko&q~M}s1SuC25E-CWp*a- z_pp_3Cexl8GvBP~NMas+-GIyIA01 zM~$_W<}GU9H*#B3oK@CsT~4@|o(EA^1MtUG>o#spnNdi8wmr(qbdE|m#5_GR}+_xyUjSgKn+Zxm#aQ61c!%U{EW1&rkj+oalKa+ zZyDcj1GKJ}kR8CveV`0wseo zYM%7@>U+cT>ES}##bo<(OoyMu#HG~Y9%*8@VTstJFT@1Vy*>0es7l~FcAB&1oocHb@n83w z_P(5EB67$AciPMr^qbJv@0g}EFKg>65PQG+pcArd0+KaC(gC6VRknn1PqqK1O0b5w zW`|a&B&-(ag%~xwK5bdK-;g^{#A(faH+#JavESF++7K9u+!3-Vwb|;j+v%C^)uyu! zw4655;3Mm0*xjFYEY+zWsJE(i?do=mVF5p;fyK>_q@y*kgB1F3zVs= zB#S(^9mL$|@Ge9*zKJKl$pJcN0|Gu|=O?e79_AEMtP7GrNtlK}-w~>UKX@I2p<=Jjp2!FQKm8ng1!D#2H>Y$guKwfE@0hNt z5SKmihsHyXpfbOt>;&=o^_R8x=bN@JVwZ7$sTScP-v`&H+@9oBlzxoeeoWHszmS;_ z3T;6X1JQQ_uzi|YYo>dv9~c#=iBw+k5;?BmZUD6m)){cEWrxc1`OU2*dxMc|(qykQYnM3m z3w2Al16t`T>1C101^IofN;(9p1%Xafi0v2L_wB@900)-4O~3`6>zwhk_$Y754i{IK z_wVfX-#W*%I$DoIx!JaCeS~jmVK2(d4$r+vK4ehXUlr?CxB+Mr=F~dCfb2z~)E0<pShhro(RI7$QEq>Np-HcnG8R`e~H z$hIpD6K|IqN+^p#Ez|L9)kUzJ*e9r_EaU~aHp)rr8zZ-`#Iah8&ex*_#|w7Yqm}n{ z9aW(U!#%GSOSqSOoUl5&0OVU(s-nr(Ijx)=y?@)$S+8}HG)(=LjYz3x=GpZ-bT~cJ z&YL-;bzBShXZ$UENF9X*piC(6jJsm|xq5qwP4D7>N_j8rO}^t)sJH<6O6@PV=ePX z4cT27o>aLd;UQ0U2;fnM;3GmDiI@QnR$LU^%HB-GGK)E;wnxxXsD`GrV_zR5SdAqEmQ@4U%!mUn)xWFq709*qE zX%vX?*$Vq`WEBP8#EQdbtrJ7sH50RN^uw9r7|5k|l?2 z{bJaArLg%%!9LV%i~lRCw11fLNjgJGheN9`d_Px^aCUd|Na&;+r+<7l(gFbnf`m&wP+)uN=J7vbf9^}7%t^Nrg za+ixUQ}m}3SAg16<+a?gc+mZYCnr~ddkWtoqPDu3c{&pA!TBdReS<9%*c9sZFp$lp zUKRD0h!^tbT5KPXNzV?@go$u@;87KC3bHu-j{=ODDTp1qIUqKl3KRtO9#C}Hw4m5a znr=nYt1&+7T(}SlKP60aEN=+7+YN1#)5rSfb09sqVwK5!$)~2r@-X5ZP@Ids4I=aH z1wSBHm~|c4sXL4`N!<$cZ30{<+-kCRD_|R1*NHnd^RLxs6@@}vR|f}rMYD-ST#0)M z3HH_LYVi=#i_&hIxU#;_qyqsEpDj%qrN0-r=(L~ zd`NMB5<#o%^LK?Dc}Ug!aHb9RaqQQkMpkP&DI{W1A4IKY&=rSNXXcDDKFK@qQR3$Ot zV9PIZo+L*;UC+O-5ql^KTQ#-1>!2pZAJ*bfar^(ZWq7=lyuDqX7+v#3K~o|eTo5}W zuxZ&Sb@LH7kOdqCvK;MJtIRy(t3bXB51%sA#iN_#H-|nP;X zm%!O;aK)_JL78Z*zduR2aKYY2@&+}$k!vP;g92>^a$_!`!JAz!;!i1q4r6m;bj^^D zCfjEClLtxbF@aG1IFdny;HfA*n$HQ@4YH9`RI#%hF<3CNT4gDThV4e_SWlq+ zGPg}m(7`BedNkdhZQ=04^E{TT;w1~oWvT4CvO>BQxcmt<+{uyrZ5MA?ahPFjFGx#KK#zalPf)HTVZq-#8>j?U`2zS4ymf2y(LGSku o2}1lcd<=E{A~Hf(>UiD85)?l+n Date: Sun, 23 Jul 2023 16:27:14 -0500 Subject: [PATCH 138/163] is it Italian or French? renaming --- demos/msx/{Il_ambreSong.fur => ranburu_song.fur} | Bin 1 file changed, 0 insertions(+), 0 deletions(-) rename demos/msx/{Il_ambreSong.fur => ranburu_song.fur} (100%) diff --git a/demos/msx/Il_ambreSong.fur b/demos/msx/ranburu_song.fur similarity index 100% rename from demos/msx/Il_ambreSong.fur rename to demos/msx/ranburu_song.fur From 90264bb63e66a94969d70a5f7336389c3a295043 Mon Sep 17 00:00:00 2001 From: tildearrow Date: Sun, 23 Jul 2023 16:40:45 -0500 Subject: [PATCH 139/163] MSM5232: fix chan osc issue #1269 --- src/engine/platform/msm5232.cpp | 8 ++++---- 1 file changed, 4 insertions(+), 4 deletions(-) diff --git a/src/engine/platform/msm5232.cpp b/src/engine/platform/msm5232.cpp index 4a810728..e4564134 100644 --- a/src/engine/platform/msm5232.cpp +++ b/src/engine/platform/msm5232.cpp @@ -56,10 +56,10 @@ void DivPlatformMSM5232::acquire(short** buf, size_t len) { for (int i=0; i<8; i++) { int o=( - ((regPool[12+(i>>4)]&1)?((msm->vo16[i]*partVolume[3+(i&4)])>>8):0)+ - ((regPool[12+(i>>4)]&2)?((msm->vo8[i]*partVolume[2+(i&4)])>>8):0)+ - ((regPool[12+(i>>4)]&4)?((msm->vo4[i]*partVolume[1+(i&4)])>>8):0)+ - ((regPool[12+(i>>4)]&8)?((msm->vo2[i]*partVolume[i&4])>>8):0) + ((regPool[12+(i>>2)]&1)?((msm->vo16[i]*partVolume[3+(i&4)])>>8):0)+ + ((regPool[12+(i>>2)]&2)?((msm->vo8[i]*partVolume[2+(i&4)])>>8):0)+ + ((regPool[12+(i>>2)]&4)?((msm->vo4[i]*partVolume[1+(i&4)])>>8):0)+ + ((regPool[12+(i>>2)]&8)?((msm->vo2[i]*partVolume[i&4])>>8):0) )<<2; oscBuf[i]->data[oscBuf[i]->needle++]=CLAMP(o,-32768,32767); } From c7029221449b6ec605a2c197eb6d84ad5a5d2587 Mon Sep 17 00:00:00 2001 From: tildearrow Date: Sun, 23 Jul 2023 22:36:27 -0500 Subject: [PATCH 140/163] OPLL: how am I going to do this --- src/engine/platform/opll.cpp | 11 ++++++++++- 1 file changed, 10 insertions(+), 1 deletion(-) diff --git a/src/engine/platform/opll.cpp b/src/engine/platform/opll.cpp index d081fabc..b0012c22 100644 --- a/src/engine/platform/opll.cpp +++ b/src/engine/platform/opll.cpp @@ -230,13 +230,16 @@ void DivPlatformOPLL::tick(bool sysTick) { if (i>=6 && properDrums) { drumState&=~(0x10>>(i-6)); immWrite(0x0e,0x20|drumState); + logV("properDrums %d",i); } else if (i>=6 && drums) { drumState&=~(0x10>>(chan[i].note%12)); immWrite(0x0e,0x20|drumState); + logV("drums %d",i); } else { if (i<9) { immWrite(0x20+i,(chan[i].freqH)|(chan[i].state.alg?0x20:0)); } + logV("normal %d",i); } //chan[i].keyOn=false; chan[i].keyOff=false; @@ -253,7 +256,7 @@ void DivPlatformOPLL::tick(bool sysTick) { for (int i=0; i<11; i++) { if (chan[i].freqChanged) { chan[i].freq=parent->calcFreq(chan[i].baseFreq,chan[i].pitch,chan[i].fixedArp?chan[i].baseNoteOverride:chan[i].arpOff,chan[i].fixedArp,false,octave(chan[i].baseFreq)*2,chan[i].pitch2,chipClock,CHIP_FREQBASE); - if (chan[i].fixedFreq>0) chan[i].freq=chan[i].fixedFreq; + if (chan[i].fixedFreq>0 && properDrums) chan[i].freq=chan[i].fixedFreq; if (chan[i].freq<0) chan[i].freq=0; if (chan[i].freq>65535) chan[i].freq=65535; int freqt=toFreq(chan[i].freq); @@ -771,11 +774,17 @@ int DivPlatformOPLL::dispatch(DivCommand c) { if (c.value) { properDrums=true; immWrite(0x0e,0x20); + drumState=0; } else { properDrums=false; immWrite(0x0e,0x00); drumState=0; } + chan[6].freqChanged=true; + chan[7].freqChanged=true; + chan[8].freqChanged=true; + chan[9].freqChanged=true; + chan[10].freqChanged=true; break; case DIV_CMD_MACRO_OFF: chan[c.chan].std.mask(c.value,true); From ad4f5bee91e2ce24f2314462ce03ea2f1ff7dede Mon Sep 17 00:00:00 2001 From: tildearrow Date: Mon, 24 Jul 2023 04:57:45 -0500 Subject: [PATCH 141/163] add demo song by brickblock369 also update Maximum Overdrive --- demos/arcade/Maximum_Overdrive_NamcoWSG.fur | Bin 3905 -> 3201 bytes demos/arcade/Point_of_No_Return_SegaPCM.fur | Bin 0 -> 763272 bytes 2 files changed, 0 insertions(+), 0 deletions(-) create mode 100644 demos/arcade/Point_of_No_Return_SegaPCM.fur diff --git a/demos/arcade/Maximum_Overdrive_NamcoWSG.fur b/demos/arcade/Maximum_Overdrive_NamcoWSG.fur index 0fdbc113d40122aaea9ae4b00d9a11be6ca9a056..2f25c28ef96ab8b4e67d0c59979924b4765c0b76 100644 GIT binary patch literal 3201 zcmV-{41V)?ob6g&Y+OebK67Vw@7=q1_pZHbJC2*ILrPkxLesSAKd_;xu^XHOYHCGI z&D!h5PRLJ5<5E$HdRy8whyn!(l?MbO@L2T$=K=B83Y8Y|Qo#d407BkI1VKsS)cbdig`0nm?V@vE@JwS@SZU&G^%9X>X5tbhNb<&%>q4?kL_ zk?HcDnNsQS@$pk9PJ-jl_mE{V$;+6LVk{FQ`ZDO5IMFtn=(nI}9irjn}~kpo`ZNJ^Fs4 z+6_d{Z72F2=-v+y{SfpTXxEKIXF-qeAbJb*@=Zkl1Z~|(bO`iqQ18t|e*>Mnh3KhW zL?6GED1RIDfgZe_=zVuU7WC?!MBliJX#8%XKY|W@5cYhCC>FOJ3$fwCO?oLWAzNIe zGrsS4WOLbES66or7mT@XCl|4}WX*LsP9l-8Wy?(_ycB+<{j{ISbadvr7;~JgX0@5^ zB&1&1Lc7~fgRP@8o6CVwK5=>h$QnsPCy-O6qbEwAIedJoe`*}JlZPKE_s={GKho@n z$>fy$2$Nox{7K)$zu?+8eynt&fAs#38m(Fo*AA17N(^%t1U-bbB%~!FB?&1>NJllF zk%oj6B%~i9{m56+Z`2sb0&$Q4#Xxb84RSyUkPAwJJWvXh2KgYOD&(t>uR^{G`6}eA zkgr0%3i&GJtB|ikz6$v&c^AEgvHTq*FrM9ehCXqP9x7oPFiVC- zM?}X#@^ly;H^d`5goYW~cmK{)_&!T{+P6DJL&qOEb#mrd`S_{+9W*d}E&W?M_KAGH z;J5xB-n|WuWt?iX8*uz0&(vygJ0k-_^jUeUeQXbc&>uSxdzsz4Ph$V8j%|VE(g9zR zk-QlwjF=yJrPJw@=OvS_n@Bj0ZO7xWnBY8EyVwSZzCsMMs(_hWKn4~t>kC*73Rpo3 zSX~NOaU@m&=}|zc6p%IrSYLqq3rMX3Qmg<~g^RV?&o6-92Av1}7xWhBKOoKm6JrZU z{zA0Zcede#UD631#tG@vyzw%JREPAtjmwx~hfNv1ze7z6HErVPmGdL8?+44zicx9b zrf`r{NMIohL_CBr;pmL1)Vc7^+vorH4!;<)FC@>q&IS7dPsNmYFa=}^bBir>=8Kn^ zMn|ND*#&7NQzr>4nb&@rNLO-ChrMyxYnuTA*QI0tV^%!_R?|K%uQu)bVzhm?wPD{D zXldLLBZnm=CwWY-g6p$QuaJ9C>rqcLo9O@fNr@w}G3Np-htmZH!baY%3r+1md<|lH5!-_2& zcInG$lSkyXTaxoE;CpJuBP)uNZ{SR|z-hH(+;6fkEzHy(k(0wz}a68-GMdRe6 z;p(vx(t--HC#WKy)aHYa?tb9j=VYw=bq3)-_ifhgNTXa`I;A0d8gNEZ6;(STVk zlhBF{P(sW1Y2IF~$DH>vT31~2Wm*}P)16tZJgEUvdUQFhNcQ=Sul0xDIWw zuRZOxGAgD8g!Yi9UGD4tWC+NFb%XYf@r1AC%(<{r%Z5F(Q5%%jI6ay-(x?ut+~h!? z2Hvdu^SZrB_w`aEw>mUPQUk`dVU{+?T;_d(&^(ToNw+d;xmSLf)Y7QsycvNbMlQziF zhID98nKf#YZg=TEPxG2dabWkzzM}?=kFd%TL`%?;A2wH$nEDMIFvqP~HqPcwvvJ}j zv`cKR!pl|#KPu6k)99G8l$rq(6?=sFOWD2{DQy#h7mQK7?DS1LT+H$*?3v5htIttu zD|yN3D=Y32xW^SYA9JGZE}6hZAx#IeN1T>W(@x)%VlPXcfW6!Ts#`%}_St1*t6(H7 z5*&N9P%qNiE+t#_V#eCzEqjqob}^!Ni|WNJ=Viab&OL9J<6ywnFfJV73hx>}MT)UY zF~T-}F#Ro1MSrW#;MFVCKgp-DO_}oX1rPg5t6~m4oO!ipFCq88WMy46(UJHaw~DQ9SY!S&PXT>PUD!LB;1|5I1Tj^}L@1 zO`t8Gk6=BC;xTK^B-WFnAF7RAQYqH+Fow80$TA^yzZPf4y zQ=cYLDJFty)>1DoI(-w0yXdGgCQHnNPBcFkNGZzb~rIp?c*psF;x3lMCUX*rs7J|uaqWifAYh& z&#y|K)IVxK+th%t1N#ymO`PV%#A)GI1SWf`BD{)7R)kv-iHcsCZcyCv&$n}D?9qhZ zTEH%{U~Z|u+cLQu!V~#eJiWN2hPqS9#RI6K6gU_qU=MnTg~vF<@+DuB#jxE;G>R(2 zUMgQE*Nv~=*XpjKQBl#r-ZejhXKXzvYZZuKS|rw|#aiDRm7KZqz!&pd9BSpJA-Ymw zpU_uS-16LeD5&HIo#@&%si2Y#DC{^&^=&}-P#cXk9)UI10_$OwWZrs`t=3)dbOOJp z*8RT9eh;c!dcC@>;XPE{{PhX2*7wlCD}!i^1qZMC@i}G=rYJE*)Qz!NL}wWtlYypB zD@`5qG|k!*j%Yq&+eKO2qIA#NMX)!Wu|w<&&^i}ID=&ps27A*nI~vVXXj!v(ge=I- zJF*5Un_iIHC8;v%5Ibv^>IT<06Cw6cxem~}7Dbz03T+zfO)tr#(caL1LZIusLF|1p z)bC*fbgg&An{D1N^JW)$aMV4e#)SoFt2ZPV&GI3^iyWb6$P7lRJtT9! zSOnd&JtQtw>VTHoAH4j3MPb9K*WEoLeF`&{<-fbpWY&V`E2_QmLc-!iU%`R@3_p~p zEcTXQyW&JqUZy3RVuSuj|1RhGLMvKXcUjLvqw$(z1FlGag`c-;#meb# z#70$mD|H#MS-f4pn@`AhI2Q5y1ivq(Kj^Qwm-&1!r9UgIKQtQusvb>qY%)!wS&8`~kJgA6U2{8^8{15F4_=pleXbKnC5iJs{ebYWz19t7{dpd3X!? nQw86Bm2M&RAU3K&^veI;A$*Y%Ns$mX_UIP&>s0$crC~wZ&gD80 literal 3905 zcmV-H55Dktob6q2j3h-BfBkQ|r>E!7?#%Aq-Fml6!XKl-9N-C<80T_ucm@sv=%IYj z^KJtNcYAQ$B0&*|7lTpIs396r42jVXBJ#z=mh9{A3-_;_T0VSaY4OC#qbtXkE<6JOi;x`$ z4(`A9`woEPx)#9fzBarQ+{O%q_}P9eKY`vyyU)?5`pA!K4&QU^#L9`qYwunHvpc;^3f@Pe_}Z^ z4Y0k5b+GJf0sIcji5Y;;Yy;M?~i?)w0qeE{HBpG7Nu4&ar~WB(oonE5im`CkRN z^ij0QHvm5J7{G0h1AOWUfG>Uz;9Eby{yYuv%#Q(H_$k02p9OgNdGx_wpxs^oIPbRr z@A*C2=0$*;UIIAwH-LNp0q})a03Le{;K{Q9Kf@vUrEkF>A`AXCWr00sfmiYa8^^|R z!%9?*%8YW{TBBaCPc>W3*38W8oa0(n7*0E`S7M^AnII^a%RZyRN;#_HAGLa|-e^os zw`MFW2%22fxBQ^Y^fC(R*?JAdrly;%778*>upJw;GADtP=u=0IpFDE=vE^G9Z#j%V zP93{zX>sKa94~m_{gC<;`|qaiWwSrwQT&%22M?b(a&qy|4Ob<99yoZz#W)0T1{UDp z-YOhezU%a+0Xv>^&a>upH>XUTn^J%V~5v;34U* zg1?jf=v;jT`tzc9o%gJD;HSxdCT+9R$dQ-v;JA9>c!Pd>;TKg2(+zkV?Mb-H@b}>Y<9U3bDavJv?6^sVWxB< zKJ3}ykJbZRJo0#eW!bA9u$lNAc4*=|Bgc2C2EKC`zS-6BuSJz|;Csx+(!3?Z;&Uv} z*q>s#M~>w(4J~x53(xP=Z=-+K@M1ThWH z`k5a%pnwCJ;+9Ht)J{&%@XsPHn+C;odvCnH>}2gl@fo}mAMEc=r#DUVnGuT6qS8?=^2xN@F4l=#CHnh zl`I9{R`4AK-&OF5CVIql77*;l8Jjpc@qIseB?<_l$DGarB3XE1Q9uwq$#fPF$pg&@ zXIvBzL{BW81w^vihh0O|A-{qp6FRYpG_y|$#DpOx12ND`0v!l+DA18W*W*XiCiHQl z=`0|^crZLXL)0_^g6Q6~u06^A#nRgL%mN}^4W-v$pg5!W0$(K~(vCt#_l%`$fTHmG z3K>=1Fh{%UK5pEesxvyfIoY5<6aylPgTc~tz@i*k*P(Rv=sK6GU&D5st!{r+psVOS z326{}s_c^^Ad(rZt|93R(Jh}xKb;{ArL%yj@qn;k3dFlf;)SAkaVRPyd6FL!`79vV zvU|3~&xR(_e}{nR0%*3lOouiB^ElDE_7?)85eEdFAxM6l0G2le^rn7)(#siQp$4y@X@25a@ z$HNu)dG3m^B_lE!Tk|fHt^FXZ82qm0T{6|(B$Xaro2cxmy354o(R7z-O+d8b004il$%AKozsUZ*14zf7?#5pbn%z)9*qW~}x{5cgYz4p9D~vM!qy+@ipW4mNVSiD6 zy-pqb`wICn3W&Lp288ZA)v8xfCoLdEySX{+uSz_sKvCJJmmZS>LRWq@Akw|T*mDSf z)xn7-dTY}g-j~S|_GR+$G9=^yBCBNjzCd1|j4v3`xVDSwJNF zGU+Nv3O>|N80Bf1DeVInx38INM zARv-`ndmMP(UVLI0g>#>WCAFni8df0l5IWM#ZtfJ-=@F9*zcli&=-VsF4FSgS>LaI z@{??T=Da%gbT!9I2#Ben1w?XZDS3SE!Ej$QjX3^ZcqT0%lDkid{fGUU>9Fm;i2*Tf zd_Z^<5UVme_&ve?!+y4u*(C=rONi;NE9v`>tm%G^yY>+4rbhy} zf8FHG%MEs70DXD!$@(Ufb8^b%t(zBL-gdnK@C{<;y!i6knfz~S`d=_2|MT;#G#3FDzJJxnO-kar&}^*uCpkaeya>=Lvhjj2g>k@YoG< zN{EyYO;3oqZ;50HG1+x3FOZ~x;xcoh&o0~xC zCoCaG@#aHCw?PSUFn#7G#AFpq!nZ*DW?f6thHQf5NO-P<=Sg@a3C~~4%MxO;>mohn z%ilMdoas;b=qWS#pHAIzdCDy7hqP~5LQHnulm(0zZy&O89_b@}q>uD%1${z7EK@=x zeIwT=n`t--xx75mNBZ*egoK#xy4&4=C8?;xn=pXuXkIzH3K zcMHuBt29F-eTB#k!W(>v2=RzF05403$*%jhB;nYSK*-CJIsG8|2%q`**tJHtTs|Jz zkMIqOZxH_z5@MYaBH=3pA0H%feRU(>#1ZVV+Ml0MR>!(-0ey*PN|36}JcJ{_Kr z5Yum6>24sDRMg>3l0MT1+;n}WkFV+aOdsFk_2ru(mi1?d({#y9_(qOzQ~_gpKoh>r z!6zid=1^vcGQeh?QH#ZA`hc5`&-C#%9iQpryM<t%hD>&qvX zw{Cv>wh^UlH(X!BlWpKA+YnN=aU@81IXX)` P;t`K{y?Flz+gwTW6V9?b diff --git a/demos/arcade/Point_of_No_Return_SegaPCM.fur b/demos/arcade/Point_of_No_Return_SegaPCM.fur new file mode 100644 index 0000000000000000000000000000000000000000..846d3680e56d1374e861d98846a5f5e8afdee521 GIT binary patch literal 763272 zcmd?Rd9+;jeIIuBeVKiKZ{}^l!+Y2Wf)*uOGG%I)C^2G_W7R&fAPN#GQG`N(R^-IB zV>fN>W^rOSNoyawcH`Lnr%igAx;kDGTPsPB00BI_{k_@OJ2Q9gzTbWafFRkDZ26r2 z(Q`WF2eaM#n|puDclmrjzjx++ue|)yrROf!zHsH!ue^Bq%{gdjf!_)Wk8;FOFY*8sl@xCsaW8wmvYNx(k={3n10 zC?yf(WxzK9)fAiqcomRMLtB792V^n`atZLtA0WsxPoT)BZ=lGJeF{Tf`*#@fOCg4Q zGnR_6j?#6O4M1^nW#^2oV= z0oM-r<$uW|Kk{ol(gFy-4)+E4(*FSc^{;qj2k`IyHIMw$f5Rg``9Jc=ul!Fu@|EA< zk^XP;NdC8A?0=g_UiqJSC;oB4es z^WMVleLg??!K-<{k#qZZ{{g3e`xT0z__yDD&+|jG`Tv7&(D_hdIF8OA*u8(h&p+SO z5&v&|@C!e7ua&u%n*To^+YhQf%^3}`N zuGTKSe7W|iOIN`iUU{kZcRu#a)2F#hFJ628$}69J9*(>8(&w+%u3f2p^uv!n_IT|x zS6;4t_JyB$;ib>kzHs?oEAM;yspqe}{AuofYqhJ;@U<^pzVvx$?lUi6`9kg4D=)q9 zT%vp1u6p zOV2#@^tb!?K|kGl`<>UT55At83j|5Pzu;Ywn=uN&062gEkN^rm0~i1c-~c>80Ehqy zAOjGj4(He5{5qUphx6-jejU!Q!})bMzYgcu;ru$BUx)MSaDE-mufzHCb00h~0;m4M z17RWNcsJnb`SW`|`0?{_-kLoJ!I+L;QaWdt5<7}*4CeW@zNJ-kDNl@_w*`aAV}>)x!;sN z_AvzcWd66M55k=JTN^(ueF(x`6sc10-9(U&yoZLP$Y;p^jNTu|xpBEa;u7+K7tVa( z(zV)CFJ1v7fjs^0G6L>25y$uZ%g@8K|E@gO+u4`55NeHYzS*w!s#xN2(?I-@4 zM&En3_RI^HUb<4dP+Nb`PdsS!{YdSx^Ht$V9j^3oXmke82)y_1jRZ4){+^MjKI}pf zdFtg$SD&vv^@%Th>e7q%W`Xdqljgb0{Q+Z;|KiFQKJdb4pZ`9+JdcCE{kS(&;==|W zhtKafK+hY1CG*3aFaMzPKXTxEB$r|HxW zckum|(+>}x=l%CbGyh#z{q%iX_?^}>Kl};ze+?2z zc>Z~K4!+L<{rQJ&)sSajyz-^m`(M8Dr59`KuUx$h!R@tcm!A84?F*Nld-=-M2UZw^ z#_%fg{?E;=FG+s@o*!K$srmbRKL%F#V;4klawrB)4n+hSk3aV4cYna(@%PM>#fLLh zKt6uv@yE|UUV9F_@I5nKd^k@(^zdTE`-Z9Z?6phRUU=@IeR^~m>=T4`7v}bfyLUz0 z{Q2VCKEd<%{O(`0o3(iaaKEv~klN?czny#reDEjpKh1prX2+%KPm3Ri=TFZ2bo`eZ zd-myPE(pI4{Lxwogwl3+bE_}`9wT8p61W23Z#IxZ?(w; zO=MTIrs7bNjP>>v0y8z6TPXTn6%`oe*0xRfhGkE?O*35~#ySKS#?iJaarQJUED1A{ z6nRdPlerQ+J^NRi6XOp@`?w=@mSR|PL3Y20q?8%f?!g_AXOX5%a; zCPjA`R&)Kk8coHXHFKnLGOz;#Bhcw_592~zw{2tG@ArpsvEcPBoFb`MX=yZW&HVgk zEmlob%oX$GxMQ(gYU6EdbQnfddSPji>FudPk|!fu?KhjMe4-W&M>89dWOMg!&lZ;! z=)l#+8k&-{gSPExx*yr&ZcF8Jq-w^9r(2{PHV%#0QVl8vEhOYBJK5erFe`Jf4 z(wXZ0evsmQCm?7l8txu@bY!TiD^*gSjWSVtd&nnoOE=&#n@q-IRoBLYLAZD->Bf9Q z7L&zV(j2f)eCV-!s<==tEv=<}KT|7bGiixUpSrLF^D3DwO4c}%c^t##>LR5Fd_rO< zbk-grxaY97MWod>2q8GU(F_qQNLAR$;Tx|XYJPfS*?#R0zdj5++twO4Zac-iKbaYp z6)>56y!ToIp|KdnqiLftvO+X+d{(AHCY_NvPD~dT@`?0fO%`&i8z)O)Z^9KzSpjn< zeIvVB#cUV=f(`nOvEijx3xVNAe(&yaNc(Ya0Ur!>=o11bh@iV&4+yWUbj-Y zXlw?`-Cp5b#&Zyg#7yXT4w;SP>SoUOjN|QbV&n1ClGC}pWhCR!X4I?2Eq36tc?IA47C{g0H86u{V0>g@ODqk+=lN`n6mN!lc{X?aU0gb|!UiZoFo|qF zEimDzWpb1oq?gm?a2C>W`__@=80_k@{k8w&tE0$8idA&~t6zC_g1YKt+_-z|7+uKd zU1d7+C^?e~c3!QMX_>|mXV@NC5uRRK!X_4-U91)}>2xZ)u(rNZ5QWU*`lFjvr%xt< zE)Y?@*BWyxRl+bG$D7snMq$ilIc?&GLFBgX99y1_6$*Z{IrXD3L~+zOxOwbF+C;af zjosZ=T+DkTRcqerm(Qp5S%70G5qoU4LfGoW;bbDFUBAIrysCXh{O+3m!ywQk|D6v+ycE9z_qmUHJ3;1yFo8Rc*m}41(E@I7YkN%V0b}{krdLub$g5ihGyyA zy`3W?y+Dn66Vs1yhC%%RqZsH3fdogJtq5vE@rd%-b(z4F8*fZRnRF-J&Ptlzlhj@yM9DCL~s`quiR`dso z=3sIzEl@bdq;ky6ES|42T)vp(A=v0^urSdW4ILxMZ!Uy02bYp@zZaF(Pi82JCJ8o~ zE96qdxTSFd>a(>~**0Tryx)${D6_dnc3=P6QA~*|r!#8z;O36SQHVDi^t&xBvzpWf zs!xe>GAWXJqm7mdT;!V5v7J4CMw(9oAq%lYMv}7S+R|bnE%S0|CGYliiVWNc$E?oo z-2*FA2)q69xO4lPcT_iIX!WQwHDT%c`r#Y9s^N)wyx&%4b`Zrlble+vO(L@N+3S>r1d%;BYS{VJv}f9e z9!kYL7#Ez8G8t?5iwP{cnA%Wf>tykB>WJaC$ys?Y+9IJ5eH!54wu(fuSZTcmMT+h@N-c;CR0= zlb5nqe`=Z@l}gZ1{-Aj|l}RuJlg#4ED1Gs)9P`DDvERZ3%(Yz492_2+*+tIKO?_rl zsjNt%7|BX0a&$BZQTgPVjAi&}I6Rt&sc1HHTx%8*|Wqa!CvW)4JSwEKz1Bi-!1%KQ7t1*$O6w--IsgTYV=rWuOff5=M9KW{h3j{>Fox{VX zmRL$_{Ym%ME_;3z(JTl`2{Dr-Bb4R539)%ja`0R^K0fqC!q!a3)w}JekTj>g_r76>aVl&bq)Z+}2?fu>p3Y!u`w#LUJR78y3NvybM%yQW2&O;xIu zVqWr;8I@gqn@uxQ<0m64 zB50Nqc)z|qk<*lGIi_Od&aIL@N^?lBA%5UP6%S43Q=*h#C}lGItYv4ilxI3|Y!2Hk zg(|0vAq4l6Ti-hN1J#sEc&DXBafou!@r{NbBAKO>J{W5r0d+v=xHtA=nf7%R{8P)$ zt)_Hk(zv<9Uf85&W)S+Qm<3B4Vq7|(ORxkfWytX$q&#&BlWaW2Gu*hXx==@m=9vJ= z&;*9WIH?~V+n6B@ zAg1PLy9a(kguKjA$K=U#*$ANpuvXKJXWo_Y=uB1;bG2GNouQO2QqB>!;bVw7X!n9# z8B=B<9(Lb&V~BX;36eY0j5#)#&5YU5PA&3=O$a=^1`K&+k=z|CQUyLJ8@AAE#za9$8HwY9~h$XY$Ku#!PcJH#+wQ>NrX z1=SH=M0;;-+Z?PXyjTnsha_=YMA|z;oWRm6B_FbhnB)bHMf-P-VwrL@ZPKo{X3|p5 z9*&N$-;B$ck#H?tI0jFK_6BZf z>voKz>fJXwpp#KFGD%FeIIMsD^$AORsyb8JV{Resng|14L?|sUq!U@FQ$d+(v0PeQ zs%7G6^}TP;OT`k>p9rNahp^R?DI4skINjP0cRpG%ch!ks94O@aYK2tt2KrGX^70lXyJd-mwHo98{%s+!(;^ zd!6pV^;_}AIyD{7hFvRJkz&h$#7Rsfcsx)JTOs(OX}@{Yj!KoN*Ht<%{LtSnbc z#l@8h8)e`5o;5jL;${j_%<&k|1#6<|QX*>{9+n*+WsSVPDs5@EP*jUP-y#uXyswPI}OtT^^ zwvlWRoBBdprh@KHBjivUG9hwepu8-jty@iu2|clvw|iQM5fp_Z;c)99ln{M79d(+W zftxB~ou*<3Ax5)=eROM5JDc(>*L4CanM+b=(BEyL0%{Ih^ zV5AInzCaB+aH|mgxO;E(i>E8G69l&DbHz%EMD+ThA@H#|ReFOcn?oQ;ZGQ7xiYT&R zHu_*(3D7Oj#OU^pm*AZqSnjImSl)E&wKkt)fr}Y;n$rZtvp`}Iv{YPPDu`U7xU{-l zT__hzdD=@n@&3~ZIm@a8yt*jHZj@PHrtFv`qDi9{Vu4sKp^nphG!2<vwF4p=Hq> z+X$#Uz?$;f-7Q06-BI5V7qiYtGnzN|!UXFGYJjB?gg-W@U z&!qDyK70DYLV6xRPq=D<_AIh?3RoJH!2SO5D2^cUNd=DId-L@!f?C5~uif_3Nn0^I z*YQz?H@CNRpr#_2njwZlTPE-AtJ_xrVje9oQicDCey1BLL*?jjlL0qFa{DF z&fLAN@SHausd%vz^%Q4v_qHnV!E`+CHQP>cJw1bv6(Z$qDKFAISh-5Mn9n4$i>u3v zplB$|)+j7jlQ>-M@_Gs^2Zlw;@gPQF=I1a74BvRQgW~q6H|RB0v4Bl<$Vwp1m(k|! z0mZ5<^h$BC(AiJf-rk7mMDQeT|H4HhSTI)wV0JqSX(5=QrYzroQH|oe3lsZ zTme#DZh13pniPzsb8r{}o#)$*R81L*8Np~o6gAyBwDH(A)bX&}>J0ibCWZ6|x;MG= ztpnhGGU;GEo#|FYa>*p?9NlbDQe+s~aD--(-pq++cV2Jdlo!jXaHx7PmXoB#J9Dn zGO>Nf#`4uv=n+yH(uGnnpNF1XK>D-vaybW?gAef^%i`kdW;TT0%@-u6uaX>&i0kKb zhCyeGMXGyXO5mk@m#Z$siUDQ=fkiLqyL%Q3l>kjudhPyTjAle_pxOPK*PD)mrgF$| zpjvK-QIM=VdpEia?;EBD6FQ%;rw;1wUq6aSA1o&_R>2n0G$-;w^KOUWBYmbjLPb*A z7GZ7QR9MoUPLx4^G=b!c%`mzV;xxyxVmh6W=MtKE7+kJEL{}iE3Ry17K;*KOJf!UzBL;UC#GZL<&}gB zrY4!qgAq-o3f0w0)K}#ajFS}GwvT{PFL`n!>G}}f=gYF*P;i!DmmggYrh!yikf(cqSM3`>GQnkV*-n zxBu3N2ODo0V~sC~hUydE!Oadq*gk}{+SCGOjf0GlHjnpp4&p!Cp-XA4WiVLt#xcV9 z8pJ(=fdb?wo=v(_JHly}6_P1Qkb%ZarLy^aaiO-5jfZ2Vlo27WU>9m)h%pRFQD&oI zCzem7eGiQ~Z*I8>eA4PoC!G;h5N3*D8-|CANoIU|OXoNQ-U6$y>QQ#B6losLB@3lo zI+@6nYgwv_O=+v{CSZgN8&lA2wS){9O6M8CJEJLbc#Ex_E+y(wdLv zYO<*T1&m;PD(N5Z=`65sFhrw)GP1-HuWLsAwHr$0yR9j5ioe7_pIamocU8(VqSc5K;PRiE7p;tJyCVQZh*JsmV^Pod5<<0(h2Au#)QuYpSwlJW>QFq)M%%Z~C4Nfv3l}rmEKV-g*kKdQ9)jSgPvlA@90uM8 z=TowMc<8cZ3`L~Lpx0NTY?;z}x4*eHa~--;4w~&*1mg{H7dF~$;v#Ap=1kG0<(xG% z;%Kg1@B+Ukfs|WC-3Nf@{sDtleYr+`8oUwzkIscmPcu z_v(jZaVe#?hlb;Zl$;jzts5g2xVcat_7zLqIG+W3N=b9qljFF|Vm31H4A8M$Lc~1B z3&8p0&z+S`8x~+;IcppbV;s*v_V&D?$6{$Y?{=oJR$D3iXQGLk4h8S&I ziPgLZ^ffF!=r~ynn)@0J924rA7>8*VNhxn$A0SX!9QQh%cB?gIi^8-!v4CZ!IiAKa zMwWRN0(B`}DI*Y|rbH5lqKz^WmI|>OBVhn#pFGpQ+ldL^aXqcu=s@T>)qo;7Y(PN} zgD}buF+N+#*!u@=x|EX{nBqEf=E-w10bEyM`OJmQN;+37QvttROERn^P#{+%5Z`4^ zKDHD=#!rc*64Gwyp-->HV?}aeKv6Ns<-6-$^lwtIptr=Y7bfQlB z?QXB(18MJY`PjLh!RSwKJw03p@@o-!{QiME<=T9wSK9vNXNK6+? zr93u-GK0W!3}TGjbcJzIeDJMrjae>&7_fW1+htesT4QL~&YXb392a8*9&9qpQD&>- zQ*cYL4uOJEi8QZIy(n-4;D~5-dq7~Ii33fL6S4SZ9lmshBn$0ibj)9S`R zXq{c*A)}`g<&>}b9FRi{?OXgBq%r{z24W(eun&*Xf;^8Oz_|j4(Ho4%{nl~Q&!lW9 z-Z)Th7iD+%=7^$W-*Yqt%1TUaRW$8b-8+iYOvo)(a5LhPu-+gOC}r_s)0SkGA`oZN zwR1HVMsxJ~H+rlPsiR^0poP~;USH8&?YNzMeAyT}Btv3^0A$+qu_QxfhG|Ph*6qBA-5fmWC^^yUi|?aYNbPozi3^faFqlNhu-n@;n@qwC03^8UP#*Hh`)vVuptp zdvA6aF`P}ty>6H*O+apsf@aa@UEuJo6cp{%k zq>9zrLOF{lDp!PPheX_|ViFt$%+jDUaXetSOO#wQ#Xp+@nr8+(=0CoaAE*61nFsA+9 z1bBgIoL^+>ue~*eo8*?4Fh$3NL_(5bzzaog+P2fBG`R5?%-rJ1glQoRkcyCcAoE`c zQUw~?)puTPExvODof69}?cz^fRBO=Aew$^VsPzQ1yAhrlLy_issbwOYlFcv<$dO8zR>0A=jJoCha*XE7N z0!IcQk%@VM3N&S`L7dNnLDhXiNJ7NZazSykF4HtlCYP32-DDtyfnstft*-D9WQ@F= z&gMkDK9&l!Inf-~n2rZs25<*;-+{hS^v|U zR&0!e(h4_HLI~mnDV57*3u(7Kl`AL7^N(;GkCdq`B zjR@%k9at6wGk9usO?G_oWx?ZXaP&ASsTieo%c&FT9iMixX_q$0X@t|mwYpb|<8s~e}+BrnP?7IK9`k`A4a z%VtG9@ZmqAcxF@f=NZI8Ay#xUbMD<2^8!cFskKLzqoE@~kPe+p*G?q-km6x4m@Fp* zyE7uiXbc&?sdSr-X1g_r#kjfGQ=vW;#6j=1uN`|}csiqTb8ELd>>M|`gKqucxZSF^ zyo6|U6nkEDOLcVKom@5Gd=3PzjPK z=s6*oNwBaF#A=L+7c1RY@92opR};%pd#CSVaS+D#{x@%Fp3-b~#u09`6*n|?{_J&~ zji!TM>-b2*Pt0_ZNk8)Lb26k&Tz2ikCfVw-rCds)LL^)=#!342c57`DzC>ptuyH%Fkiw=~_8*H#E+>O-28 z&1FQAV8FJNb0S3w`3gH~m|)n$fT>jJ$qXncPLO2e=#55{82W69Kq`7M(k}Qt+4!62lASof0%@!7xmY0jTi4@97sH3o0v zT6=q1?3tElkDL2@hsOgVPuJht@0%e=DQ%;F`?cL@p~j4w+poSk3hdda+c-WxI&23C zPTB8So(0+Jz1@Dmw24C0y3?JP)s2}7bl)h-FVLfb9?`VfY}=7-+I~#R3Bok-1WXJO z9^jFS<$Qvdpva@xb2${kENqkm6Bk5YOyx_my4#325}HIZS=8_%2#TS8w>>~n$8zj( z`xv<0yw;g|7%gztaXo;|rJml=tbYH(~0%h;n)7H-2 z!+M`6i=EpC10#SUMF=vNkd=5Ma(i!W*-6G44F}zJXW(Z_X!CdoGCqpNe8)p+9`be& zllJxojEox72@sfr(Z~`~VHYxABI>@i>wx{zbbV@ar9=?Y9Eme>wpd8XiA=Flq$Ubc z&e0)Sxp+>78e1k^fZA&%hbkjHn*fy&y|5zMK1glBD6pg55k-0~$QcIp2FMxmpyUOW zF)5G`99rKHW_m0nlduSLc)#wXvtUdij8h%Ry{Ciz#4y3?E(()T$O>Q%vpH_E z-{%%LE}USYb|vTMN`o>rXobb1075N8ow%9RtTE6-1Q?2hv-Mg7N;M!W>K@$PIc}+J zIyl_z07p6J{SDA(aZuBWyKmlc(lT)25Cybmi4!HKH=MaKWVAx;_+ai+G6U8=8a8xM ziXn}Ia>G~|Ih^PYfMG}Nqc@KNGSH`!@g&NWNGl+T7zK|~Nb#(cD;M!WAIoQ$5G$Ww z=VCBepru@@m2&iK5N6X&?{M?c-Ry+jkTvAZ3zd1o7NUKJz{hh;h&*Ww6@EH6T z2;M>A0Bi!EOws)}?^qI)Z;i>Y-57BvRtZJXL2I2Xz)krGBd6v)2hy{?g2|K%Ms5NR zW$5s{In=x`^vAo~3Kr|iNTrIoaOx5?hL9k%fMl1I^JQUjGzCg7LQAI>&=3-D5Sf%K zg(RZ%!=#A0ra>;&NYzF`D=Q|c;Z7$4$}aY2y%t25wou@viUWBOCFYCR@z#XRpMHFu z0bR5Vq8n;Dia2TI?T;4;^YG3{E+(k}ROdY-4`L(GQ$#deL7vCMS$9n4*EaH&;?shh z21|?&ce+e=UcsA-K%#8Ms`pLL4{;7T`12bE>KU_f_h@IgIUIYU0H$K%05=arN)&*U zEomJdITTQkq&HJWzy_aMqjb>GpiHV%DacrVyP5paCznG5X1&)NvsucR=#B$XiOyvy zP{Vsch|*zeYe13KB(AJ*>MR6JG6fMZi(#w;v5|hzj78da_{Bmv3&8D>bAQ7}o&6Dx zJE}4?C8go8`8Ol4&;L6CBJDQ&cgbC0Be_DUytMoe?l z<1xdB`ph)O?M|424H*;H)29|@Z-4X9F^AnbZMM5p?>3I6tY93s)wzzH2LlHcuW-=w z7z&5shT^npuqV#uf(Up)5%}BXnm9QaFiRJoI7xX3pOHPqOD7^QqEVpr{XzxPT@Wk; zpcV~|_cS8VrcQFLXtbsfs;~l2A%4V2oN>6PVS#QZm(yxn$7vkYO(Ku0`#WQh|Ehyl z-AERqR(lHCKaA&%J0Q%kW8hbu>-LlJ>JR&!R{f}HCo_I`8pM8p^D^2yRB6Zw zXaaIq-9dAwHIzjjpowd|x{F9;;8@zQ-JKdJXraM=1I3-mfFNH?W_1rI`H>-LnXoChu)6oRCb<)`&<^L!#;vmgQ?K*8!476J~wUV_oT&22wXNqx47uP`m8IeLJNA#NfqniSeNC_0dq^lXdK4o|ykjZ$~ zv%o=^E{=mhkfOADH}I#Und4jiqtyIacL6nk=kv;$W9uzWZsgzJWeLg+7a}2aq!)co1u-o)-70+G8AH(EN-zaSD2gT3o5jKKm&oBBcjZp$>0 zL^1}JbM8S?oU+{o{tHCS;Ehan<)m!8ILk5;?1};!Gn+3jaOxOO0ind?Kt}5#LRPjq z8i)+sC~~RF0uCk1$?m?6MB>^>P6eG8Y$_oJ+mqU(RU(GJhyptPQqkGj_c#)qX{a_^ zNELQp8Ia3Ef=qzx2pmu_5EXIf4{w`5ssp(>K}6ax5UBRXR;TTzS5GgC6gt>b#6mK& zys-c~Ltt|c)I>nAb}&v9(o1ig5qFAbCQrq=ZgHS7bHcvf9b@TtZkDLrFKk# zwxH;4QPnCsoLT*yV+#%N;mSSP0jLUVFDpAk7Zo6F*(Zj=%T6roBdOOcBUtlk{a zQUv2@V&xSJrJ!K4b-jslgs@m8$Ew=xdnA_L z*vx=@5%{FS>d6{CYI~`akh}2Cnh%>8=iA--z%{_UCw#TjoF$g?0W2mD#KllKhW$GZ z@E-)}DBzK1R5@#QM$NZwYYc95_6K~0Rdo*>NW|yT_V(>DP<#uURm9XAw~mkm>j$Z- zsLpWU%_tUCj>ohJayG}-$9nqAk~cEvJ(o&JEaVu;5CnP>1Rp_^sFiRJWzgaNke!F} zlPOwR;eiNh-#&1N04<$J&L$x%0x>IDdv~qncU(w-lng`J(urlr;QM?^1l`=M*VK!r z3$XPI%58B1l8e@x_4I|4{_gfr9}Z14HhM>UEu@f*EnD6G`dcOxkxMJWurunk4GNco%fkeiYrolp?0SG5!>=e%w4aI?y4KOQEb4$Qhiv0;xmwh_`2^-8f+OKa77zx#f zy{?g50>7oVZ`>S1UJq>6Xz0;`ASP1u;H_Q!{F57m9mWxnsxB9!gWIqLK>}?d?8!0; zn?*-8bj>AGg$(Vqn%Jqu@m2@+$Blu2Rr?T@wBp4j^7zmHXxnftq`a9Q?~XjRJt3s> zi7L+~WL^ZfU#;e16+|dv=8^ZD7v^$-d~Jyx^@%(biD7fttltY3HnS#(QhX>pLhTs@ z2DsJlTVVBPHte4`K5X;`Lq+K|4!W_7PoM_un`R`yF1N#5eNwKS--MmMgwv>py@QZ;8Up_VxHDZou^9Ac{$#&_7njbS zEzx`?S6Vtbf0Qs+TwgC0&p-9H60mHQ%F*YzSn$U1r$``{;DAa2 z1yDRWs?S_wGS$Y-ox6L-&331CxZn38wbdO%`AySdI|oDyZ}p%Wxt?`l{r0yGf;4AM z2yktx5kQ0>a6r6A9B3Q-z@84LY^@w?K1M(}PlghlAV#gdnUn-&TH$2Yv0*#g;fw)k zhzlDPsD%aCiPeS5xv+e3lQE$1!)9UkR|>Mg1m>sT_IL)NL6?(B=gMW-Y)^!|0Ntah zuyYqg6=qlUp$O>1o_lwAc%*3RWHcId8g*dP`~CLuQKQ}K15tg{r>f;hwPLq%SCN;` zzinN>AwRFJpFOj2a;-!~>9d=K%*K;XETz(ga;3UjLM>*YoPg{$nSdhOPA5#Bd-r+9Mlsm-n0xF!Z%cyeFa@`^wpvam3$>-{LMabZ zq!>?Z&~K1HP(T4`6lIH~ZbD|M1vKX#-rVmGMpF>l@88)v>drgt=wNUExCuES?8VS} zvxtZXclP{+i*H}0AYe?EPMkfrxm3#19=Up^#L>KvJ$Yu4=aM;g(g`YyQmAP*NPLj; z5;K{B<^^&%AXPyu2O$?t^LT#;c1Zw7HU$EqHL!WvYt$7`4u*jP94q`KtkLd1)VLEK z^pm#rjh$GCRVB{IYF+W?Tla#aSMRzsB!g4XM)d|NngKRS4PZ+O3(6Lep@nRL8V_QL zV_7JE_#Q+=K$^h@z1-#rbbo6^G3m2Utnno5H|x2TjSD~eXpxZ%uxd`7J$<5Dsh!x^ zSX*3JKC!;Jj19EpLSBOXvEFQGrdBczRA@BACwcR&Kf65uv2Cx_(#@^^@Q3?K50d}g zts8ILY@w2Ou+yFzb_BYWn1Rs*c^ri3n|iOZF+-J9x$1KVves2D;X5Bw4L|FHFDy^gE-xgSM}6e)?4C{gpg=2hb!dQa`{ z_LO4>aU3`&Um*c&7`f#oDy?qU;Zo)J&ssyU%tGcmU6oJ>A(9$4f8eF zUQZH+mCAD1)j{1MbIk@;LvXob@<5E=jxB95nX0L>`>+4o|I-f_{maqYufOj4#nkrU zaT5MkEJRG92LN?Xl8eQKJpPDD`C%LtIY-?sAX+k=>?#%HZ9I@cm)73cszTxkG(}!U%Y)reoSy0L;_x+7# zpc0MyI$Cl*R}j<0tgDE{P#1-H&9U^^OCIxS41{W}x|m2n=XP;XBbIBXc>dKdPNKM7 zAWt?D#i)WN(IJFJ!P^brb##^q9Bn<9bjyhq#6WR5SZ^^ODdtjX9Ph27HCHnDk_tn=w49HS)dD~mSQ%5p1 zWC*&CvWz7HGA2~2xdaX^ZMfn@THh=-WVOz~A=3Gm?-icWV6A?fEDo_sI^6O=Ziem) z^CWDui$yW*PDVtn$U!~|k46017flkX`b@skI;rI#pgTD~hdyFGyUXtGO=-@Fr8fwm(AC zj&(i^j(eunrey0m(IhXGeNRxA-#*5@~p63-$AhdQK=cPtPwd%HHNElup0=)p-mbR<6~cW!Xn# z2I*R=lrj{1*X?RFX&t1Ue1Og+m-BXJly(d+k;|nLxM8z#h$s?Cnu?|cZ-fCmafFxD z*c(vqSkS7F(L|}_k0wFPqtCxQk7&@k3L>Yj%@}b!+74Z5#w3Nrj5Wb*Zbt#W702Gq zt!$pOOepMibel=_VVSHayj|y~Q78r5?RSgb&CS3mh{_|z#4;W^h;DTg(t0dGA`yni z_ZpAISry%;z#OIJbQu&&zO-Au`Q42bHKplbFqzM0dP;Q03-yQwBMI>xrg~YZ!=tN# zCZguU@nc*3^DkR8er7-l(wAR7$)tlye)afXTSQ@IlvPPSGqo>R#Lbbq5LD2 z7k$NZCT||W3)!Ovl$SG8$hilL@N~sV<^?u%f2aJ#%!vd00t1rreK&}jXl8ICV`1+b z1WF`~f@9KHr%8vqcv*5_{Ezb)a`~%Vo6?d^1R_>wh4qt0B#Ymkg zl_HHT3T&d7vo`z9`+xaKr%Y)wn#`u7(IK8E7qbI=*(hG|$* z-ppZ0g);Im>q&_sqiQJU6)%}by%w-MDUE>!I;vLYTzLF?5pfQ(9k}u#nopW88^^jS z$?9`CO8aE(X@hb|2s_g;b?s!h@RRdW%?g1C%c4Ctn+m#KrKU|$=9 zk~MHBp55O+=%HtKkBdIkNo=_Sy_Mtxz$VcodO#;YPr|Y&$dbU503wl%E<4L8N0`cH zIzHx#-bz}${_T6ihm&qR8KazDN5uH!Z{Cx|sEHmLhND=qRcGh7t2l%j_`lvC^t#6RG;gpJrEsBb3-N%3L2(ZS?3aV|IQR zco1!eXqP!DCX55FURe0kFvnwQZB9pe)WxJY*NnXRc8mULdqmsk@VqHUAf5o3#aOJN zPjlFl>zwU!7$&Dklv%krE<-0s*6OT{mo*YY8@=uCU3}CQr2-kyG$mZb9y%iJ`3XwWos&R@yn0W7{-! zThAWvr(_|yhYe-}vqFrr6oas5y|r`Pt<_XP|L*&(xktgQsy?4~H)e9rd@dJ-R5BFBz$uw&)>tSdSUN7&#UmzELW(0@>!_%Si*{sZ5HM+yxQ?)W z>U0bkgm7)3v|MlZCdv%B_kyUumlG#gUcyv{3KN1TtkZbdpmVq-j^iP;y0)RL<_3#B z_TZb}-lBtFtQWm^Kiv=U`E`0*l9C=5_~am<$D)f_-wgdY#O^VyHFj3*t4{@jfhb4J z)Ia^Ql`FL_pFXb=k?X(wdAoiJm`J{M`Ql~6ne8CdkH`GOLWZeF$0pKNdOvi1&XgcM z?sl-JnBORwHP zSg5xhXu+n_#rEK3i@|(l5K*l=NPSe-CVHkE{eU(|rcyAFe{kNXym1#ph=?sO2D6~R z5B~9=9zrMK;V`**eLI}=JKYV*>f_l?f&7GuKywhmIvCm}26PQqlXbH3*~i&{&6O(k z*4fonyV^K^dX^+xfAJ^H;_3BirBFS4_Vl9chKLi)scdDtj}~(dbdrV)jrEb%VX&C= zdP=-<3>luh`gT4`-XuHMRCiHDU$f2b;=e-QmMAlcuAU7ZnQ^_ud1pGO@;oF~arl0)I!vB__9V^bDz!?b zdHwR~Y5V*v?PdS;zy739Jh^BV3hk%Q&l@RaW{M($AG;L~_$T~v?R=;B_2b&C?8KFb_! z4)Vl$ThNvscGl21M}(8M5Gr`lf3?qGlbP-^^&AIhvaLp{=UFU}0X3k6S|cOhbu^kL zV3$A>K3>UIvYOscAbt+lLlLgj%)p`u8-D`ApnMzv7fjTlA?3C(lL<*2oy*w_{xE&{ zc;6jihqf4FXz=*h-?4%>ootQJZNq?4#Tm0L8@dsoa`lg}ZAVHkpQOXv6~g<|rSV?tX%xZ#bMz0K16V)4>W0 zSjljmBdUu1pfB48yfuzI8Q_uIZsrp$+e|>6>VuV)R37J4ilfq3A5{Z~&cPRYdY;^_ zn0giKGumhCS9M$&X&#Q{^Rp%^t)kUZ8tNHObMxm_8XO|ahH||vNN*o3h?{*^TVb-f zQM_C|zMjhFsyoEaB3%cv&NgyHytEM{Prm*r4T-5};O5Rr`8?c-sO{n>iDc4>1mlMQr5u*f(* zp$$6QOx}2RXGN6BWQP?!4w0bRWVVtH22^A|)bZ$-@TFIksJ1{nM}CD|oA9Y54x_ z{fa5F(iDs$RPCWh9RuF(K90IU2UJf}u`jY=1w{7+85WmoU9`cg8LG`c{_`h1)Zp<1 zK1{r_z)vp7nn*xtp>L*U^@7_hu=I<_kG-wF+bPP{h$YPV4&|s~z(Wmdq5%d?vuVER z1gtilYp%TqnSgEAh=T@R)fV+0<|khMv_wXd7-933Hm)ObI*YZNMZmUj?Z>XaIE&L@ z;$_O`FV6km5D#6JXMJT$wJ%W$M0}86#AZpmeK3H^jQHB}@nJCE<8KJ2~PFX#E-0{^E-U?r~_qbG5VcMj`FY zR~Uk$D+2CjMV?-OOA?ZB-1+13c(mZVFcSGF`g62%7%Q-BGQ%okxl}c}5>|op`N4LL z-3m2AC0M-ueni5pqN`-N616}s;ZvzB(0#>5NO<9^1svs!wcP}lzkI@&*qIB(`f2&t zo3r3dMJe~Nw5r#DH$e2^Guaxyd^^Mzz;VFy>UD>UeXLn?rgwk%X35YFQ_d=*J(+?` z6n1G=8Clm~l%Ryqr};FAo;fULYzV6+hxAEKT^)|QyEiL_I?NYJG)3+XF0@iGP@7L9yZcNzHDi^vTa&V5o^^FH?X#8l9do-SP#-(k)Bh zY%y_iB-ITqPX_k4QK;f{BVG}#V-#0hKqoU_Jz`!1eFWhITrREM zqjscBSbp}{p4DO8JsQ(@lj6^xQ@!urNnY;BlZ++rR4-Ym)*#PvAM6SH=pQ(?$tX|(9yz6+&W zI@7%5@xh_E=Cid{IakEh-hOhyZFl@hGoJ+iRre~NT*rOj+?iCVUDj?Ny(EqGzP27M zW6eD9i`aqzn@3H4xZfW(TSbN-`0Y(^=9jDXPrv^4o#9wqtD@cYwHRdk48-^Ld{h4A zM{y6bMWIk;w-Zoev1EXhZEDx9Gf;pXmp5G}Lo16tlZSm6#SWMoBqpLPq)P;b8Q$aV zfsNvg)#cU9DK}^pdgLEB^)H_q-~JxBQSthef!jtUcsvXF1i-bkXfch$2RNs_Q~Ri) zP35Qn$4`MAyjRMNH02QavtmHy#02&``R3Vq$sEh63}y*&jF@1P!MFel`;Bxkj_?o> zr3MG=53(eZ83^q1V!l{uoL*eybcw7t^63O>&12@-OEFY0QpsYYY~S7JsJ={7lg1;b zm_IJ|km6uS=k|Z-;@)0JyY=w>>v!GJF;{kf{J(zPRkfgY&5v)V2GrzOniGLGKVJXo zHABQxFm_j&?b5}tKf(I)Y)+kBB{an}r|$-|7?oE>stCXglO(bCX5hU*-w=Yaiq!aa z8-ot>hy#BN)?QBQe*MG3JO=eA!EgR{+d8EUGEV7AkcMm>&&Ba^NI@2kg+5?5e);8j zWUau?P$-o0c7GgemE(cSwa7U+=scVB|61UI?Y@ zlY&PcZr;pcs@{ZVpxb-YmY~~8zy7-po^ zIvAK!;d!ErwZwn)BCesMlOIN89)t-$TV_=!na1`7L;oxfH>n%V6wCbfc0|Mj^Jts9 z$!NZpcQ8VY$5RL(RR+3Gl+-R9XE6IQ5P|28{RsgNC`*OfcJM&O>+@LX3Iu()(L~i5 zsa0W-u020xaNp-rc>h}EU?X#BIsljnMWC+jfymXB?R>gK6XumFK6)_tsW~B~+&*T% z`ZO1+5aRJdjoF}f$LJ6Tvd}1!z+B-Qgt*il+{;PU0=7i~qc zV!d9Ba6wFF!wo~;|N6DGo3FX^y8bXW7zi)&LXz4~%x8c3c>(=Pu2?^BbBc~}zL14k z=&*@geO9%NNIWJFS8O2(nzf#6loW##zOgD_B(nhG(hUosQKw1R1c~FE-n?o~kh0oedo{paa3=Zd?5L<3a@G z2}WGtJWiDarX_?&!9;)+4sJilEHjaLXU%Z1E5LR;mlJtn7EWw+)0n+|zXDxIT4AL5 zIGoMLL$scl>(0khuUxUmE0e|wSQNALb{hNR|MF=ngW+!V?CB|Mkd=Bqm(TII`XcSm zE@RjirJ~9v_T^umu3mL4lq_`VL{OGTOw{31MVXq(dA*9IgBUspd0u_!+r{Uf)G1gZ;2mkT z6YHUsLE%PHxf-t5UXXD`nyFYuhba39XNst*Pg#`#e@X@%O~)5~T)L8s4O zUe=n;DhzTW>F~`O)^jwb3KXmz4qzsDbu~=OTNg|7R^!Dur&UcIs<_~u}mR@$Jp_*j+{wFD0imcxJ_PZHw zqTPCQ|L$%qZCBGF_`q1ydh@l5<|~|MqW;Yk>L;hITDgK@8Vr2VYO{pL5x{JNz5J*g zl1&&+z*sWA9_sOU6gYvRD%lWaLu&+McTC8W6UbFFC)X9b`>(HeA?DxSPr7$Kvw9wv zfOpqSN+`#M-`trXe$eiI)Eygi)B;ikQ<7uy?2=a$AV{$8IZ1DaR{QyRF+q#ZK0d9U zp4N(Gk+PZU>GRJ3YFkZh0T^w{!ruu`ldSS51xtYfJP7R|tkyx#fo(LlZFY3m^{zGk`Z zjmH~R1`9I2{o%W*2CJB(OmE)y59lAT+n7w32Un=44oeU{up2C9AtQ)io{215|LA!! z+kjo4)0D)?$;)4SERcx|Z5+X%Wxx`Q1g@?yCl|QI;3CGsol3@uO>b%wAc&vhyRbdX zG~%h~;_agqF*atQNe=pZBnj9Qqrdyzt>LN=FN`}sz3rQs^!R`OU%#0dalsD-5_{{= z>+Yc%oGSs;Mbm#%7g3carx-A0G}mum7ZO>@Vfz9vV(1G`sB4J4^U3V9 zUwx8KH=mr)3K9Y?Kl`&U$~+oUo`{xP#W!`-_^69f2Bx;RZ+`gh!D96O1025? zuLHsOY6V*xjJAhKf1zRU&bI1=bc~BxAdMQOl(TorXGNB6fAMLVFVveEQ%zo;ia60p zCw~3qX*6^=a3C4hZH#70$!PZJ3qjE+$cZvJF$MWD5aQ4e!m7g4n}@jv^qsn$4SNsW znU57*Z?f8K561TP>-VeGWU^dMy6+yWTvF+M^V^$)CWF!AWwYiAr7UXMWIpSxv`kru zrJw!sc`8xLn-jHsdG*f?S;bX-?C-=LISAlesA}MEi~9DqdnZMFWi^F%ZS>H6xPN=6aMbL6 zw2_vQMKk*!{<&*;$oP=gqv2fIKyp?*0_D%)7cUltU_P=+)l?)}d)DTnnMx(P-XzZ| zINBITXRkl62QHlGOtyK|^7??5r|HDSr}ZO31q8rEu>65>T`c4vID)#8HwF`jLN}rB zX8lgzNN042o6sbIX!zZaQ+%G272H8fGH%Sb+U)ypCaz~lYp+mnmI?`22c66c-g?hw zIWlqe%a@3FO{il{eDUXh((?U8J`V>|Iv2xSF-EwkA0btQeOUBO?D3L0OcPSr9WIo# zNC)EcXM!7A*z)CS0wOXt24MCjd|G=aijgcl1Xw$3y$3DoVWfYMhPStm*&u#MA<7X^3Inr`?8_#i-l zsEH>4_xcn?(3!^7CBNv(xcO=PP`q+vKD-~Pcr2DGfS3oNG?F8{*~9{G@o1{+(Zhtu zr(~4*+r8%My&vwk5`fb9@M%kQcK+k{?h}MQtq(I=A^wU3j z!n?c4h7_Ov*)L0$$>dVDLY4&RT|tY`0fqE@@94k_ft(AB)J5EDX==Z)b6JY4e{#iP z#+igutew@SN-{+{iK}PngA9Hs3X=qj7ug%#NfdSw@?ks0u{;_dVhN=S(a}K$TZGi_ zfBy#g6$VV!{UIPq$vVFoZLm~=_B*A%f3Kx^%5jC$BB+$v9D5k<(x^m9tXH)36ZCok zM$1v51!fnVP4NAsv_+fx!C}RzKKde!ssK3?t z(=S_~VI|To9>*Nxxsixxz+C`JIVOqG`bi3E6Vx)w`PkY$ONW_4V%W{xEZ0 zj3#@X?&DDAi^Oy+fx8K3jNkjNPe5mC_+ldo9)*x5a3*B4EVK@mqQtMBW&8jtrI^@$ za#kc)W4lz#!pfRGYjb|GR00J=2<3N$6x^0&xSJM>2sn&x5EzSzTY*nZQ)PItg7w{_ ztZgxshmDfYn6srC(2?c4?h(@>80(7WTxYPxgu4*N;!4q5zQ>N#4`} z1i;^!OSq7U9K$9IR$zd-_Lk5tdK&D9$wa1DOUtky6!`q}FWM15QLI&KC+P0hQnFd# zq9UFI!%w2?q~V$p1#f&Wkrecicpo2o{ozPW^84G)bPF+};d+*I^Yx8R909!@^m~t5 z7Ur(`4y8CG7V4{SmeCN97!)dzjSljGHS8HsZ=+g=7j8f((}f9RGBz)ZHCfId z;c_CAyn&z=XdsE=c~PAo8BDjrzzQK(&e1AJXXvy?GgnM+@A^v_)PqplXzuP`Py9fEjB_>`TRHK#*vN3w&^$Hz z=GKJzN!3a-@ol?a(N z1{CG?X(d6iV*R8>u2zu@l$t?+9creLfk_X(Dng&lj!^`|Y{C9qMXGo=mm!BIm~_^V zqkEaNy0_K=nfhRXz??82EY>mLY+-lyP4DI1E60nyEak z8ZiAz4`UCCPGEC)m_?srs0}}%$L5u3oi9E{sd7J(q4Cjw^3R=dK}T)ufy za^~9@CZ;$UO)XMxCcvvfR!Oh}NE?J?ShH6c3N_2t0=A`OmfLnlE@t;!>m;^QeCS+& zi3tgi8EY1b$O&-tor5M^)d_@oMd>5HfQXG+8lN0;GGLMii+^nbhNegc97^FBR5)UN zmtiCP^(R%=W^?&`_54cM!GvDo8Hy>M6iFh1jvL1QTA7^QZ(_+Pe%S4N4&ukmE9M~h z+91;fhJy$=mc-_MU`LPZg(Q=?j0IFL^yN&7p1s0BgZ6K`I`Vl2Lm$iDJ`OE5Oh%Ho z3ng=sGciLjP!Lp$Nl4FNCKoZa!Q|ggo?c}^sAa-Wu2oDh3HT=jZK@{lE`$hM1K?CD z4Dg^xbuh9Mz?|WeTTCVBxctT1L>b5%k3BodIB?F0P}hV8FNO9 zCui{x4Hk=g>$w634%lxPJ})Ggp+N;Ex7&GDdPIAGZUI#8{(p zaw#65R0ANDB9irL3<5C*#M*2Xg1gQx54?S0O27?t`ydFto8A|x8&J-RF zX}QspWnSIE9Rr|XHqw7R2`EUF_bZhvXOIl%Sj&e=0Khmtxy?+^p9uzHq09hZ5boO~ zqTP(N7&?scb+(@t0+_!-Ltwq|;gbuGxg(!~APm=)DZuP>gse0g**r`MFh=G5ZZn^) zy<&r2As!6?-C**d@tk|4%BP|Y^EEDLAX~8^wHDygi!q$AZoGkzHOPV?;7I7xCFJd7 z#DnN#hSh?s3U{Jp&X| zEE3QecqvDZDl*=Yak5{|*Bdma7QDcN!4?Rla4(8Ja@z$d)7h_fv3A{EX%T22xomRx zzVC)Ad7$R!PW6o2A1t`)req=lxAkE?TY`$m?KcX@^yxejrx22JSi_9V1Tfua&dxw- zMdU@QfKLv0YoSpK6{%3E(8O`SbxWriJguOEh87wyDU8ErIiI4lp-Ne8uEKs359WO} z$=V1zXq@tzMke6b!j`$3KF+c5D+Kn7{1r0Ngp~boC^Sas3BK zl2|GW_H-Gm77=6QB9ES#!D<8Ul@IHKuaiWT_O&_FS=23pY-s47$&BI-0!tq;ymOTSHVH zT3YAf)s%`ttF{|aOiltAuletz9>PEC_XPg(en5(h*#E07*i%+-5PJNK`Si@6J>(mhi|09v~UJ zh^MGrC4&$d$Tbn1#}hF9rJuC)SMT=*glU@WrBi0_+iwOYfQ!(|4DY+ModI+;7Oj{f z+GIMUm3AKqxOE61eUhi=0?A?Wj^?4(ZdD6;R@p_1+05x@*PKV1E4A^}Q$CuY;WUiE zz-Arh!@+pIS{sRMuz~R#T-WjK#D*MLMN&wx*b$+{AVe==)HHT8X(phGm#ygH_V!Tu z@~hhJr#BlU%dm-vJQ*$xf-oh#`*2|^G{8^Dw4~FedOf+tZBP?a)t4{x1Xn$8*V`8b zYtNjV7O^6x3s+ag5Ca=c#+mQt4ocpf}sc(ZVf^<-`rs{T*^?;ob%!~li3?A`a^CrcIRWI6Qfyo-dh2L1jl!{Y}tf@Ur43F^=CoN;Q>#H)}I$A|N2hF zJp)k9dNf*NG70I}WVnvE%U0)`*BjKU9^b4-mNFw2(10YhrI{-xqT)xNSK;A4Z5L2k zy9ZF)c_bNdbvOeTm^azlQb4cPH;AuCrUN?OenE=GV8TbHMl;cp~WT6gZy~(#ir53I4EF zv&O3{qSY3|#bT=cvrnq2e7%ka=kn>(^Hw9{Ihp45&wp{97Ym#!`Ss6#`3(HBLw6XY z_?WLtlgBkJ!nai2Fcr_l{6V$1+d9Jc|-A{pRyEd~#$- zWD!b5XIqu1AB8&`VhtzRo3FpC5{OLo?<3Z#(44Ik#TQ zvmd@)#VC0)C-RBiLV>G58{SN?wc6o2-OUE$H5ON3I717#$L(qr&vW_#2MwRCv`$Z2 zDHYgeJYR)OJazs@e{@*`5Tn+*`slMypPZasAR7DGzyGVNeDea?GR(zEq4D%Q>921e zZLG;WbN%q{W-!~)d1lt%z`EoRJA8}4eXgBcDHsmb2-J%aSh%tDW3~hEB+%yhhyb0f zRiwDCC*U>17z4;0P%E$Ot!k_psOkjo~kU{C0@V33jF)eDgjsg2KBFiB!1CsYPc+hfT7+UjoJG zXsglG#bWB~d#apv^Z+M@v+9nWsAu{G3|=wf1Gp-!4Hr}fG67gl<0@>zB8Fh_al{HK z8kIY$65mEVAr;#2uoc^f^()Y1$B@W`GvM-!m@k!(g@^?eMZla4DYYPY!>*oA2DYV1 zhJfiW4jbE3`aK0PcowxIM1=iQM1Nvk=)-b=UKVirr2LSGarKZ>j@(alC);8`kh z`sHUe@RlGOI=z1Wq=gL-Oe5!K?Plvt)R#fE1UT{8)9muqw}Yb*1~m2GeE;UQGdw1! z(d+vuXx~QQ^ndrw0(P-Xoty(L?;m# zZ+t+}0a~nd9$lRHftRco>;u9GGLdCp&7>nv^73isLyd@_&E)3ckS)R0M>oJ}(!gzj z%^Con6kNs!77i7tok9}sfjvEEAa?}3Fqz7PlkNmQwrnx)3`UWBk^tRZ^$U$8vKy1)8mlfOp~j7+ftw3$gu|YH24`_1 zR{(Xm-oQ?;P_5T0WeB}0b#66ua;ZQMs@I7(|Mxf25i=5LcJuYuZy-C?S^x1>XTFuf zPz&|-Z*P$e$~SWAM6&T}d>A@%h$}3o6tK(?V~y5Zg~Qk~RcX&u#p3}U0KC*Zek5=X z^zMTr<{&pPHv9Nln??SUeAn}Ge5!F-a6u`(pH$>Km?juA0YKVD&6ANJ%tkhY?QvX)_Lgt@BEeEoUKIq92Ylqto zj^^2P4!Q;-Ypb`fC5rT;mGj(gtjDup)$o`hGiV}8l`IDr8c?g}3E*||`9hHgCi)ah zdL%z)#d5PjPagC%z@dms-C;P4rx?cM-rc+R9qbYjFR|#3HnOa`bdsFCx>bBHb@`N+ zA(jTi3h)%Wnh$WY|<1psNGPa`y zd(k3~zBBr<>m<2Mqe|^%E5gFKkPXIn2PBA-MMzzDE}YHin{h+f%ZZGE4CrYG9H7%} z;;d?I(6$1=39kqu2}2LBkO^izHO_+1Wa|e&-eMMZKp_4sdQt@J=A#2_KW4g>79*QT zMY}bU92v=-)!em(#R{KH!{VDXB@0?0M0$8^>%}P`9=T#k00CC~?5k52U@rtfR!>i2 zgSQf&MgP0QJqURVTw~bvb~=xfX}_0u4zT4YZW-EKyYQY{Y{jHf)YIlVsvvSdC#HaR=;P9nJAHH zUKVWlpT%@qELAEZ0SHo~jClz<*XxgJ3<^3yN2cNVXP=Z%B++yd1AsE*P0Ls|6+yfX z+*4bO(WawE2sI|tVfS8&@!^CJfa3s_L0rCly9EpW>a)6eFbOtWYhtMzx>X~Zg8~nG z5YmPO2Raf^7t>W10~1(@K-r2Q@E1$0f6J4`Tx>gjl(UW4Uh(|pt5>@OZFpiC89+Kl zcd=CRaQoxFSSo+dPmM^JyQ*AqN%-QHvO_We(9 z-t>%^JG>h%)>|DZAJN^LuLnLI;lUkW?LkJ)z^9GQpS7_hiUI0`RXzM`TFB8<45m_! zY{9!juK_|07bc)YaL6Zdc!Qg<_9`cw3e<_yf4AazZ!fb@87@>XyZ{2#kZYR1ewz1f z)M}9am!R?S_dOKC1mxj}4MM~373xt)zmNz68WqZsP?Ny&39Zw0gg63&j;b_=RV{zq znIsED(>y|h@&#VZPKq?b6!S!eTJ_{Cwr||YN3B4Q0m%+yO&J8u=m7)IdPw3RF{-IP zzZN1P&?{FC#mv|w7R%)#1n&y>{B(!S67n!$b;9E?oXlo;?jG)M?ninoD)lFDi~>ZQ z^6r0sgBONtUGb}h1r0ksI+$gE2Zrzq8b`cXlnqD$(D=|Qy6FOqOhvTgG*Ub`4#>pS z-IPw#2%AxsYW58AXg=*tZ|6*!IBfKED{pL_5K5ll@ifFf{UitNae{LERHd9|jPc`v zibDB}d|;IRP)0SV-F_~OoMO&?Kr0QcYl~7L2fkY!w!C!EFpP9vl*3OzVYk;)rxsV;|BOK42lU9F0x3fBLam5vty13lXDc$oD+a9x)B2n&vn1WpYSIYXQ{ zGy${=v6D>&fWU2~rGf`j1B)hDg|VpwF4u6Sgs94lW`HM<@`0)1qdtvWk245_cok~R zMx`K@Tjz+HLSkSF&sRLq{mQdu48L!~9OAKXX5Qxb1HFD%<<} z|KmF;Y!L?|oiyib0HYNQ>SP3ByT@4jA{n+j015z1TrNTr4%}Uzl|kUc|z`+{@8? z%2Yjun7)4Sc}m~ocG)c5#&ufNG3(+H-mBBJ?`1#wtZ120AVZ)=MS6R-+`g!h8j(rD z$e*GtqI6M#&KO4D7^+JM6!6e-Y$8{RufXS`uE-#|)RsBKiZF7s+;u1-vGm0o(DA5=jH0J}>e%=JZe?;0T5uRR9FXCr`=mh71ADNR&*UoYv#hOixj35UxEJIvTHumk znu4P)%tpW|mx?D4*1GP@T(~vd<8E}{)eCiUG5YCu->qC*o{f;ahLCw5P6ZTKV8OaX zkiTwG0P7$tHPmh7^SS754)Lu3ZZl4B(+{_sVUL7oF1cCRQ3zMjqzU-#haL=t*lwC! zqsf6LipVi@vSvk6(_<$us_qA{7~;pU;z%gNef?LzsQY1-CxZD(Qu)MuLe(cNu- ziDT@@MOU4%0$Mtv6%B;eVSne^ktBy6*Yv>jbA+ZKub|nHJNFvr?=a0o39*Y+Qko1f zP!JlNF@p+QG{@%3MGC=gaR^@!$WSc8Egmhw=g7jsls!8WIL>v`XAN-Yg=7j)r*b}D zWMJsQOafkGbvYb6_49-@>wNoaAEUIzSV;=bO7XCEu_=LFcTtQV;}-~Ahja_wA`*B+ zK3IA7MjH2r(4uV@qkexlUF;|bt>z%4q7g)! zf!7BIBLJz@y5Pt}p_pP~wU3`AZB%OXYGf8f3g0U_key$yQ;569xk4j8Z1SdeB;hy*2|&{U&4nS6fZs^#;g~~s8J6D5(ZsE_ z8EG?n_j(hD18JdWs`1ceOB0b~wHaH@5YZap&c1v~t3GJa`P%7uBLO00wq8b6XC2|? zN0Otmd>+$aAN#P9 z%)vi{JQbvT8`2I@1)~90toiBR{%uE7FkPEG-rx6DWG=af;|n-ZAZbxKQqcqo)vS^Pu3dmGPWCFGnbpO0c=Wsg>fb!N37q-bQ1zo6X$n8KCCM;#WJeY_V5sS^UHh+K}0f%`E^D_egRv*DT@?PhW ztgzcVED!_*JmQ?QTt@OKY)6ltc-f+@2nQ!?WVa3yfu_5$>X~SvBSu+>ZzRnpgT+8c zniT?b@U(RoF;Imczx&OPI~d}ZK+Qe&w{dW!ps0scEdk^h0P-x>z<@j?gUvF)@v9#* z7flLBKeKw*rwVbz7lCEF3g5ybhIKlEfQ01s^&1(5s1Yp{?dc&Vpi^eC+e44BocT!I z^@xb$@>P+Lhx63)CKch+Aoudc3S>^|<20H^7%(2X?QB8R&sexofx|rVv<|jPyjJj3 zk3wmYZ8WIa2%&;Z?eot>c~1a61D;SLqmH+H4HO!$P|PPj0)oKGM~iv+zG8&3gtio=nFsuVYxkV<-!$72qmzFXXqv&VU~ zD#-KY!?&*vba22{b3Peq0-&Kw83-c`WS|G2Q8NHs1)k1oO%-!72X^AKB7wEZ;obXV zULfEW9HTr9z;InI_h`S9`Q-Z5jSevcpzor)kYhp;;Taer@Hsfdj!16sBN&}Br4qS* z+!mh~{U8AgUo-{ue2NZn*r>Y^)?rA~nO!_hVIyuXJJWzg5}b_SKP8GRZ;E^rglCZ=oL;MTi>zBJ&HEQL)w z21TqoT+*3{f;QgUPjJqi#&=uYJv{c099$#^zffmGUqpo9a&=LC+(a27`^nGiz<`1H zfGutfq{jAmTlX}8S`~lMqn@adFlotvf)(W1Z=n@7%Lo(+rGO~K-xKS zfKE@~2}{PH@(0p1S7z4paPR`0HHlR-ggfGS)l-m<46Q$K3V?bX5SuInGQvrHZL>zE zKw8Hr(;D7y**Ib8cDkN6p#7p@Mk$wq`I>^Wu=eTmq^#3`e`d;65dbPmSFy2=m`9Hc z#Za3K5>nxSL`;DN$5UvpA!F`hpg>{@c%igst2y*Y)9&~Puh0Q;qD#bIl<9+lXEvbH zrIP56dq=n!p~nKv5@4r`CV~5$OCj-nHuVzFqR|m1UrBgu0nw?@1(wQ1tfY#0fX|4X z7RL<%N-KvPPUWce-vIe;1CG;wc!2sYee9$AK*dJ|)1P{pdDzNiq3DiR{s-#_?gtpS z;@ePs8=zw))%8ZTx#n34EeVMF7(c`Q3-|L3KowHOGv{lP6jWMR3q;spb~6X9170Vt z0*+@CIz|MD)C6^+uxvDW`teC{a5-d;Z%Hg^Dh&~&h^ zNFpK!06}z1#|Rib7%;-jl#uRzdX!CAYGfE`rYi+YKWhu86cLL3D#Wm7A)FV^aRU!z z0LC$)2V6=hpOg@8mO$QdIuWtLeIsg9ykqH7vt0*CJBMLWLO%qFs10!)TdA}A{pR6r z?RzkwPe=XUU>i-^!~R-@Y}|7ZXo7X^dKcsY*I(G!0%7%mUl#Ldd9)@mCMB4Nx?F6X z+(iT52%0=LgZVxPSxI+}ON3I8P8#MZSh;#qQ+D4b<`t;VnmA|`3JXtz3k05 zR2VJQde$H`0wo!8I`UZ|mkU7E&oZ6|fU>>@8RqPS!QRP5dy4-Eo+$Y6vczPZ9tyURYS^;6Gfh5B=kMfu*q6J*9y6@*c7QvIzsNZ>55(#(M znL{b0SlZ+3zG=Z41@68%Tw0hcVZDOYKnxMM*hC>R&yOO{YX%GMIWB)}voM9G` zMXtxds_U5Q12MeO_q>O;wAPARni}?0}N&L{!K7SPFlDO5=+;8W|u$ z8Ve%PSsoGsjs=L0j)wzLyDkwyl>Bf0`fomY_dgND-~7k_@xS{|fBxV9$N%)-{>A_B zAO6F?C;n&rJMnM+?H?9F>e#gW|NbGuKS3DW#uTc^{NBJ9Rl05=B$cu!kGg>2o{~Io z`CA)^daNL%p&Wx+-*nA`i$GD$gi4F!oyq73xQXfIaD)I5l>#$sH{GEO05LM%t~hHu z0WlxNm$LHxkbpW3^xF9}IC+xT9c=7>ao`-g9V-swB~d<0LL-Am4v0K_3vd{Q2fHm+ zV#rLjjUWxV&D=%O6e!I?JC7JmBxDJ-s(p70k#*~{ClN{UId3%wyVKF3*(jZ4EQBRu ziYpY0%=GP)$t8SU2EJB?;Xc_G)wLF;B?#2)b^%U}XDWf1_cR6_8Ip++;yvClnK=Hv zx+hzgNf5>`#0NyxO(68gNw%u~9_b%33_o+(1k1&v#ts46X9d72kuu2V!-QUtNf8ve z>fJ8*6MoiPs`}`=AGVPrGKjG4s$sH9sQr$d&HDR;ZYG+k?!OEO>UdD$cb1mXvvUps;g}eeFQ3$$IU;F_8C>z% zb42WAn?N*)uz6ivoHjvO;|_A7lH;JZR*$KRa|Q%iRBQgcJJU$KUWokM91NF&CD!t` z?_Mo&nSmX8|I@2GOH52(-;CBfSu<35{`T8D)zMJV5ASa~yL6SBjA2CT4)~8-4n$_) z*3$CyfKLPi%ZLq^F=)8t5t$Wo`sGLI51@(+LRd6fIQ{HrZEO#a(iMMpUBvJw>N)8b zU!8$B$FbS7=S2W`u)M;^gUp|ln0PJ^Lv2PxlY$-(0VoMj5pqB@nv;Q^WD_M!}KD)LUS^M@!E>ZXvUoh3c>pPPlNz zC6BmW!9zVNs5tnurZs^G}(H78V9t3+l|y>9T@Wnmiv41^m$r$ zV0TEMKOH>)F^>(myr=8UBpE_N$?$kVtQLT|VZ>w@3B-jmQZw@dnz~MX{^afToXF@s-unvwpM4K-@30_AFMRq@iAlpLoa2S+X)RcJpZ(Qy1U9Z*FwUX**7i_T)QAmW z!iFEAVzkU#zU=!B(Am0=q zsf)90s(@c82R$5ET8&1n);wvj2PNGu#0b0*xDUzt1uvs7iD1Z80ChHy$ zodDnESWOj4WCTM_ZAO!R|GRrFOh1P-s?*i$*BSYMGLncF>Tq;ESV*P=lKn895SO2p z;H`m)te9bPFF(!@96X@)qJTke{IH-t`O|0d0AL{xN`_F}F`L@Cf{k>%1AHYI;VfRi zSveNe@0;P>Eocxmase~EY{hU4_rLF@UcAUdbzvQ;EN3Vb=VBC1MdKjY%D^InuAiqy zKXjN+|J_RpEO(mo4muMBOeTcoNVw37{~t;3)#NyurtQ&y1VHb-OEP_y>#FXa?lHSN z>m(gWCyG#n{+<57cOr$f@oCNYnf7JUXEK@e7PO!NNRZTp)}BnnMo&)_NCGdO=f1Ct zW#rVba5VDl`|UN=U){1>EL_>N0DMyvOm9`(XX@{;iZO*t6|(kD&y|llHh`XS;H?7r z0Q3z8cI1EUgxWwXY7Za!jvdzx^$s8}XsJ|~=qJxDSg#&`sOW@>jU`iwZs>JsXP&C| zQVizSg95~ib@NtjHLYiF<9n0a?~WZ_95X!i`r}0MiZPEr88wvM#Xo!=vh2pKF-I7) z%HL~tb`d|^6GWG^t8M${4?h9+V@3vy^{OU-WM|+uMboF1ZwC5on|+gABWSStGi zm=^+pgEEn*$!iun6(vRqUKR*o0x_Er7fCrUHbNv+vmU@hS-z%O(khoFrCW-k_=^VeZO`luSSd2!I$nm15b z>BCNUTX-4Q%@&Ij)_FD^aS60ja;(E$2(nj=N$%@cWVxr0WzCcf0qw0m*7p&q_1GFs zhC^n2>-#@^H`uU6={4>@-7Hf%j95@d_l|X|GScSu(%TG`Cj)-deD01(o5e~fe)Wna z8NrD-kgX)d>(J}Zk5VA`BGPKh^X^*4@Wyfd>TrDLBE=nAm&P5-HD)y$Pct{$X&v>BJfo#BxTuD&*bQe!N+s=_aYf z5Sk;qDy96R#&j>sW=TEb8b5ViQHZIVwg2?oOJ@>|S~9+E_8g|_)xKt{-rW~YeYnYVjb4(=vA04U+SQ*oVc>Me+IjFYZH0MFOxjDsi|HqxZ^)|M!76f+(uX=d5n^Al zXb_aPFT$XgZ!9YfkW{(XQJd)ZPOfj6u<(2ARW5(3iE-oMzTT)c$A*1<^KbuNQAxx! zY2<(VU`@E%<<@Z8cz>zN>&`NH=$e;)Mmy2%m- zTy&fywffwbQ2#nOvHYy7T=Z%)U&1zBZ8u=kw-_0AmW9$s$u@HrGV|~L>uO`tEc3hW zaO0#95>9xP`<{!zqiyfb9r(GDAwCng-k-ZYrejH?9n~G$QmGw*L6Z8It>9`5>eiD( zz#fn&*^uHyr*F)h$2~9Kt7c(y*nPhGsVPO))fy!>D4e#{)xZCx4S%-VhjEUaHs)5E zgK_uMH_uK#FYCS)p2I*qs8+h}R6rDxZ(sSg!O~Ga8I2cCPmfMci`o3)Vez1JapoCK z1BEn=ZBFFmge{vX{jCBm2n{Nc{Hj}0?LM^c&% zJ>U}@#b3YZ{pU~H2&03Q5b>%*i_2|m{cyQ(kZ2naPO91t3%l`Z_~U=w+x?*wHO}4? zG&moCm*mJez@T|50YHB=5!qI*hZ0;)6_EMmFmxa@oWQ_g$br>Q|8+ej6~aOD{{6=aHe62N z1Eq4)a^_AC#3{T16!c;XKSj*z@Sc5(7OZQw4+O| z{O4a}WcB*fJRJ4mc9eqF>E0(RKYiD+S_okFpYJ=4xQ}k`dV2TQ>wU;#_@aAC^2C|= zw|Rzl4Iw1y!+GfZK;SG1yEH`fcVuUDyEe6u{2NA`7T^08vgy!iZBW~bwWp%sbpSTHq&GY*AL*=3ve z(4(`mICXRB@N;}cs+Rz&Tw&22QBvz-|rs($xz3QO1$4bH0sY-wNEyl9Wg zTWPnL+0r@3c+G0jDv4^fz9!2I=y2SvHx(h5+tu1s1er32n{RK-bAs)eNRV0>J4OG6qIjko<#o5yR?137b6)kbxcnqNw>M;F_GRpv_{j#f;T(T zxiE+4Rb?mI$t_D$0`_L$n+w-&S&v_Or*nc>NUJhvIwVghmBSZff&;ThX*M<M%H|Tz;snH?w}La(g#%`4;tByFXPPFYEJurP}K^2Nu`1(;i_7vCyEX{PoW2ckwkW zBn{04nZ+NMMy(ay7YYb`o`?*JFJiOanxRDqNa613aT9y-cVEzlH7x#v!z?!rzqFhu zfBUNhLxfso6@c6|YPZ{q*m+DT*9PowBx(NP4^QZlRCU<6eSgzb`YV4>y|1YdCPh)= zl}m~iGFyUxZrL3@eVeNP@!lDZUVL>bthB8=!BIcrChF)nCK|sK?pdry(P>acCwVh6 z8(@t&{$Ko{@~lku2Cp8P-9cwlco|)qc03n_@)%&@U^JaCE6Yc(4Bex(!bgQIDy6yfs5<6D=pna2o5X&;$3q}f$X#1Y^pB*65IjO zovqWeyuX*l5E0-lr0?>qlk7#L{kQ{Ovmh_96S`hug=_cxnx~l`7{Ha&;^=fqk3l(XiJY z%pUkOjZYBh>*-e~_O--sIfQI7NbYLg>Wd)Dka`wC zfrb$#&Rtl8`m%o4BsJFSKsM@&k==7`h)jUoTK7 zLR_Qn*i*_7Qqrv^C<0d4LwVso_~NCPeW_;gr?UyKW8JIGi@$y?tGdI|_|*0UcdQ+| zgRbgN8aFos0HrX72S5I$VdHq)1}al&^~d(GJZQ|2H+a0;R@3|LRhXffj}7qQnQyl6 zu(p%(zxpbuJEQ!n@L%Rbo{(ob+{c2rZvhWr3kOjC{Yt?c}(B@Tnn( zDU0!2RTLxh`bg%q;DhN|JfQ9tOXZJFjt)5M&H~3-C}}E zI^Vb9Vm-RQ?(cAJw7T5ww_&kU9g(Ocj3V}ayxDrKfNxSK{?QaOyzJ3iZF;oi0a#gg zCVu!H6QsoQmt0HAYw2RF~(4MJff@c#oMqw zkWK~>b-g&rCSrgJBL`7(Mnz(uv-zaI2?b}*iq0os@&QIy zAA5v!a1nH21C4k$!c(sA7LCeO@E@Fpb)5BV)q7rGZ3pMb$pufk^GG34>ECjgIEk(a7&Pl&X$DmMpD$;;nqVu%Wyab@89=z_^yL~ zt9)}m_Jnur=a-uD__UBCrGjIoliduuCe3BQFPX{M*kLIE2r1z5CJs-J@+sG>ze|!l z6`h<#rfWeGjHzPMNDNgAc5zs%b9E-=bnr!Z~RTP@DL7RTtm+%$_#s#gEq|8qGRwQEl|KYsJqJHxkr zxPGd)6_o_);BS9;#{8uTspPucwTGkDI(l6DdM}IXF>T=G+{%Zok%1CbRDKhxx^qVG;r693<)QeQFXb;>e-taylO&cmQvM%w_@TX1g-yTkmq1 z(v`j;IgJSj40SDxk$<@>9}v{K>KX3)9))9){P?egWP%lF^?(A;bq zHxJyTO|yr7`QN{pFtw+**yu2jGP>8718(Rx+6jXZ&v<9)O?qwi*l@nD^}~PtDjBQ4eER;U28+%XM)S_~Fquhv?O~{9EeneR4XQrS@-sT%j+nw0f<|^W*z(?z*+>kJmSs z@2~oX22<0lmm4-q`_F%BGfgET*sCdl-12Wf;kqAELE9R>1K0geo7Z0#1RmDkDf;N|PX-SI2{esOE z%NO&RpBr$Au*YH9P#~Y%L?#=3Ug*}F<*+r69{L$;@bzS}AK&z}P{hNQ!DnC2+^>Fl zL>%7d3#N`* ztDAP?!?zE$n-3qZZf|~g-`_9pK0tvQv#3zs|L#%~v_XruQpX-y{_Ss*?PAa$uiW{x zuxQ_u#dp6xmcTema`NT7i$XG)J^Ae2%j41^4bO{zm#&i5~-l*^d=5U2f3*B+?F6BP~%w+5x%lmo(+0KQdcDXhTdX)&5WH}qbHda z`a>7L@<50fKCM^J@z*b8_Nrl69>=9j#PrpipB`|i%N?0Vx{QC;ScGYVqkXL`Vuke| z|J%Pm>Vd$j-F$d|HP9O0ep9L6e!ME*|Mb^~jnMt!!y{d3buw1>I^)88h@J(!TzEQ#F^_2#^Ik*{D)* z_&B8C5(!D#CVG+)J(yaED#G*0W}|woP0CZG+%xa@mo)b0SRJ|?=O)yA?U|IJEO66W z#Bf?3)Hg(4y>9281Bu0Mb(dbIwi|pki6kqV7+3E2^e~%9kKx?M_g`qimSnudPY;W4i+;6zSeoD~m>#UuK}!wtvO^t)dcMPky6 z=bk_3-$4`O`0PK+%%0m*4%@Ok`u0z4#6rt4%z}sJP!Hxj-8#BPCjs;@EE2a|co z=kCwn;_W+RY+1Q2kDT7ghwo}oTi_JmUw3Tc_@PR3a5kPS*#>jU>M}3Wx0>>FQ&Hv& zFc-e471=|N^NZ6W=3iwtW@{;&0Sk}_1(T)IlTx98oYqavHSA8j`7$p72afC-4ST{1 z29VFMB^)1aY9PATY^sd=zdY<%VT?NU=jY~V2EfK1lBP_S@%a$d2cB-}bVUvv9}cdm4|0#=h-}d|ELV%nKYm8D!Q8-(ZIZLQ&5Dmdizt8+27i-flfjo3L1+lN5#3Z zZ!>su?xo_Z)S3W>ix%cVE=j;GkfFCz4)g^7D5wyXcF?oSn)l610<!;ycPvDx^4 z@+B#h4p2v6{unYE5LmSl;#(|N^rF-mNVY+b_pT+A<4Fs`0AmCC_kkrh#AE=t*>24a zYb6w3{fzYvge~N1l4oy^eX^->4JGr3h3LLfUd6(86QeeFMP1+%{_uyohokWXElF2* zIa)tn4VY^;n)Rn^-XGSL>IjIrqS6=`etO@+>Z0w|gYw;T59ZWh>5W=P>kV32pkUF9 z6BjQ4cuzB>BFN`Z{P5)qE?hC^S`riUI?`CLh&Dx{ZrF?7h!a2zY`AgYFgj(OwS=W6 zyDaTbw<_-`aD@~T*3ch06HFEV|AiaZ+**HJ#p5pCGMA3hYcuuj56~WgnVbkmQI(9A z{-BdfgVmeMHYJg=TrMV5BB8N6;!&-s=aWi=ckjj#5H0!x&6?a%*lD-@4h2(TJmXqe+qAKEjP(#>}L zuDlL9+s_IN_@N5YXK;B9Jb1d?u9c@dn2R8+*1@RJ*Os&acZXyHrCkwXGvCRHf|9w|f~qaP=Xbn8!4aI71jtA(vF2d0*$j zYs5g(^I+xq!0g?nRK_9X+ITZOXV6|8kPaf5;$e{iS}dEijWr)BaJpoCjhJBqf%q92 zXU;LE+d$OP>ESrF0;r2b&99tD4H`=hZEDud7cYDZonX|wJ;gh4{#gbZg-lT^kuPTC z!J`snHN9C4C-A}6E9J-ke7`MRM3;QNJ=MJQ-(@Jl?1fj8Yd*`A`2DO`(Be<^e{2UKP486AHA0MbbGiw;vA0BIf z^am?n+{(+wRdu}=vgfhI+)6pj8%$;rAUu-sOzHIOxZs{mOvt>=5;{Nk!Gv(j;1GK) zgZ&3^3piNg&{0rnjhKV*RS?HF{8o3<;f&2WSFS&CWvQ^Ptfr}f9~eW_j*yLun|<=_ z3%4Or9YtJpQcRQSN`;KE5%yb2Z!$1~6gL{0+ay4Yz^r@9Xcsg+^X5#>)LKTwL3zkC z%d`|52+X&ccV~{p-fg255%C6&J}Zc{{0T(^S1Ly4m7dp}^N{RirZ2$({>vYf{Oc?U zts%N{S8#LxT~%8uo#D8Rv^2DBbkrrk8=Y=$^ZWN*?zRhU(zw5UsCM};2w|~z_tS^g zW-U-&LZ{?Jfyg472VI8WPUiUHG_o9Qlj$JnL-*l%7^RcM9?nC6)8z&Q25y-5?c)M( zG)u~8Hk0;zU*8JseAhY^S=Y|6N0sNFFhzxtV*4K6~gk8^q2^Yj<0x3IfhG*ya zUCvm6rf4nv!PKj_X|rw23OSR_A4C_`aVX&;9=aS`l3C|+J9_{7=j6K+VPdW{)?!#} zFd$~fJf8LO$A(?Z+f=1H;PF&v%em4Q9{= znFG_A{<-cKN*upF5(ZPoS{StSb@24WZ)R0+7>oV-ihVbb&{?;+$(_i`h!d7!k-=G z!ZCN4O-#aGQwXozO_H?~du879)0x1$v5X~UU`T7#h#yB*%T@LJTi@rO`PJz{)3#3Daz1^S0x_E{ z$F=K@Hzz6zn2(|E423t>eyA)Uo2Z8wm5!H#R=N?a=rKL$O#OyN%C#%WsG}!?Ok% zQ{V5^UJD|82>P*tstKq@qQY&yWPG6CB#FP`r*pI^{TDc{aQ@zR&? zLeTC4WUsR+fbH8pib)w3EAS8T;7Fb>C-s-!Y zy=aaMxG)m;ZgajtRxB9j;wn&qY6#3b$@WSNhL zD6LWxFhZa=onaZ&w0YrL=JUds&6*!VjhEa-RGJSrHeIK6x*9ggInk;l9PRgOqt)R0 zeOuQd6qDg|`;0NuSkm%5C3_V{d-Nl02Dp@!?mYVH*w(wbnfa2R{o^~=YU>PUk55XO zXfP@-=Khq2aMzv<>+zYJVt?~`{`wyZs}Ut@?oVMy>r>Mvnf#|g^{(YRO{$F!L>XAo z!PWcUT~oH%tkn*SC|JfS==8_O@;H1H9Cb!ZhBoV7Yq}0zyollI5Cm`T?S;KD^5(zz z=NIZ-%P#FKsjq(-UEpc*$E4ZB;Ua%zwE?Skn4VI=#JJ==kv+CiX)_w>oVCHnbe+Y5 zjeYA(mlz~Rr3G#4%v^hG?H zD#p2RCe264y@;<@>;7FW{+rL;L-_QBw*8*zU3r7!nuRj||#lIG4Ra6c`)F=~w>en^`y}E{7vP#Jp@-FqMsU>-^zUhdM7g zm%-8%wola+*jxA&_Vzs^1Fvk;_{VV|Z2;s<``v-<=(FSoPP^nw9i0|Jz~ut#xlCss zYL9d0K7JJg3p;sihQ9tfrO|-$QtS&`n&kyl6y4A#t>->Oe+pNV1z%ggd-TI!>)btc zvYEH$?vtFy^W**fC{&R7-v#?HtakS{bEO8pj3u)>Qao{YTan-XL(${e_PaaMo7V8f zg?j>}gjCJr$SdneS~hB@v5qAyQw-aHUlNh&ryDkjgaWm3LB?}u z$i;D2$0*LXbyK;UxlL9UorJ!s>r^IZ-FnY;e(o|g-Bwrf_^c3gQQg?htjVZjZ^tVr z6kg|$8!Rhj>&vf>Epx_P^q-=^#beDvUy4|n(rhlGxOcleiOliq{_&f8sy1*t`p?(b zHIRt|#2F7=xe#73L0K&t4}IC?jAVQUe>JiTp)^IcN$ekg9cNddb~kvkc805T>YMX1 z`8SpOISwNj+^8Aq+jwx+CKf;2$l;)N^KHeT?YH2D@$fW_o~E_FLIg8ZD7hLR8#^aO zf@mqZggBjwhXWY6LLNu({PUD-(vU52A6HH%$2Iqtl50w`M)`f;<-#34UEs9VCT6<_ z*FrdCZQcyLF`tRPpi;oXbG;lk8oT1lFn0|U36bJyDdzD+qr34Yk#WHzvKyAvn`5VL zH=1|r&;I^+Ki|2L?S>Mu^~3#|LJ5opV!GzmblYY8jE_AaGoc%CdWPv-zq@+kZEV;C zZ$EJ50t?=5^jYP4fDbYo-sq;1&2-2lSX1U$=SSixkq5rlLI^r^ToNWa%kItd&B!G{ znPTwsbT{*Pr%jq~C>3RSSN-Pwge4UM;b!HjD;G0s{uDavpZMybyt31-3LYIxgSk7A zqy-QOhrEme&$ATX?Bf|ztL=jP?Q-rpK9;6SyK{6`ckmkphrSIaM8eH5-$hdS0dxn? z_GEy}-e|To$7ah(x1mN)VjCXoFm-uthkLWLPYFP&`P5qiNr2YWF*~#@3lVjV>2ZuN|xBM^)UZqv3em zhF2AEjnIblxjqhIb^qGM!61 zm%)GdUtT4Wg`B7w>C=QLxS=I@&wo+08Dd22Rkw_*sifj;O6^o04|WITa~fYdFzm3` z0135N47&q1R@JtUi}AX`J0V2&s2AM6Yw=XGghU5fXa zsT4KtE~g#{Q-(IG*M{!nLn7#G!8r~}gUU9uzphZh95fmVpAPh|`cO`XH*;?Cqnm5} z)o+e1GlN({D0M*UFJ$s3j*k!(Wm2|@<}-7-nxk+UWL<3{hwDRGqAszQhrL9S3l`6K-1fB*Y@ zx=>75R-Ts^A=059((hjv1=37`MMI6}XF!Kdw&)vzW$41qK+jMQSbS@v)f;JjX$WZq^#o(Z(0PeP$jp>aAKNSE4TkEpv z_?c~?vL({8WRbcMc9~#v3b4zL(Njl|F3{i;U(jRlhi&7TuMjrIDy>XRcKqzkg}K)Z7;a`ycO%QR_SEPP%*EvW4RbR0 z;-TE`w_4+^gUBjN(9VbVz0C~8eW!BssKuhw$HoW>62J|33|8<7g5*>_-K>a`fzKN@ zYaQX}OzwlGU}EG0s_1ardX<^SwZ$yIAqmJi!J005Kn3BUV{o2Jh9Czri(xyIJvc1p z501}H5A&H^Dd(JN{(ysF#5Pn+74}TVqFA5$Ld=52;wl)APxd&MscOdXd=7U57^*UJ5LR z_r)*C)Pi+lE6Qni1Ndeg$_Hi&71_mFK+>XXv!_oj>$Zm@zQf1@Ek9R|!R&nOs8ZuEzT$4(DCvG@ybj#&cJhf@o_+$G6C#% zw`HUl&K*wyBXQs!4i@@yZb(6s3pu{In#fze>?DD}N>%B4tf6N$hrB>71TfLN?S5K* zShxfo*cM^+Y4{{Y?UACg3wk$2MPC5mLLjgt6ii;)PB?FX^XK^7qtJdQHsUq~x}sWs zT*+1#+N{9%^cgm+ipG&FBaTIhBQ!8q)nQ7_yest$=b+P!=>$!%L0&0KyooBQQ1 zn)4ZZyKPI2YhG;`djePEZuNN{h_1>{y%mdov__pDKdSO}sJM|FOE9a{3w{NC znZ_`oV<{QNG4V6Es&K%1BNL5e4$m$Q#o-vk8a8zMvbRXQDnJB;zUPq_-SG~x!dNIA zI=fw7n_$65efy<8dO*m$V^X6`xswv;Zgw`I@S5c)PcdH%cA>DY-%kPopcVL6M~da( zJTRMXAe-tl6fZ0Ga74=7bgw||1{O0r%_Jd)bB^cA7F`%$x$gL z9+G~K;8?7~(^=Q32Y?>Y)nsJP9?0OgwADuRERhY8 z?VR#!El*i?Rho#emiWEwldBIs%SssuuJMP<-e^3Y&fuRl_W6=?vY0Id975m|__*VR z6O#IwL^5}D{^~_URqUB8A#!1*^n|ltWZ=IMK#T@fwYEWwbmc$FF=|w|roPN$)AUu7 zkdHKN)VmXoShYG~t&!#qyWh49U z7Y9%e)N4@A`4G2e6$Pd<|1!TGEC8VTC{WY?nztu}4Z(PG$2Qd?ih}KOrV3E`+bahh zY&f@U0|Hz*h=?5L(y0XhnT%~3P|Fc7k9z|loiqj`SQwhk*86zbXMtqaM($_gzJA{r z^RHD+B=_L%vQ2t)1{SmN{M^PPN=jZ07lP|Ww_`gz_M3eQ=I7btGcFww{$Kp_XD5fA z*;vXH4$^)Ls1(PmzvoHMIW-vfYwa-$$d!2VE3yQh@S?Dgtb@DCKF0KinIvS~zzHM;cr@-1RhG-Dz`rE&>Rg;G)n;uS z0dfMBZLV5!uTp4w1rS0WIG=9Es5bNZY}#BUojhaV%lvpSQf<-ry52+EX+Bu_X8w%$ zif~jy#zF6ZcrE_miO*}WT5JxbY)EB@!8ckEy5E1>U|_3sD_56YtG)MFSGaYmoIxp# z3tIX6$E~!*LQ3$FQsZ#y&IDJu>^0Rrtcoxu9>5Z)U^UzqK zZpv^W9kW(Hw%rlnB^t$IMT=kLx5!Z0A7)&wt3I&-V>6<37AUnv z+z+CJ2nWxUXLMV$x-E?J<|8;43VVG*6^)52C3R{;v*6=&gKJ^9Pu9?64`60LXZqYF=21q^nsHwG24dnkVZ^sk$q?P zM3dI)-NI)AP*y}e2-~7;>$YlbYcfKe0B@GX3GxxykjNaJdypHJ2Kxeb?(RvsBazZo z$mZ(NtfL1P9U`y1x%=Y>Hj!v^DipLI=5BfN_}n3lY7vQH_J8h${q4GzMVZ>(%-zuY|^JW810yZmZE;L`tD4Q8(aa;f($6FO{v8V%D5y z$g`F#`6m4d<3D#iJiES|@8Rbno{KW6^Mw7j&TUKJscu~x{!Gv?z#>W@$Yc4?gcmI? z|9-a^_RUj00F{2LzKZ9C0WD;f%fWa^q(BQfGZiy$-_ZoQvsf5J(X$$kP-niKO+V?@N-w#tQk5x#vSSGP__kP0a-+8k&EL)i^dZ4ywjl)0Y;CyV+Vn@u` z!#@1@W0yDRalcWyyS$kOLi2~pfZ@=NLfF3b{(8Zdd4;gE(VNa!u0qCS!#GD(CHVH& zCr+D}#$q^kmf!Uj^uy2o@vAUjp*7rBS}~^SdhR;D2%)c9s>`ZAat~{^I;?vBKGFDJ8(erGFNsTS|Y_+J+0YGl-F)U`z3 z-WzE+vxH@T1Vub)v-4VGmqV#+61*P>{lvb}aHJ`6uxnfgbC6S(R-lh|TmSM}wQU#R z7OIc8Pn)QB_}EriN$n{Q&+k9>tYqYtG|!rYnaaD0YqD~1x^GJ_e)YJVPV zJQQ_jzWQ~FyN_esvnFFM%MLKk(tC31LSxL`M(a;ZNRM?V!g^OVr_aX-Im9C@;+fSa zOrJQ53hO?Vq473(=pFWemtoi*G^V@NWTv6?0`~)F*1Cnb#{L9GOSwl?orvYEJCbur zn?WynJz(QO{&b>8j+2WaZEm~8#Ja##lje;+t$`^AdFFtu-FxkcCqp5AMjgPu>9yu^ zrWD+AP1r-n2rD~8&JM}Y{JHCniJ*+<(E2m-ShbM#0K|hq4T~YEvLQ0YaX4$Ocb2S3 zVFm(4e&o!}++nM8SQx3A@A%NE?rfvVz?FLOixVeb@o1dWwzEHQrG2hLE~eEJ*!2__ z`4Fc4be;SsCF>O7m`9j(rw#}s1gD*`sCDr(FpQ(D-|uC`rhDwH!*-i#6V1D_iRQ#k zYq}F`8g`34`{>D3^RTVxuAOY@kVKl?h%Lxa2?!!Jug1`K7~hyNp8c}f&?RF&+i~^# zeP}nfy+sOw=>UdToqJ>+;o3&+HoMB8*U=&wn(Pd{t>UKJ+Gfsj!rm!CzKP~?F)z2g zbUr8bo4aJp!Tn-2-ldAt3oeiA#tY{U!XvF)Ty%~0 z=A>!5w4`1Xc8mS&xhcetzWgk(w(_o$&J+@>x*AV;QeS)-w~0Yg#B&12TMh;+f5In8 zZOXJm+>W|)Gu#cN&6$W8^BrFXmf;jl#PMBa>*iji8%y3@TZ4fX$_S16)FSN1X`Sa`8re#jk_zG1N?%_ zm6Pp*`;O?d^0qW*``<<+)$Z=%i4D5v%|`HU+jZgS9AAlxEwqP)VHBQjJeyC#|MKJ@ zO=_H;oyDS!h9^aOr>WE!IoS+q=(L`dz@c9;-;ixYJpz7P_r3=|%xYm)OBfv=*hPYP zNcD+K>fyT+h==Y-k`<`E-H|i?;Kf%(fyci`?d_6b`(^`>ZMsRFh32S{2&FF-8XMI3 zW(a&j`pV|y8i0ACqT0Q#J3L!D(fYLcRP7F+BPAVxRjq(BE{D!N+J>2w@Qqr$g8od% zrXS(=f#CyBu;pq^+f7U9R2)Nx-8w}mC0qD|E3BbD2`;r)|2iNja~dSt97O}Vyz(hDRgg|ST?PQSQm4J z5nT(yYCJlxv=?-yT9Q+(mr);w0~)W33q)=nR$L}h(MlWa5^Ke966q08w{ z1g8%@cfg`~k4v_JYU6(b#guRHc)xJV78Xj({06*0G^>@dKPwG~P~vwk+i)6tMYdMt z*#o8-skQ%Sigd)@ZCG;&2iVauWtda{l;_EcV|;LN`O}zRt9kBtd{|}*mbQ7i*;rh` zkgQzYbLgkiGw(NnJeu_su!TIg{W#P-tn;C)q?7KsA{4UT_}gD3c4D$bm~fXuX=$?n zU6`ld7I$-U^o)-)mRiliG|ra2NX*(Z9{xXr*=)jIc^*1JTTPKCG^+ImhpepJX?Cvv z{C>tWqF27X8+ubB?24gkVV)Fl*{ot91}2v)$4!BEvW5RBW6bxat;ikAU}@0;e7SW_ zEWU)S(vFqg+uF@g6f||QoOJ5V0R^qb^T1mOsw~E6<*4<=;g=;RtXkr2Bysq$LUbcP zXY2Od1s)Jk$9X3_mS@10R~vzZ%7^O}?}MytAvmuysZ~RPdZC$~5OMVB*`JT6LKh~u} zWHsi}p`A{IAzonMNOD56_#OK_f*2L2&Vh5|z%g%gB@bM^27F`MLkwccrq1x9_)%j} z{sh*znlVjdY7f%5JoM*XV{%)2o7!maNkjxqL5c}ONhCua@)&4{bh4P)pj~6}J#&=` z7GSDdbeMie>wRS}z}X(b`+C%ppa}bHs#m0L+>YLds|9*VJb0~Iv(Jmhu&YI~BAZRO zjVl+AlgmrWqxv$Q@wrQ%mn^!skl!~4ZV1ZIP?v+A{e*_AA($ZJOmtqIaZ_7cVrRu& zf5}OKH6sFADHPhY`W7c(3=>{ntE$OY$@0JbzJpkNxmk3}*D$%k2VvhumX(MF5Qa-g z?;X7kkU9Fbj?H-NJw1|kqMKcVH+>i!)^+qY8)%`nVAwiYIs*Ym?+Ut*Ra?%7t>+r? zFr`y@n1r*!aKve7UazgB*zu9fHVw2`2w0`Z70wZ42^-xJGY+OSin^S-qUML*b{^I6-CjgeXLo;JvUWeCb>U@1Xu(zo`o*ToM%J$v=|55 zx-4lUlK13YTGze#qy{t~;&xE%oplH97iZ#POSDS}Wc=g01{>1Y2ab;%qm{(N8`qLg za)slAP~3Y>r#B-y!zv(DYZ)-4hreOnLq0pb35Wh?ZtS_zg!Gt52WV9%!|9n zP9>@=vhpa0Q9F}MywANAitWi_w<*N~b@g)n7 z$D2VgYi+bCtpb?{Q@7k3443|VXfegc%$sPC^gc-3YReImbbIMd zLer%v`PT{2;5|2kA)=rBMFhS%WYb_$>``~{+V@s3(f|3zd~YM!jvq9Uw6lXk`~>5o4?ZERb8!BqPJuFquLd3fF@yc0yB>f@)5)0aLyz?s2$!z9rM z+}>E>=r9`(?FO?zhS4b?A6Fi-A^~vC5M%z)^^?wAaI+dUZmvcVs9IdP1wbRFTCg!W zsyg{5Z+S}4(dHFwsBV0jgq4>eEBN17lDyNWt~c(YZRtNqJFM<~{i-Ji5s&kRQ}2mq zuL3jk{ZopRO3rqD7lIlWIJig~H1KmN@YzwO5yE)p4Fg%R?xpO3cS+f~3Hkw>6h?!7 zQw<+@$NilC z!O*rxde!Y(J^WNRZ1@u=y*k^-$$Z-8*q3llXt&fpJZ``?;5(wNC5Ft|qQ@Of6;F>c zu^3=`Z^jP-L^pzm1!>PmqVK&)xpmcoA%WCDsa#%@rL4^s%l@(MK+KH;g2=0*bK77Fql4ChE5r+= ztB|t{hFm#QN`{2+y6-a!#UnL%enbH7`3erXM-n!Zc75P_`6h_t0h|%v{gS(1T_;kJ z$nm>lyXr`l=vz`Sj|VrSP0(-KTB8@|UYr7+ZHtqdv>J{^O25C2CD*mya=Wbj_@HgA z;k1*a6*x?X*FF4jqXBBA0p3>q85uOu9z>ukBDF6&xRmEh!H2oreH!|*1o-#e54W1X^zO{H-eWQHXHSn4Zcj8~cb@&r=OLG<4Rt75BpHR= zNyIdFbDN8$wA&li%7=|9_wnWt)34#riQ|Y2NqEPCCmvdL$!d?uVj` zIu#v=KOXn)2Tx6V%#UAf>chFL5ASbSpwWpYSzPNmPG5y)V^hi&CRO8T)pxN-FqD6F z;+)!2rCc(O%QO+)4^@xP8GHREytmr<%w}C3pTcy44WXrm@=~`oS?T@jYegTo_rsb$NS$({Z;q z2QtyEtzxDdWO4s-AjMvMeqc3okZy`fr7)I3X}Qk+{Xd?-##Ebgf7I{TSdym+V~uNj zCxlY=rw=SZxaZMdeXMkhNNnBcsiZP-?KpOtZgqJ#wZ{p>-j%Kn$c#>uMX2C6M_fBN z$B1#PmRY^)2nj5i5^oRplh)l6)gfkc)HrG#=joX=P=NE$#7`xKc6E)wz#Ta`@yxd2 zY}&kxh@22Vkkkw^!W-u!o#mAuz-|!7H_YxYaCpnrBL9 z<%^Nm1|v+OIItx1dkgmyC)2)F)x5+7m{U*rcJ4{2Oan(5`?`B|zdQTQ zTi?$joX}}*Nl5w5&YXyLaVWTFx7QQvW=^W7RldB{ z)5%2zhuKII2qF+2A*`}`x}TcndeiUC@~5T63r@CR-1klUqg&7#kCQ;ysw(>e3ZGH+ zu4gB`wgZ^ZY7Td)vy{fNSd`2mp?5%8D-{oTlE+!=Hkie59bg_oxjajw)7+>B^wElx z5LpIY0kbz*xU-?*Q)jxd+6bW6N3FhGj7@6@mUSWEYX9xW2?u%>$Zb{h@24!VU@6G{ zZS#3;nuYY8KbLlBn?1jdkWqhHA`rsuq7J)5ZO;=-5sL>5rEXf`cW+~M-bQ3@Dg+Jl z@qJ%$QAIxe`b@xCpUUS$)29xoIEyoV;2A0!3|rE8Be(>-9)R>H>wwXk^_JP|@`zjB z!Pp$)soDtIWHP79*yaCr>AaO9;))DdfM2Vys+E_Kpk+2#XI~|?_TBx2@zrhzG_&3u zZNg+G2P$(`cOcF>{;BTFWg{}wYTwqK1SV^iLsDpOCsov`epZHyUdfvtnTCR!$ynkDKn7;{Bm6pW`2H>zN+qx5mBQXlRUhf#Ak>ZBya z(cDt2?DNIA1WD__D~96ac^JFqxO7;MQu&mvugFnaNe*E@x1gnyFY%K3s#P3JX@uplewnZ-EhSNQx+7Q^R`=OGUr*DS_K9yYNh2l zPUzj01e|icu7kv)w~^h;TcW!%@7BO#%WcQAi-BtHagJ7zT1b~gB zbco)Wx%Ccca6BaMIVij0GA}w)|M{`?_PbjS3YuZrjO$PJ(KbR)e*iX($PpM9v0Ywe z50frq8$By}8}{4D;_e_LF&kDaiG*IM4S^!sCbu8zx42_85r@1Z;p7n08B=M*Z3(#xasp~IJsTWI z9h>>M-QDM6gYTM=llXegiiD;l#Wl7{o5~YqGpp~#TmN9N;{y(15xiGCL0&^A25R{+ zDHL8xnjRh-6Qz<RttWvD+I4T8t<&NOz1B#q$vQq74k zkzQpQPKd0z7Ve`{`_(s3TkDFs&1PJCcphw`#gN(^1BZ}3q_8cuM=h0$5GNx2kRZY( zv0jP+5#tYx_L|bB5EOagBsi#a+8q>2fuyza*q!qvpWXiOH0n*gdHa4BE=-XQctUC-VeCKM9HAV9m;(B+)Cn|Yh-?>LmO6Y*^+)RtVIdPeQX~|1fp4UUaKkAya zpsYgl;cjykUR_IT%<6GYGN9jQSSmpK~AKv`@$B$q)e)8 zEZbtPSwpnShoda?g(aR5aj7zmbb4cK^aDXz zZQ^$kcfMC&M5i;5kf>$S{!y7wj%~AEY(i=G97&SU|MXysNg8fkrPXsD9_$EmgOFqn zMw!nYPceDbiP*AyTXPbcE__D?J7P27<$%*NRAQbh>}worpta$X{N#uC9AV}F@7MjO z$JW@06vAqEy2Hfiji=**O`|OxWxR+K6%ZNcZA5N*YK%?q`%^2QvH5v}yzJRxiAQI!OY zdYUzo0j2UoWuH9B+mVB9m#x~$=bRJOHD4wNOJ6=^q1tZ-?7T24*bewNsFb4eZlC<@ zrE3bh(==yf+5Au)+dy+ngh9%(dnTtG$ELXO8i>mv3JRw@?g=UtYK|1%Rdn9h9Yz=GzVzfw*g{Z(*{ch@>Rmp>f3@`nivj zXkGnSo=vq-!8=B7ZTx?1z1NbZ*Ojfez4zYc&fMv3SrlBukN^l;bw}tGjv}P16LD_z zAkqWK^r3Bff`qFAP$=)x`|V9`@4eTzimlL%G6Dd}AhI&o{{OYsoO6tC;61bU&yL9C z!9?GzjV&T!8LJuZf}x*`4=}7yqm9I5wOp^11W4qnGLW>%iqMiP*`{5aFG@qpCZsDG zEmE z@=;=l>Ymv^GZ{g_sGXZrl&eE1+a@={C7ZEdl`bw@gp@pVYsZGhi1ur6X({AGYB{XU zJZ`OsH6vBAfl{uTN18LI%4J09Dajq3VF|O&+uC5r#)P7p+h^xJb$8TXOK+G$`ybE0;tV;OQYyPh0vYG^-ODyYB<0q$A_NAf(yVK26}AR7iXI?8xntR9hoSH?o(}7+5)QRXOFnrb zr?Aj@>kZTn*CauIRSxrB(ycW0A>ZiY<%Mi{%LBliKu_JYknZc%lpDQv#}GE2KfXdr zJRA?&#S~8SnZauxAxg#I2)}f}kI%ZHB-mX|9lLUC)gT2p7x4NQ7U$=JbLL)6=5c7L ztE3}xIEXbUXVU|>e@3hqPMlthXRbZ41oYJs#goVd?4KXkh(~G@VacVWTJE#v@WG9e z>}gS{WDF^s;K*5#GIq)BOXb_MQTiY$)AX34)k|fmFQmZ_tC*_Y3qg-vEK$hRD9YSc z*2ubb(~a)lfLJ{^Z>^pcFfgekokFJPUQk!+Af2xcqA=~~=9DrO;#wNHT9>>Ux&tt% zwJs+d<|)!GNRfSo$FCpcPflvK?dz_7Pofq8$aH$77N}_wy|5}*%QQF|z`;?F3soeN z1~pl@0#^m`fqd;rWir3w5i!T!P59Q%ISd=HO;%SHLXJ^&?DknSGO1+PtYJ9Bm6d2% zgX*G?gH1>2{S&qewa3^fU~7j+Z)lwyRx!HMbFEEL%jVI{j|B08;3RHRL%@Ko5-54nw;J^)&KV>El21h^&CBy8x@Vdm(9 zX^Y;gt*~+(LbZ*(+o7bg{E z@Ybe6*xgMo=KyO0oJXJq71Vre>)Z1X@$^xAjK9xXd0&vYDv#Uc_^2fSGGK)BwGo`om*M;58_#kk37{$y9mYIJ8a5y znttpc4>^QkW})W@Tl;mv#8(Kbl~Teyi1{*X9vvDK+uA&U>*L%+w{T;DDdY?dfvtIZ z(FR8{>0o9k)v+(2l!tGCb&GFU%Eqg+kBX>_5EaPg6)RVi+ZE|pS)}J>Pm%)~$vB*A9Ye@P zgoci>2444o+diw*$wm}>RO{-BMQw9h7|qQsF1tI?g3$*-1ln3zIp?2s$WEJNk5W31 zq6N?%!Jm61U{VsL#pAXh{WN(Og40-JY)9|jZ)4>s+MP*c zl3o#BbQKp`A)85Kqz1Z$I5ZWjSoplktubDGRM?(bu4q^%&ckkyPF;82Tedg|<74IC zxV7f@IVEl7!lK83#tFkXHE5%Rjb_E(gaOf&$iYDJaVY)HPM$tkf2=UgBF_>d*kV=C z`lRf#a(wZ8j}osgXp)mJ42(9F%_O)Hs;#3~ywX8$z46!E`) zuOgp8Hq09~8>;7)ZBpJ=EweCQgjTd{bQ&;n_sSJb$TR9F^cq<`nvl9N^EVq)&!QcL z3qnqJafZTLLiwCbWXi2} zyMKz{~Uma9+5yQo4#-mpU|LdHTovElGfpr zm=i+3MlsA#^f1`~bLo7gn2IObW-)B*lU{NJNP!n_$E- zu+65M_9c6lmvyMrw&Ix)&Vj(PvD3w2C^vX5wMdEVi@|8D4uJh@iE2)ZH@g=Ho2-*y z8L1aY2`VS!nTgBQOCjRz(FK~uUZrNTL8B#e`(&k#3LvP)#Nbz2j5p1|_WPZBrKt<~ zXDuXTXp`(ND`^N7tzJsGg2<2vW=3X&rS!9rs!rMFgKc%?K+!7A2~u(hX(qsQzNO$O znv^@p0aIE-KE!Kwjkr|+kFszQ&&2Fnst%l``E6WV}Nh6S3oEml$cq=18>Ug9CsB1#&F`MptmrX4M z$`h@o9?wt5&53{AHRw#03Z=>K>c#UiR24IsbvZQ2_q7IEA||V$8Owl@7fOgal^W^r z@pRwelVpoR@1+ATeo*Ftsg2x==5l^)FzL7@xGaNIVnE8`gt82~19K`Yt?U~LBZg>D?4bWRy2=b>QAXpchV{6zqhCd~TL1#4>)TFwrY#QLv%>PF2 zAbk;Rj>c3JRry6c&8SXK)0dymSL23M~0|@e;Q{+x1N=_9Nu|azbiPEX9 zM9Y&2jP@36znXQ4?Hn~`|8g&{(IH4_t6cg{UyhbZ36kDBik$ZmJF8WacB$jqoRbjP zr&4IGfpCz#vq8G3B?F${xXDO?d0a7<8~ImE4J@%zlc5pIO=g{0U?(s}WK5|UoKsvS zhcIK68qY>}Ru~%XR_xH$aVjelRRE#%HC(j)N;;LT8|NS))Y>DZt{=ak2j8vFLL0^s z`C~>HE+~=CE+ycD6w+ZWC;SWAU{ET|syM;0Qz~;OdA&`=4IN05%&P7ZDgGsFzHLH?^9rtKLgc&;Kps>oj zm8HDY?LxCd9IU9|kk}T6-c?JhPIS6iU5fIKbw{48TAEjW)=uau(}h0s%u7Keb7S#=X#)5YE#64#>QE3Ik6Cv zEgb@VEO$@`IQ`06YoZ`#jjR?;=?tnkL6Y3`%VWEHR_Rh!sbu9Cu4*C%1S)dm_RDD` zPT3i0mTPr3rzCmawwR={z=pkEk&M&Fd8vMG!`H)Wq|i;%7gdS47}T)d_x*DKvq#fm z?&xx;7KfM2W*yZ~)?;nqZFLSK7b8q^N+~*(S#Ucr8>vVpgcm&TL;R_4z8iNck)vULKVB)P>a^B zb~RNG+BU2c{c<{ySIztAP1D5D43n2l_)0@^!%1?T$aOTAq}f;pEfpcrlWHp4fQeL- zdi>IAPo|*vjQ>zwi51lbBz{P1i(@LQ=0r&@ySdq5K0W{iubH-Y-ZR8cx&EHrY=106F7+qC`XxB|}8sDHMA~Tb$D4_sLgn`p#zXT zpDIXH(L(4iNlR+!e&6%mz$=2#r`#g+*!t55kwii8Z=(YCMHIk89 zS4<_yvmQHxYD76IN&e`9{5;B^LMqYot&$Pa;XNxP>S|fL(VxieUVRT&pq559nF`~` z#WXZ$V+Ky^OuxMCoeV*$fR_y66f#Y#O|6s3+>l#I2N8X@R>)81R!ki(C34&hsh)Dw zY+JV06}18CBnBI#iWW5>Hgrq3<~!LwTn=14vvhR4xT4M%C*x+ZI+P6Zm!}om65biC zr-Q#C?*^bLu+#$90b+UiF!xk-j@4vV`o=pDo3MlFb45erm54sLPb)^)s@?bvQy z=`@V06M$nKnMU2cc$HD828_+qkZHmz3Y=)Iey@}p;Kinst0R>ab1TwWGxtfCByllZ zSaQhdIuAys%^S}CkX%fg3%>@mTfpta~(he2+VLI8DBbm^w zZ0IU&<{}0g=XN3~;qs&oY=?*GmKK14)g~*Y15jS7Olas)_tb~-hez}*C zE8106z}C#Qi1bUOi5^-x!pmiDt7t;wOeMH%bq`EhFc6K z!*^{>&GpJc=)>H+&uVcQhtkbY!ujK>$*jO_s$k6DbYI5{i(FV`6TE*eTlJwAX*&tL zAc&nlL*WqbgIev|2n~`|CA3wL-CRUxrQFy^bU5!l(4sru|3^6Di?v^!*&dlSV z<|;#ll9)op)mcTOSJ3CHwsfJOvX|XEXuvuUdCHa7{6n)l;2K1tQ_6oK;cePC=4PDz z6S)aQp(ah12bV{BHrRxWLxM7BOf!FUiB6+ZCt?t-!;9T3smKZ`wY1h*Z77$vj~^WN zWIc}6$mLmF;uniO|?B?yKG1|TxM9@_Kru4 zyUD{OdIPn&#kO$W*NB!)E(2yQuEK?;^V;&P!th>cmgggN*^~@(+nT?9)uO*oE}L_3 z%7>{{+E$n3>B7v6NeM}hwwuY#3`zuafGS8&&!q+~ANKWe^WHEn)s( zu?;WJ2LRupxnrGQS~CvHCwm2@TItzYBOrq4POHoBck;H3y)IC!tzyHv5thOYW6Fm5 z-;{lNCb4K{Ks9OvCQf)6gcqG70^$Ybg1}Yl8>Cj#76EJ2)*1V#WF(c{`)9*hyF>=> z#c5>Zbu^PWwOb0q;PNm>hkfeC@0YGgaJcbOlBC$n)$NOJ)tJt$TxMQfH;1aaGSxQkXyy;i+;UiG?6M~a?j?XW}>l)NNgw}#QEV~8-=XzXPQGCtceSK(oCsG}hdVa7uTM8VzKxv`=wbu|RP z@cJtYaMQKKL=QV9EHG`Ta%zvhSxr8B2=TS6$K8t@noc#Hn!)8!RZpHFGyhaty}hID zw353Q6NBErwF0Gt8H&j4H22~Osox>z(64o!TcI(kUrtbqH=s{HJ(*f{l76d{s^|j- zHt=zm?c2(r4*(thXA&%x1(-Rnb71p*qoLnGVNl$A9Gl4q8i~i^(MZ$o9jDS5$JFZj zMWjUR#CRka`%YY@QB@>du<&Lc#`&ediyE!9>l<^0RgCS|C(B*_1 znXRPPGb}GETSHhrTB`&esFquoWn)!Q>ZmCVO(fUJ)6oIaezj*A^5PVqAle^A;i9Ba zV-D`MMTAGIeie_VdS=P-*ZaiGIb9QAf*nNUTh2d873 zEdT<%i80q|cX>Qkgk?&DAOr`V7KPAfgB= zr2yNPTexmwiF~6~jGd>YPJN@)8Plb}hiYaEf^Oi1sw2hR)^gt5#L!$gO(|@a;P$#f zylqzItv(kiuQR_(MRn7d`c}-XI(h;jAhc*JuU^&+nj!7gbR@>oNsHVs8Y4XRst(bp z*x|KGg`{${UsjW3fuZxFYz-Shk3RqAbfTOz(r0Ipc&g_L$TP_@JIFYnZp*P1uD-oY z%Ry}h(7Y64CfKPd7T1DSEhm6N-w!qOqPJI@46nWf}Lh zFpng15JR#}tz`@zS1|5z>!H{%HWEl7bVN~0yM?Ol=8k?mtDZ;4W`k>e+d1kHgbV-I zXYWRma<2_t3F1fFlA~LP+R6mO<}|jCUtVDrr8l3A!G(l4B_JrQoJ~Kf*ZVR9IW}r# zH?p5q5G~y(rOvbZWp}HX+r76tfaQO6esUR4wQO^`LOkD7Xr<*84wddC_|~?f(jq{~ z8)oI~`ayLZWaTi| z#Uy)Clj3%)4ecRgh0_&ikkPJ6?WR?v``Q-$qikKybxtRP(KXYcMH2#uTm>0=Nwi&S z%`vg_)f%cmLAbYQ#YN5B$n>o=40YNWs#D+XHPvKRzDNSpv@BmEA_6&=o!P&sex4#9 z1UYxT$h5}Xu46027;U#{r}+a&t#WA7`E;V7nxokdylAX6Saf5g>hRTdDy4FqK8%eu z^vO#JL=j0fpRpXzi+Gl1GB=ZTd(Jm*8i1}6tF*8ba-%wc z+P)HY=v9nq@|>=c8G}aEqHk&z8}{p)`pGbNmYmR@4DHO1i%qFG`<IQG-?CMB|4K*}3tk_=J0rt5bcwaQ2X4<}4*>ab4gv$-XY zQ3XI-u332NntrIX`TYI>lnyrh@ZwTPewC3TCRRzvMX!{l;Vo;ej9zY56I7=jQH>qk zL@jzUw608UZFWB`)5z2$m)A3Gl~HdnOS9)C5x6QJR7muu1t~6^iL07sAvmJ-Ho%=*@SIg8Q)<)- z`_3)rNM;pwPxcZ*mITxiJiG$`0lOr4|1wkp8-jQW>{c?-r7cq~H?@9eA2=G_SH0U( zIf_d(679-OcQs3xtkG!GS9xfNP^X)p1J*u9vs_>l(J-(0I$5!Ds70CVk?-vZ8LH{7 z8pEOi(+L~@88%3YRlvbT(X;qug;eW#IqR1VkRXB@=^?bHr3VVhWBz>+N4u?)M z$ekvnW(6=qBqq|ic{wVFF5?cX2?EnlW##?R=%%ToEOcm|*;Ec#7iz83Zyr~aiq|U_ ziKkm;pE4^zgcAKU8*4F^0?V|}&UNvk2ZBDQ6|u0s4FJatk`A1N+;?q7BQb&krLie^ zpa9C5n!lB9 zaDycYK~+32>RlEou92D;yp!^lif8(+6-VQ$4uV@_bvnSmVx5ORl4uY=t3rxT%5KBD zzN{Yf;!h8UfFsb=7qcK_Ty(^X$0?P~Kztjxj!AIc(gIvHmZ2|ns3zq|eCj|5iY2o+ zn_D$^h*01*;&hp2oq^JdJ6ouV56W6rj>o@kpoo%mkMBNfsN}t3{EW9X(Xk`zO;hm9 zOtQ>LeoR8SncG*kG%?sg9NDadEt@(Ob;!jhuGT4}t_={J2**vLAz+7>7YGFHw2pKl zue(FdS(=5GRn_JIMd29x01n1_r7H8dN4YvKu9@29XdXw#SR2jDOR8L9YNUuo45HVW z^gSpalm=L{`t;>VIG=q1&NA07qtkc5z8N(Gq`Upt)d2hehz7n?gj&C>!??>?!#? z2>dQwWoo@xb|gkcl`#o;`RZ6Uov0k%$pv0%IXOH!7l>l1jvZ8O1iz!}W=blPl7-?b z0Whs@SX)&VD+9S&W3VvTBiq>KVKyD_F#J+5nBeSbb`^m|Q~BV@*+4m(dN=H4v2qjv z+8TEWH?@zrBTj-_?h#fRAzYaAn$?|TTIRE1fh!NRIBuny{{B}ld+J#u8$CTaJ-cjJT%%axs!$&29m>R$qpoB;)P&|FsjHEZ zU`HX0w3ah|z$O7Ebsf@i#x+cl2Q~9i% zEYEPf)oTNb50lprUZ~POXD=Vb2y&zsZglB-LlqGdYB5_d4p8q}NMhz*hmks8Gp#Sn z$_?OJxXm3_P6eaQ>y~6weS>%yMq=gatqH>B#?hnwHY!xhny;Cz)2`5KWn_*cASTR* zKVC7vX2zz%tlaDix&WSL6C;m9Jw=<*82h$JGRB{V1;g*=nNylEqS_4gqm!;iHX8Km zU_(b-Mu*2=J!z^X%}nI<^aR(q!`X=>^Mz7R=d`qTAE)8fPKkgRDVna|Tw zMP=7gM2NUdBmVmd!{cn#`|gT{*@u;DoxYw%;tatDqovY2N01xfTb-&Jr=}8~*D>5V zw7p7nNUrdLDtTPefbf`^$+JUaq@cnx6jeP&DC#cAlP=F(S2?b+ za>vKVkr7ATWg0qvUu!pa521b>08sMXS+k$H{LUKD%xtCUKrIR!? z3&xKVkPCb8W}6qelz@U>*a|CGy~PW-o93)mYE1o55$Z zkZS-sR`s$kpQfd#Wd~Zj0%HNu3$sZzc{LNxCnSOu`P`zuOI9WVbg$c_kgK{^1he9N z09rnAu6o5DNS*BzeWsZ>n$7xg^0chR)r9OoZdA44&y3H$ec97Wnv~SB$VF_3&?tFT zX4jPLtrnazb&Y$D~km=;(PBGJ? zsB9yRpGqe6d`(Ky02eQq_k~QuGLOQA#Mqeva)2NWs7zk>7-j@pBOb+mEqgWfhmE6N z>e=(6TrKU^*vs3M2_z`|b-7H>phnZ)tu?gsK{>2W`~yxQsZ$tc<&qq60FT6$o- zIq92dwTX)z864^cL}#J^*#?!s5?t0!vIMRehM1UoRQ2JYyg#iTzbY!Jlp4hPYT5$@ zjT;GEyoO$ndt%sase(auA74LjD+FDY%B*SRh9>Qd?#ZMh4~CIA0vpo9FlH(sv-+Gy zLPFwG9l%+J9q4iiNK$t8zq^=W(z=Sp;&Jx%khz?u-9JIP70P0%BSwN7T|GhOC1K7nKBI6Ih{wT71`- zhfPFQs-#LY=Xj=|G(xbR4gbeq{M${#|1OdI+kgFE|EK@<(f|Bk|J#50#sBhOel9uw z-y{;pzy0$s4Kfp)ApgH#lK<~Zw0TG|n~j)=VGJJW{9YAHqBAOM>6!x7Mz2rXUe+W! z85uBKVVkxVxl-9+Oc8uj$+xkVVJRu>@76SyIZ-6z{lsHLX$0s=yS8H}m4}4Zz|}SC zhpG6;4h05pCyj1fAdoZ$xI7wnrix2;%d@#p&1 z<|gHd%eVF6JATeUvv+xOYm-iR#}#y&J=bp9nmwJz-b>|W%3+&O?gdLZSwlL_IB0nO z@Qf72UL_qlKW+IIWrz_fU8#1+h_M4YjDxMKx6O(Tzy%8JAlnJ;ki~1(GZ}IaE@~Mq6s8SnJZ^$~y@4iH|)Ei9NiQ_V9A>7EjtubE0^jSqkZ+`;tiICv2d(kmN4JJ{+ zPg)2H!{_|Gwmq~UvxJN^=>bLVj$Jo)bhYLLXR_1FbVF|PTDtirhs#tZCl$OvYBX@x za!c=074xVEAx01`XE%VM1ltuX9X00bFInS23BDlN0= zrhP^Dng|Twc3)5AW;jHR5mqYb*3eX_v8J+GobZVJx z>$>UiPhS@Gn1bu6lS2xqC3iiNF3@5&S!A(?2SdEti0U%2WQ}C-iNgyD4#NP%UlLD) zKvk z(NA76a_S5b-0KEsk4`5hJqo)*DxNBLW^}cxg?=-3sk*U+@n4M=#_T3b#9``}dax8h zyGTa-zbw$hWu=pI_Obw3u)WDBvmce1%n2E zMH+{mDO3Es&COK6I|)bVI~VPZ*Nz4q$TZ|LI-A?$vLegTx#s*1O+A{Nh40*SDWo}4 zkD<{KH+Xz}NyLh?au&;@W0LEq=ifZ5Fw*JQQ>TY#xo)qVh;W^rz|$aYcwCony}!%? zTFjocH`e5}87}w5PyY1{<5=k?U3hUBkB@_>hj{}ECR`jE)wET>;@-nAk-E&voZ2cl zRLssJxf(KH<7~g6qc4J9H-Clxu1GQCTrrfMl!BAhjb zAjCOwNfp_iuJAaklo^(TUm#SSQ?5#Mm@nP#=ps=j}ijXa;4SgnlTWdNM6@j1qO^o z-hoaH_M?h9KyBBwny6id6FgJEYG@3?p9U{c%%Id0?9kp&V>ja0L#Hgd^v3?lvy4gr ztm)?`ajBOJ4Hd4cEQeO7g$@ZLaGIvFI}F2cBJSo~|1({k2sN02n=aJaiolY-PFsU( zJ2kyogTZQUj;4v(<|SUnf@;F<^zzD1;^!R$b-=id3M8uwJDaIYu1spLMl~tyJ&m^7 zwOS>6es~fm9wHq*Jv@p{1AbK1Elf9H(aH5gM839Ws+Z(0Z(!@g_eHpQaB*dGdp+cK zdKcC<*5~AHvrAG{Mm`3kaMTV7~ zxEF??D6f!Y(o=vH52ns!SC_@g%Y_n#;2>?gN;^!Zouzgo?H=|k0BezGy2K|Qt!hm@ z!5`{EkyWaCvD1peJiq3aP#qzV3oRLn$q8LagPsf+ezw8PyXoyUMhcp+ZjZyDetjl5 z%>=3um*UbhNw9mZ?POW09JKq0m#*TGtki9)r68}8TSg)&TWk98tlCHPp3Y=b@nkv` zC!s4A2eq!RB*wRV>eT3H~Va>aZmel<18D`l*qL-8`J+tG`bdGY$H ziBeG51~%p;)Gr*=cF`ei44BfZ7nl>Zq!wCScAFV~3gLZ%+~f9R=hs1H@LGH6ib9EV zqz9!d6)ULRnnDUBVU;#88pM7#dw5dmRx*i9J{LcaBomis=a>@oiNl+$JM1v?1 z-`nD{k4qi9-x7TD9j`=b19~5tUtA=+*7LecudFwexVR}8+F&=J^;fT|8kY*ZGLo|i znI^EvMEz%&T}6Ny3acUuhqF-G>`s7ENOioZTyKqLG_?*4xxBENX08J3jYA6VaV_Q><(rF4OH zN8?9M*UYf6q#;<%;~=^ZyCdy450_mhN1YeZHqvUpjCf~)d1&QTGwG4t+|H8-z`CKx z>Gy{9v*#DBE&{k{8@08o4v<;Fy~nLWr4zXR;X89i3z?(gtvffI6N!iW=$wZw6oiFFLo|p!yy|3+ zvl>V`y0G+-^R~x1io!Hpvx4{Mw7f8yTWBm-e4wrdVC&F@~OVsKRP|XI6c_g zKRP<&@g@GCP-WtzG3bRaD zU*Em|@cXYn`^#Vd_V>@fzW2pn{F%`W$JKEnnIM{pj^2Li+ zuUfq|f!W+CU) zP__K_h8|S6RfIFG&jU^KEv&AF!KZQU=Wz(*(ZriG$Gy$~0n+IvIz)<(My61WVozUY z1XlZW@9F(-zkl-V@wcDnE%^PRrSuJ%7_%JGV_4MlaqJ|wJ zo47dM-##tuFT8U?`&J(Fz zy_P(U*O3kO9IO6uW6B`U;hA4q4EhP{B0y_xc{%LT8@wSXJjl@CX7hv1CRo@x_qElQ z1nB~)Fm`5Lc72<;8LbSQQ(zu!9tr1cJIJ|+$ktIWT5(!wEw3B#qU>f<(rOg-%ac4Z zy&}8Z;r_uXNoBh)U+x|p?7oV0r-g&#M6Lihjbn8*5p@}UR%vi6Fl^yB^y0*uG-l9h z@gJLX;8dCN(zl@&x9;2tDz#kYyuqc7)p;L}-0Ir$!u-PWym6{reD8h8#-hGiS$p>=;jYbd~Cm zpObJ!qn4*iT1>TIqK~Eqzgyaxh^JA652149sdS0%7vLrqkQW!n6L(^SwzbG*rAyRA zHhOk^aCCOT-*lbE#1kdOPG z%kAc-!Y8RS%d`8J4PgxC$Ju|ux;=gJNG#mf_rAN&!hL;jztTy(IEbb|zQLS>e@mhl z?0R-_1gQQ%h^Ev0;>zmkDi54&pm6(1A;L2+$ZQZDt0h<{xuMP8=X_zHK+c+yKF%O_ zHGpzCgC!+xiCzNly%P=xNtbqHYQ!+{+X7IwGyb&NuwGcExkP=6h=YR_Ty!KBxja8T zJUBW&IgYhuoyb|bRAu5R0)=GSN$Mm|jXh9@H=NzB+To^6q2)g?Gof>d-E1h+=@gS8 zGTNze^}3&4Go`q9VPz@kw0lBJT(IT{qjN|`&O0AmcTtl89$?9=T+6Y3bGqP(($o%j zukZ<`VrNIY&!0Vi_T=$H7Vh5NZ@v>>-+uG$qmxSK>hL&rMbPhnTuZhb0(AM!MAL-I zVQG0~ePd&NV{2>CIF`BRLtdMnvz|uoSor2^l@fsf7LPp7%1tELHB{_cy?kk^n8;kq z0R;srWe@xk8^yL5`?*EJ3oGejp#Iuj#(lw{?q!oT5xQ5*r!J09E)Ywf9333&@1K+= zgCvDw3G3a6*&BSLN&2)P!*B>W#W(3N{^qwZ%nDHu_GelGY)7LBIRK#>YX|$h%Bxq!fs~jQ@;Q&NXIWv51v1H zA{Op@UeT|=zQ>}mg5P}iU^myT9PeIUmEoz$*zh4nAOk0?f^K~{%wtWRy1KftwQ8GA zZ9%~M9NHsFg$eH|sDW~+Tx@7uL_dJvp>lvLtJHvj7&;f{ja&`%qD~BXt+2}YmLBRN zvRs)5QwhPN7jG8=C21{|X!fgF0?Oj&hX=5!0I@yKw=WaeWgwVrzg(XJb}7xnPVoSymBt&OnP6I$Ee zS`!!3tyPPD^^e}_2Ix4clWC=zxeX2 zufF>F?$=*_b?>_ePfkkp#QquENCkX~QchGCLqLYLysC>!i;Jt9TkLY1n?a4l6eK8) zYaB{_<_XLZu&=Xpn3nyjs|?}^?iO6jTG^U&b51Gf-{|NGap9iA%g?WfsKbG-)NX^i z&0fyaMyMJCs7~UtfbBb*Nkzr2_wZnUZ+G|A^JjeQ-R#MEoR&p%fHfRGy|$N#v=OII zmF}g0a;oQZ2)bYmahqm=>^k-cjoHF$0WMRaUwU)L3T)8jonPD83VSh5U)$bTU0GS# zxPJ56+R`$+H&^`S#W{~?m-yXy5CNhi!HDfeYc){%k&~AX??2!scVFB@{{F>RUw!fU z7hinwCA-~&qhc-k{4iN4R+>X{WaVl-S9{(m7B0+VO^ak}V`FnWY?Od5B=1hxFGn+G z1HHCbxN5bOEo*%GV!AdYTNis3(h|goqMT>fU~lH5B>R?q&Pg}XPKio>m8iT>USRcQ zHD_gRFpym^*6hf@8)fv63>DsxVW*k67+^vx3)LeSh%(A8`n4Z z$2vX7rRC*?fQ#Qa-~+>@5(_s-oMeTIJQ+XVd;0Jp_mS^;;y&jp_vPnbe94zMCcb|f ztyeFPq9kuo)rh;i&{uf`W?qEt7s$8uVw+!AxQB+Z4JJ`}#I0suBI|@_!*M|ZM51vR-IjHIVo>jV4=n9u7 z`@8$cT%MjieZta_UsbKe4o>4&MFNl^EevH^Z8s6?s#OXFzVvw)=2nk4=uy$H<_{1} zOSuSVu@I>xA$-Wb^Y*e%`1pJa>({oI0>MQt^jqs|t2}Zyw%69y*SXoTa!a&1#k1n( zD#yi2*X0#xR#>>$`Tn!VtlJ~;u5$VP@(T)xuXtte-GA`l;c=y%IXq7ntCX!mi=@Lf zfchTsXP6^(ef#<~R_@xmQ>hDvgAioNKw}`qX8rnn0BeyW0Z?s~0yzO04opv{;h2F? z`nnwD4Wj4!`VD2Xc^&_SxE>)L_Yy970NGTE=H+pY_D+T>hm|`y*?;l$@#81YU!9ej zncdyX6#5=mH#l|0BUiy^NWLtdOGm&CTG8qDdz1j&XkLpI19L(huaSWSRVY0-ZhK@} zx|!aEjqSCNe{N~x+O;hfZe{c4&227P8|y37m8;A1K9N?*nQuXJim5Liualm4l}TJ2 zaIC&~{*KSL*i&qzwR(Ah@g`>s?M?e;cU~xf1XkB`*+$MZ3oz2+oHW7FXBTx2}n2Zu|OzLFrmV*zK?zMS>Ji z2!0pvY@83-Ou8&HOF$T>eay|)5uDTF-NUQ)R{?gMJzK5Cr6;9_J$MD=lQhLQtwEyD8?(T~x4<9`E?(SE2zxn!$ueg+a^X>hIj~_jJ zd|aC}E-&cMb*Gf;uXOP8B$h%_fH9j!;6!QAHly(l8yMpL5ia*d2by}faZsCh zf(`<0RHFHZu7`r1q*-m?#nm0@XBiYI#uRLG)7LL+tz0URk(SBe^)<<`Gh+Sd5x9w3 zo=7Au%Z5tZEc~8ymH0ciYYIy~8iC>B$zb8Mx^d<#-4;a4bo6-l)&9xp$-&DfkDorj zthbZ92bYP|l>i_$YRx|Bko{z&ig5<**09T@+5_Ipq3;S6pp~%(LqeGbw<7WZn6_%e z{Iyk+#?Jml`M9~hyt1)#jg{Np*|~A&&W)|D>$h%Q`^qdYuE0OL( zA}-`omxsH%`+F~*JbL)>d)jmNc;vpJdidtM?;k#V`0{Glj&Mikz9a?K3Fn!E)yE}x zex7!wI9b`{cGet9BaK!Tj$KalD!9vG!!H&EOfi#+WyeNEDO0Ac%%BL3%T&VUhGr#o zDKW`M%iYPL2;=Jbijn?pz%lUM7<1 ze7!>xq6T;z@@X{^?~5s_;2pFz3#)c!XR|V#B(GUysZBA}FmoG$aio;dFKmT0T0#|t zT47_2n+R{uHjT0yx9{A(w#kEc`#M)u4h)uV9uE##5u`7IeYhxt6M#z;IePVKZ|~*P z#}6NTcke$}j>`4kw+|jYetcN$md?%@^MFDTm{WQ|POhvX+MavP>gM+L7Ekw*MQIMr zaV4=bwINSR)DO(_#rXg{DjkbAb^3O?0OAI26mwRtb)xYr+T~mqSUGVmAvl--Sz719 zr%I5BSUHor5sf!{HQ-&D$kE>Z$=MnE+>>YGi90xnCX=aLjVQkg(j+o2^XJJfu?LXJ zK}fZR`nd&*1Z#FlPG?!Cgn&$?Pc+yV%Lno8{_9&dwGD9FBCVc{)wOGHym9mTwHvo? z-+AZVJJ+t=x^w4^o7ZU9uC37RhS|?$6AQk}+=*P&hP9`x8ai#_gy0jPP!N+XZo#5_aJf8zxe(c3=ppIbvftrlw3w$? zu?qDn$%rY+u9Nc%3jLSQo}E>@xufG~65C*{gF*E5%E>C1v6k2>sF>?bg+FAHDJP|L zTSv`B1`A_E8HX+li2ed)ntyv)udw>(=H}*?H#XKbu5nA)x_0yS?K^MYxw*4*`>i)` zT@%loxYdP2L|?IsqivjKBIOabGG12k$nC#;`tZT`oDW<{KL7mgH#FwNE%?!St(7=D zPtj@RPZb+1_z4g9A3vg;rKJ^$uFcKu4X;|`TL7#q@GU}K1SXt2aG5U_E|-a2W+gTi zZ>#7TC^SeM(1jw56m1atHe%&`URsO6xuBQ26&Wt4m_eN4*mR>=R72#g5gtTq>HO@J zmd;7BMd@%U^2r55uEM!L9#^8#Y9Dk~7rF#a{SHO6ZPv*rN;0m68azE6PA4)8nT?>j zl+U!wch*e?H_e`<^_}b2uHC$K>*lRHZ@>HQJMX;n_MJO#z5DLlZ``2MeQjrhoo-={ zx7AIhqpe0WIA*v9#~6dWdiDIt!~5TTcaMtoi@*Q<%e$iZ`kIYyFI!FSAICGKiH>C8 zxg@Nd=m)vIJahCD_&j*zR_sdH-y*uh0L7G;O}weE3s$ZqJaVbXMRH^_HjhB|k+8`hx&t01uQmZtG$&VYAHgvGNFW6)w5U44o3N@6uK&12!00%hZ9G(TIIg^ z?y}h)TIMo;`|Y>hxb?={@BGVq?|<;&2k*cC;fL@4%X{y=_wJjw__}#*W0|*g0pL8q z44W)}Nq@C+MFqY8oSxi+Z|{Ch&Gomx{`Jp){L^Ru_}icV^yk0*NX9Co&>}}rB2iMg^%dx+ zGn}g2N5$BjQi~GKi>i#7#X^`brX_5|0jj!CkVX@aBWBmD(o{qP)k>M@`DF~X>eXXes&fIonBA1Q`M% zBaw#&h8XA44Hh-T+S*3gtT4@mD7)Cyc@L#C+M0kGAX--0=r|(M$y8D1FtyMM5$df$ zx-TvsqJj$snU{p;=jO$tiNC`1NrNn1klY>-a|~D|U7e!9tXK1ii?fReD|dWeXy#8( z7__FZkmOa;hcC{{wNj3&GUMuWu0*|;W$cg87()l}Ft8)EWDzF9%M*2(DEy2%^V<7w z&pGGUsDJLf_u>2RzWe@%KltHCAAkDkCm;XlM?d7b`{57Xd+UuiZr{4TO$o-e#4lQi z=4m!kWEPT%Gemmw=+VRP?tb|X*6YuI`2BzU?)QKE(;t8TAAk7MU;qB?N$TRs^z?3C6Hg3g2!`*qo8 zUH#zwCD;7M_V)F+KK$sze|h)44?g_p(;t8OqmO?0@h2aB@WF>4zW2_Z+qZ7sxW2W@ zRXE52feOwzN}c7}gri&>?>>F-JtxB#;wk&fAAbAmU;XMg|MC0Z{pL5n`~9CjdlHR4 z`{wE4*+nc{63} z>Keau!o5a8Vx$<{2~ibKET1J6+y<4%&NjF8O)iK0l)Sfm#F(4i9(_CCl4Bdh3=F^^ z8jD_>Co0X{$>9Z$7bw}n)%lZ$XT?G~kz|f?a>~>{l}>WYyDDHuByyL)>l#Gb7Wxa& zeKaCiJ<+JqX)PNcz8iAPaj&}d?hiixfmpd8e)`j&{p9sC_c2f3M<2ZV)|+>D=&r5v z;qqaM>zuL@&kwp~(U;qM_W1Fm`}e;5$7i2?_SZlD{&&CSnd8a(k3anRuV3yaB2OQ@ zJUY8f;`v1gz&`Q%nPcUAzSk?a;*iQ*i*qj8X|L(qq}2@jy$(=2-W0;UE2SbE-BoVr z@T(eViV>;f=O%C46PRD(0b5*H;&Bs=DhkAPI(=(POr0p~LVnnmPM4vbtw>}8v2y4S zcv;U+j+2dgWcQe5Oy`TqSNC5eu2S(>l-HPbi?g699zYC2d`t%~M45l@i@$R={N<0o`^~R^{oCLD2anuufB&byJv_gB_RSM6 zpOG{|;x+<1%4Ar1h-82&^3bfVdX*Au7!*5?F+Fdzgb1y987-BBc zh=Nbl$~Ig0{U6`*&u!ee{q_eRfBK^j-~Yjne)6-Q|NN({+>d|u(@%c*!yo>Dm3#Bf z?VCFrJaP++LYd&8%Zrp5KEy7MUp;wnpU%=h{`QwY{qYa~@ta@$@|VB<&2N7Ft6%^2 z_kZ|eFS7Ubw=WJ)&SF`9f(DU22+%}ti2fY?!Z7S#*~}iEM|UB*w|tx`=|pVBT&hrh z^RKXUyskx3hXP?;yNkXR2c#Is`a-WS(R5!ouivYc*L-qCq1mB~h5-hXm*etvm*cJS)O?#boF@xj62@mVC1 zf|Hu!oh2(rT#h(ynTl}264#E{0?dlg01Hc+RZoLd7qX00Z-h|e({T6{QPG> z{qawK{_`Jy{PD*hv2<^XrQ2qZ%zchliCtbwl=>|;hsg2E#}7FlzW)5PzxRIzdv`roYF@}gxrbIc1u7Ty{LLMp=M z`kW*!aXi$-6GzFFqy59vizs%Hcq*IC zatRfWJx2tJJoFM1E~BD3L#(KntSx`=<2U9OcHVsF-48w%ujj{N#rXQ!Pk!>#AAc;~ zR`xkwR&kvRbCmgMSDU4U%S@}DLkqO`>;d!WdtZoC^$#2jzx?xwe`q#f^>HhKZ z?A2FypC25ZT&DQ!1b0ZZQH7|DGSkEBw&ak`Z1ZzoJ6%Yo_Zn*H*QbB?pHCdC$IB{S z*K$qfpBG6F45KNrf^LUQ%s>8=$eY1bTuz#PS#Pi+5qvNB4{exphv56#J8*xU666dzv2v%;Op&N=axek5F!y47!y||KBBYyW0_4L`=F^3w_e~`~VeP~b zL9DWPVY$@Rc=}or@1lJOqCk-57x+OIme;r0)wZ^_Z`^tF_RU+jxvSs4&H7R4a7kq4 z#Ep(CqSYj;T~!Gsz}u6GTxOfitJ5dBpb`{9pg= z-~I=7x*z|9xAl|PFROUwm`5y%>l5diSy73kTCE)SpTpWp#T-2dagK4b6I+R1F2vnV;|oYN#sa?bO9>i1xG@3ZeXb1ab#t9h)a z;CYEUKPcl!|)!H^N zvoPLK{^4CoQ!AW=@}m4Ng=Lj6no6WEf#B#UHZiPE_(1OlScAuk4xl@bRBQM*Li(ZL zqki=Ei^)2Z6%&=5mY$KFbL!-=L)phqojrTz)XAKqM2cgFkz59Dj)zK$jR@tu7VS_M zi=lSo!VHq*t>u5t&;R)9>Ej0v?jyNhe){RoFCw|SPx5QaUq8<)=Rw+g2aSMCC_She z_#D%pOk@X#``awr$O#YNTevOOT&|}+lU$CtigO{jA~a<%(=+SLqA>I<9pNCD|KSm_ zg2E?J+N7qXrS3@GxhqZT9}E^S8+ZZ$I|#`kJ9|nG^Ty%~mrbU+s;gSMdpg^i8>&l- zN-HYL%S(%kia)Fxa`T9`m%Oj0az#RuG+ivpM{+K1t;dPD_Tt+5x%+b=kZWCUXs z=SHcxbN3#yrnKF_6PPS%C(e&_C6X%=(n%Cx&7Riw;PeWYcV>sX>r2X-x;mh8&^5^~ zC@O?Cl$ZB~h6xy19=2PB{P^(L-Qw*AhsjLlNX%)S>xlj|D`mDJz~4Xd@X6h=$>|3& zG7q0TclOlDQ)kbe%gxO_cjnaTGp7j?$BrIC>3E^r5=ox00Y7iUeBZ#r$|SU}rmEtC z!s3DtFaLP-Kt6YG|L0FX|DufbS#fp#^VbDfKx4Z|j?p7tov`Ey5%Axj_PDJs*s~(g z8OkUiEUW9emb5^0;1aBqi)6xN@cf!YfNS329vrrE1-%T8h>i@8l+F*?$hI9jckkYr zn!0PZpcO!4WKV<*+9?7g!ZM*DLAM$**_w?T5p$TNTsC`ur2O8`UeIl9zL5Hzhw`l*6}mv&!0Vg_WZ?* zmo8nrkbCyr`SYh$kj>8Azb}3F&SZ#z(agE|xh}#)UYj0CN*eQZ_ui z_w!G;m7BZ!w5a;alc%3bE47!$beW(w%+rH)Fi_+KTh-TQj$1+uOat+*ab}3wdI<_R z4CMZe!5Wc>Ak@m^bPRbm|k`B0`s;NzVWKG36!U?- zrTnAPc(B5krGxm13H&&OUSOiq@a-Z`H@p9Bj!^Rk}*GuO)OcSwVz=nVFcKdo+2=u8f0+bIxA4aQ2j#D{{kb zPoFz?3P*PoW8KTcQMUqrktTVpv6+MG@bH)51>)ltV z+=Hii7;8f-E8BYD&asz+5FyKw>4!@D%V}xWhC3p3lR@^$(m7{@ek}w~Ob=JQ41cb+;QE`bRzDO!94Fqvd+U|WB`}geHnMR!m^q-iR0RJ=C-_MV1 z7~U(LSNebuRVF&>JBCLFI)&;-8d6qTlrPQAJd%0R(tP|}G0e%*avr;xe6P7piH_<^ z7EWTV(9Zw{B^!kpo_OSZc2d&r16g9N7tftKE#`XZ;`wuD&JZwisKS)w_Uzi82)6_f zF~FG~)C!xCnCPk&H&^iK4e1>5;laIMZ{L;x@$2J)n!-OGy(uWGs%viV>g?jx~Cy9L5S?3|vlgb0WCunPv7#+bGEehQ~_n zKxhSm-3{cwkHUISMrOvIw6xt4C#YZ%U2J4XAb1R5BE4~YTaqy(x3XYtsO?sMP8n-y zsnAP5;_1HdI}Im71V$tZSySKC+{~y+AHh}3l`F5}=(I#0YYhyJ&pLBBW$W(DL+IS4 zZ!VlYn|tA#Z^h5$o;#n5wJJY{v7&Sd5?n(A9j1-5mTR1lr$G%5r*u>29z1+_|JOUX zxnF+0|FNq4#e)}lCFp%idwV-I1j#toDn$hCV?DEXoPg(yw(#N^b7#8)OAqIzPl%2o zH2m8TTtFsGB}B+jrd?pL1J_c}xzOl@L?KnAAh|Row|Ac=xpWcSZju0co+3H0M8I~? zM7ra4HrBcoQ{Uh?GqOEhZ4FhLu@WChNj_t-UkI!cSs9Ed|?O*FwFEeAa z8(?$Yt##!^QtrPaDZyCp-TPfqIr8AAB{iRcO1_j<)i<|yw72)D$ckRA%i1q-vNR6$ z3$r#%&O%c zck$wR)QuwSrfGjMM#1a>~ttY)bXTI_o7% z?{Ht8&cz!z6xPd@ge{_RA~+Hz7yR|rVl>nF^9l!`Q&rr03}E?W34n$(0^$mYQ_rEBZEGEwAtb^H;t9hdg+_o zGiL~`xHaHDI<07Sj<7Y=?-C#pm9|%(kJ^Xwk>t-JO%blNp{pIK1 z-&dBsxc}_^my$|m4g{Jon#5Jn2cd4JY6jcH>YNtGm-UX&+pO0YIjznSD;7{G6qbVt zqfAJHRS+jgP~Ze2xiBv)Ygd~1VKG~%^pi+Pklfz=bV@Sz?#;+Lcwpb&y?YVePTK51 z>l6_JP=F@57@YJpdUaOI#@5aelTqoM5KG`*eaX{wPI9_DN{FITRlru)0i84fIN{~~ zrgP%vG`PY)Fg)$#$z2KC_hueEdMfu~?x~X)>xGLKh!2zy5?POk%2D2c&H+;g1GGBK z^w9O19E7M`QG{>6Sf2otC}aKU_Afu*`R#2**~|OS-hD2rY-nw7g@nry>zSFk1rDRZ zEyl|+AkKpRxmjx}eW0ZcPQuV#B2Sh0Kxjo`>Tq-O5C@(xR+>)5 z$cQZXBOGucf}?dpASz*)qqwxH7QxBZuiioYoC)RxNt3`#veg)4rCS-Y?bxaG_~bnY zvJRg(cOm!GiBl8~7tTxVb?P*NJE{bS*WIoOE`V3a`P`AE`O%&>IuO9YUr2)=J-82k z4p1Vc*X>_lmzTYIfX)>w$9i-nuKH(yVS=_M`_$Y>1L0NARFsjjWB7nSRhvJp3j ztx`b{AZ*1}@pArw5qnPNq$cdxmwD*usq+`kojh?S_xuG-a;Ncfg4ZcTI5mZoghnO~ z*8vLC{0h5K|5Q1J&7pI@{|rv@%bojq6$MWoym&-y1404g z<7+?5%`EZWR;)pv6<#=yH;xTGQxQT*j@B1PNLSbG{KI{0>{$E}CX$2ol1jNH9Zp)D zSsD8?vJM?Quz%k^M2DYCp(nxk5M)0wR?#_()oNq1v&}fp+(tWa?54^gGDIi8K8f@w zVu4OXa)PSk<@x}5MtFm)+gX>MA;v0+Fp`Vjck*yD@j)b)d;ZLc6Q|GR5*-9(I&~_C z*5M(HKvXV?ahwoFguUEt$2$iX7svWw+?E%R22ryy~tmtm_<-+cU1 zTHD;#(m=gGjM+&AvCiT-yqp7)^Yru9O-<>XX+PLltjuy_Ub@p_B_Mk1gv z+Z;hD+_*As#qK@Y>06LY@^&!nbZKzX8_vqe$fUt}0GCG+0InmFqv8)na)eeS$F&%p zZmF-SdwhJbTOw--=|?GSaGadT>;o}ECO3!=NDgB~a19u1&w!CKW(;E`K2ZKBV+GXo z^N-Frb!ba`>OLB*C(q@c&dE7V@s)e-?CFyyPM$n|)0dL!=JvKG&^aQjs2oU%%z#MC%?%>o z+SCdJPHqWGImt;H2-SiC&w!L*viLf}v7o~22V>kj+Dm8e6)bd81edf8-U|!_B!{v= z>37LVwAw2m{?O@+1S+r0L&r|%BDoW16u@*=%2gzX%4xQ$ zG7=<**Kk}J>@qD*4|Xs)QvBuf7X_pPY68xIlaK~KDJXyc;PI;uDn7I{!g`^BG&Mzl zpz+2J$5=_{V9?o3k6UnL3ZR4~olBa|VXAmUs72Cn;_inCagM%^Lr|nI#){;k6G2Fl zx9ueV-MbeGN7kW3S(vP*bAsqdQyq>om@uQBR1hvW1gRhv`&)YD0HAaLu*kAaFDHU~ z_ntT*#+pw>R9srYYz`PxOM5rqCT^qu%Be~)4&7IQ%AgzX=$W1y?P+bSDFq44)8d1`no_g={Oi-g(zgii z{ilM8`j(c4x~2|{RSHk`<ZL>2HTk&|b z0H#IaoL2Xa3Ujh{4M1@5ThS>I-2VOh;Bip;9LmbfI&?VuV5T5CNDhcjNluu#co$7_ z*47&)wmVIVn-gO#7Gq_`N>uLSdzfqD>lDSbu!v$C(KXPGps|SPMCaJnB@a)45F!x9 zdLlDHj5Uk;;q0m7Ij4}^*)wNA*-W0~F z%7?qZ{c;Cv?$>99#jox^dHo@;pscQ?rLi8#4Q)z}Cb>wC0Ktk*Z|mtP9XAD_p{>A6 zTgT1OcR*OP(2k^E2%IixooZg_17kuQ?7c!FBV)I0L#cM8p>7$3Rj@JaBJ<$kqcoe4 zoao#RX}YQaAtZV?T&bNkW3n?{&HXGum-tYMt%{e!SVeR&iI_k@cz`D0o3tZngXKS`ZXu<@eNKrc657e^6veaqHKTJxkV-%_~A-& zjAl76jV-dJT((!U%xZy<_w!%EsjD(_987mss-f7~>nRvO9f+DH(WvUN(y?@K>H^O7W z53ocNTy-^T5!#?S4WM%5B&uzwRL;#qfRfPEW5;&HZcWR`I(+;zDtC;o7tI?4cRc6B z@x%0}4{WMjtRzSN;NA|l3;i9#oNa8SZB$5n`0yGuSR^Mo$?c!-z9}hu_TcH84|#flVrEF42kQ~1)D z>W3UrQ(8~}po!$(sj)tpvwHXT?K^mqWT$|_6;+H2*Ga1%os%1_+S5vs8d2RU5B)^y%|DOJ4^^Wdi=8C65+ zsKBKE3M4EoyPiIjZiE<5ItRq0Bu8)GCp7KY!KC z?xS86kmRee(uiLlY4090^|mr}R{WW;^!oW9g3aCi?Kisn&x*?4+ z_huZW1w#vDnJq{%a>Q`0hx6*#jJ>P@V0)+7f;u`rgRKf*jY@4AGlevUXWr1+SUUzM zM7p~N39EEl8jrMRKY|m<9X@i5zUJZMH0lo0Qm23g(-Fbp=2S$Zg5a60tyhOyyT&Gr zG>982i%=^S9o{0jw{Kp(;>&Bs)JW`rI15XmQG#SQa0sHeub(MD1P6Z(d<@PcEovIA z@ma^y;}Ul4*^kPdJ_U`0$g0`uaZ$OiE$SVb%1OJ>iJ_g@ZW3{02ZObx1$c@#FP|y0 zp`>#X9?IT7c=SrVTxDGo5SL&LQht(@t;kUrXnS%I$J37bfpK^n+0n0Tl@`S8EF3io zFj~}nN0HA3Hk=&y#)A{1+*|`87j7W|-o0x#RVuU%TpVkrym|BL<*V1P5#KvifH8~l2j|V`b_bryjHq5hT>!9S)6gyoj03fW&wo_aJEONjs!blb%6D zg`-AClV19fqbJBc>8Kyf$^=j+6Bc8YM^=$l>IW-fjR#sJ3 z)gn0F8~2?+(lI=?r&!=CNJ^Zorbbts7)mChLPr#QX%+)TawNgRFhzoxDmVW`<*aSI z6O%(c1EWN8domQHlnuAg9_U@`b@t5)=d{Xh~VQvevF{6iC-*q89;sJ?`~ z^rE=@-GfIj-tpQhX_D8$pofTSBnjsRm2_{Y_vNOfiiSP+Ra9jT-xMP~&V85J1aHJ+w{s``C?G++p8y@7J3SZhV zHP`pT*<|9YJpUtYRRs6?<%{QN8%vO0@C`%YDn}8tq)a5&*v10fUKZx4o}ENDTjl0F zy~6e!-5(#fP0>lfla3xG4MuWgB*$?Ze`UdnP9h~M#wvC5a(_efz(7Z1T}^qx2cpvJ z7dSd$YTkeTx%kr`kDk2-Bq^_|s;sPSg4fc^&@HT%`8gzn%F!}(WI61J$V+?=2!oH(6mtLq%y0&vDSgF@65x`5%8g=ey@PI#QGO zc_b|8Ttzi4JGdnns}@>$iAYX#&MQ3q=-#;a?YsA8K*2e6{D_j=Y2lObGF3{l$u~@j zmDGr0pR+_dYx6{VbN?`*6>7nk_e7^xNbd22yT3pDP*(Wj(K8<4b1}ABR$hbPMBfKt zl&FlBe2(};%g{VAwBX>xvT0%fwz`Vol&X>W!Wt6YTjYaRh8oLAv_tUr)Cj*YW<|H} zIe;mUejXEYq?Cv}@M)@SdDYp6vkxFSReQyde+IB5nq|=tBWOS{`(T9Z3K55dAnyyq zX(G9&PoF=3`o~kgdB!dziL@U+7fR#5tg@!Dt+Nv+jhoYA>xSecZtfl)-Z2@6cg7^{ z&?rolT1f7+65P>a5OJi8R$1^iBC8myimU?F^fz~o7-cz6MG+&w?=;Chym$BEo1&8U ze>`RS1`xfv8V)4kK@nQ0UT|6%CB+vjbsUz5M>m*0V+@idyi^u4`!IvCF3JpqSS=h5 zHaap|B}J~iol8_&YFI!-Jd=<6vNXvZ7tYYhoSYNjuROI-uE>hB_A&7yL_ezRKn8`p z+)+6a9}8}{)HTCxZEmcqEc__zKnM@W457)u3leb%+}Q1=?4y<5Fk$K2XNq%IhcV2R+Y;U<7rQ$au79@%CXvaqP4Em z*dsbu{OJuZ>h&vt&3ktre=IM4_4wKAckfX-cFxfO5mE#hkZ(M2|;e*3tCcMMYFS`6N4e8k^ljkO=NXN=X??lWTnJxLFGt;i4UT3I5~+A$Cc_!N}}qA z#AwEW1z%!Et|Ug2Z7doCDXFh6$$R^nvgP&5rw{MldsbMP|Mbbrx9>jC@T#e#(W|05 zl2b^!q(lUEBH0Ab}VOEAMTlStv_fM7wyGN4E7fIp`s2Vs+m9#oE*)YZwh>ZX3UB)slC z%6qEqSIJA3b_iSeEzf*&D_S z#a1hYT>vQp-Xj%+qO&NpK{l?f4foC1vM6w!Q!7v*Syu%%LO@-h^Cj?zT#H5J#K{2; z==BbsTlVb?3y$8BlA4}*_{gD5ifkYqMJqi+S6D=dQ7 zInG8l^bd44)z_Bizh`!ojVdHlPoAJ{kI^~4k(BP`D+a--%K%a;czhw_$kT(?@HE6K zmZP}4`^4`%kSr<(A6-fp=!S}INXuqZaLUUGqbfR#=`+n(g-EqDP*>jrEuujlf})R1 z{Mn<2Z%fNRJbnHeXjNm~LM@P#q__8LaFTGT9KLS4&$tGdiK>B#%r38rt&%Y)>MWvsJjq$GF)cq-;;ql2k()Pn+K9q&Z38W)twdJl zA%zt|;U$7w8mzDD9_Ye1R~CKLmg2pDd-dq?6AH&iPf)%;o;_y_=GB`If-@Bs3jvD> zu|GqrkPdNk4EnkGCT3)8iI!T1$eMF3`;bTu$3e@6J|O`fhO3%^(6V6W_T1Ttqnf?b zomI76y(~JCu3Wf4we8hJdDlicpr z+mAwNLUIHM#&wX~FzFnxQ?|*+ zdln+ov$loD04@PcoRB2oq>xHQa=DkjxhOgZD5eNk`vks*0!A`NYoFA2iR92aO>*MrHg!(oJU|I^e!<)KZI43bb}|AX zFbz4m5*+>lTV-HL)%qHdU!nPIx&|KP{jJIPN+$y(?5+5Wvn9ZP$S)~;|MCrqIXHvR zSy=ojk^@p@@Qk-6lX??S!0v)ge2#%_t7|1PQfEMIkFKnPL;O|oVa-e zZ9kYE6Pc6>K5`himGb^f?nQJh_w3mV-&{g)=SWIqzAsA&4j^5{2UZOz!7*O3I^I&* z)YsioUxVOYzY@Vc{{wNMb27rgcUbEmNbUtlHqa)d9L>!sqN~b-RZhZAXIJmI^z?+t zgp|}>d$W#+TLV+&wW0Ax7{b|{^irs_?8^ajz{^oTkWu2C#=7ciS~}S^13Q_`B*nG0 zg>POmcdi<4g@w{Ns%vPduLs|fY);^4Ns0I@uB}h?_RVmLMQn3|xf&2m^pQ5c)cW(( zssgB}Sr=H?a7sPB{NfK}?}$#^Df5pfUD% z03!^YtD^J8{Iw#w67Qj!GVjHk^iSHeEiy7OWyh|)OcyeUDRrQVPe+a(1|gKG8|CGA ze_8{H^IyX5<}|Ufv9_%bYpp3S{Ph0)r=seHiVvc2lzV)>+G;}sWRkvq6(B@FbMpk( zRg1N;o}ndhCEVNeI0y>jL~dxEU?U`S*ecva#X=A^E=8jM)`MAFqmy>+-Jf;jq~yFO zQMGR`fBVga^Oq1G)FG(M0I@lubAqHZgsUQ}ylf@8)z!J)%ChF}c37H)A77JtynTh_ z?%qXU0#w|)kK&QjQAfO>2><|v(p5uFAVTy3%8K`u03kD1u7Szv+ajY_Lz1>HiwsG? zRkAq36IqcaIn{h)0)qauLgtv+6=P+ZZEm!!s`=p57t%oPgUSXcMd8Ut8Dv!R$XNlS|h zk4xT;o69DFq**DoDuM&pmqv(qIRa}6R70|0074HsEd&U&^rx8VZmp|pWN`)2prWp> zlt~htgQA_0L&_YBYF5fi!_y58O2d*4&dkEXXh+AmlvwaaMutYT;Z@pPxWQSeaL7jp zA~LZ|g$QiTy0GBb{W)35Nok-XIcLsaICtjU#miT&UAubayKld{e*Ma~Fu{e-48U_Z zQ~Vt4>bS@dQW8QM{YV+VTb^hst!(RPWNFlwx6i2+NZjxLrdZ&=gJRPJPy?l8cT#i1 zFTs2&@YK!ZqtL5qm#g+0o7kNUep}MEhDFfvO=Y4ro0&MQl}r*Y1aL!U2AdDk83zI% zMCA~i5UDU$G9zuR*+_3Yl)R?8D)5Bn`qD2ft-?s5Is!5X9bRizHmSD;$kb2w2*xVi z-kHAUu1VIn2zS~DN)4w_v8SRK{6(qFB`V{nr`2~6C`w44*Wx9&P{_}GcFmylBK zr7PEO+`RGq^{ZEJ+`4h?%9ShMLdq37Gm;Yq7+f`J)3N?Y@Fg{5JkwKNSWCyNob}Mp zac0l{V3HJG=`S=+ZVNZ}mtTL!<&hGTkx~R*RUOFaUm3f z+wpVYsG8{L*idw31LDxNRFb1~mZl|eJ~;`JL-$ErCr5gk>YLiy&}Med36YzYhm7h0 zrGjf!@u|^hds4qh_lRyUZIJQq`lcbyGeIdF>|@~@n^%}y6}}SYs`)|24PflBVxQ2! z&Ns#hV^fcv$=b2|Ab3Qs__c4oyMFVBA8vmC{SEo;`qe8}E|Zx^Mt4N9{GeQk^a@CcO7%XndS5meQZB!gkR(#3nNswTcPEEUA=!lA0JtC&#<%8jX<7M@EobFLr<; z3V)iwOI#%bCV(-{2fYs7I-3jpgBa6KIehl$&YhW*__^PpUzaXlyZOV9KcI9sZvFV< z4J1c+CAB+!;wW`?I`nyrRS3EAu5G!Q!qnn)cX?qA-G|bm&u^$zpJJ=O(^D5d^H2Z& z>CTf+d)`q?j8_^f#6C9 z6{|%FPI*4u#s76CTwLjRJAA>pUQI4xJv0%%D^5!8G^EC zomBmVi7{hSRm<=g6jnCg^g#JWg2LK|ye!2Rw#qdFHVrbJ!=yTM8o?~mNK8L{K6}@` zBh*>nT*a?l`TmE0{_9`=`0IlX?{|hsr6PYh)6G;cw|50Sgaz)l_wwOh)vN5qUVI@);He zE|FHnRe*k&u!JxMSe4+|u9cjXo13}kAQk?_@2=nY{@V3h|NPhgKyv^12Lk*NqeXJc zT2ElC!ef#p4MLBUG?){VGDkMnQdCe2)vxHw`xlQNQZ}G+KmGeZk=#%J$ydI+%`h(M z-Ge93=~&BVP#Sl&%vLiBAyd_)CCdsbbo7kcmc;7)1SV?J4`f2ugGdD~s6>~=Un)M( zX6p@SD*-}MBXmyKMzRHiRegU+PKiyE+*iSUm0atlMCe}0*et!FudHF{Vg~p+D4$1u_zkdEz z1b6S@A1}a#r4s?bNQb{wh9N}dW}&aih7!-1l=z@vwi=4cK@e5eirfH5P&ouADG6Rq zU@meJwS)II73`Qc*dc4Cq{APCzDQvlskjCe`B;lJ=dwaClE9Y@rL^UPZyK-~92P z|NQ5Vs2uYics22zaHcevs!yaAR%7U#gmF%L+y12%ry9IWbnT)4!=4Io*Fz zIow=Z7w8;K_OB}E;2OSdTO_+%lRyd~Co7fH+#Jm#++GIztCZw6Bde&s5DdO1B_cVl zD&gle$%(Y8tE(7=*CeOXIhfr_ax$6>&@|XuQPstfp1!`mp3Y7vq{cx-Of!v72-ypj z1IR?>NaWOnzpQ|XV^$>d{P{zB51lxhi^|=+p;Qia!^Qph)wBLvqIU%F6cP;X%r=o-QRhNmVdbayof5%7;zKIUzaRoF=*L zhcBKxu=nsuP!Vx+n&hz4f1-0ra@QoCLvqB2y(DugvMSCT$Um#Y@p4n$<@uG(^%cyf zz5e4NxYB=<+#OjtaQE*0$F%Ll%Ml;&a)K^0ek0`%@qx(7`NfFjq%aOZ&=1+eqO^Vc zGT>+^Cnud=6(3a3ajZ0U^f3M&80kMgb z`{C9PKYV|UTH^9W2&OdnGZhPbYeF=~b5#F9yqvJ3Oc-lr1EWG;KD>N<|NcYPf?%xw ziFYGFV6*?pIIfZ$#;Uv=ptj0Mpt?%0RkAr@Xut}0k4Q<52w`$c8LJi_G-HJwgzai7 zr@1-FNwheHn*%7(Bqy0{H=z}!YvL@G`iRa+B1c#i!I6^S<)rOKN-{Xy-&j_QmqX)N zW$`!3DV6&wIa#u`X{>BR#8?xy9>~3rz4u_w8Qk2p8^nW~NDeRe&mV8y`r+oatJki6 z_YJidox6inJ-ZmMlFEycl`2~&^Z{7L12y@@^$?md)@Kh{KBL74f+{(QGFDioO689F-xePl9xK`0KH;0~KR`;NbWYgu`=EErG(4+%RG*y4 z3bZOCj(;+@|E}G?kM*K9mp=ANm?A>Uzlm5ghZHn##cul8M_1 zX%mXd!4J~}CnQ{Q;8;{{`;klM5AMfU$w|Jyg_|P_mW1RVw{G6L_5D>OclpA(KWkQK z9K?qpty#FKax7`6{rshx%~APzZ~k~dw)^1TZ+ArHL~?v3H~A{Lr$~;5q8O`IK*&t6 zDj-OMDIi>ewrq=~fJjQ-0m&7&rt)AVxr2w8$dH_b_#jN%zX}K~50=u4odgnEk(}rp zd)!3HkY2S)fkow%;53z!WR9&`oi$|*eXP0e?cx7Lq#qpUSH&zt=EA-Ka*{%ys*5^b z7FsY&D0|TolJ=kb=J>uW*reyby>|2F_t(C^^#ckgg1br0diAQ+=rJ>?%xGhVovBk|3k~>Z0fFNG(@MZsTtI*=50)(ikDhPQN&>{TXrsU*` zjKl+x97UH(f&ZK21_#Ml7U-?} zb479xNtNWTU;F;%tsjuwk2i1JxcU9nD_02*th%7Dp0!VCb4ulW*%bjwBDY|`=_dwi z3kqxMhz~^82lpP}<|LUDFNew@HxV4hdhdb6hqqFBDV0-%{!K5(nnM{>Gq?pMr6xr( z00b|d$f}CguaQ-95-po!a*FLn0?lb|PI8jJ+#I!+=H}SHfR>5Dsv1lc58~w{KB(mZ zvMpt>qo%yEU+El4Aea|Ar|7q+oIp5$B!ZF16rX}fFjgvkB)2o?(&>!-R9<4NsND4% z#05#@ZW1kkN$_%l%|SZMNEa}eRb{OI68;h?iRR@d25LU%S1UL7W>a!nPICK>k{mTF z)&6G9Dz*yMRa8!8!4g=>HC6M5UXpjzwv_1b7=@FN&7pIf1q3Mx1q5zRwz{b4A7MTI zRX|8y$pR5odi9dciJSX-fY{VIF;*#Ai4O`X8R%^+uWak5vp|_99 z39=)LoVhG#r-ST+%1w^-R(#5@mJLE5Up>CZCPpz<@o%^9sM1UJ`LMYR|402mBM)q@ zgf5B#n56I`uwtyNk_8X;j^437Mn zCX(BI>hjqG2ac+0|K=@{5Rn`i$xRZw@6kB|>lxuBh_NarKk2TY=j)zvRPH6=PCHi*Gmv4DFPv)ZtC3E08y{RwrQ=ZctGR6CUXML z4fHgYRkV`-YJ!7qErOGJOyUEAqx&E#r%idwNENmkNqk@l-~Mx#Palu~apCfHVkwfl zMe+5c(mveWcb6~Gf}rVjNce`53}CFN9K%wISpb`d(8h9AmC|epFed@2~OclVywOWJ@qB!t<()&U2Iep(y)A#%9*5Qr9DAk zdUJ?R1JDVqEN4z&vB0Uz=Q2?_i4Q6XQB~`Yw{Bv#sGJCnR+ucdfKDRRV6tEzSu>By zDf{3x4>aa~D6VEa?c*zQ5`bSVCqZ(mX#MH-9U?0#r{G`#(jkUptUTx@rpbF1t^u0{ zy~0yc6QeNJ)U>?^gpw@S+!0lKsiGCAMx}F7dNIvPiyFK}(mB;I)Iuu(Lg}1@*1ri( zS?gv_vRSkC^mWw~RkX_{H%zsev>ds?3=?BD0kG3){cn;JJX0i>oPPT9*-gob%3ZxG zlB0UKas3+gDoq@`9Jacta*SnaD#!SP?2VC>WPG%*CXbC;6_S%YW}6C<`&F{JP08Js z0P!1e@WV%RZwRb;Uw}z~m%bV+Psjdax?Z&5e8Q6$xMZq8NKB-@YHmuh5`ju2wC?>% z?gl6kY)&Mn%6%1Dm9_p&a-4orAJI7mepLCO=HDd`rhsUzDyeR#-e7%1D}sY~EA%GD z&_L!i!3pUbDuhONVT=xyllZV@Yubr#bF(s}X8qb}-P=)9T;AF(`7eSKo{1(n>FF>V4@?ch5BmlV2@ETYmB1Pj9>s_fUM?-? z^2I|UIZ5VjeShW3^;?u)x5!Qahp*CUrF?*Sb(odCjGwb2l8vO)UC!d=v}ZMq3^Wyd zD6C@O`hh$|=b~(g@zNGbNVUT4k&f7SuR~ z(m7#6s);k&g{p#(bWWj~qH`J^%+RS8T3JDYFd8sU(K@Aan}Sm+C(s-KQ+rKmMKc~w z{mVR%rgP%v1P#{4tknWbBqw~xuacAau=k7>SsN8T@#i!2|)n$c@;A+MyIf<03Uv-YkOS)dtFO*iR zG`(oXboc zwTDA0FQs$xbh5<-V}*pib$jOd%O^9kjzc1$X1#gs%2feMl+IzTbi71zcsUkZq_Llr zshfykW?4mYI?b_6(D^9LE3IW|ud4QmtPj9Rq;3#vrFTR3OKTTOj~ZkBQcyypwfQer z1o1)fbH&X?ZQq%Qwc_R&0Kz_1Hixx}#}i|f-oE6)(t=QVuy70@cMJc1Gn-@GGg?F@ zjLQAxDkr7MC=1vTVDxmHR2pEG!qnNmqi|co{#GY)QNvYt@9BhL;Np zV-7PG1Z-RC!HeIW%s6<0mj0FRZ{E0ih4=uPDaLwDAoPpMSdTF6lD?DYm5L{jdy9WF z*3l7TN7;u@WtAl?o)tR8z5DkB4p!yTU)Iyz9g|0X$9PNSy%DLIziCT~kS{_VwVa1!`8 zS8v?7_T6{aDZLOJwZk>(+NgdPrb1_b2lt26;xC!Vq<&CYFrfc%PhCM?NhQYm{w2FC ziJ^CYy(1k66zl7kyRP14EafeTH}+Ta-P1C+jgbIF(bN*Jw{5? zpp^VmrQ{u1=fBBO#tIsI^ZP5`VXQxrk&wt;1zfr$ z-Dv{rVNp2_%}78C^!1QgR$I9mFXk~i)LHQ{4>wovH#c_&V-<7dml*4B2oB~Zkrlx~ z|AHPY?s!w>l(CWq!$tE7h}p4oYh1i=HTNIP7T*R?qEwD=B@w3aMpmh;RovWP0YbA@ zEkKBy0}_@1K_VgnqWZ7UswE{Xc5f;xC~qcpw_T3Z4hC)?#{dGS&yAmsRz zkowpY9LfPerE;lRxVZyaEW}edx)`gd9FhZ;yMoG{XEPqVR2aZb73TuyDv<3A7%O`x zC7ENxjhh$*^#Vusa0C8x)7>{P%=?DiB`96y1K6ge?!S?i zNvXKI7Mw4MaG#uB0GcD}O9KLUN!D<9lFV^FnEo_-<#uM~etSCW&`DO|soo9MJ~%Ks z2Nef&i7h@%G7}#d%VcMon%Y;A(_j)ZPXJhBTj|IAN=mO!n@P#0&QYuiB|;>3mu475 zS9o)xau5#LO3EXG1`Ds6iWvFK|EY604qPsh z^JVpd%q0I8orByU)z??a2|V}JUd8We=Gxv;nO{)NZXxKKwG73xwz5TQz>4I6TiN46 zJxx-=Y!|>G1Wop%g>nEaR@_`>?l(F6Gs#KBSg&9C?i$z}g1b&+-85Elb1Jeb3!z!a z?OUy81tt;64fi({=M`2GT0h8wZ6W~>)27T6ABUR)_Z~h*a9rylC6W1kmXcEXC^x6l zV2l+{g0Zs0I3{HmH*Ls-Wx7^uRONG|b3&U#~y@G+=vpD+cZ|i)RZ*%YfggB`El2F`>vfSLONvcf!5du zpA)l{DR?4;jC$d6<7APsP#+nsm2nKk#*zJ8!$X6#=_S1t4@O3^sdE2Ca!vJR1%*|p z8~?njl7sg`xfY<95P_qk`jVYXGNn&lsO@QDD|B!ur`p(ci?JRev}R_X5@SVj0O>bw zimmb;-3Qo9xwtuHth@g-RtXRk5CjN9D>=YmM|ELA8QibW?`8dwLelYbnCUM+vs+MO z%^|rzG|A=56a-G?zZk2yU~zM7m*+TH{PsP2c5Y|ji(N(lOu}G7bi`S;?n4_XV67~Z z@Nz1MGmAuepc0`*2qsLo6@VA$gUjL~I?3ha^Ox3%(lu4(e=4b$!nUTWf>9>nzX}af zM8|xFwqJ{hC8o_8ixZu5$5_SB#bB)4b|1f#dnn_`X(V^$x+K2{PI)=4$BN`+PZ`8q zvN_(fxE6|5)_ltxo|O9-hq1G|Aiun-yy)|LmK}@EDQiV$zaqMy+1v|Y#}p36`c~?P zqGAHkjbA0lR?RrzV6}sHFF?9z9c)8|Y^vQnf9YH_YsY1%Kwc!LOgD8- zf<0X<%oR5$Q4^ip6q_pgrFg~BHP#m86;#PTt%e>}K?73*JPBMV9ldLfufC~sNRHiL zyjIaUs{Q2DgXb?D(<1A4*CZ%hM{tVsg`4~Cl8O)F=CYUs{y*HD9MO@a#5COBT9IE^ zUdftq1*@DyuDV6ADx(++#hHy#l$uryP|6dD>Gyn7d`SE`p{MY~dUq77uA3vP4_}~03&okODdkZEh z|8E}-F6mb0<}KX=ee7+_Dt#U{-e3HPB^#dW{2c9P?E- zSFkk~gTY`kJ2JHa!GCRKZcG-qZ@9Rvj*PQdz(TjwQ`fz;I%Ar!3GkZlHElRJ+Dx>x zFW4wP&+OPB=X#md(0O~T&RRM;+UebVk%|u&DndhiEGCz|!@TX`nd%Ht+am0&99&(T z-2B4~W8I5xuAF0DoZavUbX<~yvkn}VGg(_KJI98t4o$C5G&auKu1=0ZTwQl_Uz=HB z6*adeot)rHPIdRM={IIa=RBiaMn=pAy~T#k*~?+1p?%&ZF2#SXC;xNrh5$mQ-uAYx zY4>pF@qw{9kVFS}CsRe$q|VB`I=|xNW;t)`y3|}VYURy&UW@g03p;1?;H3(SgofmfT=HfQ4D_>ubGW#zU`J*g6?F!Me&?AREX5xLG^vh0dDp zWlr4<4GnhGR*&i(M%lF0)oq+!ovVNSxo>I|f>>W`Wl2@{x|@EKLmchJO-?CcYZEgx z0vtU3eVy5%7UHomrVDVlvU2fqG_&Spb#09^;0tT+VQlfYXCa$|i*HCUtKb9m(^C#% zf$olWX0vxHSh1m(plap6qptrN5t1p|m4RZFCTb}ym zkxB2ANb6;tquyd=L+|cx)m>aWwK~f_tQKhbltno3s&WSI>+86@S~+Z9?QH0RO*uAi zX>UK*Sl(v|zGP#uFh1|KHNoA%#nsuxDJd)=uZ(ALcYQ;kh;5&CZ49r=zQ1h{tTtxTCwx%Hs0k)X1!pk8Z>?2Ssas&EDKu zR6n%d?kLqlOYFh_;%k!Ic zeuk+Y&QdR|m~CuyE5_D-3%%uv40dve+tGi$BHy^eY0*iYpV!jxl8s!^aUmD>3J!Ii z8Cmu8c6M-d^oj_!T{8rQ`mFX3JNRK9b~YAE8-DQtEEhA_**bcLhWW^Sa4#QEG7nF8 zZVtM7`+Khs47u+}^3YjxsoKKevDQ}2t!Cjz_qSBlw01I1PQuR)Y|b_})O0Vd_BZuS za%5*}-O&ZNGOpL_@U#FAYdV*R0hj|@yXyH8iO zEv!tBj&B6Ux^bYEIaqWp*vC6K(r0bfI>6tJo_u_2wC%cE7+z;$-ZR)$uQxb5T5fo6 ziFUAdbw{_n!y*DaJXz*1XLw;%c(SU_&6_`vy|vyez@1*Hj&#mRH&9kJ&gDzvV0U9h zDGSczB7JFbK~V(_j+WWQw&I%3!NI}dsU`DVV`1xx#WFja7a5nCU(<)g2V0x9?RS{fQ6T3}nE7}$|jAfM_9VPEex(5emHkSI^ z`ykaXtXtVHH**Cn+?{>Hqk?^Wf`jZA)_p>} z3=VGb>B$DOQ$R$x%fhT7%+n6%?W{Lj2gmv9Y@7{_2KMU)cyeu+j1}j`R~og@9`TiW zSQ>C?*yhA;e7m*o(z?mT36Ss(mQ0q`DZv%yvjwQUplWn^sG_2!f5-thSu(~kZ-qPMu4V9y& zw9d3V%u!2Y^ZRFI{o~8#h29RPCMK6zSvOl-*tI4XMP^31sku1IeqTdY-X1& z$w0iqqeJ+qfrjNJmoQ%kYkg4au0Sgrci$ji%V~=MUpq?zzN6KeOH_oTnd|J1EQb74mzOh8)V3C!w?bYZLRkV3<+UWEIA>5%ho^}>p&dDvtYJm z_3~6rUj5wq>;R=mJ!`kPRbJjRKi6KjgbWi;4D}4#g@@TLu9&eX_uxnjz&p@uV^$XwWYAeV1n=19WogIdZ~M79mq14{ z4=!7;thh%7+nBip@8Iqq%Qq~Mg}V?Z4nV@);vi;I&( zy=`@}^@lNra`vq=`q4Qv(^gvB4kvYl(eUxQg2pA?%JkICGzYJRYrkye9~Y{(_hc1A zP;AP!Bxr46_GXWyl=!fqKwlRJ6wSxkD={?^`ap=EyLVV@bZ8)@yBzKfkL2SyH?ZLD z>6ft8dvRfd^od1Fw&t<=l8)t-@qxb121;7$i|UdJMFH|FGKv3o$Ux1*ht8@HOVR8JRXS3EiP&K(IyPH1~` zvV!Z0lmPZNi#?5fORIC^!vmd-wGDKmn20VdBkAv$TQOEMm(N)%3{MQ?zvty07(*h*`R{0VOMUeAEfMgX+#H=e{e7HmJh!BVI(ztfIlKBr z#zqGFGR_bf$j$ihFwaHf48bdUd+74`yoH^u6%%phwW-$1&iQ$hQO-s;fg04&*{h$i z9IvTpXzOk%EoxtP*cj>_o|qh;S+}vVu^6pyoOiTdoRkp&ZtM-OdHI^hW;e)z99U-O zEMeT=-)5+H&D+bMTUm9F4z^gbadNSn=oohNuw7^24yo9Tb5w-AoTS%#28H+!U0(s-r3vN)z(C}udb$RMQ5t6 z?|~gdhQ#PVe|^Kag?VvyR;E#>*@Z8fLc=5C!X4I}6O$tReSJL) z49s{Ktabj|xBJ;UI6E6Wf}(La^i8SWLqfuQSB#THwCJ7D=E>Pra&^5eHAfy9K%|uIOE?daHYE-Rw3N=O#_Y!Ex)* zDCe1pxfLsypfFzpTIKFX^yk)?hhGR6YW(EBuwSs8%k@wK^l@{rAtBuu=$_qJnB*-E zb+^g&*XH`xS(~Ni+AeU5$vMWkrY3vq8;3!DxHk*9H8eiY$kf=9M}(g>i`Tf$#ZBX| z;E<>Y!?HPKYfJ#iwn0{ny6Ua$Bh!+cb&edL_DAIcJQXY+$z3zkjI*al^sYpQm6dh= znBJa_q~tcbsgCyXg=r>Sjh&4gVUWY0wKW6F3#}zJUBjmClDsZ^=LIg7qhm`}_V%`G zJr%7hc9v|0;k@3Eg|FAj#KOwTs-=UcmxHCX6AK-DeZ8y(J7&2czp*quWzDsdHM`}e zf;O{*t$AU5pu4kg%sMpOde}5aN#Gmo>uk&YT;I^}Ku>39ca{`OiH^+&gsQW?9zKDg zp@Clf@!Yu7JZ-fxOCxM*xCgoi$y~>hlexXFi(Wey%a>;-XJ`6byBH4#+?t*;4Uf$( zFu^-%_K);fU$=2`<8AQY2QY{Zwq3G|iVyMjg5;!UBN~~wOYF8_D@!Ej5fH}1$klVM zWRjpRxOxUAZ4b17=4CB{bFx^)K`-|<4=>J*jZF-9HG|nTL-cE`svW}3RW=WhZr0a~ z==D=0RN7!rwhj)~rn~R&CHAs_6WzpWMUC6orM{fAg8IZd5Tvzzd%2CCxb^ocnqG!VBwXX9Umy}IVdD1 ziGe$IWrRh?l3RKD1UO6%ZBV~3kirEm<6wVhQ^Sb0e!QU>wlg=7WpT(@S8e0SBnNW2 z3EtP!H_Q+0?;Tw84Yr+_T(Ks>;lQz%C)Z{peAZ`diRfIYWU@-~GM!yuVubCA4MyV= z6dL4BI0z1pjBuSBU32%_vS*7U1T;GbHy?j*gSDlNldHvG>*xyWzGufakG#WkYN~FU z)-AO+_l=EVS1T6li>!uOC73ul>Frl~TW1Ug>-FVX)9{>or1!$)BFA*}&ZxVMn5vtE zMW+?hq>aIPS@0YgCgWCXXYbGmlLX!13B99MUWV!6X=Xy~UA??q931d%9OMl0+?e+{ zbST0jFe1#~ConoGF_P^%ymyi*Zx28B`MxQGt8P)X(ndHt)Yvp>Z#yajVS}SHEDo8P z=&LF3o}D00a1^Mcdx#>ETQPm>0l`)yV~eCh&Yph09`2q#{$Y`B3)A*Nfn2IJ*jub} z``FgXE-2P#ZN=V$3dWa}!){)IJd@+3an&^-B|S#BYz7|W>W#Z2+v^ZcBHYkvYO$U5#%^(>}u^a3Zb>Pr+3~nRBswxvH^GWP@t3_cT_^G zXV!hgxd89tWM{>lJ@&tNCq&t;+LP9CbDQ1x-Uu$7qI=XfD0$yjCzyLS_O9N(o-Bvd z*&8f|T822KIyF5$(9sNIqK!$;*81|&9<$X@L&xAiPv69<+*LL*(AaMr8tm|wI5W~%+Bh*Y${5y&vAeayIF27iaFfpA?o%TR zR>XaGUpf+S+rnbveO9OJ!ec^Y^QVmkZ%}V(7m^rgY2oVc(4lLiA;z_Y(y9^5bv7mU_4N+VZJ3v*C+F8$ zWlHg5XE8N6LE;9KH9v3T7v#7qdmNlN*6iWLojPwwIMPUVT^%y%9IZe!7}Vy?_X!W9 zUpVx_+ndn4t@aJgvP2Fvh3mxpiG0YLg8cOh>#;}ohWZA>=J5?pNRA8f=Q2`*#!O3QQVQOpyPQq|!|GML9fB&dyc*r!jZm}{q+*r{zIb#B+8#VT{wGU2AjTxEU zopcR%n;c!Vw8JI%F%=>AW~1UmbrUNAapB%B&Q5mbb)AEQmDxQe!hT)viMiwiRy-g2B>dU+I2E(T{$Uyj}lEZdmp z*-3$$hNUN6O_}IxW^;Re_lmD_ap0)oee84QPd&bt?dTe}&;5|*QWVb$8+c35~H!g?C zhWdNti24M!N?7#^G>=T-r1YGZf_K1KZK%%y>m!SSu|dvyV8K;fKVKX}L+n>=oSp4# z^lm<&N^W$--8YPbwp(|HZ-5Y)Eo>YO4mwtBt`F5WPcDtIsj0uUrn(+%u$uYAy4p$x z0n1I63r&^H-2=npvr8*{WK|^dee@6rF6sjqF!9f{vb*)_N!Lav@=1ezvR5d(RzWsR8F2 zW4EX8-Wn4X!*o&#L($=`X0sc+MGbal?m{h56WF*r%#1Crug=ddTI%)7T{WHa%QM7r z)eYni1-@>il{{|i=e|hVWNFJ-J=Z94VZou1VGfg%&e7o>>~OR-udG>FZ!GEpquf`` zdW_M|g)jipNkVK`9$EnE2?-Cg}XO%*ig>Zu$`N-HYM znG^q1GPt(TTw2e;p3$kr^^KJUPUhGmI2STiAE*Yt{%mi7u@la1K#r8r{KUY#*`Y%{ z?c=dF(6?-7xirP~xDMu{D9)>C@zR20D}HJ7g8fQo`^fYRV1vE0K~J#*szRSF)WgCY zed;8}8Wj~DnUt2kJr-`pmShoJWRT;AmAmXwki&r-JKk_a<3{G!R#||wVQn+tUfnfE z>c}1pcG(OtRm7@-LB5Wxy1K8A&#qeHQ9XbX$f|>oT#(hoN^o3&fi%m`44u(xb=43N z;kc%AGT7QUc?bBp!%0Hx$cf3aSQsLA&}Cv0q-&$MqG5u3zDF*AR#eqgSCo~N6cw@$ zRIXX(H%-lTmDjS6$T+^RzP>ca(2&l-*~NvTloPDHH*yI7AeQw*cM0I2e5_2e`UMal z1N`+9z0~3dOhDZ*mn+zKevb=lEZnmSxM9It9T;@0J99*&a;E+&Xn-%Bu z(;1P0h3PIlxG>IKXL{PvmoS_%lu)M`~qM;6)Yox!IV^{pSYH2f;vjB@NScTjH zDX1A;9On2o1l@@xvw3-Le#OF$1VVhd4=M-76$}Iu5fcLsf>6cjbJ=@K3K10Kw%9*v zX~!*{>5;y6veaJL!BkT(ZC{MFe%fZOr`^b+g}Dtqam|K;l{c>{F;-$>)gQTJZ(qT6|Jt%cGY#x%uf)FguC0< z&xpND?J{UIu;SvfG%-&{ON#IORZ7`+4WYM-6!tgP?s z7oC#~WEsgJICqdoxvmug4>BMm^8X|3y_Xv?vn)@500DZ?g5Gh2`W0aEE`Yp=5>tQF;`J%$`GM%Bv21NP~+4G@aR=e>v%o@|&zI z&HzL4nB&tK4guDd=hp32d7)4eGKYMZyS4^vP~~*?H017=c6Zf->v6mCa`TFd^KvT& zPc}LVDhSmLZJinhlSkM)u)M)?rP9`g>xA3TlAO&+52?tzz5@3kAQPOzxEz*)5%j^m z+jpKU#Y2UH>a$^Ms`V%v_LxSg{Gd*L}K2RB}6(VCQ#o%k!V~ecCac= z_=~DCTfs#7z+T9Nk8J`CNoQYI*}kQ|x4o{quC}7Ukzay-sH^LAe+l#Add2Rp);e&$ zZLLl94KO!1)KZ{8c0+pN!m(t}Gwo091UA;ir^^%=z{xXY1@&0(!=`%o_T9&`;mG{6 zS95D%n^n>!(!vqx|G5my)v6R>R@#BjH3_++e~v82IAbMZv*L2WP0uu4$*c|_`O^(o z)YGSP3D)(q>##p1a|)PI*m$f`O0KPK>geheLR34c0is(s9@t}$NG&a`v}N`RmjfV@ zy~3T#JQRlGeG02R|KzjTNX)mgC}0gDr8Bd9QyeGGFV0Vm&nL@D;+iX193@qB1v)9} z?CY4&*d|Rq=)9HeJTnYT8s^htIJBF4XMLpLhNp^2Fc zCzk`1+#|#F53-r!KXH%T3l~*0Ygk*-TIO;bq%E#mS2DynkcSG3_}18q`A~#G%*+JX zX9-zz6f&w?kJ-tIX@7oMdegtNN3l_fnHWuh3(Ue20`2B@T{@#Lw- z;%X+UP3=tCTbo%tw6(TsM5B{p#&nIf6(z-}nY-{g@9s{B=^HXIpq&VGPvvb`?#}Hy zchCU6|8gz7{^H35qan17#VslNEjCfK2(_F%nySAIUu&kIXr(pA=jd5-gPXhPBJMEh z@yyN9c1=QO0qh$L6@mdzXA-v1#Pk|xjftGXLI*PkdNtY)o(C?+p;Qka;8Wc2bRfbe z*sT5mt*zzhZ7a*}+P10#{VW-@y{YUr$6hXm{2K~6ujo-gNsK&)tsB(a=!=)5D+eXk zwIx3dP;QAEgmtMKMeU)k;$)_-uMeA0dw`EP&6Rv7UPR zY$|QM84Ov!&)RKBaDNWMV6~cyDzb2elMb)G~)FV?#YOSYz39 zb@cV{R=}^g6nV;v>P}whs%pf~+B*A&h_HNvMB6?cc*0~xx7OCgjY0)`lH%=!#qA8^ z8ZQc*$O_F&qAu}}xB3)_w2uyiu~0LxL#@1k$29t;PhP} zR8Jt#1X0ESpCdzkOZ&ydebW+msbWTX}#BN)pO=7!(^XzS?d>jiIl zXgSE8w1fo?U{p=wYaSmFfkGbLD-ij3Ht_(i$6>hRMyO9;zFJD>CzqB%Fy!R9Xay>3 zNSpngE=yihFQH7-U{y7Z%}sTcuEz6MPBvn>?(V*$r%n#_5??XgAhdO=x&TYJHP^YB zYVb1@r&i`d2m3KX#KIJzb#hc;4t~yua1!4{@d)!hocFIjy+1N5e*BQJoK@^E42G$0 zDp_ygX7wtNcuoy!9!8D+k3^@76YuaWHwjcIDM${Z+R7HgW)& zQ(zH(>iX)khBF_WZm4J3LCbO!{$ph-QdKWXTU5jKO~x;|y;P)dB$vjQ;ydiF=BHi@ z#s0!%29+Z>ev;7E&FeRwu53-hp#(|7hM&O(%XkUWISo!%t5CgDD)M$QSdv}aaJ^b* zG-N5vJ=oo0;f2N5VV!074XrFr&6H`vZ_C-7S@B0=dkp4^zfY@Hv)Z zAO|rfVNOR-8mH^9mqMQAuVByTW5*v11iY(r=tgt0rLy1&q9xc(&oxUDPXr3(m!{d; z9OM>)B%!##a7T}~7UTkN7^K$W{jrQ}ZLX^O-z`e8Y8+44D|KDH+qx?qC>Pix>&>?v)rN>t|T`e zi0@=)NyE-LYvR+&@VTx-F&{XIq_h4T*T2KG!2vRs_6)kK`<>pt(U3d zI7RH#cf`MUHRs3Ud8O#or4wN<;YF=8!?!PBf>fKPbJi!2dRzGaepGXLaT?bla3yvWce$WL#g2hPGAr= zxih`B{Pe-gDflj!N+9SecCb7^1h^c39DM_g1Km=0XFF5PD!SP!!~%0cLjaqN2sFQ` zo8@^9K^JuBq6_YTvvhymM;mDaQcg|Jw@#NxOu=45gG0x;a2p)raD^yMCqbamW7RZ3 zs;@;wg%2PF&M8lgWHK67#hU_jsznvmwl`> z4(73Tv{gAARZZ0;TpKVw1`z{lLd2c0fk2rBH44X7AVB68VkKp}8#LfnM~R!ChdO~S z(FEgxBb;y{95Ofn=M7}*wEHywJXU?_Vz48p7TP1r8#~DmrN}%^OjeV#J$m%uF0!?E z9%?N60M2vHJveJy%@n5B*LkeY;!1WUjB|RA4OUw6JGpb|(eW*tNkVf&O}VS_!bc}- zs=%4Fb`Bgn($m^3&NyoDmIgft@;YjMk^vO`HHv<-;dI=~F*YhNw3RA@HI=)Al#hXN7 zudlBzY6*ei7FbWu&Cji5+~wQr;XSK^Hj<*CnqHMJmk+|jCr_L}g<}xPn^PwszSa@E z=qD)lYO1B6lV6aRSoMVVG!~g>aK+N(i5ZFUTF{L|8je`jTDhg&SZ zB#ja~2ahy4ZFPf#z+9mis3s%m*4kZG*7e?%zN)&m&bGFmBgco-v@#Wx#xbu}#lC!w zdIC09sYCZd6@btrZjX3tG?rec-f9MwQDX4aam z3TXnT6LsWePl!s2sHwb0h~vAy$2(Eoe)s-8g59CzUQcdWo$=+(?KJ3v$~rbC;?8Z! zx78>SiBGTwtPL(F{+Eh@58mypsO1T@_Z>Sv(B4G(!XTR4PIly2uBox6f*k_8;xk_@cz?a}WOF(iZm`^8F{cTkDdO5Y znplHYF-R!Pr48nik|Bu(bh8xjVfXrUnLn1FVY*t7l+vpnpJ2 znTDS5*ojjZPSR?${fcr-5df-dj_q_h5-aomWOP&g7DMLePx+>0E#zx%qU_7JEuSMr zNCGivvxis65BB_$D#9G?!{A_DuA_xRVfC!FH4W@^v&=c}<5%8lF0W^NinfWMuaKT{ z6fPPpI(=SiJscP;97XM$T$u}4GI5`XuT?G}t3soU*wB4cO>S{ndjFZnyEy!0j4gyl zOo&7xvFtGYgZ>Bz!nCPT`ODB}$5B^bS>&{)K=lAF<-|fvv1@BfbL3NA>7wyCHY;0} zs`7+)$0jU96Z5<#;t45L*%Yno)A-!U)2M}KEvMNq{R5T*sv?$0S#Hjk2(RZvhGasF; zE$6GGZaH?OySbsIOOKVk3NsSSCs8`^3t*T_oUz4)n024M7h#U>1!V~(cbxy;uhJB_ z&l&2x7sZt^V31pW&cIo30+Mo|b|m97tFPCLguCJPYRgV;Z9On9dwK^RF=RTjL2hGX zbx{r{5l2{}CFQstbk>x_g8O+TrC{X=&uq*FxwEH!J9+k;eD36Fq&SQYMz1EEglLAP z7!H@?YqM{A=Dgd1mH9cOYo0L_p;f#iNpqCCAV(y>-bTq!KKE*J>%bOS+KdS*R6#+e z$2!oE=V(xmVpz2qkuk&;qlByG#0M9e$^mDzb`KsM>TYgqR|t{DF>4kP)l)tJV!-uX znYH;qPR?E!%hCAFXu@Ph48v#+b3e~X?#pGL=jrg++zQP2VH&Sw+MEm0?5kxpS8()1 zbi(zy+sV>83ONiH#l+xP<#D(iI9|g}F%QulJPX9j^++K@sHhc8C*z)uwidbEkt0J# zkDovX6U&`CgW?e34$+!kxf~D)0gpILEzWZ~oSDtpmF?{PX;d^TXZh4ZEk`UM_S3bVs^J== z8Ot3R0Kn32YH)wU+Nia%yVJ3LKW*7z7IO2&xIja;vDtLnR|_ z3Ih5(2(Zn~9al|mIN`u=tC{F%r>aKl=<$~AawxHTlqG5)J>=^E@V zx0iI{a@qA}J#7UO3I;Hxb;sU0+eo#HG}-(in=W_@uzR>YEcBXkZbgLvl*IMJw#B->n z-3=XJ0*G=)j~!Q5fUE^F*mD#W=q#9{H`HJ`al>Lcxm+x^#m_u3g5icA-cz%v z7{_$t=C2qL%TbkJxtZWWdUMIo&WZYmL3JIEwbz}iQE>Mm7J+4|Tn>PayZy|i{wm6( z)=rkYppyY5G8d!-rh6q|D7`DTyWRPr#m$TjgqBVUW)~JDCCOrn0S&z?dHun&rHFUr z;mhg8RbK?Uj6g*9N*Iosqzqt&Dq%Wd%97^lgFxI>QTc}hTb1QxxHD&6&upBLS)^dynu$(0@ zzZf!>LzM%KAB`w58~;PYc!PLHmQZe$&u#8==j~NBC&(NLqO-rZ%2_R+v+Qz;Km0n+ri}&i=M`Q-mQ9T9Ugw5Vudm73%Y|*Erlkkw8uA16+iB9mxr@jV@E3^BjOD;ZXsiylA5aIZ zy3{1O_TAOlwO9z)^c28I3IX;d3}F@I5L-izQ~8y$KnY?#c92+I2_|V)*sL)WY3=E6 zVlbzPGeb9Wt>&;acGTFFt*0*y)o`#PMuoxNwnp<AUY z*imA*MFbHwg~oEj5ANQhd40VVTV*dg>sb%PC~N)EJ)rEy<%-L3Ii(p5`*6APq(4>R z1~n@bWq3O-WJlI;9?-_7BvIFe;>iXu%Jpzjv-=>OM_p6j(a+GAbHC#!PoE_%oWFGG z{J9I4q`h(qG6=L0u$%xZss{{lfg_j4>WRqZX2xDTL6hqtt;3D$*Kc6B9}tc-`PKN` zvsdG5y9Xi9Ml^-&Jb-AxY8`zYrFK_GPbZ0~nf^hmdv(Osl_(BhJl$MnGAk~3m>+Pt zmL_(trkbmk%MosExE!dD?SP^jzn1cYHlfeC*u&W$JX?->Uq2a{URd!)b~s%Lk=i*$ zq-QPUbj=u!$Ep^hp&%BrS63FoKe`hMM*+7Rmm@*oa#PuNDv#4!6|KrnMQcUITFCCL zi93h>9t1H?V7T)b?X64a&tDWneAaSmA+Q{!zMFrfgy?f~t)aOYZ{CLc>mcr$tKBAmlPWw;}&R)VJqTc1n zOej1v{Bm-Bi3?G#v%u`3u`J6O_!)>EAzRIWyKFZgmji2^G*K?=a!RdoImI_KoI}Q* z>G0OZX1cE3mA2uywQYUu<6zx8P9buh&&9VcUAS=RGRg?2dA+BP53r5sRuNwV092$W z4!eDCZF(sZT3_VQi?-|Wqk9a(t|5bfD);x0uHk;XRth?FnJ2va`~fy7j-`G~$757QRF=^MV>mbQYl1NeGFC$)MXJT17~`3o1$ zW3)>bE+PR0?-`amb*#Tfn_}3+cuhQ3RdboGnZ-zSi|IUc^$#8oKe|mV@-v+Ds7b=P zrgtkw(|c$~zMhL7#Fv(V{VBCFOC-#7SLPPB=}L~dl^!cKHio0-={S47ySk3K4>}V= zeQiy+UO%D;#5X1aAadXgr*OevieihadpY}rBo$m7+pt+N`2m+BIXon<`o^9iKfmma zCKwly9_);F_;6;5)p+h)-|4M3R-{9_WlS@34kW3r#0puD`qfp>Ec`5soTTWfdX`q< z758p!MoKzcoR)lbUcEDfSJ%OjV2NCv%s3&Wvlbq!^3sKaDM`JAL)M?5>3(86kXS9NeDg&6zkj`G}+ zj^1|KMJ~!%p(xA&EU2s*xOl3COQn_;$gqdHTba32lIT)c1ExkTa9|VQwU(t;m*RR| zA>HZCd)~O57Rfl=;}=U|-{i|N6lb<{3xweJUJkH449ATPC9oO@_N@97Yl{+*oGP$@ z2tS~q&Rv&axwzaib4TWxiwrZdAE;B^dU?0DlJ4$$o0V&``u6@~#|Hb@Y*PD@P%m7< zXb=QlzIg5owcVK$+N@{893iI65Krf3HYewT;SE%!M}{8|Rqp+Q;ePu0=bwMN`Y(xF z{R}_7N?{S}EGN_c`88uX4nNs&we@sXI!Z_rvK-IUSdL=8rm}kA(&=VsVpKLq^DXbu1H!w*05x%$s^W9tIx>If|Q(&W{U(3H~)o_P>j#^qkYTk-hG$VzB)VieTlGK>{_JCVrto`s`K zET<)_AW&6|N!a@GXIACJc3oA)xj+FiF-nL5_@Rv9gyUb7Pz--v23cX$69U1gy>*~A z$6i`XLwy86Rna^W;NE)cEySjvpu%#b)-$r4R)$!P^%Up)a=Bc4YHfTr5Z+ke_MCeC z!Na>Ze!P11D#kf`Ivh`5jBo8GRu;T*nr&`J7>PA?^tQPi6+MEgh{R7I zEUnaP-L0oC^w-ppL|fZ?2M4&$V-=@K1EWxby$48A#?5JEVQgh<4}g9IH09JJ%A4BW zu&*33modYy*CQL_6SU|uTq+TZ#^H3)kzC%P;UFRd6VZehGakvrGG%3X)(kAmJl1d! zy*ly(Xz+OjP%XWHIOB3g@eeq4tgx%E+~TY-t9DuL=*hDe-@44_t;m|6kmV0 z97|T=NF3JS%;ZLt?|Wk8$vuME!(V^?0qOLsKj3nI`}SWyV7Q+siUIvSem*i4NX3>s zfh3e`I;mva(b?Bg?yTr$xgq9^IZP}kskq#`T6NFu}Z$+4xTa7)0secadetwxaQy9!*U3c@m34DQh}IC z+iA#Gpc+(0ud6NE-EmfxIxPo0R;DQtEXM$yvV<}7oX7l?k>)b@9A0=Rf$%5F`+JHl z1#pFQ4IIOAh;*I1B*V#a5XO-u2y-~ysiVC-R(^g%npgr|i8D93G`0{Tt4)tSdvN>q z{fGB%{_wp~o&N!ux_=;|{sXTS%SqvCWHy`%dsf4H8Ln=)-Keeuh|}%PFYBUA#FGK| z5d1ajt?4**uD6yGVQ@EHePmS72Er}T*lIn^>PQTe=!@h>m)4W1IG4H`M7im?`5B2I zVmm>oov8t#MWE%3 z;RH9M*jCDED9^w>=W>d)l0Pxby{Ym8bWT~9)9%K&9Haz3d0aSZYaME|=Q8d`f8`|V z)kjW}AKrdjDthl=Int|15NFSv80eDaK&*)~qPPe?)&t+<#Ad|1JTvzE;T=f*5Pl`2 z%5wkuJHn9|@cSQ(ZY{e2BZMa_b-0y7n=Om{HV4MS%K z>s18VmwZL(y3iMPVgJP^m1&^vWrxt;f!I7X{olxEfwVj zkXF)p(LaRgOMyeIa`l2{olaq-=|sjb+}L>Bb^=&Jqa(kHrAXhg)2ELO9Xm}0{4N5R z7a{MK7B^u|9mJ_4K&$zPub#_|A|9(XI5oNw@-EMgKYw_e(&O&0*T|^<_~$>rLv9!b zVr+=d{mfwaKK;HYy1hEP4vhq?dq5hhh-1PV*A|xd9P3vt%y*($dVSlmGriR{T5lm| z)+r_&K4e}-0jwv`c%YWdM@Bre+bVt9P(Ew+O&c*T4y~z|e6+kBQ4&xcvV6MhHVqE01-Mi3Y!(;8}zU)g*f>qto6X z=jE@5>GN;hF@*K9+&{nl#&B~UTJGj;`u*uZd~Wlr;jxmiUX)AP>E7AuF;c8sUmSGD~Hs`Szr$@ttVI(K&jwS!NAM`!wC`y zU6>mXkvJ85B~#REZ3l}<~GYj-=hyd)1k=-n8k;aoMd zxFN4{am7ZO^Z=~}j~9^`KTjFc#?$Vh{t8EF9bxXs>9Z$~qD6S=o%basees*&{_MOGobAbRiWFt@RGWor8`^MF8VS(lI_rGLWO~0cs`RUp( zci?WG32v`XF9mjtu7?yN3H2}uE6oKCCnqJ0n@v!oD5m$s9eGYjj)ayZ%!()%16Ah`(Z zJm)s#7(uBO+z6fuuPp%U<4}r1J%P)G(SXS&2s&0sW>o|;KgZ>;9LS0YH49Q$*2ZJK zE@uTe>b_%Vu$+;B{{Vvei*LP;=8zsMbugR$qkUcY9F;*0rIezaBfT*;xyb~3X7t(p zTMXfD|MK&9hzwykTuyQl@WFnsvg8)M7e2SWIkyr*yaeVH;6o$`W4WW<)n$#0$pJ{U zs_Ad)I(fFw4c0)X2~fZ2Y@dike+mABZ5zcHm8{ku^Q-Y>6mD8j{1Y>a9$_^_o-Xv> zv@a1^oLyD<5ZlchWH20074&{7)VM@9yckF@RU&V-N&D%FbUe4B)Py<4Bv=lg!%iwg za62Ti#86Blwze6dyWp+Hlg^IgorML2IUeiTvnP&9k{g$M=hDT?AABg`OSSuF&N2^% zH`!DMVAcwWam|2p`p?=re&vuAqu5$mc`D ze&C;9*_Pq9=$SDbE=NT&Ix$0KGO@aoSfBBDiAOPRTd*5Ih`fSgov~{a4cHXRk*@`% z)<37MwImtOt1NMv#~MO}KIAuZ5-hj0K+DRD&AwG!F3Ja&+bixp(OOVc&1P=s)Hy77 z;@o98fR$O_y>i7^?)=%aXU|CT6$~izF_T%#H;ow;)sFTGKP(@uTqyy9zgIBnZ+e`@o^D>e6~Lh0wp$Yqj-c z){b&ahgZ;zA?YS0Dwg9SQ{ry= zdsjYqo4)^|G2F>x25?X90~VeB0pgm-3?+$%!LJ_Qz9E;pb`>p2)FrZp`}1EY=&0v@ zy!H!#*U`=B#^mg#?)+887elfLY)ECv(23)1E?3*JA#NO~c-$SQPIpu>r6uzGkn zz;eVmHk9}pA6WgZmYubQt#}MNF$xkXrh!cs$2#d@a%wes5LuXC19k(i#(`9qRK*GV zZ*C`vZZva(?i1pOaBYm8+sY_|-DPCfgS~AgbM&kR62VlHBk=4Ey}}&rLns=HVYtLz zPR-F{bp>UOu&^ILbMDLu^pPcA^A2-45(M_ca^%+2n$1z?Q>WHIqN_2D)i*x67GVE? z%l&dq2<9LE_4hx+6psAR*L)D;z~?aC&%ZEznhgXY6851xf54Qd0632Fnr>2#oan1A za`%ZLizcD6Zt(1pIzyAE>b{n#y*33RSyY6}BRAyQ)4qk3_-@2YsS3Z`98H(Rg{7)N z^SYI`1ewMKBb@0&E=^8#LP$apXim{vMtFJ0DzmkM>mqVLn){ zOaBm_k1U7j_E$$|eB#;~dv^aO8uK@=p#;M#MbQNNef=MZar~2J;_8prf4z7A`BHdm za$*IL=^l(Y1qFagNCB{u=(eV}vY@*ENH4Gl{_yIa)5jXiwWXk=Y_6@)uPm2i0&PAR z&bGTgx1LCbX^%{852-%~3i^Ow@TN0EFjIthH8r301t*v zp1W}V%$bXCzyE;|!Fcz>EAJ!L^)^*Wb{>r7$PkF=$Z`etz|`bsXwx%0smFTbmtU^` zboHNq{WE3U-~RITpRwH6-+ZeM_UcbJS$w@(53h~SY=mM^Mdk7T?m};XA77;~=k0y< zrB&U7-4Lud)U^#BY2zA(J_|%!gIiNL){{l*SsXSikZ5~OI>4SX5%a1YpVQ{uXqV`z z&dht0yIV`^;FW-R!7-2WsLEdet%8nVIAv4Wje`drjqq1B#HFbn$D1;kULJ`G%mgTy zdIahvb^Xh5g=}sLc^Bsb1;g12TaOP_m(;S$JaXzhAPAMgSPtElcRxhwN?ogbj!6J_ zk$jX|mEUl=v00xuqbTNnVH_gE{q4_EFZs)VAjR<~1j7DKGx4vhKeKIpIPLS#jxKCb zBya%EToD>)ssdvCJeI?FttJI!S;~%6#xRPc*Z$;^7|?(|L^!lf;2j3ObREsuo1JnhZug!~jbPPo`^a zE=nc}DvCL(;IZOz_#C4-3}!4xtYg8tPE19#E^9fc((EN2$9pSE8<-y+J$-?YCd*+m zI{o)PL{)+j(*>rHZ@LeA3jcW)b{1s38%Qt`icO)hL z{onC93BYjua{J!shJWtW^lE_EV|9SID-tP%v0U%K;J`q4U1@cfC~$$RG!G0mGY&Dc zT8i#sMrp_$6>`UDx8+!QdzsCt`4A`d-gWS7Gt^qJq~UI)i3NXZ-|txq62Fxj7-sSR zr&%2PRM6lM2dQ>r0;7-o4foSqZK<_IcM9ODK(jdJ-|_}DVA4~Cvw;d2jSn&_hQo3} zZk_}&hCa5c?|6GzWebnyD#)bBl~9xK3`vuM?Rcty_k?uQ?J z$Vm9Dix-G8@S|0ZVy&$8;$gE6#Nv!`sXIL)BL;dzB35ASu3}_NlwT<3cw*`8wH0c7IK_2+N%gDLV6H?|#bGqe#zUov zE5=zycjC6G}L|GfGj6=JT(yb9TPe+5N>Q{A1e&Vdz?wSx{i03mo?G^ zoj7~(;@MNGN%TrDzfB$d9y>$T!IZ&34gn@)A8P@`V~*I|_(}km(@ z0Q=$TDrPK0a>&VnqRF?g6x@cYh?HuE=c1*c{GG;ti;?}<>Z-8QjIoT})Z_6`=@~Tg zrR0^PF`LC=9p;!&F^){zT%W%aca(5Xmjh>ab%1(l7l<|f1uLE!Ng2kUv6WQZeVp$l2?F7WgIww$|AV9UwveW0{ zhS$n`*l3%@cL;N7YjMZ%o^n@xCx!f35W%N$Ila|)-zCa@gzzdF@V{BEQ?(-(2*ri; z`+Lh1JXY{aDuY?5-uUV2cYkL<@(p@d|M8|KVLJCChP(TGCA2m=vCI_$VlCWHh=ldG z$7(EhyuYEWs;#@DV?cjbR>dezj}`L1;u6-nEX1wWoRoKN(GS@QS8H758AsEx4R4hd z_14bzIw8&|Xk}FW>UdLleT{z294`A*JM~E04_~S#Obtyn_INVCNc_zl|8Alhvq>^F zF1NG*s+GTA!sRID$PZit7{fU#dXKf2R4U5N)T9a*4<$6?cvv8w#5dI^k8nsnwm;e(Swpg z8|r7VAPUW}a4!lxqMg2gOZ*2c$7h2_Llq3O$U#nF>k+wJSHIT!G+Ad=%o&B+_peX} zv!}jvL3sYd%xb#*VwF~V%VYBa-!d#arkJ~N{m1YBNde4b{hBDpW2J$3lOGi2re033 zLQBK_2vLq*s~#(Lu+f6h-Rxj{byX9PmEQjD<{BO<9tVVu#UO^mP2iznu<{RYFK3q*UH^0&`_q@y7)C*D|)OA4ONy% zBEJYKVHpm2wM~vubP>lhr6u7yPCTScNi>;L=LOZf#ZfyjSW{fxP8EFe?D=!2-}Ddf z8JBwx%gNPgSeW`h7Eb5aS#My##hchzB^BoH7()Yaxh_4984_3P_-O{*&UhfVCtJ zR*FmNLqn%_9o=PqmLV$gG% z{^1-gE4AE*AD}AzhmWsFj}RD>aw|L=*%!h9mE)V90}`Rm>&cy)nlGt=K)f_N z()`PxF&rC1j^}CiU#$g~M<<~OppndFBuW2}bvbjct;agVr?;^JltB|mQId**Q9GN@>Nm(5$;b#~k=Jb_C9b0#v(bNXw<&$-m%LdC3+Hjss)Jc>u0nP&g2s?OR+O z{SCzx&Fli$+FUqC`|!aPbXGsS^3lhiexkq8%!EAzx_+F`akfip)$di3UZ2~HN4VOU ze*FZBEgjz?PN%~6AAkGXw@B1|``uOGOuF%-V~uP~OfCa$1`9*aE`|VlZpJ&*a-7gY z9y0_bMNdO{MIC$*HB=%L6QxCBW{f2fv(fdCx#gg^qJ}dM$ebm3glt<2=j2=C2nFR3 z1%w*b>6;{4iDzJ76c1QD8KxTUooFK1gbR7cuOWO#fi2`&tkyof{6Uy$Zfw(6d)isBlYeYLC?&avyd^6|%i_~@fQeDe7hsHtbg zYPAGAbDUcy$Z^023pG`;$02YM)f3Om>nHc`KYR$43iTYXlRkpYm&&-G4Oa8+Lo-F6 znB9oL!2}UsK9EB=`UEfM$bl*tihv=mwtMQ!;gMh_44ZOpj{17*K6Gz8Brm^66(^eD&2ApMLVm#~))nTG&fxPn|F{t_B@g;|4$kpF5O%%jiIH zWDZHguh)M9H3u>n>wT}u638#$h7X5dE=J=3iT%uiEe>{#5LGc+WWhjs7#yU3fR>F< ze{*>$EYHlFixFJRSTxm+g+ieq3mVOm^elz^V=`jiDE$yJqh4=tzpyw*-wB4J6{gmq zsVAbzZrBV;9f(%294vfX3s9c`4`A}50i%j*OLSpk1+Hs}NN_#`Yr;b;cIWOL4kUkN zVaJ8+6X;e(UXM+I$-(KofhbLDSyzv{$lYRG?h-J=cRu*Tr=NZL$)}%x@zo!{{Or?D zKm8~Eo!`ZGf z?$TSAF24Q7a9@7)Z-4ya(@#J9>{F>UBQ|`F3)kcDxPoG0VO;G>u5BduxBctOXe=3* zyNAp1F)sJRRa{P%ng#t-ORHDuB~Y_;Y?ibF{6;D#H!+)E1T0(iC$YjS@@R zf2k|sV`drb&_&9UvRI`m6HT8t*5FDst7?b9Mz4ew|J|>1CCV0 z`)CZuIhl*TBx^W}CAB%Bu?3_CT$f@c?n`xys}G!OP&ygb1`%FBrMm(!!WZHf$&_{X z*OpXs8GrQD1@gdK?|%3(#`^U0FTecbAHU*n_z%(=e)nx$j>jrOCXx~WEN5sXu)iCI z-GBPkFti4+95dF$a6kRPl2Dc-r`{4C{o&K;AdCIEjWE=`cIE_Gf?};rbOhJm$CFZK zJ<{J;%;K_%v;0D8`84u&peAKFR$E%MV>cX4r2F#}2n-zYIA0*No9}X@V2ptrohHaaekpJDC`&`C z5-eA`x3Qi`$NkV*j=vZlCd%Eree+t@<#4wjs74N5?*8MKOR?zE)RK>_r-ji4)H_Vk z$#ClRIr2TstV2WHwZ%ME4eIml87xPX-YSOU!@~)t3QBTVq~sNf zf|e-K&?3$-Y7iZS>ub?Psfu5NRbotv!!cWyR4js|>HTzk>Gk9~ThA%@=pTV`ega*> zubhx2`GE<_iR17w>3E+JMpZ#{d2<&fxfNXQDJ~Ajz%SRx4?q5RmD%~#@9{em z=0Ng4nefH8re>Hma=|P?0fr9B4;W5q z6_?9)L)`q%fG6T3!}-Jeg)Td!iFEdS9r8G~8an9XhUn;#LBo6@xjL}MO(a#yUg11R z4o)r4GW+|f;Pk6`f;G^w5$S8m2hu6e7!V7fb4E_*%?(cr7B%K^2{x}2q`ZK%EC zaJ&Sl^&P#{&p*fIupBP;87}v+-fFfa`A;ravb#!ujk?Iv?AY_ikGP;li~eW%oFW|m z!sRd=mb>=^D9Og8Tn>FyDKTVSPL+dUds4Y2%MG@dJB#auky4by<%In^bU8Ndnw60i zG+5$xUPqIv4w89g`6>9f&`_p8Y^WEhk0_71l!QL&H_O2W%73Xk&h)`dtdJ5nhD)rC zjxKL5&w}51AuT+}5-^+z&=^kV^VuLbN_yb?5{5|&T${UpupXBiFfPYb=|d`#&p-e2 ztFOMKBq2|H@%bkoNz7a>cl@ZBH)bNiItm}Y!M85B6M}xx5|{n!jz<|3YPeOc@4cIKISM&k?vF&d zFVO|3bH(M(nJC8*c(aLezq{PrZ!SlE$XbqK?l+gabANay7+afwh+Qsc_BN<15pdYt zbm^BgVNO2RU6t#sZh=dlS}vUeC1_mE=k=QAK}%0F@tOI}a-c9%Mdi+2gwga?0p;th zs$alz1IIv#i@S{cBci7_E=PLcta#U0QtIJ_ITuxdX zcA{Kec|+Hs%dsImbh$5aIchn%oGOxc-a;In^l<2MY@{4P4_6HV$i${z4U>KNrfwOR z`w5@RmLyr1yZaEAi!4sCHjvBlShHP)<@k!X>nEISfbHE0-HmccLgNJ{@_STuy_KJYqI^%v*h=yegN&aMV-ck;>M zmGnSKLM=y>`}89;%^8)P)mw#$kcvbts|#pua92@oZu~jdL67;SZvOnEu5OeY4x^kh z`~An`TiaVxQ!D-$@TFXaY%t54stcNLu>t;Ox&HcmM`a6Ak2GH7hm1-R@`Eh50oqg} zMrvOUBtc@WgL-B-8_TUI$?#TH0qY980a72TUM$x$c{vfMM9#X5Z@G zu77G|7P(aOR>j6?40rP;lmq0|ySiY{wq0}&G^}WrQIUukw7P$=q2kcx-esEf;UCBk zYP#fdpMCblm!F%r`p#PymBvyhP zOcxJcEJeZ#6APR0C?42&ta&hZ>ajL(smky4yXAV^xsGbEH$Yf(^jNd`L570|5Sw8* zvIKBbv-K1#CTy#4Wthwt;KfF}G&eWi-z zOSzoV!yA`VMS{y!lv=%u!X>bKnt1Vuibqv&*5$I6yGmk};cnl1JmK5koSbG-Yg~@G zoLLp>v2r!29H`7XK%DC=x98Wk)FWYt@G^KeHD1Q!ywJ4DH+HA<3~-0Ulp-@2x}N`5d?lHXl!+#B1Rnp#F9n6<9%a3PCe48;DS z-4v{<_2qGcon`iXRN5=ykhAP7v#MpqY&=#$Vq`fUD-+;D%WeAiU6qbq+EoL>li|p# z0$I=oLnU>T@0w@ZXQG@*50r9({3)-}bfr?Moz>CTOT5(yD1jf|CCVwU!i2=}H@x&1 zjyvXOa=B5?JNe?)87}RRx@kSj-4m0avl%wg>M01@gI6&iN@`IS2c{l7Ipvt6pI5gZ)Z>`heHZDiY zs{A0AQ{x4zg|3<9a&)d)!$A=Wwj*68m&;|n1q%#Q5WUqwW4ZpL$MslEM+`x^_-FaH za5*JbL3-45rFMg}?9Er^Cdc8Vzpq59w`weR>(J#MKZWmv$2$GS<(QFVUCv$A0=LE+ zm*cT!U5+x2XG;-GDfho|IR_UA+w}S>NsP;3x$H>uryp>+9~dv0D96#^=w{42HMyk5 z>p;{|I@|}Gq$a6b^{OnV24bMK*oK-tM6Sl=ek(~Z919gQ7NJjN$|M}t7M25ul~d_< zAoYn#m$1e#A>wk7z76zoM^8dCmc!*tlzWpOva?L%a(i*(a$JFry%I0Sz57|i;dAs5 z6vBq+0?UoOo&fQqxeQRET`p0o`UdOE>Yxuidivr!@4iDxVjk<4Ur_&k{t1)x-(2o= zHp+>dK#8>&Vc<|AOx&glenjI%D!<9;IS16715=m$2#7?a_;(*ZUkF8(Cgw~PjLV4` zL{JhgkZ?Ie-I2`?0|V`)wu1VWI<$aztb6;2Ju{d)e5`V~>|b{b`_CE5nuM zhCJ)r+kT?l)JWFl?%vXPNvG%Ffq&Gli%7wcr;{(x}2U)*5#N6Dazq< za7X0Ts0#kQB!ReBZho-6&CNCFg}teQDM|Fv zZdD(a#HQuv-in-|Ik2nFl|f^;v{DDKt(*+=R!#9c0DJG7$0`OVs$e3kaXD5;Cd%P) ziOq@E3+qcWyw&F%(BFlfQ9H*@K_64huX_4TcDRc ze(o(WneVbG#L>QBHb;P?I^nHiI9!gms=`PVzsBVXc2<@`$gP|Fz+hP46_R%t*r=A9nqG#rSBcf=UmfB*-b6VKyoqvcE?Z#(iPc4vW7eoC2`;A(5=xrH zQN9c1vBdGbEa&xaJ8RtbR1UgyI^qH2(9W+yAu`y{!0hC4EQiZ64A!PjF30KtX{LON zU=W$S)ueCw_58;2?8F$1!w<-#Zwv?0Sqh+NG=CNY9>va5j zw2;r5rjH@Ie|wWVKa>~Mc&Q4eB++9vC5d=;R0U(X<|4bR2^_V_4`!rEN%FhpjLQjZ z3TD!);O7e_i|fiQ#^oS^Wfe$b)%uKl3RWAJqsf-#xWrcDrCLrMD_D9GD;~F(*qVGb zFP9tr|93fUiwya&TrR(5kOP-yV(Rg;-2cAKV^wCw<&;xt!v0s6JB)Hgsr5x)TKl%gJ!KoZxqdE@z^gDM|W8+*>EZ znduUS%gw=XySuy5#aCm?Mq}vZZz>49Rglg+RtOqzD?iBPUXOFxqpyr12`+a~+BMiz zRs%*8bnDwZO1T{Q!8}$)IhGHC&jBbgQBEDJ5^Duwd2t>q6mLA%*H86WA3xAzy$0-% zG$9j$&NL}~cs3MUoty;*2%We+*A6=gC5cW$B)8ZlC=pv05(Ert*5XF>`jADZQktUw zPcFB@TNPke6^T!?bw4gwUzsB%b*`T}STQKd!E8xBCCVK>);?`+03_h!ayjO5#^us- zx$V`lvE_B-UL?$Pk31@tL6Jv6y``f+MY+erP`nf6^jNce*H|vESuWRvcG5BSHXJ>? z{~==%#^~DEd`xv>Tu!*^)0%QA%KheY8y>%0PE!)r55q9@v1NT@IFSe)8t(Dv=C*%! zY6&4XX&dEA*cO+=a*zj;9{6N4EBDk6dj(Z6>XX_0KouOyx*S!oK8%2Y)GNx#a=t($ z$6Z&Lw!#Czk!L49N0dXElE(@Jko=(WgbdezSdy6KgQA?nrYM(6Y)p(Up$09cX68Ki z?nwpVrufSEm!h0DH&2G20x3aXOEZQ|B=dNzmh$d_hSD13dWKG3;7a83yYGLfG5X<> z5X*fma4?5A+-u5kbgbyiP?416!SEe`;%!-Xz=tk({m|vu?*II=qMTgr;mBGP!!2%* zSOsRY<-!~#JREc+C<2Rqf>p9<&|6D#3K~o;XQ%NpRq%hx4=fyHI0`!L9eBVJaVxHO z+cRiLQjtJe*UBacW=rffU}(xo5Lk{sTlqn)KKX&h>-WSOTN<5MedBWX<#NiaaykB` zDjv(>6$ti>vD(FQ>h)zgXX60JXpO+Hj-I*5j#ZY!Tx|9g;6D1pCm&xCk{_VvsbkcZ z%(ry1MtX1+WwsVJwj8`Tpx(~-ov_+=Z$K|xz$K_bnur@K4BRwee`GZkwRfFpQmouV6 z5Kho{4PiJ^*^!f{&10pLU{d#{B+xUDiYo>c*7IM z<)r>WVwKBrp%DPwtdfLv_%M(4&Q0uvn;&|fslMrzUvI>Gv(p|VjsLUDamVq$xLk9g zwWzU06HQ!>lH@STVL3_?+zrF20i)vv^^EBP;oahf8plD_<+#Ca14u@XFP8(zhLXU4 zb~#ha9p(pzodmRp(){u$QEmZQLb3WO$o={Y-lpF}+#t9dG)SN%%wr|YsU+dCCR6sh z{;rDBdUhR@B;d}ok2Pz#tjhroX5WADJW)#WgB*LSw-|2F4_aELa z>uSir_+-m1EawQyZZE8;$=jR`K@ zWQuZwtBC?dLlPVnqe}@f}BfOMWoIL6S2sGCRMWiUs_! zg1Vy3sg1U-rRiP4eaEA1}ZAbcL=e5 zusoZrEKKcS5P(v+a}bv5y^6}cttjaoBNu?5;09N;CT>wQyOHf{6j~98r)U_E*IxEI zUAEo0qyU$^iTLW|%+d-sXg)`te_{~@^T@8%dEj~UD(;XTA_zeLwzamaW`8%gsJO%p zZ83$0Ga7gI)E8D9z0_AySjpxSmZF}{=BD=6Jijl$wZ@s=7l9zMGvRnqeQAPz%x3jX z%q8qVSX0%F2a78)H1c3y4~&lP_l_>4Z72aVK=drEqn;H7!NHT?h?ljN$NUjcb}W3|C8_0w zWL<;HVaNL{Ai**Rm3=+M2l;%<#jZN&-0Ga+paV@sXKD9|wwyg@1!pZ#*;bTWHa*EA zM`}ClPgT|1(Cww|vF4RHeXm{zQrkPlO(ouiO$dwQY3JVLy|HL2350faesX3t;D;w- za(+5qSK%tJYwfH~1=4(*+;{N{rIN9o@*{(Vz&s%~M_;kB5TF+X zRC(U%4O_ltXWQ9Ov%BI;YFE$Wi^JuSj!xWt8Hk}$JuBJrsYPgQR-=*m@r4aa=s=W8 zF`V3}OWel`EIT=Y>;h-Y zpkg?YMdM0g(q#x!#^#^oD`$CONL2xc_Lu?_Cz~65$gXs~2ng$@y0^D{CIlMr0=zpcIVn zCf8q$tj0LD^9my+oIX>)jkVqE8s<5Nl|QOHj2hoXnWhYFgdgA3-~xp z(ajxY!tzGCqI}n%uwalPHz(sz?h6k$NGXNKo#-k8@6*NgTb;Yuo|BuecH5FpgL+6i z8#>DOk`~w_BuiI-AO+ao(#+;^vbfl`m(U5JFBE~eVRb2#UttgN3o{zUW|maSVvR1Z z?b@8>Re5oa76EorX2)hHwjgX}hyu+fL!m_ub5@ev4vWzdfF;E*UV!;ks*$MP#bF-s+7=d%3K}Z4E{X-F5xvk5%OtS2RG^tFtNqX5DBxc975E z*uv{1TdcootyWTAS&qgz=E(7lk8PkB;DO0vMEhupkVh#o2nIw=};@2Et(?IynyKGFUnjk?x|haUy=w+B-1F&xR0%#ZaqqEb zV`&=wQ%Hku-@kwRI+IHd&P7iB3saaEtGtiVxs|ODas@zxY$$h`=^NDhgY~&NRqa&p zO_1r7f-@KYRI#i1_=)Bc2*)&tgjW}Ez2LlEoUgTaHWj9A?)nNys-P?1OD65^y8I;k zEa?nPO8L15s`YZX(cIs~(K6}C{OCdu?EzG2CSQ+DFG4%+3GZx9&#yCu;@&+T1Fn~% zyXWxPZU@wAOTq7VurCJ4(AFx&EtE@y>NTzhIrE~##yjD#+TJT6IoOIy%PQ(%C5Mew zt26`)VBb5-Dbl$M0QgUf-22RNCeU5K@w~hr6b(siicXE=Mh-%BV*a6uY>eC}|q#F3&6F zd{9tVF8>6gWAiG{6HOK6o;V`%laak#isIemL1D2a5=|s^5XpQ!j;PAst}^s?d~^K8 z>?UL)9{8)q=q*=bdx80dHGX++K+!wjW|k^*alu4kQCO%$O>1B)m2clq5)wI?=GvV9 zVPfxtK?8=RsA!fbcaw~ga?uwr&f^<~7?sWKAWS3|-=gxpctNzvmo762Ie$SkB&Uus z)}mLD=8@V{S8-uxeR46Jy%HI|$3l%69Dsd@#HBtosx%a9z6el9+KR>Tk%?uPHgroeGc)Jiw?tM9zea`* zIR~jd>Hq3zKf9r%b!~1bw`5A|Dhj!fWRxZ17gBdJ$v-B+_1o@lVkZu8o(WePmbIc* zhOH&gdqHEUZ4h!cB39;OIMC0*?2XdMDeir_O5)A3hvtC80B9|tsgVWW+WZ8Jqj%^` zZr*?q;v4uN{`OZ+YrgsGU*SgMDv2Kd=AD---i49Zb87(0(2+mDbmgU%*lscR&~A5G zk*kF>UzDUd>0qnJ$sLeN5_>1@rtV4si{oh!LohTgKoo{x!D((XxWdU`nBWbeDjFH! zG?ySH(Y4Lu(z+KjLh-%41+nU$B^?uF0X9AwQzSCrhY-aYj2#S@7%{M+1dv>vvtzA- zeV@)1mA&0P*i1t~h&5nW3PN|qJrehcJ7f_q%xyW&+Mf3EQY38vo}c6h|Ku5&>@AKi zq2#-K33|D=IiH5D75)~1w{_9QV^ZDxng8Z@C3fUmoJ{tMe2fRdKz#Hf!(LyoA)d6Rs0n7B>c95 z(`lA#*(deki{ZGu#Q8E-{xn-* z%aQ(Cq!fYSo%nxwd++8*j%(Yu;k|c*@!rtS&|8tBrwm1JBgon&NJ%G7#tf>uyDBql z*UrqHnR~4b7Vg^XZn%j#>K%7#Yv%UbMOgJMa9-EnaQ)RHSKf~AG+HO3f%4K+*OAl1 z!^R2mH)4!ILjq0JuLUD~`Nij+d;a+seg%s4H?O?%+uy$l-7Q-lAAio042a#)-r*V1 z8P>qeVrxJ+jb5wK=rARx$2txY2o5h) z!l-}oIW9$C?b{2bZaZO!kDtV27l%!$%rx$7z=aDH(-D#bajdNZYoR_t3^>BwY;Gth zXxy--2?k%dstSr(7lv+=l%(rKVsR)m0GH1tftRCjTv1kDzy0dV*S4@5?Z9x?T`wAv zI}sVXt9Oe`LvStuFn2?TBoLV(zide|C7C{b@YDcod`HDoB~pmDUi+e8jk2K=r7>L zqR?xu-b2_-lu~RFtaoe0nK>oa2AY?MPfv{xoIOr720V=jE(rvS)otJd2TpXfw;g81 zvAxsQ>qcNm1J@zD4(SnUnwBbPEQ{z`Ma5}iQ{B=+6$V$0wmF!R78U>(V0xEI11BSr z7b&Gt7#_*O5@O|*mQ~bkz4qFztppgk0?r%U2XD9;-@^L@Z@B&L?`S&}XH208uQL*P zO)8*ipy9|%3eV#M$9ntQkKsAM4$WWQ`STm_@WB@J(yw29?%C&Fc=1JWzo;EO-s^ut z>)wS#bKk)u$Iyqt`Qm~Uh(g?!)@;IR#5#saoNmD+ccc1R_!CKl=EOq+T^G-We5Ant znlt5m61|(~KXv3dq{_!!a7m)8x1A;?kUWb{8IXiEV)SmDR?8Gaj>Xu-EQTEOG&>Qz~=(o<&-wDokKJWMc@zy9T|KmYlU zzh`t1Hvd;IJp0TqP3-_rzx?tmufFz{7MjpHLKqx9&IZq%!pUL}K>y${(+Y2bMMTGN z-Ss!G{%29u3dAd90{8Yol|?WJ-w~ z3X6&q8&b&shK*O=aM{K!Y@|V}jMojDgFsjBLGSJXh0(jhqO}5FwrfS1!F@N!`uS)1X@#82Fpeb39Z9)tS?B+S2nP#hB7ZK zEakCjn50KjN&qQG_q^a}szALJ$Q3%C`lfByUcYPO4rY-?VTk1Jy60Xrjuv~*y$1UI zw(IB=%2o({qKs(4zJxcEo;Z6D_kpv7El{urwzgo;gw=rF?WN~`Npq#Oie8SM?hVL- zS<|GsqH}F0&xjFe8uwkOXSI_IW0fMq5XK3GyXj_6+F;LFYjuiHjIbRU34zty{1C_Vv4V?Pl8Wtvm1g z?tOPV7l;Ssasv|c-h1!4>vo1$9zjuoXf|{>COVXEVSe)L{v(~;r;p%0@E-A#{_+-3 za|Vf*UZS}^ZC%}q0-YnfKM(;z%fX+1$qL0WP(JJx@hHiH8Z0^?6^O-h1>2yzuVV6o z)YRAAB+HVZb&R!m<4=BLyt>4DOgHESS+9&CQN?9wQVt_z`4x`1lz_c;v`o*dRgH z^rbk1EU7~H&-jr z2-dK%KpH}2F+JOR_`vC&c3@qf2u1kkKfMmU0fKw!r5B%j=Go_8eDQ@B0?CQon;q&; zh?@cb1(840cTdmdR})lKUc8`fhu&Y%m~@9l@|k4ZB$&|81Av`rSLOyyDthZolh0_dh5{{U1E^ z=wpvQ{2-1uc(kH*@U}9%n$EF!qFC;7zbGlnBQP_rZ+j1%K64E3viD)CdR<&qzk1>M z=bn4+m(M=^i)WsF_8BQ2!h>S&O*X#RF#Pz7uYi^zx^B#^iRsy;s+Q)OYWVpO-Q~M? z@7{yb-GJC=u>6w<;djPDW^PF2YXNtKXp`32Aj?M8+$^->a;j!pt~igU6r+qaBoq$J zrireL37N@`Eo|nZHXV>QrDq1ZN0MpA=@}-bvUL%lBsgJH%vhVMhE&1uL*bq z^>e<_p9F!U_y(q~&_seH~@$|FLGEO+j{g$pyyZaz+2trLabe8bh5*-Yl zCC%&C)B~X=lFb#ehp)Vv7C_XA8|m_Hk@P5>sfCPVb+xqERZ=@^vDVuq2>ZaI5M0vz z%<%CP?r^d@1w9T<{%9V4AHp7*0BO1E_L#iSP7ii;3=sfmVti}_j|ve0%Y-qW7CF~o zhcMRKa4OP%1+8MS4NNghN|#=B#{=KH`P!R75Z;fnJ@U{)k39b5lTSXbvxg-}nk(B} zkjq&>B`i81k}~JzelZsxIkoS=>9cKLA-Q+ndgBd~97^~6^GNRLXMRa~?gfpta6~{D z{3o{e>FIEC#QjGOY#A2x3$v z+So!HC|Kwuet}rH*+Ilt7`dHAVl>%P5*~@q3eM>FW??zgh(XAMuC9U6ak*&^u>?0V zW=S(PrVOlzc)^J$w+0e+<{mPkSiod@efbr8Zv4(e-?{nbJMkHL@R7$KfAo>Z9)IG= zC!gR1(LDr8|Gs;?(lJCZLa-Yn$}%sxss5v19y~3`10Hg3y!JW-X)k-Fd-mz4pOxsI zw?X1Juf7KDE&Ka;8+xUqeZe+1$+{46AnG=5Th~NrQ_*Q&O*l?M6KRNLjOCA+cUX5g zH!x6m*)>{=rE8bkCE_$U^bP~A2UA3&Dyt-rYAPYV(^?AKfA}Q44sbQ$2148J?Knxu zOsOh2LHB@n09&=DadR3O(6_>3n=cS*L44M&Y%Odx$^=Cvyz;8+?|$fk+i$r8ob>$< zJ}O;%_z~A!@j%C)^=_yQ#Or<49>Sf&aLI}qTve>PYW_1dF>vC`FAg6+vKOZtA_0j9 z-2{iysiXTP&DJJizky%FMpqjnn1gAIU}~hE4l1+4nswVYG&d6{2(J&Q*RhBdK;c_T zx3b)Y@UFM{EQoCe3N6~GvkS~(iB6JJXe}du@93bXljxk_ke~)!^t=;rn635q^|RG< zg2)DvwOpYXKn59rM`*=jsDGiT`p(a`^uZX`|x+ab<3Uj zKB&1q{2;K^haY~J!4-cm!OSH%YE3UgL{wHATOc1`TD+7_vNJT&d;H5U4z(R3T!tu@ z{_uPHIp12PbDF-s!1NV1Qbh@3wHWL+@w^T*dByjhorfH?pHwFf=SpjFQWf6vbRh$#r4}bB+fkUXAh)8}f zPQI6Zg)O3vPJJA6R|qwK`zmX7VmrVaLqVyI5>EwB49pS)$DF31xO&~Lo$FiILvObm z%B&kPhXFP+YbJ4!2aCukH6ObiqgZAoO2^tX-3ErG21oIguswy71pS;7nj;p1SN_14 zpMP~&5g}LxKy(baa>K?21qg}}!;9|(a|KE|=JhFj;LtYot+<5s0cN;fdaMvYaw4^p zXWy=iue$A?Z(j|$0U=rLx<^xeyjnT3z=S_KMlcO^_hrCVL0=;mmNCo#G>$M9RjYUG+St05 z@JU1^Vm0-;8!Yk!OEtGriCb-)r419s8FyqEm0DtDWrMJ=`E0<9tBdaw3(Ilww!&g1 z_AmHq_^2V`G)zGF2eNcBNGw%>p3Np^L>`6Xf$0_Yu~{NgHwILjGAuF6Rb!>AD-*G` zmXI}BV_n}?LN{&RdF4$vUUBi|ELB`jm^j`pk!{pa)VoM-CO8%>Sg&wC`w;fpv{5g5 zwD%P6@njp63h>{&qp+B-(`Lng^D4{3BEmsxqTz?Q6+ok0SeSeXnPt^y-#nV>J3Q8N+ zbFru0LZi};tNt~9{v%qPHzZBul z#pLl<5Go{A&VO+pdScJE21hs)zYTsVG+K}8~MY-WWlzr;k%$?H+ zSEc3z&!7R)eTlAGJrHp;;1bp%mZqkNP;~Vu9Z$)WRmrtrcW%;iGYbWBYm|k|)MC?S z@&DThDGUJpq`L`7lCjBhcu>q?W zXsk?HW@4B^;bgx-iJ^un*^vOo!1Z$o`SG$SQYO^lUIK1ad3Qaw=fF#|e7(o-40XV!kDDY3m5)K`qYN3KPrGwuxhwuf4Q(W(y1kw98}1>VmT&`@R;2Z{MXE8 zNzNXOqs@i&SSo2uiw0N=TC~Ta!5XALVA7@m89g+e=G}k*wr~vUTeYKuam=W}IxTOB z-Ej6Qu@!Ku@`;^t<~B^HwHqa}U5s>Bga&&+ccsv`RE?TBCJZ#h({3`?iSMS{m}1B+v6}| z@|JvJ&dz5SNX1XwqmL36Wd<5s)+AQO?Z_e$&!%FnR36JcmkqL3s(UOMe{nj!AO(-8 z$0KRVOX3Ojh?Zh3qbkYV$xgC>EV&CPiosjMdNldpbUVpsU}jShQVEm)L1uvxIZ!wQ z8yz(wBVpsaeJR_NvKJEkaW&`V_>BYAp7l$N335`IDh}ATv(ebZ!tX4a_=Y3{jyGjv zs}#bjlBtI3VHL5eP#c%16DO`@RZ~e}kDlB%M%1p`;LzmI_x$ zxQZti^DyTMAPpU~mbf(%SFsZW=-`gebt=nrSDNFt2i3L;lZ&!*Z&O;Q$$|8a9V2Gr3VY zRfzk^hI%;FF_D;X_@g3&b9c0#z1Y02xku0gxks}`kQX#!O0aS=QqY+-Zg*lrS@Dlu z7yJ_B?W(KNT(Z)AO(NHO;bRByYKo9u2fQ~ngBH|G`J8sxG+`cW6T2B5pFMr0GM zfk|fhAE%Q+Ejc=w1=UbpGS8>Hb5bZN-&y7Se4&q-e03H)wH@^*s7P2hRo*oW|KcN0 z<1Y%UO@>yqsu#2X6JjV!FVaAC(cZ(!&(&yIEyC(Za`wTh5&7Cq?Y{6?f=A7W!&S`v zb>BLj&s=f7vmmb(j$wHj>G`%}J$6K1k<*~&sq5h-%xDsB>diYzJV5y zMNkthd!K zQ~U6How&<`o5L0p)WIs55!Fg(!E^YI&$Zzo!=N^qGBYBKocW*q)xpidL;A|7ATPr3 z5Y#j07<80D4qtZ+N?hJ_ea(Wp2G8Le5Fdjl3`aRDD^g`uJ4bHP!01=H#FD$sa&T3$ z+%ts+)rcO0UvnxFJaUd?^f6HN6@KC_-wB5YuCj0aF~~PKey!+&`sEzM>IQ9>jC`J9 zy}VSSqKcgRUzbTPXunyqi!@y)yi9e%nuL!MJX=_izvqOT&wqK4vmL|o!WwXrsn_|> zGS>%L1o=iv&JqtFGLnn_lDWZU5o8z?asEoUUxMpG^|uUy>gY%b?r)~33!Ys-JH1X? z?zt7cu;|=)rz$V2u;rHh&Y}W3-+A;Id=^>t3cHf9z;AjIJXvsz<{mkZR)BL~Pkrl7 zbAI=xfimzJXVvF|?>Ta1P^qA;x(el%^$k8po+LO8^NyZG%KrM(=#9a7kbCBssh!ic zT+wBIcW0S9gFGWviYm2ScsW(g+!uCoF4NqivOWh&nJGW_Eby$VtF`1v==>j}51N&kJ}j5e zvP*(5d_H`P<`_ATd>^^UUw+=ZA{kupG^j@8C`sk?Jr@#Qq<$*t{1OX(iPk4l?B9Dz zHsLMj$uhXa|NKu2D^g5Y^sWgm2k@Wmg4C zs_&w=ebe*cKA-1*+Toj(FDjDP9$kTo7QoSupoRu#>R&uAaIC~xG{*{Oy3Sz!(nRjaDtwjj7HFdXRHOKO{& zi^d0%IHMI5EY8i$R&L!~I$uyxRbJW9oIlVPt0{x0AvrxZR>;Qpza(z)TDnfkTGQ%R_Emhz{&duIg^o=r>*^$nz(T4RHw zDe-q!)|XFq3^iYMMFaE~6@|sMYqzw{p6V=w@wBusnXX%3zcka)Hjtl}1T~u&>Q1g| zO^>Duq1h@at${X)$YSMH^#J05CKFeD?FK?)*Ed(hN7A*`h3o*w@ovF$QU0IANgpe% zPIL`UO;1eD6_!mMIXGgDrPG898=R@wytO<&Us6*%-j{4$-L!4b_KNbx)$7+3j3w9Z zY^mCC2z3Cg;cd`t#SXPIQhJfThHfvSC$g zth+0J6;w2Z^K;39Rht`Q`Bk8hY8�dSbh7-rVzLPf^kA^g`jnSQqrMy!mxaGyT)^ zaEBMwH&w-t?dye+K0PxXpBfn)pPg0gX+lrWt=U;KJd{LekXJTT#JdMeTWbnSx9r(c z9ILDc^(0_yYh${97}A`A`dybcr}|HxorX$fDKQ$aU0*R7kL52W=L>79W{w@`TUeaK zt75btSVnJG-$e1MqPF+jrsHEH6H~+yBv|(N;9S$Dy3|5>V?%Y_x?LAYarC zSf7__ym;%Xrd6xzOP6A0b@dhbKn)pj(c|$%!_M{dV{-*;ZW0`*C2_iap|+x^plE4o zU~n9qUouX_BFgDbF?09E? zUl;y}J>4B$sk)jta!f50R5SoNNDYoxu5R9N^^KcKN^4u8B){b1EvsACZy_orOdFd^ zXBV5-0gNqKO4sbVq`_Ru%IX^HDhksBCp*(cb7RAbZglQkPhayrF?>^Nt zG0}VaWJk|%tg$9Bl7O41yrOXf>@l#CY?nXCrfnO`Q$=ext^x-PGsI#6;V$Rr7l`aI zHM!8dr7?v&!9oE@s+zLGlA6lt_TIe8g88}B?AXBYOfo*y13B=}z-)EXV*mL3Vro7Q z5}cBP!qSr2p1ye)dGPowuP&aQNz>ykl~k1G=PmRfZBLh^#|I!8=_9Uccf6)C)!9D@ z)kK`;9Giy;bAI4-PjZp&ZhD}rXAsv>X@vQ_LVPe?(^@ntRdIYISzTAXX7|Ar>744w`B z=gyq%>Q%IC=mg|>a}50Q+3Dhfk**=21?iG{=tCjyShE=~UU}HyI<^Jqz^-kTiS+92 zYidftk;CA!gzk>V)^4kunxWM!7FSl$iRQ`YqgAbSo=xCh% zK_0j;mL$RuI|d7*T?2E4bxq}SDCKNCNgzb}=l-L|M$@x!8$t4l$5eMuqO547YdlF5 zy7*ElKDsf*YsFikvT$x@K`vfGwNJy>o(53?-W;Z%S{4Nge(9;=^%t!z%qy>7 z1?}IC9h*07+6iCcWsvh;3YHWOHk_=KuDA@B%zLJ zrzbj2!E^A*`+tU%_1(Yx@elY*zlICz>#x7{_B$W7^|bH(;t&wHfeE-Q;62JKsS*IP zu4&!+%DH4UxRV+f!^(>A9OZ?V0Zl7efBBA@QmBF;<=!grCGjqH$SV|@>1`q=vF`v0 zt*4DEx-D_2ktE(8cz}tzfgUm`;0*3I{rf-Id;0XTLx&FF<^2IPgr9wJyti}zrw32b zPPzg(FQ0&l|$mEG;MobLIL=cQ#bE zY=Y@#=jF%=$22g7!lH>#gX1k=YXE&;bIp~PLpdpci+q9Qx`?|KJ{9vl2M>1jojH2w z;8&l00JN9ztGMp}?p6GMUj=&k=9_=~+lg}r|N7Ba$4;H=AH}<96s}SH)I{Re&{8ow znGegFv4J?=|pq zKrbUjt6)II1x&LckaBoTP2o&F)3g7RBb`7r+m0!w*q2B9rw0%3Jwgy9dO3*whDN6o z&J#csI*gUoRq2uOf~pb-#N|(zObPRalbBqHW)o?l3YqB18MfuJt2Xocw`>=j@Vcw6 zB-$B%^0!0vc>CRQL>I+@L}?U!L|hZqsd=E|c2zib`poDQLFtYi{NiJ{u0MM3PjCF; z_rD{Q`R{)VW)&~s*WW#O`r!NTeSXN`4fw`E;*4{bx~tX1xEl5g65vO%U_gl?r!&1lyxkh$J}AQ>QoeJNzd}iwU)D@~ zvh&cv_P(yO0*V~mx9?Er)J*50BgA9`Jv)w*7_|YLO3PU&D1!=;mRh|qK3!6asVRp~ zhIhdX<+vqJFJcAYj)i?~?qZcKmt3=}xrJ!(;N))vLWR5h9e3Sz#~pXvdDlGzI1@Pp zPz@w^?H=0kB?=H{=vy%j6waMHd}?^O>*SIBpAqr*{lC8b#_#?kAlCTRD{yN3?svcc z%U353{PlyqIDen*9>kYy0+tKNXXc0dX3OaMWAHJ}!%vO2sa6H}bkq?mTfUljvEd@O ziik*J!&xn?0nYk3a0)yCl?h4iS%A#oUJ=F%4?R|4a6Xm)+%z6^laqsOUmWO!NVM%# z|HRPABd5-Fik^08P{=M&D)?&AYiR>nabn6~IP8lT6)vJ~c(mjE3bYVD9h@!a66SD; zMC;M;*hi-I? zCJW1LUUA_pF-VIEep&$f8n0$rKp|-F{JM=BYYBT^i>|F+w+4$G*6MW-1vWJ{t@7Tc zLdn;Q0ZN{N90~!LGB67r2RsHVFzy_6nGcD`l_YmShJ#UgJDTq#7sxNvIXHoRgLZAY zt7AM3;}8{<^fwQ^qeh{ky zDFG*Z;_*iwe(Z@S9s`g`U=|R-_uPr_An$|@XR}b>G?J3pb0>QzMu=u|@Usuz!8QNw zH(v!a4S4V+C=S3T83L0K@oN2;ki6?K#)cKaFn8P#@Ks%{M{zAb4Ec zr1()XiZsBRyD4y_&G>bjANM-q3ZijBVVaM)yqwWAf%XGmLqjPM0Rm(pkQ;n7-CtM> zG0B7g#_?3%p}1=@3&WPi=$jwv=uZ-iV-~C$uNE+&v`rXbTqK3?M`AcN5u~ji&rx$+ z-gfcL-~Zl?dv3Uc(8v!xhP)nq;;Enf^rt`h@l#Lz_@_Vpmmh-2d=xC}_hDAPO=02` zMRd~|G@zD1J+ZM1P13qIoX@?lKrAm^fgVAUQ;{E4&EQ?+Vkg7%Qw&z}glWlSac0?p!Pz z*8+pX4V`EW;?5T}iQ)^Imow7y{>M@{bObkN(VF!Nh>0KnStUco8SdOXt08_DW2STD z7U==fOQhy!dd~FCs*MXBhIc>u<{;E~0RZ5;Z@)!E4Z9^d4Q{Yd2#J}TJ_l+V zYG6ewc$0|cul~m?P-+0deER8M2sQo8vxrU{5%7P3!+ZyV2%!lb(uPoVlk=ERg+;_8 z2aZfE#$6X(BB-7m_hE7oRvIR)%cOPk-Pihn@RttuYa9m%%^@%eZDY_29MMVbXN38c zxJc-m{KP$6Urx^gTdFP2r>1&4M-s5BPR~FXJ~laH&eG}vu}ajl;U148x$LM~Ms$qv zwJ4lH3U9do(fe)`3iEppJ@MnGo_zfAClDU3^@mS90sdFGX+kW1 zC2=~ZAb-h{y6WOW>~YZMJ9ZJ>_zF`phm*Qe(DUmc5z@ep%BjZ_^ua+^Fn#6p?)}k$ z4A7U$-yIQ}OFFto`y|<4X__UC73$_RM9j^Ncb@5=HgFiLAY!?)Q3Q2lat9Lv{?PPs zbi)`@wK!XYmave*B8$5AzK6ea(~aN0hkovfr+)OrBab}(!+-h7Pk#KPCm(wZ4D~|~ zSQt@Yg*RTioA$C@G&o|yh6QIH(TxtZfA#SfhYpA;4W2Y{;J_X9jD+`#U;O+RPd|gw z(bok^=Op*#{)3R^2~apRo+_)W%+D`_3h>aX|7K`_6P&(kDhw!NAz%y zJ@yD;_6X^SvGT2(uDkLwz4XllfyS54uV`FFa!>!_ z=RZ>~hv3v)q5T5<`-eCGtWa1VeG0S2F)%|NV%C@tvqocGWi^X;5attU;PT7Curiam zNkDyplRzgytf4+uoFuTx5INT(Y%|-!hQoShS|^|n>Ncp@z?MM#h*QHSWT)}L%T8J+ zLt|>NgQ$O?TX+t_Sc#$u|9@~Sv{|v7JET6?BO)=IA<_bFnpFw|>hHe#j)xz<^QPPG zz5juSAA1tfJto0DMUMyO{LzOQ90>Gq+bzH#ueySY8=+>c&2tEDG=bn4%1(Uxr@wss z*+=jG>CNB2@&Zlsh3C+@fB!%KF0K2ShS*<1nf4oT_5JZ};FJTqGhL$^6$I813$@HYL1l#6GW4_40axz6LCzyHyfU;OR8zx?sFR{@*<>c!{LIfSO za~PcQ{F;WUl5$uzh_-hLQCLOhg0^Ye{4Gc|3=${UFN`GOUm_%d#kpp-%Gg?Dup6UH zLvz4i4PcgF$60%o%zVnUR!^!S#hQ(ecD46S2zw-@1EwcvkZ3igfq#I~N@KAItS?g& zYz}KSbaKF_j8)^ZE3Uok!TY~`6OxnGX-q(J8XF#Z@PP*&5aE|p4$1Agbf*LAHxM6{ zVNC>sn^y+JAuB)5kSHC)gCJGv1F?i1fJ&X5t>PF& zi}|2d7Gc=J;M~3E>f3(s@O|I9?H;CEZq{JD8lu&B5KXNY(iyZ2Wwz=1<2r{RI|LG%!)+^fHT%_Ij~DOmkuAX(K~ zu>%tGY?{>37@FutHt#@od-iBdy+Ja&>1Gq%jbb=Jco2WlU_r6kIAJI`t&p%mA-zL+ zMh&iy(n)j}Cx(=hWoOn2!-a?D0iT9}{KUCFn`v;RD27CLAd(>sy+|)1!ck48;YAa% z8U#4&#YeJ(wt5AmZr9#=|6>o_dB=T7?vW>c^wbX@f1JtJ51)Jjf{h0W>?r;qF{R0t zGo@2N$#M}^1xnZ5e)QA#Km1H_9+*VD3~>t-LK`y2~$2d$|4{Lz=nEG9Xs8N1i7BDOdv!pX|Afl)yzEuw?XF3N^V_U zLo+Jp8!Mu_@~UgU{ey?U^X)r`22V%#B*W_?k20>Ja}S6k;vVMv7<1M`?}X|LGuS2& z&}zVOKyxLa&Ee08K==ugd+Uwg!484spb%mrVG8$xX0I>*mNmQA-&8;ctD$XxVV&)Mt>coW#Skfa5Jy?=BB=o&z$r&4t zQ#CI&HCRo+gqj>48SErrBD)x86W-p zgAYHE!T#+0+u7Ie&fapNHDoDjN+0uripj^P#breRS{bgs2#XqRlFbJdOaJn;QH zZoSha2Mf-_o(0FqTpX7R)|_;XvDMyn*jgcnAoyK(=b0m)eemI@pJELE`AztPUil4N zY1k&uiLOfILGWw53Q5Ep1T1`?ZcY>t3NIWq%Xrq@@pEb zEi;L*>J>)T*WP$jp&l_xH03`eeh`uRDw;)QRXH2vvP+a2vu?g-Iu|L9>BX zg1%7&EIO=ly+67+oAVcmhQKU3p>wsU#@tIb9bH|RTr&l<%@|-qt4v`Q-bVU5y9YI( z%7#YS912!uiLIey3d6wwh>l$j4Xy^aW&unV9k2KjLjK-($KAJo>lTq{5@CBMwQbhQDt2v^C`gTZ28M&~_O=7?G3@>9qxawW^B>=M?f1X?4~Sfh z#nq%{^sNjJZz^CRJo7{gr<*$wSinjrV8sp-BZ;Q0 zw!);T*HS6_kD{iu2|rC0&Sm3=pr>x1P! zNWN&2T@ZKC@YL)y8?fceITIQyx+XGYW*}C8*i60!duIY?(-3B_69$wwzBIZ!TNls* zDC;H?pXRV^IXQehtJGLg%xkosh#f_ZWfG8##!}s~E%bMq|tbiDBB2;9iDsz%Rz|eZZPIUrq2!%ROBB6b9Z-N0R}xARV|4lKQ%eMAg*kek zf?OYUY$PT#JyMc*TOi|W>YG;+8G}`li}dEir(sKTS6_wD%m}f`vbr@^p)q=4EN4)c z!7FWJ2@|oI;7*(n%Mym04W6R!U=s$qe%Z3Hhq6y54uz0|WHV{grj17YMhq40bwd=w zMx2R`6$DAmtups&p@k8cDTu99naQWlP{fBAE~sG@D=A`uSZ&6#-v-z&J{MNT*a#3e zzFUz+a>g$Yyx3iBo<}R1_Z4uIkH=ArD_S1cO|KvH3AfL&3Kkn6k2z})UH#lmZ|6r zEc{O8h&<84g075NF_F-v?jr4kvW7{CG+LvMQP-RDxzXCFNE~$sU4fgEL~I*%3Z~k4 z3D5_)p+q9oqPtjPHi{>P_XBUeM2CuJrj4cqnl(Btko|d<sWHRyZS-6R@9E?Tm}4Y3y&h!d zIb8ILG=Ya9nB5sQR57?7p;?SV@a{Tqj`^KI?jpl5KrH@1e!)3DtTSLenX!hNr^gZG2% zBs`=CA)3U-d(vKm-V%11oI+9-%Ec&LjQl2$9o>T!rmYR^>J7H3W`w%z-7@;w<_5!U z$l+p5R;#8=L$GgxT{Les(`>aD%c?tbTwas$x1e_tpAv#Ih4YLwOnk)OVtTFRLF*R$ zB9G*UJ!Qpcwqv4`#%Y+M&z9B&3lOv*i-Y9=zbumH2E96gK~MJ%(L zRf(OT7sL}`pOYGWlG}qPq%qF7%(JK26==#q^0XbE zs)H{IwLL5#xqRN87Y*(z0V@seD?YL3Ypg1kx0&vXBn(kA-j%!2fs~SPg~>{=((tXk z3|(oyEPIgPNn9zBXEExYpiuWb<_*RZ$@$2Ys;469!CbPB{3#&uRQ6!!L8N&1eEZe? zaW{m2{V)DHiq!)%V?q8d8F>X&OGT4?>7{Se8&_dfIjFO%bfnfn?d|9uA$$M{%fS(H zi+B7plo!;;j;bI@7xQ{t@{eE#dn&69XVD*AA^wi+LvWdwh&_nJq)IMNPJm(VWJOJ^ zQdH2(%u5U>TA%zE`kAZz6L2dz#FE*8Kwf9|0 z*6Z@x9*W9;4j5(vW%J#T7lJCWa#}%3dR)HthsXuFIb^*8eF!eFdvyN_53pQrVKcG1 z>n843R?1SU6!dTslT^-&kAwTwDVvq<>n+7KsRh#E80c2;m4BPQaq12gt(19WDv{H$ zGQYWN&-*uXp_huDCX!s$gD?Cwc9UhP`eb@&KPKnMjwf*s;p*;6jEc=St3fuZvWsZsK0$ z638tgtgxBdcV8#BY^x{QP_0V#h+awj11^(O?ic>LP;fpEcL+Pl;M*+W`K+>przgmK zVOLlQf#`B&m!kkdLzU$07B9I_bYvfqo;x+E1*NLUOo@U0hIoRvrDH^LCOEHg(Wmnd z$074L;aT{jm!7-P_X~lnmK}o!vYUJsVV2R8Ap6X7xV&6lvz{b;D*u}<49l^6l(Cag zw&;TIT)}p!dm6jl3UqmfMP#2u1m_;#-F>0wiWKEvQlNJ3`67i{?wM~_C}E}dLIJM) zjN~F3u(IHIDi!9+QaQRelw2q}6J8)Q9o@q$FEIKL*%AvrhNJWcR0uE5+EBxmgoutwULhhy1{vpy zE=zJ*PZtU(7z=}E3x9CW#a~xV{ze3)j3XZd$psc;m`QH#Dkc0eBDqLk=jxpOB>p*q zGBbkn&1ae9B0>!3T2^kPOjrLP>#PEUFQe^s`Lks|T=-ai?2Ae+D!O308i+3xud7BnvdgmDeOBK@a_&-B=*ne=!poHEi}X+DYaEf0JB_M?|1s;~ zbbCF7SPhdp|(|PIB4CaL{0b z-`utK=)T4-%ScHkv&d&oeX-#)+Wqz?D0`)2=Bn@kv&sy!^Cyw(FOYZERY4Y^T(avO zd`bAK1P|r-|R}xt8UFNE6oeOeV;TXN; zo1BMP1U1w#TJ!Lwy9i72hnY zM(!68$>si(`Fx(5nszxQTS?P`yE6Iw17|@d|CPsopqeY*^3T%S0j?PWLT64yTPzyI%l`(NLUP2Tj)sB0s| zsP6jcpMeoa^IjM=(lFHGBeAehSl3b=TPi9qNsdkxLac{dZXrxgO9f?><;51+6S}_i z_~cSa!D1R5*fiWbB5_UUi|&af%>0s~+5Uc5PVvo66<3$e&n?W4_YBP!LF!ppQ;+smRMODO(&H%d4p@s#>?9I=xt0*Hk$-mMATkyJg-&N%QK8C5S@{iYn@x zTdL-UrwYpoA+{@PYRCta1gGOjIyN6qmDQIf1|b-X<60hHDl42Go?gK9n^^IM)194D zxaucn)1~F<(ecCryi&dWvtY3jMfKIm(czxXk%gs&(#G1v=>sPx7ngYISY>%~Ca-w1 z?dU||QarYLW6|(Lx~QxwHq?{gq30GCX5y6_nio>AY8FM9~xMysw}JDx~)1M&#!GR>^wSLUY431 zPu8w29~qv5FswA$ey}~UG(R;q+TY%lZfrY zq_k;v9k<|2LVe6`b#Uk*Lg*B}kYG(#gwX3#Vvb7#c zEEoh^H*8whR8?NxvT4hP)`sfJ+NRc~yy5B+n*1R77zO-{t;diH(UH#^>Y z?%bIZ$NLMb6DN*!jm@U#hfcS{I$5)}AvHb)r)Ndp_+SERvizdrJO}_|4O=&qiBGS* zrdh0JB_myPjV;yG1_G_Q^r8rJQjJ?$@)n85S5(=sdTsT@nZAOWN_@TZN?X=e#fqvy zcUCs7Do-SuF5X;KR90D8URm2%Ur|(2-B_PDKAJA7Y+YA9H$E{lKRaDUsrqrHRYj)Ukqa$x_l!Q|Ab1IIhMI{PMPJ3s&Q9001hs;WfKz;vvnykM-o zD?$5Fla0^BR&8mD#fl;AY$V$D>Lw6o8$r44*tAxh0pLisu5D@ExZ@(eShcFPHkK-? ztH{T*A(Y zXQaGkvs3x?4K>xPFTG+tT()qWY=*UT!}`tZ%hLrdt###P6&1ycM8FjyB3-ktd2x0g z4|-@DE6Zae-DCNpz)K|y>S|;0`J&ip*Kn*jmYgrGEt#1}ENM@y2yVfGit5V3nf~Ea zL2*?>)dB>|fV!p^(ei1kvM@JvwtYmP_kmvM*G_j%m6T4MKGOq(7)(>?#MI0j5CM49 z78VMsY8D52;^K;e4Pkl=J`b4p(&e=UGqX$3)5T_IV{j3bwQN~ewpd&X!VRzmWDo|F z0V=b$zPPXo?O$`zC2K3o0F8oz6RZ-PQ0>CV=n@pW3VRJF9keDO^+3!3Skd3EbDaZo z1+nRo;l9qE=~%&HD!;C!3Y2(VJv7#o=EfbQvAUWJWy@dM1 zia7~P4h}Tnf(EXf0~E*$baA#zYF0sw1pG@>HxSqgGX>o2a%i83fGtW^K--FjeJT7| z!0CVit!`n&P|?_?KPubJ%T*OKMtMYs-j-Tv1Wo&{S7W>*oD(Ps}i*35eW) zu}sDni%S+}&=WBV*jlGZK;*uUOTR@G#)qGA2f!&PC8*ygW@aE-8XoNH?(POI($(2J zIx{^2Cx&P`&?R6mP)(6p9-Z~n{F2@iP&z2l99N_WZs-Axti=I=3m8S}fQ*2c64cBv zKL+6j#VxrZJpgB*D~)2oP?f8jL6`{3QnEBNGCBpZ%|LJG*^_M`&5j)WitzMbkUlp! zrJ}7LJa)Dh)F?Q;(MhmBhT#-zc6C)*VL_3odKLG)1bjBFQUIh{fj;yt9j*&O43I&X z8EgSFHVWYNHNli}xj-Bw&@d#pwMZT`LbfG^F?vn0S_-}>LN5tTP&I{p7s%WIzX|{@ zg+irilMKzmqY6L*Bu2UxAYWY%QBz%4lSreeXL-Fa49zM8#-(G|!Eb3>Uar_+2GqO9}cBWIfWca3d ziNYEQ$AFG_Op_opD4->wW`N8nhI5tZ8wCO}42bR!Z=0|p4r^mz8;7%j$jy*Y+#JB6 zxEpLPfLTx?=qV(8!gfLG)p6EnTENFSSXTfi6K2f=$~*u|G6PbgK3(8xhmaDGNN`Yr zTU(7~dOKi4qcFJQ3P{GFVdPP6vIKybU~r#047?HGso2dnBd-KEZmP zv}6aveV##zVB?Io#S6~^&%rt~6gnjfUbL`lhAgAb5Zvq(b-`ug8-eIfd;wPjLcY>u zhst>d9?_wQH<`Ctu#}3Ai-@wfvmMH5`nJ7aeD=xTklP1;eed0O-+fQQljs1AL+x+z0~EIw&a(Cv3pl`PoS^P<5X>dkUpf zL;cKP_oi}EJE85799p;cD`g?!N@Sm^#=ephcGSq;H7Ni%vSofF${m zq=iCtf*UlHV$eWM#+!ZFXnBknFZ zZN&0ilrIJ<^&UN)NbcBS1_zYRn(JQ!**T>%$pO4Kssd}Vm=@5nF)%{z1VxdCS^5lG ztb?UW?+{)fK7=XowG@Cs0<34Vmg>d?b&CwIKrlf?GB}F)!YCid1C+7hvqAqN zx})IDg>XM`V84>=04F*k0-$-Kj1W_-5%Pg`7d8`4QLv^4re;Xk2v>j@ykIy-aER^J zTg8x}1QU<=+9W$D0*zi|JE9YRk+=i$MSJE5&6YSUfZZpCj-Wf!3HH2 z=eMbw0hm4Z8+(Ld)o9R=oY4B#zactH2o0hG$qm72r~vUU+`jRX&^Ba?P*Ddxrf0Ek z84&vRU9x%+9mFEAim1K1gt{5o81zL!V}&xyu}w>IX?QW2JoNR@&zkVon;_1Ve^~TxYz< zdXe#Bwu=a;alwFk&$y2>xNO;SMuo-zkpb&F(ec+w&Z!(jgea;+c_5whOc-A2=vrJq zM{9M|v4{>T8DnIDy2vGyn}!Gp?jo^?%A6CU4b&n=1|*WgzMf86>v0)#W|(~P@yCDr z+eaj%_VFj5IGgTZC^$%`R3lLdh#i3!Sy^rG5sMPZSx2W4Vyg|ZlA0T8ox$P+=Oo8i zYqG0G5;SrmR4X%D@74H+ai3n{ZIinL5`+^1tk+Lv75lNw%>*a&M09~z=kXvAY>?s)5Q4ytTy2o;cOEpHrG@&$YwY?7#u=_ zS8dfnrdkyIGwiTNkebBi2oI!i^m1@iL9ie; z0!dEI)dp9mbaZu_jG+RiXRMMvqJ9dAcu+S-Vsx1ppW%{_QDU)IBss^lCo@TsJAve| zhBbxwoF=Pe^9Tv&lc%nCf z$|-29YO0|fVsLr9kWJ@=&JQ^h9FsC)liaMU^Fo6L=Y*su$z==9$h`u=8TlFXQ^tT{ zl9LTZdv$iOo88L}z6Qc98Nyy};T%GOgIuPD0YW?}T1bGp3p)uWAc>Cl>ZyP}(+o_r zF9~ixZ8f970qqh%H)fsvu3F6n%EAQ+>971Xsb@;0wxnbLWn=d zC}6be;Ci~iuG4%Xu!tiJ8s3UiTMdj6YpQN^@G2+CsiQM;5XeS#0J4+t_U_%ckHseR4a*!xty3^+xp`~GE?2i}EkF&xW)W(wZW5Lm zBQz89a|xS8sI6)mCc)9k`8g_06@$bi=Lc4!-|{SaCOQ^MBssNN6P*NRNz^-7A^~Hj z@ueA0p%FY9+gU&zB3ch-exj^VgLRg>Q5msH;wZZ=A&F*4T*a_YF^5Fs95K6ifFQAD z*$>HS34-P-rE8N}eh|?q?L%;w=7Hd#B4aujP$~J5K4X^KOQb5+(V6H1waZK-+$2mo z7xr={ILLd7!f}$J5f&Nyj_I45?t8KtPf}u%GnPkN z-HC|K(SWJRikeC6LRw~0+9gUOQ#dn0MVQ0NoK{MZoRI*DeavQr5?w&s<>rH$&m20e zsbHXS8duGh(|~0oYcQelI_HK6H;<4-?3B$-B5d*{GdQHX33QIdx@co{I(oLeB~C6 zF*Z>Tb#?jjB;1JMk2Rj za7F1vc_-1iX^l+>H4j8=j*9H027I@4gUV@OW#(m)bCW@FUAc~qSI9fe7F{U0wNb$_ z>#8=^BO4u%8++0cn`xZeHXxp)qZy>ZT8ky>&!AGs^2D03#3g|#qNj0bicu(sP$(cU zG9I2#cnVd=>oyK3X`ZZjd*x~)N?1^Cbw|C6QFgKRtvzaykckgUqO+whTlunOL-CNw zDo5G8U2cQD@oBMgO~0$)9D#8erCeOSdX4msdIX6Nh>X=Gr){AD>}-*+hm+Vy5}bn0 zu(L3uNK+6lAwWcE31%nbkQyD8Fzru-gh@0^@GzO&rlz%$ZsCAL#$>WHy+e9vo~fRr z=+fG7vk4GZE0LUKl3x*XMmwV!7CRd%irwZ{aYUu%CO9^!4*2La5}sp9E*4Jrq80Vnl(kF+FF>< z?3yW}c;Y0wL_l}ur#LL;_+CU^i1i;Duf!JTI*-soGL_@~dC9RfZnD$s^T{NpvYicr z5h5$Z&+dK4MlDb_8mTA2T7jAh%~3)M0Yg>NC;O~FL<-&B=$D5sMAE5zY+^;kNGXT_UxMY2Yk&%UTvH=sB znU;z_6nSrMV?jF$2#drzxfaPiFIhz(G&4c8iJBudh0@ASdUF5lo)=}FrZ=xYcHqn< z|0`OnGds9H{&!u^-kXU|n_uR+jQSb7nc{XC16hS+NMhs8lAZIeS&%^8++vQ*PKnO- z$hMD*&8{BV_a$^C>QdBgI11fh=Z#vU_||8@zv;RR_r^rw=3kkP+6(kDQ{h&$tPeAJ z1F5<8X(E%dWRfHp$jk&K-)h@)jM;

`Hu4(hnZ;CizHCQOP=anh^P3S9tAHR{5rY zCPUkqV_7;w zBrnQ9j3y!zqW@#6>du|?+}l9(dJ7VvdO`ZZXtI*{AoWUKjsAneq<&>}LkQ&Y8Nos*s|)QzBp(a3^? zMyM#1LOe3TGdevC<>wo2=HTV&g(!J@8B&%dNzx_zDDj$>yNnSZiA*v%M$eRcCTq)? zTUf-%n_fr%*iX8KOS!?7?px}z=H!Z1iI#$f6{uaHAnr*c&lEmt z_#NdanOscwoSdxS;4~CmAUfR<<`6y64j+XAfvY&OG%JxHulZT!~Df)m%f_r23LLeD88L#J*D1GB>4-^dx%`R!fEEVKx)3)%VZZ;HaLk^I;+kZ z(V0v_0eV43#yx%Gu5l0LYU47CN-ubSLDBvgyj`bsx*>Ro;IUSC;$>fPt(u!!9KYF} z%dW|M5#$^kgA#++?W&!TURcNINpMkEU*D@PE8Gj`0*A0>L50F56c!S;f@l>o=b7t* zXCW)s%lkaTGXDOPuvU?ipcsG5lpmaB>gLXZ?}KAj29b>Yi_ER%O47@@Cdee3b5NQ) z=GJLh&7!wPUuTfDX;)6MU;8n0bLK3&AUA-`z%z3hTa)uR1( zZaol~zLMN>f3nY66^mw>b58Lq)Nxs*f-iFJj@B?JamDIf;LG4Xf6Of*C^>U<3Md(7 zz6;MHw`XO1feI}9DeK1bR4XVaEcokBf?FcTWhE`E%Z0w+?o1!Oyq+u8$O~`9-+Xs% z^^4T(LRDDdx5%B*)5uf%)AQ6}g|C<2t8b#Y+xZHWx$sxHIfUh`P=~MiD!etfW*4qO z&ab%@a-XyE4nKTNh1}2K)ww6jOUkLr*L=*qKPUfhRJq`y=)Jl5eoZxkpRL=@z1M$^ zW}kZ=RAI#<7wh}nd-QpQqJpY?{W16E+yYjt%t~M8-nde=atjMTXV)|Qdc~7v1?7Ap zDdkj9SF9*H{jg#om~Yv2%Ko&%J<4i%%Be`?39+UMML!4m%IA9D)(=Rf|_HL?u;>3aO@w z^vgHNYULch_S z^I&Sjn7Ou>k6H|#;K|yg%Q(a+ddU#|uFK>~3<-}rH zRZ&Xb^m&WZgQIiY2m&z)#&s!`7#|o;EGho1AUkY-%r6-XV=h)!L%c@Zd21URisMtU zDvN1XvT9vzeo-a6EM>{*h03Z@R(Nq82GO{%n3|c%Z&_cH298})U6mdgTg0na+dT`Q zjtd07DPgCrWGR^f&|Oeg-&i!>JqVP0p=$lwqKV0c(yIKizNy$EYrAvPV?!h9>e|@U zbb>&O@xlH$(JH4C<;_*I6GY0(FDYJ_8lOqT<0TunRwsH6?jMN77V5UP&i0NZ3W_FA zosBO}kB=|3Y%cHXOUL?;o?9rG8y_B>ty$kRKa)zQV-@v8o68^Tovm%IDXLk&rX*ER z-B_EKuHC++wxWJjb4^8cQ`z)jvLr86x@l|WOd`?Ob}n6*9O+HeH_x>XqzLLhH_&#t zXC^*@PxfHP+1ZAcbl>1qA{8sI%j<3%U3K}cs&p*Xb!4Etsd6c~P`{~VFyrB#cA{fp%blkvt&w&qRE;{jdT(wsWivs9M9 zuvAo#E^KHnPUo|KTUaVoZ)|KV*1Tm~6LI~j$_k3ATi36tp6wl4swj&k;}gR}>AJ@J znfT1aL@GTsFg%qgt}ep&Gd*(n(=+M3>HhA{!C2Ko-)MSaW^8;mue_>i;mnt3C~H13 z1+K5VXJl#3mdeq=L_tMuy*7`l8&<6rtcVC}8+UBq(7I~107=B-#W9o!a%;+FW*RTq zR$Gep<^d8;_H<5Aje)+=f{J8E$KX(3-$;CP|J$GSj(|*UKem70$y8lQ-|_Y#5TALa zSBvEjRkWRyDnbUuzJ&`)}~dPcJ5lUIKI@{TEWAYET+mf?`(<{ zR@YW7jSMZ-m89kt>o&G7O)nG|7Z!pPubep3J)h4u_0mj#=VZ;Aasa!uu2^Yxb@5Uj zid#Yq=gJ}+#LB9w7YDm1(7c8D>7k)S{?hd1%tY_G;Z$q^1b-slbMR3A+*BV9Ep5k6 zcJ}p-&Lw(J_l%4Y$DKExUYb3-|I`dJWAk#P=Um^^+)`D2(bQDBq`JDYvX&T>bp$7u zh}LY_x?}t1^@QKtj)x`wM;49_uc;RH&6^bhudX6BGPYE-2m)lbxH8?}H#RjfIyyNw ze(dA@-F`X`dKyq=sd!X;+(Q{MDR6$imdMc4uhIZ9AD*|Ebde9!*C}aDs zT|3s4ELCq>-^}(u>W0I#!o)3VWf7Mpzl~uKkO`xu;+3PJz&c=!$X;=_YHysyZ5;vY?VtVAWaz5Xj7G+(hswZ>+0pY;F<;SNo<#MR-Wd zeI+?Jcy#}n!M@J+_A|#1eYOA45xfTt*{ic7ZD)t$Q~kZef(I@YR|tSw+t`Z349=AZ zZ|B9^n#$`o5?Xx2`c-w6m37UlngQ2qAF!%r%eI#CidvkM@Um%XXlPwmzcg1!pNW@d z@lq-Un0*oEi<+g>B7WBNn3d>Th1@Sn3)3_4g@V{TIO4*^S%95_z0FPybaW1m(m?uq zJ5HWB18u-bqFnYTl0#=ZdM$X~G;W##^Df4_Pj$~ELH14(G92(Pj&$-HA{6s%ensj0 zOkxon268B^f*)W_3l0TMt?LLyxLR1sc51kZb+dk+Z;4K$jM$4_)k&c}!PM%C}S$uF{G8h@3nw|o^4qj?n!Q@PL8CO|xU(7G7ZEC8kt_I#K z$5osgH*E#^vva3nzg{W_>75o?6hH$`(l}KSDVN5@4tiPM^x)uRd=k;Y`v4Bbfuey# ze~EMcmwV-qf4J>z51_d2eyR`$S?ORra$7{3Xj)YoAQ~0P-nml{c@`fU^KB5^Tz))| zcSy^%>zv0BOGBQ=3MejxCxZQc4YY=-HV>0ZIp}DAUx;d{Md4C%2H1(9mcUlz>?SBu zPtU;Q+}xxDB5~mwgvOdh>1n@nPO1<|d*~&)?92&J_ zzAO+9jz98wk^7SNtq~ZX?J_Jv+|RU4E>o+HzND49J9NW#+wkwJ) zPSWNhjlu!kTACdl7*Yq?WzgP(prQqe7V=2Itq&bG6;Q+8{Xn8|%kStRKre_b>`d%N zL-Ke~uXV5#E7#a<{8#f09kSJP(e~svW?gH7o}TAYC#z>ceCE0!`N^%%lI`_% zi3Wo7<-P;*ls<8~qpO!*)?^1}i&sWaXx^7$7G11i{IhY;7Gh_MBBmN>2lqDxw$;dF z-aO21{A65DWD^$6?rj$q`Pt^3Z394D;v$lUcp97+U6L=al02oHL#8MTTqIBDZHY1O zJkHc5c%L)#*2DWpj>+=YgDqo|;mgF9VQ_{k3=g6p;6mX8J;H>Ld$}N%7M>S2p~bnW zVaBO`VE8AFfrtjNB`~?<_Ku}@-vdtm!AC+1?gfAZ=Iz88K^l;qfcW6uib^Z!)mj84 z*Pw1f6QY6y^xyCRhCh)zx#9xL_7pEc;1Lz`Yd~sYZjY3|E~%xdnbKLwz0CZ}OlJ7$ zE6G)FcqAvu$5+m(j`bl3glaH+>krhEqG)yMfDJ9Ro)N*`HL$lUjJx0}CBbM6M1#^9 zfk6m9st{Cg9s+~DULgff9T!`M3C{HGop;_Qz4OkyCcBS5Hh`+ZEG_PRA48dfs}tjx zxgN-)=2ZqCGdzWXDKfgQUV`pbCV7B2lK5Qb@4Vs6rCj?hh|D}jOk!9e#&}^!8Yvf$ zkT99p@Ryduv_Htx5f^DZYMo;_4VqCw1A=C_K?GTI$T!bk5I<@wo40{cB^fqas4bs> zLhqLB#QmUt&Oyl)g&e?}jBACT?`-!vM<@4@S2+_LqC@NG?Tr4xpuGT8&*7UtB#br` z1~vm_s4%q?$XKJf!$3*fP2W9ThdNnvZx?(d0#pgMsxo(4fA*{uUOup+y+(W zL~g>8z=lAGNLr?Q602z(GP9YtZ>#FwtaEb;=bLFr{UFemx;CLyK?^FG)*&{4TM_05 z8jZRfA)-@QBZfS3`~?n#|KSJktCv%2HU0-+(Iz;W>!BlUCr;5^h3pkRRSO67b>%{* zg5*&r8zJ-qRuRos7Mm6WBsZxUwky3B8fJ1+itus!ntfzgp4dV1NhSjo^e7jKk5=C*YBo9}dVM`Q+n|KKv`9d+)t>`44Y~v1R~LWr#R*7@Xx9M>)d0 za0bWxfWQEq6S7@hooI=CPmAbG;jQUfe<4h?#tea=V0O}=A=xRWw`rWjrdBC$V}s0C z0BoQivfqHn*dKs0bk0642Qp~X)mo3!I9h5jHiUhgVYUp#;OIt#I8voWqvVoRTXwJ; zA80HdV#&Y?4#emSq;n=Y$Ncc=Cn9QLaJ9tnV1|ic_-GtB%hQJJ#Wa!1iR;Fw0huX; zl9TOy8IU_0qIRnaPVH=X$5s(ORq!!c$g+(D5J2g$TNw+~r%7(=zl2ByFC)l|6I_qs ziv%z-><4Oy&bij=v@N@-hLSUj5uJ-b7z_cT_G)k25L;ojt)*nT8JSla9+-piriI!f zpgIg*v{t8c;xBMYhv@KLqqSdlTp^>awgl4_zBtL_eL zaj0}rPzn=4kAg<$oa7v;(u8IhE#b3BUBHc;<_V-Fl$HcYU#Ee=Py?D>N#i0-)tPXi z&N<}AD#IbGogg_3DVYR%;D<_}JEA2>^xag|wE zpmJvcs5*KeBYuEw#3V;YCnJ1Q05PVy(oh5CNAVagWTs$MI?$pJ z$Dqh?uoP>ehN%pJfex5rP&XuqTulQ5$r-LP(o|)~g|=@fIB&>-SV8CLoDC<4@R&N- zD66@{#aN`SZ^kara*2bx0kO0>HeQk{>!Ci_Taxm_u071k4GJaaCw?fR

h)_o-#aDB65Mvoe-l|l$u?^V?eP>3B3}hH>3lqNls|m)dG~WtR#q~ zFqU+8nl>m2W2x6{HZU_ur*bq_gZ09?Gdk4Iob{}DyjUU8EW)O(e%@+w3n(+x%LObg z@E4$SHnbLd6n@arN%e)z4rC{Vb1G-;)tE+AG2Z@uM(J)l8KnvDtve za+3iC8CW&(60CO)vJqiZLl8cq3n|dxFA#yj=Z^Uy7lzM7JHV&XT7?v3aJ4zJL$zz9 zLUhtQC3SSx(@E!q_|%-%Bxjw6l#WK=m5yn=dO8ywk~08wha`8_C$D&}%L`Oa8W$of zL;IJuDhRoUt7y_C9xR8F0wQHfXAtf!TSDFdgyt9*oFTxls#okR0ZEQ}0ww?d*?ZGy zNsjBjGqbYx?bTa*MQ`Xv10aZfAwU44BvOP#N~A=Ji$$BJCCZlMv1dES=gf@f%sDe( zea3U<*faLfV^JDe5+#umMG^oh3LpUxARGJM=tiU0*ZtOdweMB)``^f{SFihFk?irO ziSAb!85zrs8#iv;h|GI0Mi*^~IE6kmn&eyT7d%M_?H3@BVCV@UctV@pFy{`OA`3Uh zHK2UW*O1)`cUoMvL_{=a`uG!=GtEUOnT&}}3V3E>#2L0Rdk-Ma&<7GDsuQoXRf1#9 z2yVlP6CKgU0P(brMv<`3mZ6g|L-1+;c^u zoSUp7IitC`aJE8pY`~ufv>#5;t3Aafj)OT%v7+ZTv%>c4N2N*4XZUVzmu$zl&!D4Wsp#3hHawxD$GSR=ddTDb0!NSPUjX^!`!A2j0_xM&aDq%&S=gK$FYYc zonEsXAl#W&Z=}iXl(^aOz*EBAHs`kCQ5jr?Q~HgN=uV$H$%K9N6^ymWf`Nj}KA8Oi zj+Z!8e}lPt`m8h4V&{n*!D{nmhQ(lX&Tt{8P2;u74o+;LGIIc0t3oEnSh}^9;}hG2 z8Kcv|rF%X7&QcrWUl%wnIiu4eThw>0l}7l1m;AZDhTIeW#aPFgs6^hQ*u# z1Cbm{t1vgAP0q0mBj{}0z%wF?R1+N6rm0v5K$)#hj-41vw*&KrI>~jIlf?(OOt_7N zEwZe$U{OCN#p#IJ2_xY?-!@&g>$16OY|f-P!=0(2<_S{Gn^F)8ZTl}2Mk>8#oX!1^ zG-rCXp`iti7dhLJTCYQ$&ZuRZ7vwQ5;3m#=%yA36B$4eH&5koLW7dDE_d2a;-jrxd zXts(%b#mExGCh2mr4ru=nTFT~6F_Xk(f`8yoD^39RX_9U2 zqaTTG>=?AWx8>9hGi{6SbfE1NSf2=s=?wrjg>Jo%UP~D5 zGb6`=x0aDgJ4l<=DU&Jw*T zcIavcJFCWB>`cebBJ6O-_SLp8++4e~DIBl*CTBX_Me=#1P;OfITh#CkXF3=b(i|)X zN`$vi$5`sIphhQ7x>#U)0BLO^%DrUJdl`oCK*<1vfy^mcaS286^;Lu>xpH zI+TSZb{WP(Ty43S2HuvGceyb{M|o@*q?bI>=cziu1_0o&Gk-f<#E^3s9H_dXL#8)s z@Y(=h#zRa@4=LX$9p)hZU;(OUPKe^oAT@+$3YhvHQ&0ed1H!@JbfksBNM}`TTl4lJ z%P7oENWJ@s$Z88YSp!VdaiY5Kx*mN6Dhym6z=+;C>Ss%!naz7;GRy(C3mU^KL-Z6J zTM0K8+$3;t85=P&zmm?CY;^8$Wzah?T?QfZI zZrX(n>WM*UL}O@EQHKKS3pGX|>gMJ(dcxK4WF@Zn*+l4MOzlJ=8ZTW9lFc87zwLfE zL58{rmjfMvIWbn`gu2ZU+%jk(9^GZ)NeHRH zx)P=cAg)`Q-~MKt+kvHD11+rA^?U*|6HvJ5#4{JAFCo6J0MBaPY{+ki4jVpm{nOlGQd z3j+S;Hxnq^8jTRRDS-gTBoZwy@Qo)ii~)P+h!vPbT{OlaQYO%JMKsLfR?RK5H3Va_>C4a+ zKH7vg;vWu{D|#4#6=GzY=TXwz;A}HF${1e9xZ9k)S5n?&Pb@wLd<(o`BN1XQ=X;y! z#3F#k;8;yjy;n3inGj{tbrXDIlk+vOU2tx z*l{1CQc-0SjckK2m$aEDDm5ngEp5}t7*O)S@Q(EE@~`oldp}RZx)$A+j;nu%$YjgJC+ix=x3)e`z9Mp2UEAJX zQRvEqZOXk}2PU&_sc0+6+um)-KUvY1o#18cuob0G=8fW4ROk{W8-1HBTicH6dzb6Bb!{ypRpim9 zJ?~ThIA`@u60KKk6ThaP)L$Qau6p~Yj!t`n)#W`me*Cw7 z?Ur4?@a13q#D_lhEBD>`k^k!RANuSk@4V-M4_x1Gf8^G~AGvvN>-?$Xd_uYW*7@a& z-~8Hh5B>3<{lOpp@Zs-0{*8b4FTeW8)6YEdAHMP66DQAiYq8aDzR(?X;+f{DGY3C( za6YpCb=c6QBRW=Rfn=FMR0>pZkZu^ZB3u=r4Zg?)yG;_Z_!ivujtz zYc3Cm4V)Suh&}OxAH00(k%wMi#)awe2mj=o4?X(B?|%I+{_HRQ;+w~gee=(rdj7>@ zKRI^%;^L*_PrQ=cc;wrUTxz{`?Ai03T07;pdabh${oy0epLpq|l}c)E=WM-w@P*uJ@{RAmy4E@V z*Qe3S?ba`>&KyeCXK%jc<{NIm`L4ST-217!_wK#-<9FY5>s=rIxu3f4?z?X}a^uZM zrguK@;X7`6-_6&4;MVu=@9ntx(6#$!=BsZWfBF1IH&uJ%($cY4H%>hNgYUfblRtm# zrSCuZqi4>&_VA<6UpW8tkACvVFE>t(lHpkb+WmmSbgPGa(=4QJM@A5Gko!J z=*Yh5z1JSOYWGcd-FD5vn|}JP`MtM&@PjuUx$59e_uYBb{+n;T>#ie*j?V46dw;1k zoZX41;X!7m*e|!Li|x_sskh#E<|l8Qdiun<*N;7a{=!QyKKtWSuRZnrxr;A9_2l=z z|MZ*3pMCL}V=q7d#0#gEFTH&1x!2w}^TJan&o7>N>-?#=F0Nc!ZU({X#`I3FK1gPB zyRLoT!cJ)A;I3;vaP!U`H-G5wQ;&WB(Qkk4n-BjrAHlzP?6D`Gef8wA$DcoW{`iY;tT)fRvDOLv#@b+N z8sC5Od`fnBW)EHiZ@uCDx8Hd4&wTvn?z!cbd+z_>hkov358Qj-z4zRE|9v;zaO-V1 zzW=^^4jedg&Gpw@cWC$iqgU_9rb;{a%_ZWQj2}yOE}dVG=PIWcFPu5MRIA{Y?A6y_ zef9LImtK1A*c*!%PrdZwkDq+{h1Xtx{@4?b9ed%qM<0IT*fTHwu@%3wsaWaLc{--t&R$55NEZpStnZpSk<`_kZyI z`#x~n^>=>w_UmuB?dXyB?Jwqc&SmDWJG?Mz_=!;`SqyshrIm6!*2ER-D(a>iwe_>F zy>aUN+WN}Hw@$A2+bicTws|d>N>mqXy?V8uDdjtDK6uIpm9^A-cc~uu8*6=Z&g!)e z77-d7-C?J^xO8r@<8|>myN(0#X06q#j=bt}rP&$wlBG<{OO~$Mol5RLw5L?sdtm=e zZh8Ud+PmiGOS^77vg5#YS5Nl}Hy%#pjvSuL=6CEa;FUgwf>6H_%XJ#@sYIjMZ7i=g z*X0Z6+=a8p-&|fgcW$v-Mz4M4)feBqSZ-~cJH0V%*H+Ijw*6RPw&bmxxzH?3$1!e@ z-CgW;`qC)JeetO6p>XeIW*24)sLrG5IVjg-eqwqi)oJ-2FOUb#6h#2xk4Ym(h0AZylPJ&*7v99;$9-3jU`d` z>Eb%u%Vyh^R&LK!r_mlIS}W_ljE{waSg(dbizcqz*DqdR0>qk?4N@*Hb>fLZ^-?8O z8ZE8#I(0wRs(0G8Rh)f6A=~fa5H%LWQXnOrjn|iZ zLBBibuGRcu85h=RuboP)UurfhRlZ-kP?t*fVDZGIa%26{YRj*@adLgV-CkVp##?90 z{UP4#rxyY~lS|}$j64)lQ+spuMr!XITKhBE9s6d}xtST9&(F=qvH!4Z$KKgdBfjVA zl0UUK-{@o$?OtPP16vdQ_Hu35s+Oze3ybw`rz(pCOKUxrfrc!DjLK^REOCt5ozbX0 z%BFgih8GWdL}yFM0nVsBK15&v?>AzlWWCWH1dJKpzo$|x*_lzTTK5Wxc0ZX(jE1q1 zpHC0sGbJyWJG3)Ev1gDiWz#$M6w!juB;&5t-BUc%?%NsL?g!|Z&fi|g$q z@YD=}^CNr(m1H=)WGs;lMi}Y{=8A*nD4*{4GR4%W z7tf`$Y5aGmM{Q-o=0$jIKGGWi2-KgPCu+u76dwem~&lclBvb1;aRBtr5cPf$Hb8vd@+Uw@i*&Qgr?>e-* zl!)&*yeH|E=90tG!G&}`wy-1N7mJ?PS=0FqTzTt4H{HH;X}OAiai>;ZUtVtxz51mUK8I{HYmHt~mLL$gx+sCXoJ93>qs!>y!gg?sf*^^JtAJ{upoZEfyz^=V}ilsvbbGbeH7iMUu)KUek;=#YT-r}z#boC~r=Ey6HtK#Vp2kD^RHof7 z&G~&VnJwkoUEIDF@Nti&iJj9y1``1>zXwmvpv$(=Z1q$5#F-@`5R3SBJ1(}hbE5y2^gopMzn(ctu>i23J*oCPLyDObE z-_5n*%stFC42Ox-Xb>;V?nH5XZVE-!bYrEN>W zFq?zzf*}TL_`Gs}(j6=^)*1TE=BN!jOy@@RuGihDR%Fs;*sH9rUp#ejgB2xKKEJYD z^Mn4{5^nDOWGa@)VVnc=JJZvJ=>vOnBR`eQ&h6eklSuF0J6kO6-nX02*n;8IY`pE~ zVyrSbzcuiy>j==v1m!zuEJ9 z-Bd1{OSCY)3X zyGBHebKrNkd~tL1iTDjW0qEoN=3TdlJU#2`9&UvG%; zlglPk`8=Hn(z$FZKQ}*<9%lDlH8V9;$YilWQN+4Rp)fOpbLia8xp=odLLtXT$Vl{S z8x3?Lg?b=$U19qFMOKYt}HsSG^>JXx7)S4hl zd|ygnJrADP9Pk>NZ`!)OWFaw%m;HUUvCymOr2y9EARpIbRnCY+f~Bc3W6xMV&eT#8oN>hY;p}@ z-3)b$9(9_HjdHV9YYyRE&BiKfUhAu8PoCT8d2M}P+Gy1=SJUjZWe20$!Om2rn@9xt zqCA$RlgUCBt05i&!j7x<@5Fe|&iO*RSjZN!O6Fs$0~QgRnJZ+{d|930E8Wa6!0Jr0 zn2QhnbkYyf`Lys5kM(=)UMyBUzr;$&ENOJQtyZIxNVIFr(T%lcr?RwEt*xvucPrv= z^;Uo(wP89KdcEp;!yC2-7HjdS_L@uA=)5)Ziq*jM=Q%rMOqxRTZ3EyOHsk7S?Q$BB!TQX567u zZ8FK4^|d9yWm#M)SGl>gT3KAIp}&rn-CA$dEH?v2j>%o`#*^_3CYkX6)q&kMn;qt) z4=d?4y?nCUM-CdaJa}NDP%4tmXKwde@%bX3XM5Ot31k!vseDeWdOSCUT$_};^g=pU z%oV5enM}~_1sSaCB-2Ri^r%v8juL*W+UYZ&)~XHWS7W_8=&W8^T3y{}G>54SqEm}+ zV-XTNbu@8thnOA>afkQ%Zu^bS~%BTd_p1=FQF)N`(OXbr|)@ z7g9lxotsX^3p3exMjC!_hioyOh$m-u&NCzVjyOP%qnFAI>#cYp82CZ9nCb&mEI#DB z=US!G9AdC0?!|K1-qMAo)wNo8<5C%?!63HTsY8wBmBt7oU3~Ko`V#rfs8Ol35SCUh z*5I&EYa8*u)#%GmotK12{ZUX@n8A!w9yMd>qlSFgKk-cT4JO zaN1ZRgGr})3+A)Y@YDTD!_NfFkLJeOMr+Voub>RkgmKn7!%?eNMqsP63&5;XuU+rQ z20brBBj_Iu;Re074+j18!tO!}`*|#0DcZ$ESf06%(mDcP$_Ra-!;wa6 zA7NsNd6_^ioGqn>em=)Y5aJ#TCE@vLmc(>i<^(e-u#(ToRt}Uc@_}NL2uTU3w&Tb8 z-7dU#)UB8WCNYVQ%5P})7WWB0DB4(ys;*ganY2f2K}`j$!|S+R&QR|ow@rJKy9`_0xU z2I@DK%6^umBcNM@J|xuGsC8pVcTmCF#j>_N^~EI_8>uWV)knSM^J}$Q2kUMdow#2s zcM|Gqz-}TvJwKJtrQ_^0=Js5*cb*USr_!1H%&xt==TQG(sCpfYtEJL8)Su<#O!nu8;?UTk>(H;u7sXlkQ~*^?SxmU`=eT; zkDbVNvlmCHr(bOh@(ab}5P}^}YEaD5R& zmf!%kkIl>;8wGZo*pD0rewV2d8}_jw(hHKYexvJqol3chV8||_onQqVCUc`ooppoq zY&Dua=)4n$A7EankV247AdJS+1?=ZR3F#m=lTYPJET`Gx+)OTDK4n{#4y#)h@PatT zDI1**AL-XNs%4HYU_iCn#`1EFEyvmhWUW32q`=4cZ=Z zX0nh@<_qz`fR0b)*%1||;Go4^5W`R?ANyx=IgA%3`1n7~0*_=Y1)yNqM0WQ^^^H2} zjx|K5G9t_33Sw*-qZv|xU=-NgdkEI?pkJ%NOE{HJ=3>o8Kc2(PC$cRIIbRfdqCccm zYy)a}$;XEt3PytgvhOICL^AIs@`VgqsmLM;-V`A5u&$+J?T#Oy?g!^&TaAK4r#(!h zN9bfB(_-G!ACxbyw@u}P#TiOQqZKYv-Qc_gPqva|pXZmgNqMZFHjFPqDLM?MYE(9{^cc zk4F=xkAgOx87D}s?R(*A~+U+;lOL{@Tt_b=SD@L2z zOTxbpa@i9gfo3sO$5~7vpYY8?+*ewuFsB7U61YDxV5~ z2g|zX0>W2Bz}4C?6?7`KK3fFXXjemvJjRAI2AhZ#=Zc9$HlIz5Fi8pm2Jr-_U>#?p z85_oPNfZ5C`B+qK{E*SY2!MniY(;vX!l^tangV z!>X5Pi|VyDYGYIWg^?hb!`bpMJIuPy zEfkS>*~EJ-4qhdLv5wI{)EkkceCQEg+8J@SfGtC`rs^_iSgV#Rt$v4dmdZwjWx2*Y zVVhQM^%=CT&w9peN1rDZLwZG?h_fk34E+4ewC2lnu{gVH=QLb)hRqV(S9V)>%+AbX zwYMl!u?hGi8)~23cXHTjK{)KtSlHJ&Xh!aZ{Bt+MwF z=c=vOheK$Hea&!)Jl`lc=uxwQWk5JRTO{!a)&O>OY4!{-r@)J)bNM7Yrwr5tuS7r` z4b3)e4nYzFr_48LD>Hkr+lkev3}#d&O7q{~SkmfX(>Uy;e zQB^totZm4E53lX$R{OBW z&rEAmhB-41_29B_EC`{;POHIrJJWX5YgBcd5f`a*rhpyUfWw?tjq?{Kbu672BDA3I z)8n|Z#-vWE3Qk!2?GCFZa{@-j!XmrkECNV!@ocGpP9?O0yqTR|n9ef6vl#Q`UcwXH z1(^X2ERKtZn5=2`Io@dvke4v1Q)6Pn%hpjS^S}ABuQj3ki5)9Gl{4j%ZmH z#LsgDn2`u3g>=tW6GjEojQbo~u*kx9%*>J3lkwaZGrxmfJvc5Vby+uLIF?17tpL0Z zWN?%R7uKn3U#na!VB)gw`(8YQvED4RM|@!b2KpW^1z7eVm39?Lq^qT2$lAo>Hvmv} zyeL`>`$N6OVopHt84ff!2SDb9tl5Qo+B%KUub~wt5`)F;9)K8=eMT%8!dDX`9&77O z=6xF(6-zMa`GZR2fB_6!CaXO^!xW3>W~VcprC}O$eqlO?43vt)B?=g6&gIjrYe))| zW7~;9P{;5dq06l1B(=jdXFXu#*dKA6sXYWsh1fEEhf~7^^if8DH3e89Px)f7&2eMW zYqOv*yh$B|Gue5Ur&R)CW;(MY;J}Z~B=}<+(b0!6lIr-X1A&m^S?GrS5PNQ#iHnL5 z8WNdw3@Hm*1$RDTbdHl2RQv;$Y(5hiVweMK(7j#_W7=Sb;Z?sND8>!z((tM}Z*~}z zj+ccp*nkWXO6i4;RFgwaiQ=3$U?Wf>hfgn9-qfF1n(YeP2RbPP3sgDJDw$ zStl4^9jofh8fnlV3}JRaizsJ+9^}Bu9AXp8B3}eD%lH&i(ylDt^A@t_v)*B60Fkhv zvkF#2kfFmdL|ym`3|$}4z*;$hMTkzukt)*Z0(<==+*|8yHU}m-f|B$TAZ}+k6NKcz zHsTlWid#L{5@+QA1zUmlW;pKFcQl;PBJYa|`2#_C^ z?4U6uRW?ZcaAwLPZc8@B2;x~V97vV1@3NcHmI?yoH7Pq}JGw<{IEMvrG>CJEQgA`E z>m{V%y#R7x&yau|nq4Fx_LjqTUCVE$2^+6-uFm?~<;Wh)pm1&fn}`=+-vb`mi(~*% zVdiKbGC@`xF~ib6Yb%@(2ErLLW2ZwU9fA4%?hsnTx;zMF6=KhbpaEif@Jfv;OG1Xd z??AIXK!9UZ^l1`eOiz}!k!NY0u1a>pgb3%jZ9xasu{<_-eS$7FXN?+7zKnwXi1jel zm@5cxw19|`WA)Wm31D@yh^3Tlj&l-C5zYsTMfUa32_g_@iwH(k0nH#vX|1ofhyDl# z(_wk$6~eI9(5AK3=iM2U2Sp-7L2!t?3>}O$7j#!}*$vR#1p>}Ob>_sYzBt@Z7JpM1BNhi3^hP8xp=@WW(=Jv=iqSvz zxC!Pi{1Y>Qnjl);+HgUE1d(#KZ6l-Q7?FU~4o3Tj;1F|wT2(}koMa$7O7fxSyxr$> zGwof10SIEE0}-=Yd6G!6E3~zto03eN16@9SAQp6k}LSb;kVZLlU z*EqeSc{WEJJY)Bx%J~kSBRDnzY0M?;AKMm|&S~Qu)W z2<N>TH0%(HB8QKqPULLjv^0NWu=89FVQCJb;j<|Je?G>>RpRg71ag%&iE7A#OHsi-j z7#d-GG)ef+pb|6b0bC$hiz+xhm1X`RD?PmsPoTJ_HPoRJB#B`&(*csT3Yw6fIe&Vw zr&*>|$ux?Qu{2e6Jjr&4qcIj+8J$69re(=LBl<1~wbC)hNvsDTtl6fJW@uGQ4!E{C zt#uH>LH3Aq^kQ-()MfRv{7Ew4R%5CEB3Z;Du|qcAf{`WNs2BJ&M9h1LK$O5cD2AWK z3nQ=S%DT-~8VCdmozYQ?Fh+aA8!!M9dr9_3Lx7PZ2WCAT zf}=o=>YyG`%y7$vniv3XhwM0#X?=(zFpw)a$yUGMl2jv+f*^48Dpn*y?}SpI24#}H zSfcfiiK5M{7$&2v+C|_%CqFkfyjm=wOxZw^1Yn6`0UB$weoT~AgDTVqz5~PS12}=Y zB^JSrG{=BZ6vYZ-uin^TgnX6i1Oh+^I}niVn-R*if&iHe(JNp+(2&??T8nxmt7sCF zD)|ffp4AXR4MOL0C8$bveGqYZqaxmCs1OxuVi-M2iemN%$t0jDGo$ChkUd!7X;>$W(hdhzmXdZ{|o-z_1lYp2P?wMiDuTMaXtS4y;16 z4V*ANgdI0$#QCV7-6M@_snFclk}qO1uPM-nu;cQBSB;E@$5tTi5sq+ijABEA_J(4POUU)M2MOQ zbV>jys0VrS2*!9w5}FJk zxHwHaNX0HRI8151>=eBjZxDNh{)7;Es$Vc5Ajl;U1*6a=%zWx|MwAXZ_5~Os z07Ht6h`Iqz#rkb%w3sP_Dxoe-8PP0-=nt%4grM#Nyp1Dt!)t5}vsNH$kh1C?DibiI)Qh>`XC{lqytSYe7S%Ab3O^ zqHuVQHaUuDqB3nYTf~)=NzE#oM#eD>F>o6yJrl47pvKA;RtQH@hDJ+cg=DG4O}GLv zLc2gv<0__!5iTzdY3iCX+5if5j#Nk%+c2<=e6-ZLphT-nkh+0&RS7UqprKKddPH0# zWP~f^*m$cNsxYitt)!DcZW}22s;;ZYN_2R1!)*X*UK@STifU1_3N$ICt5AqLqN>?p z8Nt=~fXx$YTQE9GbBtDqq+s{RUE_0P2-X6Phv+EcZFNNcJ=7*O5eGG#X! zbhkQlWd@T)HCii-CM%Sf7(-==_So7~gJgA#-r+@nsidyfZAJNSgD&`^;3!UD8K>4p zK`dXCE_S65LZt+)6hKTD)JIyi+C|fn6hzvN-f2eou!$J1hy=Ukqz$qabl4X-7Bn;h6tIN4@B(qV2O2ir zD2u9gV-nIg7jR@j8zUPmcWde5QvykV3)}h&TUEEMJ&le9BAWgRdc=>x1+-iZ_3(JA zQZJ1jL>VFtH33*gB{mXDvuAo@V*(}x1A#6WAOeM32aZEx2%L2sD6Mt7lF2xg0cLQK zLN<-QqYK(5t~DbBP6>jN3_8g$Q<(rJN-2#2Rr_ZtzBm zl!l|D0TRj3YD5;Q)!HSM|JIZqD>$M6PJyLhdBO>&WXtHPr=Y_{*sAI@vQi;&QG)1H zSBp^A;H4GAQCOLvaU)-T0^In5_)$|jkC;wo3NS|FRtY8O(Xpz^L7rGUSX z;}|+DQ`j>y5~w8XvTAg2jky8$HV?TP&8vWQ${GlZsv0G#Rl}xoh1t|Pf#unas92zN zYoZpFvEeXuh!OFhG$lJU89ZSYH(vY-bhV<%1Orim)$D#Psu`f<34n-N*08Vx)1_Fh z%^$(!%JP^SQIK66Oa_}9K0zkrDM`q1u&I!{(_;r!*mv?czzs^r4XhU$8Otg#6n7!) z0<{?=R;yvaDpFqRvrF_NNEHk~eq0!L!l0!tW#`w?lmkY;VJ&K0EjnmP3?FS~w3rbj z?OAoYTBYi}m330E0mKu*<$x366SrT%Y4;*QOAbL8UsRV>s0abLET5ukZvsYyBb}OWU1l_1Mt2eBB9JiLN5mlnBR+p?;@bw-7(pni#u(Q36A zJQ1SZjWUEw0}*vX-3UuNK&_?_+^u+~e(MP!g>74jkOB-k2b94({t;?i!I0g!Ub^m0 zG;IaKRN@AQ!`Qg0Fx3#ED)oS?`ec`|HlZTI;U?Ar(Dlt8D~oPbT9+_!Ge%~OrR7k@ zD5u4Bs}xIC;~^5GI!SUPNse)|4&F&{L%<#MDnoEp7(g^`g`=M+K+*%#;*tvL`V}NhcFl{RyX+tRS1_gEVu}6LfNk-7ewJEm>!FhxuBdb7FA&o zr~#|mjhu@rjl7mYgX$W#TUDVX-GmujHuoFmbPYL7I4IqukMPKIfulxT)PXhuqIbS9ECl58ivuDoXf-%oM0lELCZww|u+bx`xAJPo1p|S4Hmh}cc^VZOUma0x0V}LT z7iF_v8*LbcxRi1EiSD{``VEI@vsQZ~Qn6VT4j^?XeO%QfdKDi(xGXYJWa5c6A!wtt z&ARPT__GO<8<@>!da`*(%&1(A2}JIribIspQp9FL6d9`-_ae%^S!dJ$S0;_Mphm+G zj>Nc~&2kYV4Er|jp#voPwe}`}99I+p;;s|bZjOBjO9WDs2gnrFuNB@zuDj)T%Q&g; z_C)#lvx#g8;g74Bo2Z5GN()<%ZzyrkBJ^x|uIk2h5f72RT`YXG74!&B)f3gkvJ$mL z)#K(u3`{&4qs>J$I$}rMhxUq@oxD90q^XY0uLDjg9Fd?ck zx<-v`ZGZ|~#rA8>QDAFbQ4M+!1*JuCcXhcY(_D)3MX}91irHXI4A|COo7GSD+zEl> zRyH$@lN3=+;YIC?D-JV_?>A}Lk{p~96}bFc+E>OXM^umNh$E8-Eh6a1?Oc?|lPu#IqBv8`3P$wV3yX-$nEN4dF@7B*>PtjeN$T{oY))XVR~@?p)c zLlZoA+~Vbtu#L%NOHxAkOqVS;VR|?w?k>taSLDAuAs8G;0lTmEol?HaZ?j@gk`pSG|n~7W08o$9jQ!0IgxK%b|Ml^dM3VNQP|8d zQCv|yh?2tSB%(JTS>EXOU9PH9|KB!5U~OSf)_hci7S#1CJFb-N(p5Sty#4iEfp(bK zzVMdRuxoa4wQeHuZCcqZzq!0mq)}?~?lRO}UZXNzp0@q{M3s}#sHy1co;e7%z%f}U z0&4q~-ZnL?;%%SX12Rp*LfV#=-_y-Iw{RsPO`z~^RR5K@cg449|MIL?w4;7T2$+E9 z@~S9D(iNr03Ce$YTbI|d-Tk>rx?0vC`vbQL?3O z^E1x)H;PcvWKXxLcMFwXmiaw2HSXg!Rm%+OFWu;QAN#Fe{~hljk@sQ+RoHcBX}apO zFnyuN3nAW#aAu(w^!#x?jGcpcFc`!KXpUpD0Dap~ zjvV^v&gyFBXJ#IMKDB$tRX_8y>9x+@t8+s%Qc$AK=e$}sH#5zr**$N1-fLxwsHpO( z6M7A`vx~i?-@16FF}?oOiB|P^Z{fnDuQuv``3DyY@y8!}r9L?M+|w_=dg8)b<>HxF zzx%!N{J#9+Uw`#$KR8vtbmIB%f9+rW@4x%?N51#1Kl{$JkN)o0fBe!9zxu;w^3qe! zJonn0rz@S6Hy(TFkyFX(-t&(<^2munF1YaAD=V>7{nADYMblwrsj=(6U--c8Wa-FF zH{W>V=+Oi7rTw?vd*@B>|KLYIa`*K|-+#}CK6KCZH-GHQ|K#u7f9HK4`Pj#Q`3wK> zpZ?C5KKsSr{JWq2_|Jdt3%~knzx~hu*>8OQ(;t7}Gr#rQzxJtL`s|m!_{pF7&@X)M z3!nSMFFx>dKl{;N{P@rR^xgMAaR040U4O$(ciey92k-vCz4zUDIMJWG;l`Qu_3U+b zURSKQl1J{??R(R682_oPucL#vhP@lqXqI1l;rQ_rZ=8JNg&#ch#PPRYdG5uhAARV1 zkNx1UAAR!a$G`iR-+t(O5C75s@vr~l$1ncm!QcIt|J%R${jdG;SO3lb`IZ0Yn@_&> z{C9r$E5G;Eul(D8_xpeRr+@f+zxPL9|K^|n@gM%tpZ@7LzV%l>`0ihR>%nh->)Q{0 z_qz{2^ze7T_s9=__~;`)eBue5Oh57X<4+!Y23M@l;e-0wXPu%frNR_n*5&6CA&=Dw@<&!ekbnA!LKyY9N-(4p&YzWLhy zdk^9Q`=$^6?1%6F;Qb%|gy22kyAz&O7h8{nlGP@%S@8{NDGU zc>3kzuRZ(Ju~*-C?%_Z9%2$5xPrvu%vBw_#`hWQS|M2ziJov4@{HrIQe&*QI$Da7X zqmSXd`{`$&{O+Ir@z=le$WzZf{m3_d|KIv=e)+j$PyOhJkN)tV(MAdj?bQL)2fl{~WM zv)F*cLnn%_*_j3Wabt-QWz*smRtNU%KX~A(J$v@;m$O}Y>)y5h5YA-}?%R9S{)0F# zK7?0Uu&;3RI>zVdkt0X1zy8S8hmRaSjGff$uEW9hwOp^g_UMtrI51ZdHw>fvM;|_T z@UU|TP8F0mdj0zt-J?{3zwV<)uD<#(zT$B_eLX`C*^zSSu%2E+Tm`7`sC=4;W&HWz5|C2;>~s6zI}W5?Aa?vxC;2)++`kocg*8HRUS=o@;Zm7 z*COiOY1G5;v05s~@`ZiQf;tCZRm0xVQvu_AW5Ac{au$iU6($Q%0&CPz>%|A`3MK`Y zqEN9Dn`w<8Pce@%pPT zD=<@W2ds;8u8i|@i#_)|*XOaUjLT((FoN46bd z+AsIZxI&j3=6!e?H_F2MvwRujaUB1=C>SbCVFLl(Lb=zBEp2!~Rk1eix)oQ(?`5~R?RO8kM=n)SAAlwuMbg^VVdi+Ypw*w z3H2rg6x_^Fs_da*=R}Tz`7!sYxK`&XN2-ydX8B(hf5k`$k8&0>n>!dYQDRY!%P}07 zCzJcZJ+=&Fkbvbl=gO8x7K#MR#4|mSCvAe%R6|B?$!bzL!upKClNFjX$J?|ExB@gM zc(6K&b75&bTSu@QVLp&i|0WNruR;e1vF|*I!1wGNK{yq;S`f;OT=_glA2S=xYPxF- zBfr0H+!^q?c~FLq@#Tz98$|?y7)C3!ayc9(91ku{EJX9-* zG$Qzl)*ZJlMN}yF7_D~RhGF^U?)Z$?>Y^=&<51AQ5Zfj4ZgKsq^)uSK`OAKtqeLq>0!r4}=d zv*Hi4b2Fvsxt%-aX6F`m%+Jm5z#H_=U2wo13p@G2!87GPOwejcaYaIINcMxDhT zt2q`I-*W8BfesNH$_2(9ozlqoOj%VmW=3QQspX)$TZ z1g&NeqY9f7pTqo*M#bm?tDUep#+q@)&GwQx-4@3a+Y_e?`I8o+#S7=oo`X|eICtjk zdB?`gu{l3)onoau_10U8oZ@O1iy~^_DV_ycxriCNJaZ1t$NI*f>OXgu`YfoyaM2SC z^6$R9THX-7La?x9{XtvK993kg1@eK0;Nx@+mM6aFrU*O^m0PL5N%<8H#vi}NuA~(1 zzPtpZOhmYn0?TC%oMZ4fkFg7z&p8EVJ3K{<0m6cyG;A}H=4QCa2Rr1&$TGAHwMc5- zC~cO9O4O4DxAd}VSuQLTBlT%9hUn(0Nb-?N6A%nY1P@3mZ=s^aMoK$()}=E z44o0BEn^x;hE*=!^?*RUhB2NpJdFU`fL|z7WVcZkjHs!OfNt7*O=lCvIXOp&l===q zk|bYV_uweph_yx^axz`?$pI{v*F6#v`1FU~!Hl^`X~AB4NhN{Kj&*-bMpacNPUtg7 z`&f^Z5C@us5?)1s(kGNEGmOlFJ&?F2BuT)9t;^*%6P61YL-e)Yp!UpT((EBm#SpgEwb*AM^uyKwlSmL&WO4xgP|*{?773XiAcCf*D2yQd!R;jd=u+cPLa_ zgd%|lZev0z&=aS^d5p74x6El)G-)8=7svv%Wn+>FYp zT3f96HRfUb!%1hdjsTH3h9MCTb|F0t`lp~_)pSJ1RkR%P2aTD9Iud~ivrK+iK-qSB z$Q>(^KePfMTSyu~9F}CfV%tBhSo(8|h1Ls#R*k42k_&4Gl}S`lFiAwC3h-H&(=Wes>ax+Ekx{w+Q^#0w>4K(ZJ8`DQ+%8Z z-Rq$WjnmYUc)?^+n8|=px|JD20f4{^lstEk8Hn5}>lk`T*-8pS_&u+QO^!Cjr)|D6 zJ#E_BM3xaqf+JPDh`^^EQxIp+!elH4A$vf@tV|S$BXjA)H7X^s#aB`ac?lq6av-7zu6 zEm+~`hAa0`lEtiLNBP`qJz_@kC+28YLA0pZJkUa-7QquDiZ48x!DUhgEm#(`+$+G7#Fkuh zMv)px4IjY)rzxNjBtY!yfksh*j@b}eZ^xT#aRYHILyqtxL@{P=95;WXM_j|`c>F_p zaQwtIVfZv$I(!Na1vmdfoJR941U$kkh;__XxFwAHsaTW{UBibHPskG9DWZI?zOYss zJBKa9nnjJrY~s(MFa&RmPLukCS4**;gjm)^!I+;Ogju;CXA5&gdeN1{ z2mrz3W~gG92cRb@?1S};c-TXs)1nTqLP3ZPhaN*>gy#sOAyMmMY$LoX?!>C_f_!C! z87138BUASil52Pw4~-3rgUSdq%tO2BU__a5n4#CT4CKZ7mLukC+?x(mR7Bh z;r7|~(DuV72T4VH9UTnXkJ8F}l@H zhf+hR&^L)Go7Ur>XueNg^>FjvZpQhEn1HJUHz@jbZH#}d-SH2w5RV4=imM}t_x9_k zNwh?WZX(JW-@i>HB+c;RNWhkFVbFq`9^tReqwq)6;X+6w#@ixp6EYxO7$z6S94e$B zyC3r}ji}MpX3BOk%Vj0E{zQ#LR|kMeQxQzY1o?6B>No0vu9JO>;#)c&VI;iTOn31R zZ_y=O1h(Qfyt8qLW zDMw;)*fJ;0|l0L@~UpG&1X}bQQ%-5TIRzK4ixnI>t*$ z9E|!ws^j$nEN#OFd|W9fN9`clO>}XJo$3tZz4##6D~}GB9^TW#_~+c2npb%1n$s`- z?7=_WCxo{Y zG!R+zYpq5_oo0o=z*Ndh0lg-;R zuRXUvk-W6B&#x`-PuDwZ8wc}0p2_yS=0dqQy>d(QVtsH;ZG;ETL;dr~xz*t6v+3p7 zk@a{z)w!^%vl7e<_Se^oD?4Xm>+#*Ka(k5StEzsEH`m?hmnYiHK3 zsvV4fsn;*xhWZtH@z?9N6n@S5%UON|>c^^?6uYUjo2UT*dD)yecm zDTjBocyM&-^fm3%S${h4FJ=2{xDVL~Dm$_JzdjmuH}EL8G@n^+#paXM(#6$#>XmwW z3QN9zskDAg?DXzoeSf2ylT-6xEmv#ozL;DcEch2jxiizlZar5xeYANpTkjw8F3fs9 z`F2$k2QDV3o6FO8ov&B&)30AwUeEjGO18e>oyf*!Y9~sG+@SDs?qD@mtIq|m?#=9I z^rx`OcU3Dfh*kY;xiB2;KUD}$9$ZSs8_ghS<<|<`wOBKct^GkVQO@O;=lxEQ+0jW>llqx63sYWl^;BtYrg=Iy+qo)rp6{yD??1bqXdDa* zjq=L1oo?pD-15OnvmN)A4x}!g*}1lR?$S~(k#5IID}!L@&!0-}PqeecM6G{gJ-OD% z&L_N9HI^NmTS&ATaesNLG8*L4OQlz8*~F?heJK&lWBNNWTbT)(i3@wu&2G?Y%y;7F zkHi+!)BV@yQq^p~j0?nOsqd}hWPDenv{9B3-UCA$_& zwQ{-4TlL)ZMt9$t&M@0cV;VLRKU0M&FTJfY(`er zSC00x!{tIMb!jfXI_0k>o0&lxACKpH$;?QTE`j%jOsbrqG`>{lmr9*>tbTB#iQD0N zH(6}9@w-v)rHUk^7?~8^0M%Hh|^TVax@g+a#?rk?SZGWoY@M2TtQGaTqm+dc9 zlFRYwR(gHu>iii#?N~`BYUx4?*CaDNuUz7j#C~QyD8v_2g^lXWY{={m3YE+Nytn@N|s+&s=E4az4rDo#g!F2ONu~d&=%(v6?XY#3hd6cht z{lq9!8T9kXdXW!Li@j=RespoFJM6;;_OyfLVZNIl)jRRh$`G#+1Fx5ECYs494+q;B ze^}hWl@k7vV!f&O#re!?ZFjGgU-U9*yyW-^yblF~QGLEw#p_^YZm2V~2d)aikxs#7!3ixPA4x5Euc8CYI^hS{A%y#gmoLwq-qo@* z>P*MiGS$pdCK%4Ijyf}Zl-|kpx;Vp2cjH;S9K|}tj@K`zTDXR3;`zPNNe8J43stNe zWCvagXR?ESc95wB_|8hup*H?5f&`u{Se07cQke$x^;o=L8zl!gH7)xASV8~FXUa@@ z4S!*ynrY9sdfA%S%eG_jTFOhJ!_g}yst}adD|GzcD31>+ygDcG{@d%(Qh6}nY82Wy zu;N|RD(httJLsJt+r>|GH$H4;y3GVG*Sj?@?+ufkVX>Er^>8!NpXyX|$!w>E?^Q3= zf$hMr@GS&uul7cREIz}Asdl#O$Eq2)V4P7&v`3i+t~$MTW)yUjqtz1Ln^7Q3^|}eK zi8Go}i+xwBh1<_$s^97|*F3y#rEvN&3|h$)l*oCb*A2QpUNhnW9&xzz;$BwXCZ=GG z{rsru=i^OW0khKkFDO-@#pHw}r2ObY+l~7ua6jt7Uz8UhGpQ8*3*T>7a&p z&khc->p0dN)=*_@-2tx=*oN-;gWe!ADi zQ>oVv+Jm$Y0pQSiI85>>U^>=9jRyxx?FOz;@vJuhe|*;13vg%L;TzFm0~Go3CgKlX z;S+e-MyapYPoxI{C4*$AlfiQcE|mRFZrJK25iC9Jse(+*Fz+)=TFVfwXpZ43a zPO1$|P}3;e8MU$I4=(WuTEIy;IO&e?I)o3dppV8GM@3#Xzyl^HkbQiO(im;y#}+?p zd{~{YH{?(>(U&_JN;|irootLu37pll@#qinxhAJC@-*1R-LpnFhD(-VhYt-}uv#9s z7z(*M!Gnemu?9ZwiH06SIp{SY+_sm90oDL+g4^ScTr|Xj4(@^F5(2HbVFI-(ScccZ z?>cyg0`Pn?tV;cAh)+2@RZ|$x6G){v#pHiG$+$2dhn)lyf{^G3!4!Coif<5LyBhtu6opP`J4j{5OI(5KBoPpmc8 zfjV)p?8^sXoCODR!}Gip%0P15@9;H2tdFb29$rUqKNXLmamK&`jAVX1ib6a-?1K+h zaR?)aVhouV3~=s_M?u6HMi#W=#*S>>Ac1Z5Ejd6#s4!qleAT|tmxuuoZ0C)yT9|w8NU09j)Uis1-$9FxZrq`3J56C-)13f&U z;MkDaLB9ZDfPySr5fon`PP#ctQy#x=v>-1s@Gm@ALa9Iohm;FLe0q>w_#WZHlu`73 zG@1}_d-&+W5_&t1V^y%u5*1^rlB4P#Ie+dJ4$&jOsxPnE(+= zVDy++a7^vapdSVcAJ%dZBi{`}eq(ad#k6B?YuBY#=!4265+{I zr};&vAWu~f;_=^xce_5gl3{cf5i6^nGNHkM`p#aEyw<50%MW8FWCY-lL4|)h1Zt{K zn*JbDzy@J6piz5G_yvO~s2E1vPTIECrYHIJoNfv@0OpRq>6S{gX%!^)SUX_VN6TOX zLT0q6R9QeVq>0-*kTrldwDV=)lnsyFA{t_VW0eVNgMcJD=Nbe!MxW;ONK>5Fd7?Je ztN<1=xFbmeKwL3u1$la`-pi>O_ykHvJ=Cr(I%p=l>Qx5>2`c4agX)O!kGhBgik31F zouMAgSc_aWG~|H1tu&Qs+?CEl4HFf~XQhEpMuUqREiD4q8aB|$OYZ>RAUCL7r-VwC z6r{99TmYK!UHh@h)ieGK2jrk~K|&K&z(sk^oxv{n1u3Om-O5gJz_!NJYk|PHD}|gw zhDyUjRMhUR8PS#Sr9`Fyy;od+f=5>)8V$W3X=rG|TG5y($?8_m_1GXMO;;-&W*wJN z6_(M!5yspjt5#qOHnrlKgMA1TpfCV*QN18h*dd+l>Y4f>Nc2pPtQyNJAPpWXrKbjx zgU~Qdc41E03~$N;imaGbXb=f|u8^M7EEoMFHHs1mTXK-N0@kioMocC6ZzE+zRV_*U z*n_Ap-Kdwks$(v=nPbna1vSV&jfq7qyJc00FikZWj7qZqR>9HCz zFsz@tiym2AcViqX#f33iEGewtT8T<=wWzySt**}zxRVoYtM~GRHf=B#Tw8IP!z^P#GVLT2#FDbKiTwmQ;l^5fyLEF9A3mz zuOCAOKyQ+D1TM@m0@NXg~g12 z$1~~It{bI-H)opO+QAohj;i&A%yWskQKNGp`y!f)iCt%tySg(Q!*V)PEd;r9{gk(` zoUL3s*y?qgxL;duj&@ge^izdy@{L(lE-JJ zellInouA>%Vtww^Rn20jQ(Oyr3zynU%{|S``h4b0vAdCILP^k`UtgUaB$hUsbLWd3L~gVe zukCcar9(66&g%YAG3BLNJ7ZIo!Oqdj+U(RyEr`#zPA5*y51ZZEb%XzxtT$Ci^>y}3S=kvT1Mg*caqsddSr4Dvo#XZN!V7LX<#5Bq<}zIN>})bi zwtLzA>!CHjlb;qz3T_o(>^nt7r$1Xbw-bWa)+70+{?Ee_vq1ImKVLo z-Me_`_~)bE;H-IYJ^9h-jsB#57C4*DWbm?A9enP5cBj}pe(8O>?;dv3pPd}PQJy$= zr=N`ai`Cs>XYZ=YhxKFU6L%DRRSh1T_XZc&@V*PougB4_3&!-;k-Kj zino4_KB=bnorE{v9+hWXn-1MfSJv>){rz>C1pYM5=Z}U}c-gG;ap?gdGHbk)uG?|ps#WbotS$-OUEzcs&_B!_?O9;~OQL4LK@o%}`d8>g%0QD*i0 zo6J4C8y|I^@eXd+qe!KK=6X zqsuy2@2?K7zkbugOxQo4SNqFZCre-6Y0i@B;mX-e^YNqA-W9QN``}S$TfaA5to{DU z_(c{a`%mlb+FiUGeX-~GGe3B0g$plO9e$Mm#@XlHNiS~v-c9mmnmF;?eQ)yIolG{f zJFycK_ve=ni*kHcCGowwALX6Rw7T+6M*eAp`?Vm{?hGXD_(v z+R@>~+WnQQ=D3bOePY|s%C~+tv?|_Wec3y0&Nri?AD>!##dcaXoXE(Vy#o%h-A#%^ znr`H8tLE@U>IU^$_Ud@EA_REzfKW!ScV%_arN`xb`OBM2Yddtdv%PxI5A$tU+`X9X zUr+X!3GZ#U)#TuO?%#1QY1h+FQ@Avq6@8+&lVYVqJ_5R@a^T#rgXLgq=-2OgiN_ z>2wdnGpdn=_N)FPAI9UKy3>p4i5m{QMdPPuA4E<-RPtWgtI{qrL*jM$q+Y(@HR9W3 zZ@uhH;?Cx#e>{JA91QbOnT7rR=CJWr?nrO1>)V&1zw(H{KEK$H*NJ2dw?pnTB8zZ5_1 zhnxa;#@G4%VtFxTW_Ep@PMgW93Ag>~UiISc{)N3+ym1{Kb&{vugXp5H*CRLka`52Q z#!Q^C!_HB+kS?o0fd+}-!`Q>G|zc?W%x0pQ`E#}9Q%$-#3CVSIc97UfW z+sWqiy>zp;+?L4!apOha-*{W+FkR1kv-z;}i|~2*r0SQk(v~=<_x!l*c8Bq%m)*Eai?xZ3vpC-?%e}=iYz9d-JhS_&*lNaZ@}N4g z9v-ah>7qCB%bO8V-$4^kXUEw(b1u$;Jo(CskF2lz%_1DS;kE7WRcSCk=s2V0ox*vr zi2dFoJaoQD_xi|F81;lgF9s!dV#%Zj;^lu_pI}7^ve4nJb$+T=qj=M zyu5xmSn;mZX0V)>-SMohH*VJ&)peMT*TrE{CGKH%WgnF3tc;RPwzoKc)VXNvN3Txz zn{f4pzjfT^(|f(BKkGU3YHD3g?w_6X#!1z6!xx+F;+KkabQ70;vhHDnI)hEw+!;Q{ zqZl7Wov)%n>YVfsHnaPq%e526#WHoKRN?%Uah0t$Zr;Sf>7lm>t{>8+ z&X?WZ!HF}q}Px83b*67`p!yJh9eAFe`w)~#0Y@}|4Zi_NgMZh|5^ z?)EQw_X!+YefK6ETHUG>Zi&o1tKRf3ULM5uZBjUUSv7T*_r1%lZS6T_Lad-n zgUw*CnD2$#Y#7dY*=5YjZo8-HIM}=z9E2~NNw(?tUiJ^dSMfXD<;&3ru31{pG|RU#ytepTyp*2=~*!>^@%B;eN5sz2SLre{dRvd1l?6c$axJ zaP4^O=homXKOUx6ZfITh##KDO?oY2)u6q+s=F3iJwl_YTS8vxZYmU6y$!L>2PRhMQ z`>Fj_?|Hd*@8bHc?M){P&gUU9v8K14h4cB%LCQLL`Me*UjV(WGrnx(|m-cs$zFfWk zP7(V--gg&?BUn|!o=5qhgQJZu(G&(7n{GK{t^F5PA@cbu%TBUV}LESvOM z@Hy_3kzK{3!Skq}viy*}%KQbL?@}b+SFe+FuUdPB&>fb^Ub}%`m@oU z<@scCUheg^Uva=W?_v7C)V^VW>I5Z1yQo>-p%r4`1sY}ewy=c@Ux4f{bV*+7c2-} z6n45@1m49w9|Sko{vHQD%kB6u+NR@yYu&lH^d<+hIE$|K{F~`D!{1l#a8^vibk0f} zTU%aj`tZDF7I9b-!<4 z6&+Up_M9xQ{cThfBd3^Kp_K%koBdVXt=1Re6Z@xL?=X*hwYxZEHtf>G%YG1@1xNFR zn_NzgH_v8X=lbQicTLnb$$UFK?roF7QRJ_jL)OEanfq3FaT6Tm^WJc^ih`%JVTXLI5Y_g6=*<-7gsM-Sce&fVqOneOK+R?f2H(c)y_&&$jG@_c`J5f80vyF1Ch z%!fhwjOF0Hd|TbIS;ntB$DJxH(*CG8!7#+`qg8R-yIdVr40heqbJolk*+D#KIs4Rk zXss@K)76C^eBHfYeZ74v`8v99&#m`^n`tv^x(Cj-H+1j#SE+Rrud;d2OP&pTXL(!| zo3L-m$2>jv5Ap?(yTvqIO~btOAMIb|2b1K?z1x`|zB`{C;5qvJFJ{y2B8-!(xj%F+ z2b_oZ#}}3}bfkIt zx=2`b&!)cfa+)p2ewUp9{mbb+s|ofx^UcS9EaDs*8w+=#qU4E6bgw;i_g@TJDEu zUY!n$dOUUO4U6aQ!u2*~Ii4{19Oc)0M`=3hpD%~rBJSp^RrmhIiYKgGG@VtqW>-w< zELQuhY5LKk=fzHw_loV&o$@^Lv&f5@QN)%j9)nt7yysu;_wvoE>%FRv>qXVWCt;z7 zQMtKvNAW1~PQ$m{MSk4(7S`5r7RPB55Hjem7X4lvRHt3uFC04;HqZMxjrtced$c+q z4w4NknceZgD`NY|^2XIg+IOy2qcRy!W@pWl@Os)=S7i@}A;X5w*LB#d&tI^9+ub(( zrS)LD86Ag->+}+LV0+iewho;-E*Y&})%W^0<(Q@5Np{`wE{bmEpKd}sPwQW%ZhsM$g5ZD-kzU# z{B_#*FsbW98=E+`syL|=FNoPzwWyn=J+W`f{ru^AA8fcyt)^Qek_1n9lEvHck_i*WrQIPGc5ks%#s$ z8|=Ep{*8>?2y+`3o*h+JS=eoyLE7!J5rAoCFs#tjp=p6h8=){6tj;pn+$^n0f1V6jA3kpC{eH37pQhLO0s8}2@gBBse(%o437wl^Fssk-NqpJhc4CaoJ4M@Ay_7ZEPm89veq0R_OcnmF2|CXzk9W5n5qy)eJmlzUT^DExg6)_;~;0q#v$k_t{9r=1j+1Mw6WV zLvy#>?tE><>F1 zOwXJVruSasyKFabn2YyQt%kEid9|u;?Edn^>le#4UL9_8r*!FccFcAMHb&&NGg@?} ziOW-yc@$Gm+vqkEcj@Ly=q#>7`z9ULHv`M{-LQ21;W`<|DD!er^lw&CH()o#(i>!T z(i<&k$t;%@m2jEt*()bUkTZ|RH7xx-o(eeFW1rW=t;1@W)LC=ax@ZQS(3QP*13dwz9O4cMqtprPAz*y%Cl3T!q+Sk8JI0h^Q-L#Son6dB9S zG3~*|?%t`c45T6Jz?Ryzz<##bMw>0Ua|Mg_vfy)a(c7n zV6b7gSK>cH2Hkn-PV;4G z#oNw+N1!v)VPng@N3ewjfzE>6mJK_LTz?xAQ0#=ZJ7ue?J+cvAUIb0EUWHC{vtWXC z-K(<&u0j-VYp2<+*zeY_uo6Ky>R#jhB;8K8Su1AV^|Cr;p)%{UpU;9-?S87)Y3cXr zGfThAW|6Q9 z4q((;v*mGZALTb2c$s_CY}F605o^cKue?c)-KbL*m8wZqgjJjs9+T06ZFaK(^H2=f zcrUpedwJ3H*b`QygCTacVb!5mZp#51Ew(gIWp67l*>t=r^Kdz?c^Da+;0Z_6o5P$9 zZEVf5t2OI$tckTG}?H*Y}UW)U1TF% zhCaJx9Cq6}>rN2G><}6Css##OHP z09b|RN0&8gcKuoAY&=BcTSXFevy1VxVkVJR+u^}k=?(Fwugm>WmdvAWQl;!HW76kT zJbt{h%Guo4%hJV`o^1__^|)6r!h@Kw9v#YFZr$E&yjRZHi|E=73m&YBIhf+-t=U&q&j+YD8^Xd)o@2K=c5hNOu{$iX z3cjEBdS&KySL^;5pP1cO<7RPll+D>gpwn{}Q?gR@RP)@Qc@Hg2oX~rRD zV#0RaJb}G%wPx3sy{w#OxXd~$m(^mMz@W=!R9qCN?DlNmjR+|ESy|;P>o7=SVvKCu z&DPFlrYtUTz|RCfGiRo8uM)5Lb+ zTSVA+#RLW^&)HsC`{kft*ujx?5>|==aa?lDRa@(8RaZ1 zrF74&#fb;MD!1E7q}_^6m(8lM)J0XaJwL?ac6#iJOKK;I;}CB;wX86xYsy{b0UOG; zDg7~bqk5ZRt~xU(B(TM1bY2l+1$D$uSVHYFYRADxZ3o3VyPZvDvy*Pirg3(#Z8klk zGj^Vjytw0dgbh<-E=9=R347mT&D+twXf~?igCaTYjErbAcB|&h<2o^gWR1se?Zn;h ztRogfMl2klkVI*nO*+hOP)Mu814Y>_2k-3ui|ALC&u;Rq=fph}JTLZ|9M|3+E~;?Q z=Qt6&C3``(WIrg5cG|D8lZoFOmd$oOz`Cx>yw|K4t`ZB+*mWA#yI4_+%F6GrIZxn~ zX~(}|#)DMStk0?*HrL)dXh(+~%yt|^?DKGG*Tk~N0n?pHwb!#boWvVd+$M`lcIPH0 zdbX|u$1hH^XlVQF18pLXJJaUGhX#x9Sxk z#|tu_z5Y$M%)C0ARsEhF`BZI4$Dr!=^B@AG7Ce?3+;--5IJsJy&{Zn7X>f1 z*=z!zxiIGs*x-)Ub$Cq-5(vw%T_?>Ss1!*C`Ku?Ucwb&o@;UP0Ulf z$H5jW&TH3Z%PKp8X_+q01*2@_czNcr?Ye8hT4L8*lmIim#v??Ri-f5*lN@!eCS?Eo z+G3YI{mZE=KVel8Tdh+Q9v(XTcG)OiW}G@$ZUaW)wCZ{Irhd}X_GPDxI&l((R+l~e zY+TiLJz0{CQxJLRltoCmC#sj|x2HG%uwj1GFYrq}R4}f)CHsW?cqD0D4Ek)1pwD<1 z>TQS(0k%t9|&@*8TUdR&UEMD26mz4g*W7{-)^?8*EuO$j`4%k8LQIv-gRCVCTo!*MW4IyU^ zv}4v|w}5mgFT;La6ub^-`RRU!e>>{<#0yDewZMxs>F7&#^_qav4axlKV$7H;7A7p z992%))fhOj)njiL4>{(5PlNB9l|CL}LK^#8GK@4nZD5xkC)DD^8zyOCv&0Y;ao4x{ zxt(te+If9%xQUaJeR zL*1>BYV8+A$1S&!m@aXau<83!~xta4fgIpY{=K`5l)d>Gv!&nl+d zX^~N?Ri>C7itW)n4yR50klpL-XJgS|#_5s)HD@~MP?Nx4=Qx?n?^7I!Ae50e?T2Es z>7Eaf<4wb)$LeIbd>9UVdv>96B&TjXsCw1R#@*i=4M9K~o4^oec2lNshR4SwvI{xhMdXRB4m)%`j(B)z zg4^TN3I@E_#Cal*m6JLc6;*)FO82ClnH6`WuuS56wMFM=!fq3t3xlG;zHu^({ToCI zJ5j^fs&Y@hiDdSBEb;v_=K#(m~!JTHw0X}jsNf%gX4`RZ_PK%ZrM z;~-yE1-?X;=bg}JeDig{s)}rvb@F`3d7P@qM}s29xx$Osl#b6pP5-kp#!7aZXV_RG zZ#sxV9f27lF8YSHod@iFPfHb*S@>)*+bx+&Q!JJbOV27&?Q)jNPv~wQkLq9(B`vV+ zc$~P4t1hk3iPHf4fj8mR%*Z%Um2<=iK+fJ+0ViHi09hhVk#nPyA!>U(_6)(Jc^s3_ ziHxwBR}Kt!%B=R-8=s*`9FD_wYf$ls`iRkkX(E#g+!s#7=q5msF&bz@M*Nr4RFN12 z_G4A;`!(lfV|&0DK$nu?;ABlz#8HjEpw{HXi5w;E1xC|i`mSGdv@dgeMa3#nz%-Eo z35DXrcMTalq+4uq+@Zv2RxB&9J7roq>&*{f`v&E0) zvHkRB&I)D?azR|3s4n8=$fx-;Vz*sAEr4L#24$;#LX;k-MdKzZ@L4!`=tTaa)F6yCOj^`+->oHk484+IWregfxF(Mf1AdV-yBw2^aws5HJ18rIm%L~)Bc-PSJN0tX-2rj6kyK3d}s zV_6tT125imICX>;(Pdp0d~uo3fzV<0%OJ$SgV`mF#*Eu%U}3q0MXp5t8>KNv%GP>% zH^&(;4)hHp`O^1eMF}fnVSE*wrezX?!gn}9NQ8ma8xC1APB093J-ljK6#E_Pq(u;I zImgGbCMFdYHklW-5e35gi|F|&XGs*NA|BmgvcYjX=EHE|69v{OE3|@G3M%L|Rp=7v z17t(!u>Mn?M7)PB7xF7QDKL@d?4;DweRr zsYiwuW;?V2)xdx3;P5m0plJ9K_#rGKq5hm+Vv^5x4o;4UZVg z7#%p?=`brb2k9W{5QHb%iJwms(wnh#1k;PItR9P2I8c~d8n5E)F(W1(UvIPNL^;!K zI+IHgevXC5-dDr~IJ(CP!kmav!J%tnDkTG0?WcrZ9S%7&^7Q@1iYbkoW(?p?mJkf` z{0*SV0xzZ( z$+YI3Hw=6>CDs)&2PRAmF!W0-3`?W9b494(Gs3+oSpXz1MC^h?XBqWiy29a5yftRU zNS(%YnZ~dtMjxOMm5$tr?|C}*jGw@OZ#NGybj7ZVT<9-}7ic^l^Knyl!2>#AU^(E)Lq11rJ7dh|JB ztYoP7bsn_KG3Pqp^3(u&p3kASEuLwrjb+TnIB-u$pkFa#Wc+6=h$46VF+#c9n8acKm$6BQgc2K+l$*9d4#GlC3Fu| z0(%wU-r-HK(+lC^bkS8ppq|QOk+g5g^1Oa@e0kl2k^)7mx=`1%#e(=P}1jr&yC?uEriSj6GrRoYv;-3RR47_gI9&a$qCa$YV|l zVqR1+W1}#bTEsG=KCfqzi$z4rL6r#YU54(NMT9SjONe zH9bhKEh%}a$34(7a8EdD2`=Grj{S0ulhFZ9pg_yhV+=Y;!7N{@*3>RkQ<*Hvz*f-{ zS>nTGcHtVUMc4$Z$$3~eClE|GS&7bp zGHgq5L?H>Taq644mxtPLzD3Q}HZAT7yg>jjgESqCXH#Uxi6xFJf`w0mxXn>kn=KK5 zy4VK-3Yg=Jll#P2Fhxk5A&)l+6QJu_u}5<_Owx%t@Q`;x;mpX{?V_bLd_7_U8e1`I zY}ZCWYB2#B=a|t18^V$4EfZW#OXy&92P`|!-@v=2O8CZ#+B1gI(daOc)nLMjVNE26 zlfw;%*BMS2H8oe_mk^l80Lsvhl@5Hbs*5XX~Y=w_Hjp)s+{nVCmn+z})rKQxHtv@$nK%HdM+#67+)_y$JeMm==L8=! zkJxyk0v;FoCxy-FwTvcMtN^CTYdJ(_SUN)D^jiKIH(qBjnV1u8U{G*!3;-fC=bm{= z0i7q-W&>$9BM~*EkLmO}a_3nPXc=v5BPNOvKfJga?aK*m6x0Jo&?40jMK&$3C2Jl_ zqc%k*7$-DGwvf57!5y^C7wJ+co5BC$(o9@ zXoRXWZ)EXLJpoKyC_0J+1U7vVT0|Elekc+~EO5@~EzI(055z$PBa@NFP%$IZaDh}A zRVfd55kD1WVl-pq#>VTBARN}kfXY5009e54K!ni%+iQ+C6UHfNQ^p~DU`&$cx>z0D z3_=?^tWO_87OX&V2$5`u*Z_>lV8b((6}zQVjXcCcj}|}_hzARU=ZuExp#?M}ul7di zan7Ow z8LP5rIpTm%l!^c`5d?&)(UxQlGH8$VMU4u7j7BD+0KfDYb?3$+#KaN?L%Zu!AJfIz zE6iYxTB3i1;To5>vf*Fg9b|NAdJA^0a`9bob2`)+Goz^mRs}h*kRz6Ks2?|hAe!b1 z2uJP+Lnv_y7dHisVJ(kN#QGUi64VFr7*(P`DHLXafGTQEghSh8Fh0~1_89G%WAl&* zJvcyNdxBBaShexBTJ6Z_^Sl%0_k^LLN(jfZV7zfK8W}Mx3>>eiFm9}#dxB!HkOb$Y z(J`KolPa)7qpC+}nj(|Lnk!=~YQpq|sST^ZtQYe1F2Yvq(?RNVDeR@~#~44i?()zS zpH((i^EBovqD}XeEn&(7Ada-Q2T)ZWqQfAeC*DvD6Dhg`GVB%1-zkq%m3`KS9iHsu z5FBbaS%7s_zh-()w@RCyBaf5TWs({)JEpyPAQj^r!C|~v-sneYj9piVkL}N>mArQr zVJHRpyZDxjQg{a_D%}Xxrsr|o4c`K@m02MRp>h=Igv94;7M}+wYld8iE;&{rtICMN z2%1Oq&IHFtc7+GDu&thl8Zn7t(i!51pwqs`I%<=#WLacgyilf6dioSGE~Xgl;csl_ zb$Y@D=iLxK77{TLd1p+)RH4O8b#ZopMY))>(=V9a$iV4I6am(_eTW!68Wqg3#e}x# zYuJrE2;}Nn?2pS)9(txmQug{QQq{?F+ecU!D^y7jI+4|+2XNb<*+d%fFR^Es7M@U~ zv7o}kWzxuVo-hhDKCwI=Z9-6uUd&8bLlE_#My{fhJk2AOFeQhpUB(utLCa&S24GEK=dLqFF3jG0xMCHNw%r2!&CL zmSnELxSCNoaev8*Q52Ji8pT^z)#8KDS~%LfXWC{igchB5A86^KmR8GEsrbkQ6XOwY#jpx>yr zY#-(lONVMO)XKgS=p}4Kp=cRTio)))d4WRY-C}v*lII6eRs8XkddXwM{?qr&c%VTK zR`3RGx&P=m8jDa;={Wm@P$DMHq@V^oajSzlV3`whgiFj>0_-n?r$b~$-ZkDfjuN$G zG$a-UvuF)2Ju*QzS!l#3AUexj78pvy)1!j?SFA$59jlaVU7-8JIuaw$p)iOHArpEO z6Ez^-)KF2ze8w3@aEV7#QwC^tHD(TsJ8;5q2^mC)1Q_@fM?spjql}bnx5S8(ic7_^ zh~}pXIVrYBE9O2Mkr>V~3UXDEAw#X)F}j8s{>tu%wq6*L7|om_zd~tlPCRY z@e6Ut}?(L(eM;u@Ptq7srJ8Ur@!BO_s^4_wnC zI1K9B@Qf*-s;WA}vc@R9U3#Qk2K*yp5R^fml74EK#>Zr?h4^@&mIizphJGT6VhZtR z(H+ErwZZ)|kFG%ZHTz*aL3f~ujPPX0f*tftV$`ZCu~%kJF21QAsDv1q74rql*d!Rl zQ6%<(27m+C=&Gj8nq=x(RQg~WOmE>1{Z?bBQv$7M1&$8nR5ck9bOQq{GYL-`8;z4n z^}&KHLet11xnjHV;8i4JI~_=Fsyt{TIsl}Fv_HO&LSZzx=3ls~NEZ6>b9fXq|K)DLAPDHE3oVW6Y%N-IDT-O?krG-POU!W&VUGG+u4 z@cuP>RK@A$uy3?ZZX=b^gn|eVo{$7WZ=uJr>ZJ}xq6$ZIkgJ3aAM_^_hf664y+ji; zCL)xM9U!CtX{3hzKsP85KVR_#Dn?h5BZv$%n9%{L6~a)QSI(>G(_qR}kH--3Q9&BL z_(CzU>gY+!igJLZe^FeK(8`fvf5yj32b>c zY7wN%C@lM-`6BgJeJ}LfqH?^SKlA?N3Yjjj*+ROq>p)iGR!8~efEQL^2 z1xzi528QYUY9Go%O*H<1&ah3os0tiDkfvNLI+K|Wp|Z3EvsETk5`rp0W!1oR^tQ&b zuBs&1aw27Cpl_44}%YQfnj>bR+?4VTnh9U^Skb~f`+7b(8N+(+8 zV4XX3O{xauyVPrzue~ zMejtHuGFfFCfc;K`W|&93<8p=k~#*ysd}wUhxj9169cM@6fu&R7rHQPO9Q3&LZLde z3Ml-c4Zvcl_)#;dg(W1UtXPuz1h>*R@hsH^K+;ns)#gS~)I~^CD^hK>A661DxyF*8 zibw7!6tn+L?_6{S*L_lVPdeN*H83rHBKJrod>Z1(*aE0z; zJNbubRWqpr|M+N>ly)j+CU%ev%15@G4Eb<|XN_y{0H2bZhy$&vQAw(!8<{nES>jD~ zhH2;^Nl+yq0FeN-6#Fe%O=OLtAsLF1!-H<3;oNGjP5S@{CrDb%wVjCaP-S2Y-;{3~W>r?c+a^B&V&n5Y;0M!$wlFVAS16O3Nf- zA3mURbjbq5*n^6d}(U{msC={lcvWHl0qR(EFqm=i86z-{4^5DY7pZ_;bVXbYbcTt z8;BAMT7WR9D>ud}QBwpUNw>-k=P;t)%nub;xkk0*qe@6hr#1i}PSZu;KsA*qZFL%R zLlKN6rn}K9T7M!aMF7#1j*dab=rKPasX4J()I#M^EeNP#ObGzU=%`esh<6cCQL@|o zwp=Mgt%r(A-fgo;NSJq!p`d;VhGx{V9P*5548h8|AzOuuLuP#F29!ik3a54yeKSsC zeo+{84GBT{rlMwOl%`^CX#{3mPz4jW4L;$V&PNr+k0w0A!oI-(g-~td9!R!O#YoF6 zQ3m`_7G??{q>rbGsf9!QQt66#LXT-l>c~H|RUJWLu$YoN|5}?VF z_DHo^)EOBYY?Ws!ZD!ZTfKWUION8>>P(Zb|5P&lc&o6~Y*AyQ> ze!3EjgbKZOokF}z;iY+c3a-h4CM@8`8irI5^^@+HnnM7^<4(?i2`U*8DOWM z2wT#To|v)?ib|DMaicD2nhR1b4nM-DJk_`*54h=Kq)Ki8nqQ+8rWcs;3XYVek{BWM z1E^XSN*du!me`RIfGMmCcdDTTCvf4ybZhp^t#m+asJvUb3QU?SmT3vKon#>~8k-4? zmU}g!QY8R*Fq~7esi;bAWo-07x+IFwLTJViQwoKOE?1&xY!?Zn>kB+EM9$!-RJhel z0s^gd15D+s0%G3CRS?{gCZ2$nD(Y69S2Z)866PpQDDoK$G$k59Zukfnt80V`8>*$s z7e~rcCVz%$F)JidGwinnpvGq>Jk?$Rf>hgxLGESp%nf&HOCZDqY03qgrjl5>1hO?k z%ydw`D4sL0>vT z8;J|4l;K141tSv)96Z$nIMB=`V3a8Cm2W=E zr4U3-L6``tD4jF-ViO>~#H=v*GAUe3?Mx_5Jh#@xaIz~?7(xdI{{jN&gW6BkG@{{0 z6rm?j!vL2QE=Kr*Z*<52kSb~_LQDqvN;P2|_)o3=4&ZNB&-t1VIJ6e(CWfqo?F z)|9IVrK0@ekaP(GM$DZdV0eeswp3L@g!J29szRWc`j`qy#3qezk&)U{qN;4fKp84Q zIp)8r0D$?@C9FYD#gQ!-Q5ECjR1#7$#DuIbmCcU?rF?GMFWsAbqXY0F!wtSrL|5V$ z2L`51;aU{LMO(1OI|<7aNNUTs8rz_@?Jr8?sj>nk2dernWWE@+K*UGW4YDvS8VGnY zm@sc_gA#>e#uZa>!-qj4i(*8|I9Wzas*I?$L=0gGMv`vlg)JX~F(1RWj2PvL60EiS zNZdxia=CV<#Sl@4*dfdEs~v$3e~ zSEk{ZFN3PaQI#d;oeprNK@$K|Nz*zoZzN;-sBDH9F~V#M)+N<4?ukgN8B`EpZM99l zWJEelATnB+Mr@_6Q3v+K1_>m?wTjvi5GmEtppq_rO=V0;w-uJ!h=OSxVYaj=&d5nc zijJu8&!m}10|lBiv7(+2xGtH)v>b?2)=5Z&l3@@KLqf2CO48CEKw8Jt$VbV7LKwOb zH8E(?Buze`f!Gq!5Bv#$Tr{Y~7q%e9Atjh=?oIgyRT#Gk23x5{5-MLe%I3!~Xoz#C zR&Uj+y%v2{T3M~msEvfLYMByrFX5UhsJ?BosgOWgyCouE(69!r<)Ed?w;Dib5#yG=LBTZEK4UA?jCO?PrH1hKvxDZc0_V$v&tILCYu`1vhsqHe zqI_Ew5iON3Ud_d=>{N~I^A)NiV2G^2)42k5mkzh0oJWpGU=wFz-@CVsI8pI zresyx{BUK^3@f@6e%n5#>~DY|VCrhX1@yWkDhjG9^+R z6{S{SZ~?r$OjDVb0FpB4Y9Ud9d-1De$=)!kR@vd3qIBIBV#***sO>i>;IwzTdactc zTQGd>Kw2b&M*)=Ds;&VNlrD^nTdWpeNjrhIYS{v|&7_*Zw)v=VL&7j2DE_H#8o76( zhm@LGV4#XEQBW_H9F)#~CAT$Fj#3P?WMD?_mPgaDDj0+o$kY-d?X3~JNiz8AM*0(Z zAyKj#kJb?*Z3@Y{)t$)l2j}LBFJ0(Mg9RAkyY=kMs3JIVX@2-J`UN_$N@`W9HJBm; zmx49SnlhAVID8FjXbJbVctHoq5WlU3p)Pt#Hr+=MfQg#3KKJila{K~Kt;FzT0yBe^WRk5z!?qvOpDuI&{>pi(%J$bMxsIfg;axM zkcH1hD-5o5vnxz`Y_wIj&)9^vxV8Ypr63Ik_}}1~I<>`vVcJVN*cPQ`(mzv(DcqFW z7HjAkOj9-`i6QeNBOoB58_nJ^tvka-OTm2ewL@)l0ocI^)s%0jm|Wqvl~4huTDNr* zn}XICd1AeVH8{F7IW2KzwO8#=3u-7Ea9NiY!Tjv#zAj3rEd`ipg#@d*Hbu+d0#7OY zm=XkiduM)cwdit-ATyi)1s(H0Ai#_vVk@t+aUrkOp~QA^&S1F9R+U6RW=tp z6!WXR9f0}KmjQeIEBM{b4&oMHDy__xqDUJnDRd(z!-2BNF~gz(lnvQY(7kQ|6p-Nf zlSi@pm{cjBsOU!dy3xm6N|Th)<_J^DwIjHLqCmm^jsGovi>f^SOsR&}4pPN%Ni9u@ zrVvBrHlf96QOvjC4YC+8RK)_HmZH8*3+f(5+v1g?tCp$=2<|nuA+)1s(haC^cKEt% zaazP%^jqMz20IuPV{ms!2J;(8!>R#j({^06NQMbRy~R@Mj#cxsBh&J}BVu$?a4l?0 z2-d}gq0*LM=$Is=Al5{r{Z(oUYLHFanw%ZZ?T^3>A_+1jE%q&@es6QRFv;fLK<;3L zw8J!|8YBY>cKZ=z3#Fg-(xCi*GX&Iv3Q>^e#}u%;=BG`34QoJK*4s2gqbN$%lxL~wtPYFKBg#z1R0ioYw>w;YU>EiY0whRNe%NMBb4WP+r zQIrf~n{H}lZrdcmE64n`NGi$z^CeN6YhwyE7$`x1J46z8%HAfCy+hWopbg}0V(T-> zUbU1&#oXxUmJ@T`X54;5M1ST>1@X5tvqCc&JKjXmBmklz#pIJ>a0~%+bqn3vE2Zlr zx~~Db(5?A`1)67BxOQQ1fH%4H1?0i{+DJEazK1u3MUIz9C@% zUz1Q09}?R?8C=o0rPAKCL~fCkW>WOk0`Gpz%`T-SVk&FM2~_X~dsj#cs&A#fE^~Kv zTb_uUqLg5+cknx$9c607sLSuOPL+vJ!6P2mQ~d@17=O|b2~sWM;f z$E1>>kD>856G#HC{jbZ{x3`yV;_Y`!hr5=F0ovW%Qn^Khl<`+@QOvJ65P&F{Kf~m0 zqHfH!GFvbMwS&J+GbQZc48Hg`WLvfj=^Z7ZwloaQZ|I7?kWGr_f9Bc{GIeWdw&?9Q zpeBc}-M5m# z)oqHoYD?K6-;yz~Wb1xcg1Kt%+YBYVhBryKL|PP+C*=0iS~U^g!R{^%UBi5vq2yoq zuWUo_HJ@$n>#{{nH>PkEC3In#OVj6dW3rXFgKO`V(ZU)s$`^$BzC|(gUxV!+4EPRI z6!dAaUgPWDB<{XiCSQZL@POR@3A&|WFkj#5!u;)uzP&fa?P!~#zCkuwZNACTWlN>y zQ&??TCPzH9`EBYhw}oo+$k^Q)Nh{IZ|Kg8HZ0mEI|C;g++7v2Mtxydxuq|nR&7VOs zm!_=OX$Hwa^VNO~y%ygP;Y)e9^mlUOr%f}EE%FX?m-9M*M}XwpvJJ9I+flx~+9fEt z{UgEr>FaO68sa9EueNjpD#|-ie1oYRrR&RF=*L_e+}qOH{M%x5r|b65pb6Sk3leCEs~PO)Gf04HH6xvU%>e$OE_&&Efa=ln|+%liU0{rkhvoG&ryCTUEpAI>2g!}HM8W(w-#g^nG*LHTI~*b0;kBE?YAcZ{_Ax2Pg%{)F5@y}oS;wq(8mQnmpRVoO|rx4as_7KZQF#p+5~=Eq!_ z8*?w@_QHT0>H^s%?y!FG_BA|trtn{cZqwSL43aKiW1HMtR(3ZcLK1hok1h>Uw`?fg zB(^`VZ%pznHQg9M^D7c9-0kga9+YefY+-IoHpndrk-06fy?%Y)BDSz5aYsbg0&Txc zqPc9W|KuP2{&(McW?8@U-~N;T?!SKHcmDf-`d|E$|HhhsZCU^MKW+bqZGcAD|G$rF zEt*C?=0^8ME^ShK+rp??+Amb>Z_91FSva#yICcx^(GHS z`+IlCH`e6Y4^N%-r}M+R*~;#Y$Ac&DcEfy`y!_FhfAS)`7o25p{ObKq|M8PyGkN!K zKkWa?x03VAKly`y@fV-|#UK5=EN@QYC-?eK-n{?oztr;^?`Z%0)Tv&4RUg$Sb6$>@ zErK`qJA;wS;{}%2PrrKg#cJAo^5^p?P4X>IXZOGJop>=hdN6U{{Pw_l^H+GyYhHK5 zWWMEO@;2-HzxV#K ze$v0P9`IJR_~(D}=@(DWo<6U}`FVBt;d_JQm=)SbS{U8eB=jRXKS-&c(`8r9J^SH2=ydMBGy8G#PV{o{ z{=wp@{h;Fc*w1GBgQq{O?}rzQsv8a;+#eRRXY04#OaJV%egEw4hp)bT`>ok&HBCP0 z|MuM%S3Gf<$7yAodjIj>;`GJ!^u7JeIj{%sj2D;7Y!qHy@>sFEgVQg0p0Twqc%O+o z8J_)!7r?yz-hBS}!@K2%H!1z?w_beBd#QQD^`E`E=PY>>6M8$J%~#>$eNLec-hAIV z8NMCf`_}yB{o|99teEqtul)R@>%GXgPF{6`RdjEp6M$a7v$Cgtnho}OA?zSr*iVLM z`CfYIA00-QpT-|!;S=~WVZeY#mj)869d)#*Eb?`SzIn&aPm&-Jz^%Os7u zFMjU6?cY=vuO7d>cyao9_aD6X^{2C^&OiF#s~>%|crv+6CUN<<-@ZBe;Pm46zW0;o zJzmGRSX_N{_N4gdF9$a#@BW?iWpwB6`XfJBv-(A6T=mXURpU`RPYT-yf_l zUIgEM8tADfgSdod%qOb zqwfBL>gw*dUVJ@qKKmkx!#hv^T{bHH2b<4sj=w$rZ1%nB=FNA~i*WBv|5;qjKV2M* zuKwuRcYovMU-Z0ZtNZVjKZ}3+KXpHyckaJ;#LGqY?^Hj2*(?6|{|fIm!`aX7{`%sl zm%e@J{0HCpsr6s|-sUfx?|C0x4aWP~PkX<<{&&mer#H#r-OcAud3|=&Ik!G|r*Q5+ ze)IB2gL}*8+x;i$UwwIV|E>7PtK-wzuOEMz9=%(Az8D72QQKjSlj^bpPS@>6_JO=d1qS(~o%StyPcht6XUqM^`;+k?v?h;^ z%2Vh5^3P_!J@}uW{XOg7Tr|bzlj_aQB6&J~gJ&~0(cQ-%zR9~>pS-d3`p?t%N9CKB zf3WwR&2skR&mZhv71@HPDvu7Y{?+V#x4!?yAHDlqtMJ#i|JwS^_iX#)7r*+h{e!#z zcm9LZ*#|d2M?8UG=?R@a7{eSz(yW_8x z*1q>@`ayGa?}yEA#h+EH!@s-vbGv%Un_vdXv(q5x|8n}TI{(r9=TD;NhrQLq@XP(f zvxmQO_Pq9b4-P*6%+J&P`pcq!UBwq~hJXDOwY*#%yxE)&-+uMg_}&|P%df4yN7k#k zy?(ZN_~g~|Ab)o7AU$z@_vqvPqou!hGrM}WKV5wP;lH|km|i`4GXLZG2M_9$@o-#5 zcRu;i{iAugT7^gMv$J0P#leT8gW>0|Ru^x)|H;+2^FJQnoB7_E`{Zut#B$!(`>g+PW7#opRD0*q`Paem^vHU) z7)0gr>5DhsT>R|+IsT{7|FZhMqgS7=-`X2IsLq?mA3Qiasja=qb+iBSM-LwU$!Cvx zn9=*=#a~@KIIP|DV)6zr!CH^J@Nxdf`}cXS|G}N)tm=I5cZz@h)eqiZ{NYFc@o)W$ ze=+*4ho^s)9FHzOT7Ua*{qTRS|Ng`4@m>4o>hrh%@%g_E?reCS<~#1c&j0;4&wd{N z&VwKEwmiGOdfxl)<^Q<&ANK#xboKG{5%1}=?tJ;9fAC+P|MS6j+-ka)=Glvr7=LGa zT^4_uJ+Ph(|IPaFX7FKj^3nE}f9(KMuZp^7zlLe))}M{ocV3fATBu z#MR3e_Wa;mi$8RK5M=o(EEjR%%s=?@=2cK5x;y?Al>`;T6I{xj=?{qg@x(|a{Z zl4W;(u0?q0)HZhW$TZ-=g;bNezbP| z=9}2=A76&0KkR1Hwa*sMV!Krx{kXdHx7VwCgMt~)>YwfX_BTt{4ezu_nY}u6f7kx$ z_}_aUU0%Gq#_ zpa10V+=g2dH~x9~c;`s{(`;{j*?Fk^>Nk&mmX89feL26sdsDri{dvCoQ|o1%zP{@^ zwbgG;p3Z{B2OEF5ExrGIc>3`0*Vm8LTf4pg;{T{WtLBq~kI%l@8RlEnw@-uo=&yVE z?F;YS?%nj@o$JY-r@nsn&ciQGw}-awn1B3Lx~oQy@|WiJ4>x{yez&|j#{?0q|b7wG4H`7-)`Noeozq;7i9h|(0o~b`=zX@K<{<_uq(UZ^XAMBM^*Y}KH zwf;uCu7k1t@$kR;|EvC+Ww~CDesu66+OLi7x>uY`y65$W-+oaoK00`!?wQZN-2FIz zmH*Veyq{hjezNn6m;dz9@4oo#)ASc7-w(cc&-riamc2LsymS1^QR~ z^C$^+ywmgC?{;^gw)LHPQzttI!2yIxHj_CdT(`>d+vt@f82ay+c)ao>}0?Lj>-qQ@7%W4yYgwg?=5foTl1I3JI2hd>;B^6lb^k~d3Sr^ z#4pwcbMrm#Uq_qCJL%7@p9XiW=~*?jx6-d^SG#wwJbmK)&%LMhz#QNG(EYL%z3x89 zZ_T;+U^3J0iccPW_wxJQ@3ud(UcJhK`lwT!{wezY<8i89E?u*~cXxh#zccv#cL!Vg z*=^_e&Fzm`qv#@P?Ru}K_H64Po&WaSNHz}rTiS8#cM^4WwzZ!a&c*c8{jYwnJve{W z8+E;})*q?gz36kse9c~v|)suLswe_YqY)m`Pus$<9R{5_AU3z zGtZ&L9L+{MSMB|H)c&A*TDPW3Zto`F+V57`T|zVM%B)WpTl&=LURi?^^#gnCc-58m z(fG@}y!Th-=gYnt(roJG>CR89=Qm}a=FLtr(@~FC=e@^+i@9fIJQ4R$y^TlR2hks@ zPvYlCKP~5_udbPwBd7lzV6V?Sj|orn+mo~|N3y^8`U&F@RG?>^ZocPc{w_0O$MD;|K3s9IqF_yxY|tO)qR3yVBEsck_!cdLM^>svjKOJ$D}~ zC;nOXNK^jdvKyLGJBUu{3`w(_~Q)9Ykk zoPX#}ou}o_ZMHRjxnusW^Aq#yU$;Nxk*HUCXQ4Xl?*+e7eyZy6cmC1No8iOcsd5}$ zzIv1Y&4ZUubKBqgp8eJDwtl1r@3nvPdh4*BrPG_uk7i#_+MC_-Y#cj-t#IDG&6IcU zeL30-J$Jm(iRW*!`~8~{&sJV@tZ>vd)Q#v%*BeR%rn zo_N98&@Yb--?iKSVf)*~MBCijefrfhdGP7Quj6p~;0M*`Uz*2Tqipt6{dA}9Ov8cI z+ZlbMceZxYyV2Ihq8FWCYU^*5y|DW7;0P zxaFKbJ^GQkG)I1sY~Mv&@yjh{ppyRYA5YwvWIky(whqx<^*^}8SK z)Z5Q)`dVlA?3edHdv-D$p2b6VEnBKv18@KG-yXdiWN93jceCyC%5x`?R=iezV0@Fm zdlP7EjxMlqV)MAPEb8Iav;P`BrUCL7 zH=ndltoHTzqxAey{;GRu+{Pc5FXw}Q`qQu8zqgjp&*>L83@7coC;wCT^N#Y|{Nd=e zcM*E_zV&)B^yue#GCELp9-ls0TN@q!?B(ZM-CFe*c5r(9qr2<$n~U%NeRz_Te*1go zznr(igT3)Yn<)>CuhpaMdhgS_(=y!oPxZfFrqS+C(qF#nKJFyTAPvjSz1zFNZTYGF zul@r=v5ZS)sBi9$UatB6!@a9tjk8Dp%WwYm6X)NopWJ3^ufFMh+!|+>&fm6f%Qut9 z53fdRXRr3&*KfkhjsD`p^?(1j;YakO4adb`LtkEgarnQPUp{f@csR9!X~#NO_L9%n z|D*qa0u>n++=c%|qQn)N;mznJZ7*`v{$M_pU7 z#$SJM_+`A=YAr60zd!xC_i<585Yq{o(81 zZa$37&5OKy9v|*xc{b_3AD`?xe=OIR67T1lI(7To z^lzuPhv(OJWLR!{nXKE|QTXTOhTT%X3cuI6p5E#|Iy-r+rrnDZ_u6qcG&4@4 zz4nE>b3vc_9#4=~lXAOtUbiOg@4fi9ziRytZOhRY*Xc*)xgJ=ZUFEu8jty(LH#v>H zK{vZJ9ix-j_HD4=|NZoES*)dFr9J(8>!0I4Zs)J|50$C!X}z_(#i9LtxiJs-(wAx5 zZjDbLz5D7;JI&u2W_Rf%IV|RT!^`UZ)~Mq?f9W1h=X(e9+0Nou8y{9?KGy1y`!IhN zCFW-NO8epRDNnX9qgVQ|HnBI>Tl1>`_gdf6uR0%Gp8Rn4tBck=*=fhYRckl)Cu^-I z-}&8l?613@E-%CR?dCh#(r6b-uY3JuXJG0<-zIf5&o0~UX79`J zXqonah`wpvK5X46onU6s!@ARcIolsbe&lT>v41ycPp0|NQF)pDu)5m1&EBzIy|&zS zV=;>NoN18mTCcBT#j{7}oxfB6uzUZyXMTOL_kQ>!|L}Nm;q3EV0=#~0+ z(_fB;58MC#yH2=#ML+7Hh5i`#bQ_s!Xa=lpNo52NG3`Rveq zHM>(EE|SCGsk>b%m&Raui{pQOWqr8)CQ{#Y{vrJ;kGdZpgfF9hI($b7CVpovygTz9 z&}V$=9}cZzvdrTB?bCm^KPk<%<>>OAhjX7N)JJik-0z>vc85y*M%98|c&5H`QT#7EW&;{P^~=U;at?Yx8V$RSz7u)^})Hcy?~tsl8UvZh7rP^Q+~( zXcCRv*0|nC$L;sEZ*DfU$ph<);>hsxcCC5!EA@lv#XaRuiaFjpGS8mub>2Ka{Q8^0 zj_=o*S?|3&{m%M+^~`8p)N6shAN=0jA7yqrRkk*i*YkU=#Y{Oe@9wXE@n`RyI?Q+C z^X|Z!k5lT`>U9uyH%arCy$;X5pV5Qx6By#3vL$J*~+onAcno9VwKAEb+ejoDfD-ex|V z6#CX~c6HWM{;)sf`S;~0+KaW}Bz$nZOsAuvl09Dj%l7b9WG&~1!<$LV>*+yV&ocU5 zNsEuI?Qyraq!VFv8tlIte0A1+yv!bsZU<-Ceg9-z8-3RO)#RP%+5L~7->ePoSx}u( zaP}Z~Xee zxm(XP&)5i_7!P|--|Tgxbi+Pd?%rL3tM1#sp5Hfai^$wqZ0x=4e0KZV?&%ChVBo~3-(F1QW@AceQ*Y`K4l^ZE7Lm8cJ+HX2*YO%ii zBs``|$8x&1d-tNh`(~U>_cmwQT6yW(i)GhIdz%ZtGtg(>kVxdJAFTJzviFly)7!9K zw$@IR{c=Gcu|enhMPXO;CZ(t1@$vj-eeLV)J^$M5_q1rXesDKl_BQ9Yy4vkLo%E_5 z_jGeBw9{Z`^L1o@J=&m&cB?A(l~K}KyFPJTx~M&HuIqk}r_NvG?W|XwT^+Vg@{QrF z^)NmQp4|Ls@VV}V{f&03xS9It^2-Lgd+E2Od*Hk_w$hQCrtO*cu5f-(0+5ElDx#iECcDJuytCR3ho1XjKt)3l* zT2Qt}7h9Xbc;C1%tC_P?PhXvV@1HI{r{~?y##wBXU2Qb8*XCzt>m%zIZ+>#{wB0(} z`sjMpHkWg4qjz~Th{x~tPnK)da?AXE{@(eEAKZK8M0aZ&w3j?JKQNx;4>!n}kNdy! zkJgGg+h*H^-!s0vd4E_~+4yd_7SYdq%}HsNa8$pl@T#t7wSyO0RKCCW>bWfq;fD9; zw}!cIxroJ}T+WFOF|MBT> z``{zWzqejb*3xK8yH?0Z^xiBz z@Lv@@eLi>1`TF+D_zH>H!s)g8@E5E12BC@rmi;* zH=IR+J@WisI@3p9`_*{RiS6U?N$EKQJAMgW%Ll)6G5&NKco&y1jk+YHKY-Z@j@$=`NLxS+UtYePyE>%Gt}k&+=2N z{rd9w@Yb-;#_QiJeHxPPY+aok9na$4`P1HBJnFUHoDA10H+*{4>i1|fGRk)9zVf2~ z{rS_LnZL7f7SNc`DrpIO*0Q3F_;ngiS`XDTbx=he^ET}E(^2Ub8_KAyKUaS2{XyTP zSEbV_pWb>84`yFvA8%#$=!tit4z!o%gXq?nl?U1r|B-dN+_KZ*WYldX3mQs?T5q!K=M&poB+k&Iy={`+AB>&; z^Q(uOr)vM^to<$2>eF1wx|@sF#-P2v6P?Z5JFcI$vg*kAT00)k7s-9?yYzkQ zRIM)BM|1ityPa-vqiv^m?XH!S&b79m`eSoH^!wd;;4PDl*^FYd8jSku-DFH-=}tF@ zsFbyXYHNPsdXA%nL3cgBUTU^WUwTKeXuvwXwD%T^p*d>pU!V2VJF~5oPM2Q2i)>KQ z{xWkM-M(3@nb)C_F5FFX`oikI@jfWhAa)I7UHP{B)IFcOou%5Lx6jMvC*HH^z|ywe zXf`M3>kf)=s9tCv8qY&r=@>!UOKxavOFv1!GmLI#S+5_C6?;BB9$$KTu)FWpuV26a zIM9ttw-d}Q!w>CpY`1TMd!6+1jApaP<|}irn%nNO)_mjP!D7;1n^&9hskcRkqU7%FvR3!>oBJQ#2Je_pla8`koXvY%7OgsywR_mwQr9=EpkG{N?PKe=FFS|5Dk!75 z+gje0Ep^gAy1U&!q_sRP5ly4B6P%M0&4=EfuJ@0Vdbak{Y^1%-NG+y#l!YELqK zvGHN_bga5c*&@UAq_JQ*PF*^Q?q<*Hjc(~%o~Bs0HybS)+`dhgZgREaf0dL@SDXU=vf=tn^l-dZ-YVdo%%^&2D14^F^zBIaO5GGxFu!S?8J0B@MDq!w2bawD0F9&Oza>1!1bR zL(Lg$Q}cae6t5@OsP+j@TWVeU-5gb0bO(#Fi@UoB*S57F%e(i2^VXJs-lkP)t-T)i zjZU2!^S$V7Bw_U52nn^bd87@74mcHy-cl1;n7So z3u!xV7H_7;VLOXLP3hckz4q5=c+*v9G)uAD*(i-P(txnrb*)jbyB0+U`PX;dd+KT2 zokq6mh11eKQpSbWi4$e+Dk>HJv&G|Kq*aq(uwJ$5t8mbxSzt1W+eXd?S}&SqS!nL; znqRybW|+hBE{X;pI6mGw+3oq0$m#WvmS&#uyXd-HZ0%no;>W%_2^ z*&bH2qGfc3wLh=Co*9o|o<#^7`Z8_gs&$49^ z+ugQvJ=t3GF9wh2Z=A<#S9ca-46Mu1-a&kM=JYPz$L{2Ow!7mR%UPWpo5RVu((X=9 zRWZiR{A_W2V8-MP%guMH31S-_JCm#N&Q91>U*6by!Y)hVPfZSDXtQc>Gb)w;a>QayfOks@L=NZg7zdlyom4+e>{wRqV% z*eats>FsCdG~u;?RA<>JjS>-zmR;KZ(O@q~`p5O8klMk% z^7;7QA!_50+)N-dj4j=7x!$v47`oC<;$|SYtPh_{`G_T zO&;c3YtvDAryphXSu2a4)LT=nV=WzLk{z`}f3|*a^k#SC^wM1iXBeUBF5%EuQ@u{tCHE%R(}|Jih}O-IzoX)9{(X;Ykrv0t@I33Zuc;$`Fvx2aYNtRY-9K7*?OPGWraF- z?&-52Cv^7~?JlM7u)jOK>~vJ6+qxXvbSAa=iC2fZe1hv^VLwdwp>%%vb zjuFRR*GLw2Wq0%X`kG+3oEkg&mD5=+l6Kz=6C?BvGCIijJ8`M_rWp>}C-Xroov&|R z<*m4)c`>cR9L|GT9aNJFHqxwun1n$?|O7x#9?SsfXkt<7et z8!vW-x5?U8*I)98yjFU9ce{PCoGt0>)b3W(TW#Ntl`!_&rtbUQ?Or*HDtgMTEnjJE zZFAs{{IJ~{&-*l8vC;`G&imWbpqFxt=`zWSHTy={)#plAoh(YDxXU)y(#zKRthG_z zXvf8j7TC>Vz7L z9x9$gADpUmm8qeCWMXSS3(QpSm2^|4k7Mn+9pg=S(1!!)SRAv$+tg>gY|t7TTbApjk=e@={M^Njv!UEBYb7La3#WGba?;&arW{Z>Z?!XHzdLDr zc{D27?%eJHDZ%Eq$Jn zS!HT4k&ab%E6?xrtu&ped$e;i%XxxFrC(#DZ?vX!YfBAipk>hp+>S%wu1jT^uRG&B z{a~|4yY^ax;)^9NaOjQvo!%_lYR~FT1Dnn|n}uJEZ+hM3ZQ%B@^K8R93%pQyXq{5D z()(GoVdq|%_Pr$H@SC6queu~nsk`RYly)AjJsI1bDvi~F8PlW5>w8s_&?Qajdw!Ou z;c(~j)ahOO`)f(9F6&lo45Ev8U==hs)~@}%_97gthm)e;r%UlPGa_S8zYg1Zw$@vo zw-2&7G}g5#onAR8s-`!szF+L>*9*2fxeMnU>m{n&XH>+i9x0B|Qs)a>pBmLgh8B(kx)9Xna;mvBELZ0-@Rs({ zj!nF5+AKTKg|SW(`bkzS z=sCA%j=)bnQ#Zqz{h&SZRo!7qgBf&R9Pl%txtVnNB$`T&-oX^O$%;l?8d6RBlI2!+6liXl_ggHQV-s{(!z%Y2x*WryO6SLt>Qo9F5a)A??ID zt5!s6t4Iv$AyMDU>u!4Od(^hjg?hed0`mK%3J}B#(5@2TkO!&U$fHh=Ifn2C8fdRm6>mD)QdO_Is;nhRZ+6;Ddybo_UrM|aH#7KBLM^>WEqtrv#Ubqz85jKPS$C7+p%(;|-m{mHl`S)`XQVR#bw>di*h^_N zOTRHpUu&ss+>Ps&7bVpEE$ZLeob$6<5}Pef<<_dpS$5l@=VTmaMHMX_HtqSQvl)?O z8p+b=ar!aWX#(2UXtgU1;mmmMZF5@N0`t%lm14GrcExlp1-H^qE}>m`Z}oy3jS5w|sMd{Cx9f4{7+oA_l-V2QG%f5- zIMYw#k!|$5{yfol*3zp*yO;Sqo8Aa#9*`{e^ap8Znn|K*fHfrzyRB5qmb#sUhHa|>bNBR!$MRF$wCFA~&$?yYA1-2z z5Fbu*ho-@%^xjPA4+z@T72HOdn8nf=X1-2KwlX#xMRDp_*IM0bv8+3;s${bYM*{9~ z$*NJWwtky;oTzq_DyfHdY&*-C_SWP#NdZ*vRyT!9`NQMHLgcm)v0jGurWxim)s3Vj zbD5hqCq48*epAQkdN-cZ-nOkNAa1*51w6MAS5@2eyQh_3&Eosz!dx?zA)ByvHf+xd zBZ*1{=)%8VFPVlmo)1ss=q8ORH8Ypz_Lf%9DQ14Vy~sLhKzdD(vszh{g2ESedlC_q zOB&d_ZJJ)v(zh(QU5`pLQu;|?Rw4e-sr^)Zh8NESIj2*{m>UCH!p_UR;)eFRZ8J!W zEMvc4DHi3HjsF{G>$GN03wkpZv)uDJi6MZoQ^r~DcAzjN6LFj%#fug^BbnylMWSLu zG&lxsr>Yr6wUVTqDlKd2#F{CVRW54JMwj&@p~G%cT393vb&+H!jVh_+;vF29KJ}UvCZ0>HWlWpS z$BGhKEt9Inu08Teb@_FzSa{te0y{b&vS944YctcMQ+nbI0>78dP#rs2P~IzxIM=;u zsDH3cS-S-?t#h-NmhC9-s!?u$LsQKNlhXFmP&1>ljz}nbMbxbceu-^F zRxgd)CUxVHzeV7y!nzlRoh7xl>%8q3Lz;9eC5fXsjEi<(ozt$jS=3&e1idV9T&2PcdwQ-dCuz&0-jqtyAT`$pM#gE7te|%( z-%=tsw=BBRw|g}}U(3vPeHXHIhG$svg(f;~IWfP_v^M*#k?AP3Z%;zdq+7)8c2IZd zw>eI%Lg_kH98_!i!Z2dsf##R(ETz_3^uWC-O}1%iewPl+&~UP{l|~zs(W)u?{&Ym7 z5w=JKZ%><>W!2)VPueo;+cBkV;(l0HQFoKFiEcadkYjl0hof^wm-v`w(xsVJ-!$3E z@dPY=GS|v!37LS;z z-l`Wg8K=%}09Qc7$~@}xC9Fz;pu#jGA-W9t(ooh7Z&aJ+O;N0wG~F(jtlA}LW5=HE z10d;>Mo4v&g_F&ti=H3SuZ(vQP;L!$wAP`2cF}i3!|)0By2?m#nkjtCjPCDs5!9_R zNV=P8Kqg2~x9mmXq-HnuRXb5gRx7VlQ4m%`qZ>^C2z*(JKFIEdn)7WV#ihtxS@1ZI zw4RoxO0lfSO9)=3)^g-{fZ0meWm*`+GOSc-kFI3wSQW9NCD>lvvIso276g2W2HR0; z9A7PJC{5W*BM;pk{GVm5vUIdk&1g4`lG)O^KJ;x*%lv{4$wU%0tf~GO4)VSj;RiI6)An4^fE!i`>D{W8N*tJ@D3NYrU%yPQ5TIrmYrFP6tBdQF9 zv+azdAXVQAV@a*Bnu1gESzrMHt2#EY(P~M~3z9}=x#GCXu-7dEzJD`cdRpSJ9ZT1` z+_8hYuLrpfMh-aAY4YVEScId9V~Rk1g}*=4*F(i zrGR8pMTlYAQld(WRhRn=TH99!RVfDmY3Pm@&Z^obi}&d_Z`HTH<T)eS|RbHj&lU3M4D_Caqfa-P|p=xkCv6!$Bs?f(9`*~ z&TK0*y-0D%FRIwd1HA}c7nBG>rcPu4l49R>fIzv@r*}P?Z{dLh#l_RKG68i41q#;%%8_vWI;MX7G76SW+JPUwrQ z3glEHieM^!ZtI2>C#r4{m1yTI&BlQV1h2Tra!bq5B4TGT3uzHe|MZkru$7)J^tPcH zd2CQ51f-gn2(jKa>|#k8Om9#7kq%5;-Nn! zX?e>kl04?So*5pOxB|hpv|vE75WhLYdUKM-<u@kTbOk?`Ob(W#!waW3*?o#9`gV^X8egrv%NqMEx3`!2q1k=+I+AdRJp3%ZzT}UOuYZxoSsIyI0`^ zN?RkgNc&QQ)PdfcdXlkYvc&$CkQuWkfh2aYaYqqWdY~3)hfT|Kx>j1cUxC&7+9)LX z4k%{?q)H%TgjS;IfDf`WT(f4xA&kkSue=hAZopaju5TIw*5kU3%K>Zija{~BAQM|l zQ)D3>Mhid`I2RzDIhJ%+PBTUaJ7!iMIaDY3k}ya(%J4yXDqYCRRP~6oL{h%QtHoKw z7j+B6<4A==^uo1(T55*~7379PH-nt7sQ{OCf*xHO6-W;ui@tMseoUr{4ZAFh3^l_D z$Ue#W*$2-Sh?Nq9H1vG?!?puzDBBRHW?(}Lv?59yMUssk(#nI@Lj+RSnFPfy5nr-I55IxH% zr{NwyYL~=98it|1j)h5RDuZd{cuOq7oT$Mk)b)*I`gow~MIlaEU_UIHBa1mpYAFLn_IHH}(Mt#Y#(q z5qceIooZ2{Rw-6TyC_R~S5ZfmMo%uU>U zMFFrfqmE?XyNpws%a}@_;w(7xA5yMv=dm~r{H!k4h(H`kD%gtw@{m*_R*Jqnkk#vz z5nm8DRVo`xA~rJ4U5AuRf~FFNiesWooD1yQMVKhyDq?-YaVDLfrJw<E&szKrJ+@G~tN_pPJI4$aIG5A$$yG3V7o3WxNb<^R@yrA1GoQpA zJENheX_2Woa2^fL;dp66CZy52nW+oKLWxw3>KmPe2?3ZFmTF`gg;S_EmQ3z{dJPKGEBokHB%x&k?l|AZASp`ypub=?3 zI4Zxkw1_oStOYKxEF3mGpb#j%-|6#%1L8~yeFrJBanOK0;aGYT;T#b1=`@&04pil#I5XDkcg7E}?j$Q$7~!r#M%TutFU8i>x?>?>snz zFDl{HIGRN-b}pw~AAt&ZB(1t@*?7tm5M!R1e3cr)NeNh2PIEohkC+P2VtDCsRd7HL zn8Z+|ghdASgAH;ZCQ-yKboDT-Ow2e+J02)YHA$r@_DM{U5|uP00&)>{2;js~vXzPr z9n@5!e5PV*vU!)5NHE8sV4XZs1a_4?&7mMOwzQ;Hs7gi^hr?Bk>N0=;$ceO(7#c4~ z6z$-lmKAW!&pIx(9?Xmd{p!`567VJ6+9E5 zi1SHV3_jwE0r=TU1q-8cNIxRTS(I5hiigaS5W|J4lmjeFpaS7VryQX>23UfEKOP2~ z!8Ix1+y-e2YK_16z`4o&{bcSu1*j|cP1`Uy@+Md9E>?1?vb(b8O;lLnu zL7>D?ffyC;t$`NcK@|uFi@?;tC9GJNL>B)dJ+cjy&?axe+ez7m^-!cE;R?7NaA#Fy z9%yEixV?%>8zBaWONzpv$QwJR!qo&VJU`fzct=rAny01(2}P=rW4bN!aSs`QOPG?q zcZA3`1W^h1P5vv7#bh%Fvc>6Pqu@AVp-R!CD1m1H0k9X|4(%bW#*En`k3$yDK>Ptd zFtU^&NV0%j62!$lYC58Wz98~!ptM#|m*cPkUI4MP@1nMHALm$P(yGO>6Ce8|sg+WM zR%F66)}iABu{oeorxf^!9HbdLJ_-m2B*V8*(3AWg)Q?ZXMIt5>G>eC4-yx$WC}QN) zQ7Ra!X#s5%OS2ON24y=$Z^9X=V;LYW>0QBMB|{=giKc)@1$|RQA})aGaDrt;V34O- znsh?CN33~CIn-lJd+3U=$z>;VV@?fkY_7Py@SjL9!shhHP((UimS;h+?zqJ zg5!V_RHfPMYnsV&a*V1w-{S!YV%!KlNgNx$qU(5)Bt@SU>=DaE!Vp&Alxbd%{w7pt z2^VQe#0LVgKA!oZ;G$t2i#HHIhF!AV02>M6()X4!JDOFaW;z6d+vRpDz?5-8vMeKO z$ik}Nf+Ulo2MQW5S(|BPF;@wo%4|1U;_ivBNEvKnWra(Xu{LnmD4Yof4Ha7pQ_n&+ zhXqQN$f%g%0E4Uh|z(PuDAZV7J23BQmgJ&XrNTE7L&43MS=huEHBq7PRnE;@~hUc#H#vW1_%#Q@pN2 zHmO+PXT*l)X5c-(I;mzjMsXlK`-!xL4)OTJTqjked=HQle+jazsIwAA40iu0LV~HJ z`#g6N9`iQ->6JRp9Ro;s{&%8A1~MQ9+4dM(JYBju}%) z9E6NqZwdpra7+|-i%Y{Jh~tNvsvuyK27|ANGmhXW>KM3i=_QW|h<{@p+=Aqgc7co} z$HQIsNi(5XdY?`pK>bWP((RQHH|tWIRcL2+b9~EYL-zEbaS6n2>oDW zY(Zc7ud}KKU@TH1|-dUn5Y0x>`@XgWC|}Ru?96%(+ij?3LO(TYWi3)k5!4=QjVx=;BH z;{h{sj)DB0X;Wq}!6|%YlDpVS1Ja3`c>hlzj$l1;~Ik@JgD`$p2K)T#hW@nrb}{@PVWjNDloMZv{XnDiaPV6v2B` zQleWyAhghX60L&7lVY-sFBhg)L7=*s7jE8I`wSzn4nwSa*jmic|9R(xR z22xONrB1|VCQAXNU{?n4ShR+HN)Q7(s;CVnM1fAiZYgCPgCaf-%ofbbLa3OrDM*T7 z0Y-oTD3gV$COVEr=S!F1L5N4z7_)+}kdlK;3)q))fTSeiM4JQ%4MHRcSjq#Kj64Ak z0E+J=dttTAgK=QXcumU90^7ufSgUw)F&o@2H^T^gOAXj&JVAh@P522DS}RJXq@;+L zJdnL&p5{@}Dg|jv( zOCbp1Dy{(hEObC(CLUX?5hj7P0nQ*HD8`rM38^JF;LIq*;$e|Lp1y&FYJuh=5ph=F zJ{*g5$;Ag(@Pb4SgJ1{3KsT@mgostBI16kKV96MGPf2z0Bd~yEj+GP6#B&mZP$$3q(E|5^_sytKh@X`JjT=K` zKw_pOl@_i7J1{dAhIknd;3Yl|Nr~4%;aL>(f+^8a1Zcu8FQjz#kO-~~SB>PkR=Ss?926;HoQ%jw zNCx)i09UpyMJY5f4raCi*#?e?Z3p%fz#|BNI+`T8C>g3nHUxL6Oi^edkOH4@-q?>S zK^?0?Z4f`KAsr)80Mvpqr5Yq@I~v1IFV_i2YWyfOqi?7g9+@Pi0uBimB)7-XNJg2G z{E~x;d@h~1F)iF7l0iaz`yH)95H>4Ehb4if&Li<$ioKLp*-d~Zfn%U9a4%^coB|1w zh#8_=1VMx;o>Go($SaN#P!WZ8pf;xvIAR5R3E(BxA;1m!!3U}=+>Y`vpxg?lKu1i~ z6eu7SOU2DV6+x%AFpx$ESO8^Dj%^T=D*%=-gjGQxK~C6;ykWMGD?F8GjEai)ZZwzW ziUU&-h`yJbc25LJu2IqUcgS5nCt!l24#d(gzMkeEe*2+M5T8RcBGN%lU`K^8^vgSDWmuom=) ztCqx@C@r2@+&x|#u!(%g^xz#NgE7TOr9uVMSOmYx<#-)|L4;@!tEiKLVw$oXO2`Vh zk9(#3!eA^HU)SU#C?sJNN(dxmKor418q5gANR;c*TfCv@j&O(d^Rgtx6ht99Bhj&` zhKQr0T*0*BbvRf}VMUTas;3+>VnYS1;TDvgSjfT=H)eDRW8XOj(a`cUf zu|I(k&lM~q2}CLa?sG6^KujTk2C zCDB39Bfn${Uj!f)qfkUz7%MJ8)(UbJ1wiNcO{)5oo~5n>hC%3fT`_wZ2|^@_2$m2G zB!5c@LQI613r7)fV-iRVqry+J*+6O{a>Ne7GpvIt2;^ce5)9xHzh_JV=ft*5r};3c}R*+PA345;RxW8wi0cL6mSyp;l{ZG=p+gy)`7AU z?9nxD5jTMd#1-QA1+@cDaf$#zAsKKAC_y!ZP=S%;viN+#JK}f5@ICSsKGp_(L}@gvQKFhygzuAG1SlsX6ekR6ICJ2p zzZ9Z+z?zw znXn~3MCjZqOyD|nMEC+nxtF_z5%^MJnygIxn|M_?DRhc{ir0S)i;~3Y_GH@L!Zr;H)qVDdCQJm$y(KAQkKq(gl9V`bqB+ zfg5le&f)1A=Yl-Yd(l4Orj!;a=`kR*z#tK=Ou%TkMDzhWL6`!Qa+I#Q1=He~44uFQ z^~i3eP@cv&LN<(NM8rUS0k7R;^9&>IxCv|A4qfn!0UO;A5eQdtpA7|~+LXs+GXPQ$ zUlU$M&Z43)9g{(jD7Sb9*~n+3R6;5$CV8uHNT?B=0mzCk0&=oeavf1RF<<6K6%mkt zYX%X#CX+IZFb!{jGD?kyOJQ(RU~giMh*R_wb`#AST@c>j5QJ|?7ItCD(AW4%#4V0OIy8uT>X|San9-vai5n1*>g)5Yrz>Nq4 zpVJsTItX8Ieo_gOT{1W&E+6k(vS)}C@PLBV1$8hZ|4N`~*pF$6D!@6(`~*p}MBoXu ziCT-|!97VNCB5Yy7$iazYn<6>mfiBT6U2;oq#VDQlqsWM|kI1EC`%De|}Ic%yTy zw&4_7hTnk@aRP9JH3KQcUihX=gIm~PC5^+WG}mDeh>ElhpAJ2ZA17Lf;o)OI2Z*() zhhQggQ6`58)D$rUbXwkG9g;P&P-evo2p<6(5>JU*EKo{<;vJfjZR6*}qPR{JPmomu zK2cJzApRQuiF;vY@&3X_*^LpC6C;9G;u1h$cyiH9^aIiIu4L zKQd)mPNOp@FB@HQkgHh~I!AQ_wZd|skE%6W`cNt|M8CO|EqHlBe9f>I25Mx0jx@fU z%VZj)$lag-uojcDLoIvSsF$Q~{12yO`RJ2i8Cb_!w z5zmy8^vH6=?GcJ3;z;!4%|;869KHk2HZTCDH`ya2iFYIvHYU|rC2p3Fn>k^pxH0G! zq#~Ed5)hp1fD!hfOM(!u;0yXDfm`fJu7caA+S!|CO0<5vNBpRwN;!W ze~^Sz3MQf|jj79B0$$&)UC5JoC*e+<4QJ=jL`H84htR-0u$7O*D1~2A=aPfpU@Fuh1@b^DA{;9|s*tg$d*6C!LRhnVGTsHDYW5KN4$Ag=jWh^4kG6N+8(X@gf9CZnOG zKsXWkOzxCr;JYNTMp!ZedLd~VYj37PaflF%-YAghIRjx!7z#h4RDw-KPemyko0pq0 zUJoogdJd>Vv9}g-b_Hi!ubn4Yy3VklPOsloDk3q$ykrfDqIr>DVOeI>6Xaz6IdgMZZAbbEPg;8>mut?ki>ylhj2o{NOz38xH2omoZ>aFTB zj}$$???mX8Pvu;fC?iH9DkdZldM=pNdZM{;w|AW4CPJiQ`U>a69s~aP#|yeTHGVAxd|r7 z3YyQ+FDd50D&b6H3}PhW^zkK9Qj}4ctWhj+s|^v%DYXi*0~jQjflO4ATp2@17UUw~ z0Fs2?tVQO4G<>FBg2U}Wx-O}VDWGZNr*FH5EMcd zBTJLnpj9SjF0mJJYQ$}o;*4a&3?;*3HKG8_+c1Km`4Q+TI8aK0a7k2y>sQeP3YbIG zR-y=_;JGEGVDg4S;Rl)|`{m+E;ItSmZ^9cW5)le~LQQ04yd}%yLt&k)Qrx=SEOjIK zfd5&i_%G3T(UJz_H3AfN$#uefxq{UpQdlFnrQrvlPz+I4OXQVPa#ER=!VQ8zKm-Rd zD=NpF1i&XlA(soYn-qdEgeoaua2@MoNrJ?0|M7gD{bVf`yA9_+i8q6%9QSfEod_TIfJ=WIBG(^M-549y}{jRxnH$1IXPFAo$*?d<$YRY6loT!rC9=H6 zWkH07KSfA*gaG}xGMv%=Kgu9}?@;PIRd_`bfD~_dE z0}e?df|TiHK}c7;xZJ^ClF!RcE7aLIEs?%3pMT^E5uvO~T!Gx&@KXpAQx=nxOGMUO z-Y7Wo5d&`s7v6C1TZIx0VQ8)slY$sAibio)u|)hX>u)rbsTvJx3|>YisEF)^l`GYh z+4v)hEdg^i6>F1KK@Gl^3X$Y(U_iO2Q3WK})VI+=*d*UFY^a5(Rh)ytjh%BfZ#1ir zAtl{{T>giZ%!YlfG(f~FM%}2QxFgYaNiR4d$LL~gGC_mGS>#=*&IMdkSbyAbtm z&__d)%)>`~#u&muC}EyPPaAHo*eo*%$KP6mT(;sJcW@)CTM;EDBL*i-YUClq%IIRi zvPL0JEUR&VvJ{bzd@lMe0TjBSu(`P*OfD7>*)W+&Rx1&9FrGwAhFkr4yB_>SBUS#9 z7YO?;cMy&ILBJS@2)U7f+{*>zh_b>)DMW^_L>AnTEKC#ClI&m1g<)5>uQ)LYFBKbHfI}4@1i>B4UV#qr!N3 zEy^grGOOT0aqkVA#e~En-7zA-6HV##zBxrWYo0g$%=*#5IWai_Ni|W&(I2lk>Zb zFK>%}t&~jo+gu6_&Fu)cVFMSl0LEJV-Y|&O3d|I5CpW!yo?`gIL+)d`w=9HsoT12H z3{4jOmz6YDB2sDA*DOvjqR@d(vl>}|{7s-*nWFq6fuxxNI-yjo=j~F2`Hc)@A;OB) z!d4VQywu}X;|tBmRQ#AQRbNCFqoU8llLPFhI0a*4sFX#_vLQ<5?hn z#UmyW)mlkSmM-MUWTG9&>n-yd@=yTL4#sVW;-kjRihRU?Ryzy~FIIMBWtR^%zX74n2L z!V@PuSE9!`RK5o3U1u zi2gG=J_yz|QWGvWLoiTc+YXB{AW?hIZB{ z7d2!+kuXKH9g#7B*oTZDe3D5-s9fE=X1qpwMQLSG(ALPj8MV1^wGdHFZez$M5(rID zEuw4kAelp4y)dcCnO489ES9$*0^+%+nF9pgnEc9&prrZJ2(R(hf^)bUQkau5-~_Hh zl(*3WVI@D!Vuf1%hjOtb-unwnMKH2T`2gZ2{t6upfy~>mm>b|>BYO!(K$?cVVt~RN z(Ho&nmdaJlTANkNd;ByXHr!s-mRU2`HtOBHL|PK$8ZBQSTOc{LT zt@udhZTy#f(6}!?Zxpqm849_!8HP)7T|xnW_)rW~=$5fWA$V`KEdG|yWyvB*Q5`-P zNRNIttZFW1P3ZCJ7GYl#*BD%uD3`A&MbDejoAHD+8CkSR=9NpD-y06B*4hlf2Tf^> zp+s0R92auw+l8-gMM2)Ktr?xAu2|KqMCgze$pCU2bFMOD-VmM&hmng6*)Rp=`HRIh zbMm1O#1t$VC6g$??=o6Lv=GDXOd^qsYlJEsn73eQDGkS_ztQdX0RM#B)H6M7q8 z$Lr?G=EjEfhUX2#`G70s+D6xf*TTAnjVs1spiHq6x>&QkYIp;oQmB;_S}0**!W5xZ zNECe0ylOxn-Vi3q?FeAC%^;=^c`L8jkS44Z;)QoBrD@1&7AT`}`$`P*O6CwHTyfzq z!e$l1GP(c%XYQ?6dSo(_ zUPj#)4i+UdGcz-DR$^t9*4pmzW!9lfJ#8l{Ga}r5@3q(7zTLy)tNOgyDoiM)3G$8s zmKq>RXRv@!X&r=4&2n%>1aJ~V2!l*9N>{2h1v-r~LLe(cHW3->Y8FSvBhRTW3Oq5N zq|fLx8S#-r1h^wyA)@3}dQd@mWTX`tYedudfL(@AnU^4lTd0LOl@4f=gQhs-*(Dqv zv@3ApC087*VCd+t>PhKj2;t$(#Vo_4no&dAupy5-S`&3hfDbVwKqAE|351m71Sn`y zi`0oC)nWn+g_uTUT!oQPX28TMm;qA{8@PldQ>GbENRcTSVNT({ufal}U4rbD*D$ec zim-|Wdrz3)ioRor^R=7r4k0DFrFc$Ss#CcdnpH(wct4J0`aD7eo{x$#c5FSw^N;ng%l%6blp+ zUNoU%MQKJ~B0~~DQ``kb$^fBB2vV63Gx=*~dIEy7JY`I2N+?i?sV^^SRAVD2L=|&} zLg6jHLxM6=Q)mWaRs+>$$;;#3Ng zTsHzyd=p8wk|JE?S!qC!HsG5)^l%3);VPmi-4cr6(Ei$$g6XMihl1a}?poz}@zzLR-pfrMz@sodg05#!FB>oarMUGe9 zoA5;~f?BCIQBs9-(<+L&Z$ zSrS6UibT22K%E3hJ0ZOcEmX=uZn&o{rWe-%j51>aG7JQaNE)(ADG`q`k|=YyF<0RV z4KWb}9ZHh9xC#RipkXABdXFYDeqoy3sKq1?H4euse?gRQ-||5y{|1P0V4tE@N#aba zFr=h;!NF?W(g)?M$g>4QkfDYMJDaWiyn(q*4;3wAMwZUu-)1O$_6+a9fye+NB|ty` znlj4tg+%EX@p&Wkq%(P|8raA?Nn<4eFbI(`gM*TEu@*!bCTN-|w=kpo zABoCLlE1j*`!PZ-y(s*p185lybP0gs43`Olpj?yi@STYrC&7o)5*`VJ#DG&CeP!41 z28aZei}lpE(0tZGCNz{madcf5L59WmG21O7}Bq$iNjt2%Q0)T2f!&N=iGeYbs zM2{e-MH?>=Ki08Ty(H2?#vx zin_!bdCJ~mr~rk(sX~FnhoU6ICVI>Xiv*;F@d5_JO`-tOZYUW#Eq)MIw8)oODp2CP zXrmD@Mhk-sEWDtD3v!P3=p-=|Akz?Z<&n*4i?gbP0k=w+-jOubz>N&0MF4aVhYKlOQxWp%4_kqFjdxRe6FXVaBMbbfKic@q${~q78`ij9Ogu z%R2Pg)i|SBh7yCw#M3UYPORyei(TnlGLxjDPmBb8mOhoM5M#C2Q|4(xsG&%sYN7`+ zm`PWQfJDwv2pssK}tA>@T( zFeY9|i4_LD)-Q$v^502{xEP73Z2P`8DlVm_l-qR?HQZJR# zEl^=Mid$&L4{mu8LF!422_pasM0iq&(oB_)oTYu4fQ_`HC7~glq{JZd*%C?e*hEIi1|;3=~3S>|Dx9>ontfkHCHOP}GE z4pC3(stfc)P=Y9NQjS2<2o$?#TM8W*NiMB7gj5h zJky3aF7j}dKvIDd!Hiaj5{eH+to;lOttAxDD#EBkSrbtlNQBFC;xl7NPZ34C#^41x z13fV>QaDjqqR$qV&>39tXavGxRKgV%bTlhqp@b%QEd2{jWmj>8mQbWgrGaJ`MlwiP z$VM_0aV8}lSw?_#!~+)SmjFRswJF~WbR{DLS4%2o6c7|zkxqgDBMWI9Y8}sL;9Wry z5tU++?Gho?Pi&=(PzE0F*ty~=$+4?MQJjXf>IhcxUxDE&X#_8r<_rLXOe=C?6>oHe zNKaP5Vo&=?cR-0@Lc~avVuGen`wkc&wfB{28 zd2w3mfQ~xdC#s3zqB%(NR}e&qbr44g3{Rw$>vBX)&n|QxZZlFeDH=o~j0oxBsnDf= z2yPf-PtYv$gU%Rn67EDrY645h$(cnMAp|1=c^cQX$_=zILHHD7N*le)$e?RPNnuEv zKmmjWgO^|ul{9!2Tgs+jvrH>wp(I#li9bvkWkFSFf+|4LB)h^JG z7crcWND7@+sET=xcOt;qlZF{CQ9(ia60PhR29biwPYxCJOC*Xi1?dpcP=gW<0N{p1 zaj580PRvt^8U#d*Xfm~+!bcv4G+HSj9vcvB7Fl*VyF@fe(6G*mJ4CT7#90q1G0vQn zhnzG^71#E<{~;q_`V2ivXk%=X5?!Pn@aJQQ#1xu%gIn+v{?vySBSvu&6|#y71B8bW zKF?H+$p=3YIkHw%a272h%Jiw0UeKg~;8TO48`0P-XOQbu5#poEq?P7S&!)lf&hP#2f!5%V81LR;}?g~*8C;xvm;HKD6A zFy&zeU$q8Ac+d181bpFATna2obR<-4MS}#%6j#NG?UG51p;A)1C@=!zxR?Q+Jj^vu zljjOhJQ64pAuI7g0;`jixMU(+a3kTRwA9hQa+=7}1!57>>>9;+-;*aD^aLx@2T4Z)+D5Fmu^B;F`2R7z^diF&G6^#Vmvq#~gVAQV`VTJ0;z zvj$?Ip$}1Fuakh*rSnxTImZ^t2(NI1E)#?bHd`9PhMliPZ|I^r0IcjK~UMiT4D+f zmHd#rYmvDQxayr5lAJqWj%=wXvI;@02qflX8bpP7%GH_%RsPYQv4YcK1vS9Yf3`8% zaVwOiYtTpm`IQTsxfZ7ZKE*_x^iW3uv>SEejWjWu^q)dXOCu_5HYp>Doko(W7MzqK z4J%_hXXK(r1d#a;P*qQ$SFogova%^U1{L~>dj*PHh6_J3-Xs~NmsrgM7UhE}vCP<` zUKX9wtjIuDA}LKu+yqMi`h_cP(KK&pQ(KT3TMOZWCKxd#4>O8_Oe{4e(2B?r7KcO| zlqko?GAh|ED&LenVk&b)Qp#F@&wW|5vQ{h;kipTClvj?SUEolGABh^H!AdZbR^0MO z^%Kq%g=NZ6gEklf6B~-s2dd+wS`bVi-h&iHnxrxHjG(mCh&Iv10!2g$6-KOi%T_d~ z7*UTwR|mxr0EH$vBBfB&aAz`8i5LhidXi66z{%gs!?bjQqNnwg1Hwv;3lU-&0-`A+ zgo-k25E(YK0wlBy?MgC8PDtVj12mgLC#+OBm;tirrq&&66FTjSD{__?&=gAg!tfGU zl<)PNN-suCJ1BC(lT{22TZlqGP}UJv9-~!vC_RRt#E)9!D;fk*ouE37MTM-=PbV;= zsWQ``B1WbEK$_?}K+?{T0!gvME$>i84E!)$WDEinlz2!Nhz^NT0cUkp?EeHGEs_qQ5 zh^I<|twuK~dnq%3 z2vdzptTB`YN0}qEDe@#Sm8ti@3N)S%aIrIUBA*20cb--EqK$8gRkv?ymzRS|Ugy#N|buaTQujPi-F; zp@$j?vgC>G6GP94kd%obECg5ent^WEVgA#QE}J5!%j_P_h&(7PAvaMmal0QiI?D$3 zi3k9mhtj4oaRf~`=%K+%nzU(!Yy?iJP+mX`UP^)KA>7!gC5`Y4fT~u?f=dOo=)6)F z#Y04CgTI2OBEXVL3>`?59mz>5Ye7wck8kOIVQfU-aE@k7_LUt?Pc^_C8Hl?8zybTr zC*Dw=ZK8(~ebfhCQLlJZfRyO*3Vs}tWD6BiqYA1;S*}V!gQ*6^8(hRo$n#1SrHG}A z9+T1{#OSmbCcN0-iP>tRP63UhZL*{YD|jkEMlsa|HDHz71coyV%DvvB#2rzIlft3P z#Dl#0HylV;x*>!KuTWIX87$ESzI=)+Q8bxRvIH1Mf7-*8)-KQs!F9oU3M$GO2l!a@f0e27Hx5Z!pbKy z=MPctJd^<=EEEWu&?xwnqetv(0TGu4Kp+Tb0utU-kOdrC#1hZKK){WdsVjMCrtDkt zgj0-&G0W*73jw%Fc{L<>E51{lh6to=krB%HRhX(?A?hhe4OjY1S^hv(eqazKF-6yC z-+b_8R=&OimIcQSARHA}{Lp7|5zH$oH8p>pv%~~9HEU4eR zJ7racUOXn27=S;dEs)Z~C@Xxl^G1o$ZyhQTKm)rACnZ9YhLU zg>HZm381zw)|G@l3zz{Xs%Rhsu%Ru)3UJD#zKD70Nr|zVOJPR|3YKC)n%W`50hIv4 zP?jQMhec%)^88`6nAteU2L_pKiL7{cl zi--bJeF-~cqFR(DC1FL{MIJ;&5p_aYWt~VtkrROyW_Wl&OW8HjmDUXk`lh@YO&TBr&Y$O1pj+re!sHgan~gP{G)k z#UP_p04UU0RFo7CvKAS(GHFDNbjcMbMosmh(MVr4m@+IF^7*3($~ck;tH9jrH&IlC ztKS-BVF@ zF_Thjixp)+ceA!eEP-Hm6Nzj@$;6$NQY|4i*eWeF86Ft&NDqx8CUi9gSypYl$~}wt zWkHQx7VhcM1G+&?{+QILpa+fi(r6YL5x;LzTak+f(H@AoyDCbcMMqZEv&?$K1MrXv zRVz*Ugc=<@V+zp4d-A|kCS(@Uvyo0pXwyppfr<#yaYc$3b~;BO(^j`jqm)zy^o(&p z!TZ|EHLb{TMIaF{WeUto+5m;22joYS6Uz!w1ItKOovxwu$G4;*-KP``Z-g2V6j>vt zY?c}}hy|AA#_&MB3YoJYd7Nv?*8AEYQH;5F2AVidak|KoQs2f6w zuLgk8s$~RLGzm#f2nuzKIQiI`5~wsLKamk$s8rp`Gc`?ptD(ds8A5=tLIf8HnKq`o zO=@`()6{@G@d>Szjf?a&p;U(}I7LX16}sp#BMd|Vp)d~;RF}J3DGOKLDIV&oq^UwJ z{OZTtot(hoBvw(aVHgq6H55r$;R=0|oDw58`3ge{C|g93TVAEN+37T(S`0CDr833U zFA7o=Bnqe=W2It>I^~NIOw}SNWQ=jfKu}$WN^Vt+YJmDjF0d>$axw6DRrw{& zw58jjOzy&sio(OVDye%&(jY)okO-*JC=H^l7@|xxotDyS7&H-qI1v=bdyJ%#Wx?0F zCSJlxrxJy<9i@+FE}VhNoj)3%R)A+P5fm0!FS>FiM~$RfjBbLeK&oEkt)!7Cu_R-X zV_2yP2JY|hREWhEMc86ow9tKNj&^C55Qr8n64uZX`=V-`bQcS-h*DPBxp$_tAZdG2 z7IR8bEYi@OHbGdrPlicDAjutu76K~hil6`p43*KM9AfTJR3qNIsB|J@K&rn*l+~p&BmYkkXeL zR2fai^{!rGr1UhPz{(>CdMKEXX+g~piU*o#i!z3)h?BTf=~~sS3eq4JOm~W&5dl>a zVd%+|N2=5eK>}({R2FC$9>^hV1X^g*s=iT}ca)?>X9pE@th6pGh^sEd*ZQnekS64N z1`GwQt6P)~>J%c1_yHhmR23n0C52h!BgaS^p<$9#oQ$&|X&lj2+5)1`b*%np7zJh zh7SfcUin~#MQAd7yYYZq1qKqO%_$G#joa1CU@|- zQy@Z6q;&J0kk(MqmR21ogQRg)Dson#;4Sf~bT=4`IuR6I6(XkYFT4 z8<+2|HWV2O>zHW!CR~t5n*b2Qz%*`^zB0+P$Vw%Rno6pLN^;z9VUt8(L7`n*<#HDV z!ve2TD<>%4Jwl1BR1Lct5gsTx`2Yx&B1mx@0t_`!Af!<=-DnZ1R{~VmmQ02WagApv z>8o(nD%4fCqAUu=-ADB(C_!AlXU8CIG?*NMoW|l+s+A1SEJ{h;>9T=!uhnn{5{$0y z(>r++u2exT$rz$l0wPpVm&QWB~X1nxS8RfeE}z#3L+By}T#ca?W) znv+;$yVBxCE6PRp*8NJ81O-G*ol#U6$|NfdZPjuQ*o474rKQECpoV}5(J9s`Wi891 zdLPmK!3vSlf~u?Tq%{UEijsoXCZS`pq4dQdEh;%_=99x{{eHXh!NAdG<-$5qS75rN zC8SALDRdDvkx|waxI)v66cLk(wqPjVi5fgr3mu}UmNQ*MT|tV164N@046E+4&zPf7 zD+Ys^)txX#3Lr3PY?YRV}T!(1vi*NFS916c7cPl*)T0LDx7^E%yd3EpJkk8&(=fa#a`# znKW5x{3rpO?+h7apddPEp*Yp#kdnUTMYrH`Cn$ABgA&rsM&X{GCZyC2L@J9}X*Heh z>?r`lh9P~A4FyC`)fwbeSJI3aP-m(u8~P&EP*IgEebg)^sb#fTV%43z62MtiD}6=5 zq(=DfNtomWmaRq&Z}4j}VH8Bd#7;}0QtA~t9}jYfx&q-@X(>dut14|%N~%p`iHhhL z*cyTwT3=91NCx2DY-iHcR)nWvQA{dj!?2bY1NZ76L^-L}+S-;>N~0E67sX3xngm&r zU*-CJ4-|ktE4e#eT~=DAYLL;COjSfQQ8d{yn$cny&~0R<4yC9i*($ekqniw5wn(eK zNIh_sU9D%jNUbV;q@<{u?3fH|dBs4X8lrblMA90($SuyiRToh=h#7Q65+{*Ylqk)b z`xvTC_y_-{7ypgCwWY0v zg>Q&QyjwtApq*coqkCS&b!OaS3!fxQbDQu<_lW&D%gLpQ2W$Vw{P1nvKTYf{bbtN- z9QJ(G_Huf3v}6CIc5lr&b#~%B+V|~wsK0GwN=kfm#p9yn+}yI~p2=};PYcr{-Ljp% z6Su=3MlEkUbhxa2_V;*&3mvogv*$Y-ixYZerVF+42udS8LN% zo&7U)L#zL#>znb8Z)>Z@hlfVmIwlrQZg*zhez5ys=VD=(9~Ks07?7To81>}8D~?Ys ze4bxc`Xn_}VcWL)(d1SS%YN&O;p>n$J+yA$|<;AO}fzIxZ z*1nO|`Q^F!?L!N9FHct&Pp`<3=(L>7?1I9wqG!d$PYTlupT;K^RYW_M=Q(EjUO&ii zS+nxfX<=u6_^3F> zCBQE;Hzl;9AT_b@x1W_hDSrH{EFmo?y)ZW=F)21I)Zf+3(aPHT^8MTM#m&`~^~J@$ z>E61Q-kz#&D{Jdt)Hc3&-Q3z--`3tXHa|NyzHxB$(AL(`(b3!6)i)qCDmgYPGpFcj zR!(u@wmqH+=o3 zp`p2}Km55f%}b93P*SlUtDWlmNRppD9-@febY-(ui z?3$fj-C3DmJ$hhi>EdQ-?(FIj5)qb|5FVPIo}Q6a{0z=&#?6J|@+lM!YM+d7jqh0;u{Zs4neci*Yb*-;!+iTkEn%kS3o0_T`I~&`^ zCZ?8`Mz>Cmt}j2F*?POU`TO`qM8>8h#Uv%iCuU{mmzNckJSoV{DJsd!NzX0Iij0eo zNs0-K3UhOIdSGU8`}XK~VQOV{X>Ms@VrHzXtG9co?{#%+bM@=S>W0q7?_O3mb+vR2 zPfpLR9j#0sUK}3VIGaDT^>7J|3=EA)j*rO7%qc+PmglAvmlRi&XCq|!c^RoW8L2TL zA>n?`*49oA_!rK%Z_f`lHrJ+CHm3)=Tbo-u#)b#msvFyC8d`t%rm3s9p|z>0b9}m| zWoT_{=U`>?=;G$>@gsYeh=`Ez=y1P~xYYQByvOB9SXot;@wR-B)n zosf{69O&U67#bDo;pp(_{N2^|&hETi-~3?_gU~Wpl$g{eDo1W+yURm7Q**d}hUSs#j%FWp?Fwo04CL%Q@IyEvaJLhp$MqXNeM%m+%l8W-& zl9H^#ya?a8oEZPG5N~@MS38UMCnwhzr@K4Ir}6o@xrwoX=I-9M-lp!3fBELsca8n6 z4d1-%d096wG1)mdGcd7pu(o{q_R8AY%+b%&-QF)cCek0qvhw573bV4ZQj5PRE%@Zw zlfvAb@~pzbgp|0rq{Kik3)=uEm-p{IY~DS*IX&3f*<4?mn4OvE?HKKEZ|ZDsZEx&o zsA+9@_4PL|s@v;oTf4evrdsEw$M^P@&de`AIM`m>g$D-vhJ^bEMWtpYW+q3cmpp$` zT9KbyQBwA_JTEmXHzhMWJ;pC6DLOvd!^6YD)z$I(?cv$w+Q$6bv)S3+?wO^rzV0q` zQBzw-?W_8pn(E$~FJB_(8wUmlCq|~%mll>cj&^t7-dI;+UgqW>gqcO+MC*YM<$2n*XNN+r#Dv*Ev?KwJS|;<0-_Qk z67o|L($i9M(w;rd&n$eFlUnfU^YSM-X<6CX84+>O5sC2;wtf*_-d@%Yw`cFKFE=+= z=H}NIX9s4dCVK1IdmGxin_9a&UQ{={e9_!n-`ZGH)7IVI*xon2II(%OzQ3`3ee>4Z z)6P3AB09h?J~l8aDIp;@Ir;gM!mPxSC(oWf%`Yi0%1p~nPcF+%&q$043G?v`^>XrX zcC@v8baS?UakI3%zBaowKQ=Me+uPAm|GK`urmeTRzM-kMzM-X=KQAvyNeM3~$w<$P zbq|gT5AyT#@pQ1fI>-Nie0#pTzP`0SJ3Kf$JK5EPq^YZKtE_2wUDxoY?)B@|rph{u z@7~Gz@y_YRxz*L>owLJ(V|Uv}Hr{S7?tX4z2@!Gmndx~MaS17<<%MO1*{O+XPe1?k z)2Bs+r8y~SDM_jEi7}ym?hX%}Jl~sHn3-MPoE;r)9qlbmO%IQ)j&$`kw6rvL)z!YP zZEEdkY;3KseDTeT+SazN(eAd%xy6auz2(E}b3D0h>|8BJfc!#lGBn4 z$_sN!pXO$L`dLvyPG-TglKk@g_=NQI*wBbLfB)bBR~L5|TZHK5>}-E?bzyRCePynv zXLxF|r@y1NvkUpz+T2k4qOP{4p}MWLskX6iXl$T+aCl;J_3#+G@?V)d**m&fIJgBw zL`MgP$414+W~3(;l;#)Y7w42c&nqd)DlN^)E6vL-%#90;4-1bA4hRki@O87d{%~{s z=yd<|=xA+WV`FY?aCUiWth)n7>YKXj-gLCL*S%_NYpAKJt;H~Do*3=zo1Pt?-aOnp zxxRj2X=7#M;qKw?>+Too6O|kho0^xGlbT&xT9EO$tmyNSg5t*&8Tm!oSsAJ6#cAQ; z{-LR1J{}Iv-X0DQE-W5h9-ZvYZSJou%umfP3=9nR_O-Qibab^eHq_Tty{>`Xy86b3 zy7umthOW`k@$sp}_3gd0(~EbfSLTnLT)qAMJiWYvlarHDQxj8Clj5>VDk@5Hi=X6G ze2xjV;Bi5AZcbKeTwGL4WTd~Rx38y%pOXW=UUmKM^89FXZDo3SYi??4YILl>tE;-P zzq|1btiob#Lw9>~ZDoCPE0z#_{oT_`^NTB6J0};n*AL8W++A%PU4jCGg8joIW7E=N zQnE9%@-q_4O9~#BJ*{~BNpVhIK~7$Na%@UYW@1D_Vnncyx1+t2o&6(oD~sFn>%EQB zS|o2r-Rsu&hU)6ds>&DD)m>eUorC>DQ&V$u3ybRqhldyM z-&xvNSiAeUy9EXX#>GX(WAzi4lvbRNfm%|YllQ!=;MphTMR|oK1-S|7d3c;fg++x0 z1qb?gxmrJXXK(l6!`tKSot^#Njn&DSshPRazOLS`?w;P3N-XYLn`^3Tzx%eny|u2U zuC@)+VQ25a$kHlSn|lXuu{Y<3_hz=XeqP=lo`K;}Nm=pH@o8CUsaeG(mB${rV{$0w%bUeAKXlH+IVR2?;Y^uX5f&#-MqN2l6a+4xsQ*+CT3i2_?3JY=y9;5$D3rmYKbF$J> zuyTlviwF)25Ak<%wz9W1`|$SW=5lZMaARY2WqD>{W?*V)c%Z+pv$MOup&m1Ibwhn? zRrMPzh3gvH+nd^Y2l@v42IuBi_qKL+k51lRf3SA4dt~kA85rQ}9}pfF7atoF8kxwG%GcFZNc_f@)~2rh;laMqiK&_SwS%L#r*F?M z&W0TS`u(NXTUQvXp1yguIQC=~4ARThkQnHe<;*Aau2@MPI z@o{#tfArAI{K3`D+1~E<#`?y}!tCV4_~`J^K!0!FKvzdI-e4MDSJl6HRa0Nn(%jHc z(~LzyQ*Zb9{LJjq*6PCM?#}tu<%9QD&aQ6G9zMR_zL9v6L?aK=bMo@?GO>;-d0bLd zRGL#-T>QA8tRz1tJ2yEo9m~|nun?c15I=8kN3%y(Hs+6R-<_SE9v<&3tZgigjn9t_ z^z{#p^mli)wRd$kH#OJ3seD~k)!0$r+EQEB)B$cCUEO1oSPE|(9_<~zy|}r(d1&t7 z=IP_?;_Vw46`hz69~+yPot2xHpP7=LUr|zAoL7)rR9gJ}NqJdTUV1`Ser8f?dR$y| zP+&-qzq^yIwT*@O`*)WoC#Q!yo15#)vvZ5{Q$s^zW0(y(TM+-2rpCs`mWIZ<%BolO zt$0I1l47uQ^^MO?%`Gpj?d~36eaJ5)KQeRl@bL}~4hRa12#HBb$w*61%E-&iEzQp@ zdt6$Som){+Tv1w>pP!SNSCEyJk{B7Am=GNi5a8$PXy@!?XKQwSdwFqocyY3`y0AFE zw6HWiJUlQsj3;|{YgGBVGV8LSN&FTh{`59RvOSLt{fDGs_!mn};Wd=hyfq z@*^_~TQ_h2Kpde_G4Y9U$>5TP)opfuetE@{q5_1au%IX(LpCENrz9&iJ1rqLDmFGW zBq+ec$htxYXG&5iA?jde|pb+uKM z)zx)XwG9oeJzZS`{hdP-<4fxstGfrM7Z>j?%`7df%q{JmJ+YP!35$%44UbAmNzKH= zAh#eVx45vdxTK^YFaOEo!h*u`ybL_EvNKYX)1t#;!b1YQJ>0y#?QERv&9QIg_0itu z&eq1}#>UFr)Wqb>#K=g0XJ>nNcL!cs+glrIsvBx*8*A$tdDqm~hUaBh|H$Ojx~^V5^v-K~|a)un}*g}KSm{vJ$a{oUPo zHg-{_U6Xs%Iw_i=+Nl+z`#&nPbcQp_C{oHLwj?54H#8d);HES zH#WBQbawR&4GvGvPT`rly?=c2_7cf+`^eVW+1r6r|hoM4Iz@^UlNGgA{1l9FPg_!j8z>FVra?_h6b`RMxM>hgGhcXwxX zd1ZNOVrmQncYI=?yQin6rK72-sTpgF8eFi5YN)NPX{@WMX=!Wk>F6FBpP8FpTv%S; z*?s%=-G`fp7S`6b_D%ry48-7y0;h!dl&q|r%(UFxTm%WhDk^yV>bl0dhWfgO#)ih$wvM4Obl$}5!qUd}?#=-o1K1wk!pz3S*~Q%*L(SJG zI5IXlB{?}QEj=qUD<`|KC^t7BPoeV1cDm*$APY_Qx zXIpz4{D);9ZZ9sc&j7u(zA(EqJv}!uK0Z1+G|=0D*`~dv8LtgZSajCa)K*nhSJyW+ zH#gMO@ujnGXn1^bYGz@6X?5f1_~PaoA6(knTHCp}d%Ju1`uPWkMTCdPCh;kqnx2)N zhomYj#_-33x)jU(;(XG~%gxSB#rs8EOjK-iM7W=izqhNCGd}9Ve>8G+b#d|b_-GeH zZ*g&XZhCHNcywfFq_3l+wX?sgvlT0rR-|`L)f@1ttEM=LeR^yGR9`kp5M{8>ro^%5vV^ebr3v1h(Ksdj?x_$J}!q(ow z(b>(%+b+7~d0dJE5BY*3d>E3Smj&Lb2`TAm36Y^t z2?z@I@o{%?u(7qV!2cR}eSUOuynnE}wXw9gu&_KgK0ZDH+UJ?1DpTpAi% z8WFbIx&|yc>YG|Sd%7^K4fGFS#7r!%Z)|RD?H!+8;LYoSg$-io=;Gw$>E#y~91$HA z9fJou7Tsydsp#449L!;bg+&+)7_7Mk+1bd!oUDxWRD7rwhq*U6G|=B4D>2N0_SWY3 zAKf23xH>&JKHNLl-dI^*pI?~4Cl2Eiqr)RumG$@Zc6W7mbhNj&wqh01glN_^wc_Kb zW-#pP9~vGU8XKRUom*Mk+&w%xJv+a7cYFQ8!rBgVq_e9pmnOlX=;5fy=(vRV#N@Q} zw9MSBbUd1~bMtcYax=0Ekac_?!3>-d8y%0&7Na9VLqag|czd}ag>5jkJ$QGG#nR>3 z;ojahX8$#WaUSdS$*Hm75j-0Q2M7A_DMC+odk5nQvYqWMxW&6mPyfj1=*YzE^vuH2 z$_j?<{{Hd#nR055=>|$IHXr)!EU>!N$tk0=t=CUtPUDIXc3cersoaYjt^P zZf<63dU|?tY;1H03%udso}T{x-rioUZ8|%95ZLbCp6&vT)x2H!32bh`HH}Eh*YU0&q zZhB%2A0l8GI6Q{H4h{GB_G2N2Cw~7R7G;CT34H22F*7^Aw6wIkzO~2oI6iv1x_O5^ zKdmgS?CoqFU0hw=-0^xB5EvX15*8jB9uXcB7ZV#7p9o%YI3=YdC8ng{V6 z0pXgPo0^=Q7#kTK0pa1{p~1nS!G16w9vK@S8^LJ;pA2Jk<5Q#!^!)*b_}S&<<@LJ< zkIXGBt!?b>?HpWOoL#-Wyu5w={R2YqtcwT>4Mlikq9dadFzn;w5)$GPk}&VY$3#Zp zqwk1_sLY!CkB6I^tBZrJjkUFvIlrFx?&j+J?c2AfCrA4`_-J}_V+#+N z#l@w?`T529*%^$LndvDo8yOoN#gc7&co>uX_!!)nn4X@QLkcV)vKa6?cp78%b#-xm z%m0Ma+{(tz#>T-%?Zy$eOU;mJ>kPt*TEG!Bc5g8p75gCO+9vd5j_Yq_O z-i{)IgF=IXgZ+a7@qqI2Z~6^kGxH1c3oGkuNTS^xq{H#)DaY3b{BN#i zcuTalu|@hiU@maONb?3^KYV--I>DiM5kaIQBk^R4jEamv;3KgbLn$l-?@0l{p-2Z` zFCRP|-SBk9eCJ?qZ)0h0ZpOdWd;jk08l+E8Pmhj|4-WSBIWZt=8>`EU%PSb`i;D}0 z_T1du{5&E)fv4ltxP*RYj*OYTtE=mq_(b>c;1KKnx0ja}H#ax<2fIuSE2M^ly&e9} z2DUxDy)pKD(cQjSY~hI&j4_B=Ei??7jrCdx7Wya#AteF=`~!oqj`Q{Qaz`h*xjH#H zA=1`Xn8eJu8R{+41H=*QIwNo*o`v zzP>)bz6^U%AVMD$5*!d1f=du)JX~2D=!c=_=j#hk`T3EntE-E%#M|1&%EJ7S8U7&T zcxS%2xWE$g?D!Z{$HC$L-u@0&u^XG)8*9jz)wLCzR+g5QmlyG|HG;gnfW^`>R(^Q= zuPm>wvxl~JclQpDj`2al>Dvo%zkV+}!9RFtj<92ihoC#)7taqy(9;VuiI0yD2r|0< z{(%8t9*B96rGNlD%fK4~7{i`k-ac4MIboUS;Am%uxd^kJ1-Nq-d5;(F>ubzN za&vW!1^fqY5%kE+(#jGG8%cOayk0oFV4%6WxM3OX?&ag{?St%>^rtgm?FasTzTSTR zAP)~D^zhgf4-{u-bfAMhKFzbXvV;ft4-c{J&%0ZGW`OlHW^PW1Cr3!#LuL?O;qgh* z_SQBQ{u`S}?B&&sjrDbqUReQk__Vf$icS1%ZPV+0^xnY{I`aGiug^Ev@Ax0E;yPh8h;({_MdiggW}WMs_XrY$^$@R|7{-`>@Q_C$IA9z) zI>8wi%tCYq0|WU2z8+qRyth8^2^D(G!^7Q;gTTcZF|c>QVUL9frg*^Ovm1Wjoc~A> zBjN_r{W;8^oyly3#5y`UI)pa}Gt+Au9~i+Y97r8(R!LGa*!!-c(s*t4%x zKk&?3sn|ni9m?Q1!N+88&(BWJuh8F2FpLwtmCzgfv4BU`__0RsFuEM|>>wNM!nGt38yy9_9FoE)sek1HN;$WWvjq?v5gXYNYIA?J{EvXMF`vUhlY#h67; zaV|K=B#I70?wsKZ}Xs2VRr^+z^ zUBbC*0K+@=Pjc96W<2mFV96OuT*Si@^f+bEOZdpKrrgAtlL%a*&*&_;jT;J~zo=o0 zZsS7Gm?)5p=r{yW_O8T7a&QnXGREjVFhW|wJLM#DlLPKl{N%VhMwUuPiaRo<=ry|+ zO$Y=8>2`jtx9f zNV`Y~qT!&IGMz9>F{8-w2>r-Il8U@Iw@6=bFv@V`na)VpA|u5!cAwPngoCnl5kAWN z1V@>r@RN@68OF{OS5?A_qlT}fM?dMQJQk#L*t2w7d}g1C?{EOhy)J&&3(KEW?)0iPvZ1r_44Ck8vP17&pOV*V11# z1<_~Sy_jI{Jf_dgK2A#LXML!lEB$Aj;Gc3-x|I2#asp3sbr`*izhO2G?X&z#JxOQ` zS<^v}ageM~*1awLjSN*CFLRdiPTfi!4Hj9bHP)zN(VW0I12O7%8| zG15`|#tB}CBkXkTm-dqvRckaD9wTV#P&th$SobuNo1tcgp||nxEG4~VHlhEVw0Ma7 zCf}7Gcmq*MulipFfo`g>NG%40_S#5pASp-&SIt^tSA1ul<5f&e(K7T*=`N$uh=F8) zDGTl;gOL;_zoozL`3}eFx?oG3X-)-z;~U=VL#UA>*{V253dl(%0kS|10v(V0;Sldt zg`6eVLv?cPT+s;-@YW zQ-YduUvhwEO^HA@LW@~|SV$!9* zFd>auzzBwVa+9?&E>u?VY>LKD-Y6NKDa?no9C9O(pc#3jNhDM(Wd1c`!GQ1~D=}e2 zCTim-yp!7Y_*vq5`7eD141RybFqUORck1WK3aF!7&v- zy3z5#1j^(v=D>T$M+Kw)UVaeNWJCu> zQBX0FWchgLfVA#b<LLaAlJ{h-nUjGGmhO)XE z=yKJTb$l2ogB-6qOuU%9&^PGyAB4-4SSl`m>ij+ZI}0YW_qb?=#?GSo^p`_1t!k^_?p}a(CbRe~tSe zF~O>Pbo4D)^X~iPS^j~CM#a4-{7tuaIc^{u*B_&Ux*yU<%}=<>YD7|B{@R!tnGUEQ zxAUWvel(nSJ{eyA+?5YY6dKMiO?C9 zyqZ++-J0s|ZhnrF(RR;;AG`g@ApCp-gRNu!LqXN{!+KrwV>Is78N`3=3fF%&neRgL zuX{%>KQ=Rb#POaFKYja?QTcDt@Y6Kdf*I{2{y$gv*A3@Sq5T|#@3sBBYd_Zd-y*;U z=8xI?e%<#R_>)Bh2{Jzws=v1WPn!Lq!1o>bi^@iX{`Tu%WcDYXgFkfc2Q_zrm8QGm zpL3`B>j#*BcEw-l%c{0Ul|@O~+g`|El9gmb^V>c8H_Uxwx{FQPxg=$8?)znaC*c=iiy`m5dir6~Ra zWBL=6ekl?An|RQ-Kk*6cPq_bYTm3gV`HNBhw~g6fYwZ^kuD^-MU+ew9q2Ax*-!De_ z-pcjgFm`{QDQ@St`77DZ@6-SEzdoqK?Vq`upAPSb+jk!xS-kt;WMkoAWrlA8-afDo zP4MwZ3$(X%i%m|na!F224oi+oE{(pn%T3SAj@w!*ire+F-}X84>KpsJDC@nk+jnm_ zJLg9(!p8phZ<==}oW}n7|NPHmXWQo^|7G~)cYV_f|J&DtU%osTY2En!cS|l0|2VbY zv$GhRw7At<qnbbb|KjxtWu*>Vv5VtgCom+Q~0aWjQrTFXHR~W8k3tB;8Xk$ zpFA#ef9T@AeuQX=U%yV`&wxB%1&Nk4a zC9I|W@eW$&moUPkx zk2jJ$yXG#=j~5=Ty`HYyTN^uC|CgSnZw9t2|LgqG@c;Mq(7*gkGrmyV{OZg4w)$6< zQ=?zCj8E@w%pP7oxLh3Ge`s~Hd1LP27ZKncm7DGrmL3rLn}m@6_(f*6k5}5i|DPWx zW+f!#{QG}b9Fz8G$tUH{qn%1BQnSN-VgnL_J>onpoFfA49@@EV-u~ zX`fbjnfsRiU51TUy0f{rrE5Xn?bgv%g!^s4`t8x;_V&X1&c^Wa<}CKFYvCSJ-_*5K z)%Uc1`x?9d)YZNI>UUqhcq1Dg_0$c`%+HO?Z{iEqSMQF_Y~NeBm|yK&I%k(9M_9WB z_@&4Aq!&hKJuAq{E__^8_UV(X)Th6G_N%}9bw&B7rG-U>_yT`kL3T=FdUk3?dPqQk zkFT4HiNsq ztJklqun$Dl*R_o`U6u8n-4pXeQ|mKp+Z%gF=O0eb9=^Y@c6Rb`_r@+q?jgSZQIT2r zGIvx#c}0HdXTPc_Ec^8Hf+zp|-(}={{_EnhqMVW^kIT!k`(J)yR3dh+NJ~wQi4SoL zj&!rNbFg}MeY&%Kcy+vgjPGfz%ajrEQ$&5ZRmSJt+54i2}~)O8H@VXwvJ+HYUJ{Nu~I zH`P_um4E!ho9c$%9vodAgL6wWFK#y zX)%eZ5y9AMBFM|b+0NC+{lgW$?)K2^^5pj2!N&IH)ZFU)EWR?|UDwR*ae9V&J9>JW ztLvH?TDt51@O5on)wkc&zIol;R{QnW-@R&VuW#(?!wvwGOKXEYbDOKv%a`v@4tB2| zTv@s~T06LU2e`O|b0d<3*wpl-$RO-1fDIASQu9kHN*xT57njr!)Q~y@R*EyNBiV`-cxtZXT{L93GwDtZ%I0+r7(Mi@l9) zqtm0q!;RGq_1H$|_g`X1rLMlFCZyya|M1P5+SlJ;7t*db-*gN#;~VuoV>4^33&R65 zGh5eZhwmPly}!a2VIG>?2Bi4<@u>a#d%LklT*`U65=DUcSw9(N^EdgbdXO- zu>HGtuKo`1A6P!TKH51w$KEsZQ%f7zf^B+!Zfvx>e`08Suz#SrrnR%NrK_^5`*;8K zs~2Cr>FKPm`Q1OaRsYMY)~2s`P&9;8;Q;1n%;c-;!R_7<*VAS|M~0cmd@t7=7#TT+FH2HR7X?C=)l0# z!pg$r$o$*WON-mH%ZDExIk|Y-o7-D>1-rR>duA3yL`V1prWO{aW8Z|_oU$^^mt}d` zrN8+!|M@?bVNZo8pA-~4`}FDKXC(zsEAqLSPDV^9zG&~^mk{V3;_rg*(LH?V=4NAW z{r2qP2W;A~zq&fVu(vchyRtkqJv}fp+S}4T(AvU%=h~Vu(Aui&8(-E}{q7Gn9gX$% zZ)%$B{_wk|{$^|;gZ+paIy-vCu@T_p($*Tje7%2rYySSd*#mQPTQ6T1J4ZLKz+g}R zn25men1G=4hUt z*GP|!O~4W28Sd*H9u(>N!70$o!NbMO#^UJg{pBro^ITn;U*B3@9-dpDSsZHV7#wWs z9mb}n!~Hdt9rcy3>PK1{nrmNu`?99?)gS-(&C9x`7jHUS-ZZ}cy0*2Yx_x@QZ*FyC ze`9WT?|69)TiG4nK74zLP}@Je#w-yO67KDfJ%0iN!lJ{X3Ukx3pGGG3rA^6xQkGl# z+23wojnj3ltu-!&q2ll~fYHDk#t9tp}w=ZiO zs;er$`3iL%4Xv+Uy!d_RNKbeF*ie1*Eq%&?0}k? z8C~{ic4`tHEpbta!9gjpAwls8*g(qD_MzEBN9RWvkSE)#3p-ag_!jv7`r7u&^aM6r z;m^oG51tm^)(y12#NLP<&9$u!*oC*QwG}hRn{Qrrw0`%p>Z@<7Ue&f&WB*^w&e%s_ z2Ad{LVKa%mJ>tZePg-#fcnyM^Ez(w_d2;qj3HNx4}`5z#sM$w>(z$;Dae z1^L+}pFA%ss`$JjxA510U(UU2ia-CXtn8B~nW@-tCo?56D<>vCIW;jXDke56IM6R7 zz{SnQ9-A{bi;Ih+SZVdmO!s4dz{!!p_U4}czIH4o8X9_9D{HEoT3>uyTm9&YieZ04Kbb9j6^5*h`nVFTfxwVt455Cil zEuiql`-s@Il=vjsV^;+mJuh2o+6OzD8(UlIn;I)CEC2ZW>gKxFfBgEZuU=Qy)?tG$?4r_ATieu* z&7uZ-2S*0_7FOn#=hipYkFe3#DK-|caInGtOm<$b?w-yrzCQjK#y%0T*e@qJIyfmm zH68nJmSNzQaJ%FpOrbf*tw0Uv#VP(Y(Mw@X#W~Jv2JhSyZ6(RbCb&(6QdI|5|N3=9?d!Uh+E>-pRjZ)(XR&V_?OLMc+)61J1JL`CI zou6QfmCFYfX4pi{*~P=%+0o83E<7|UEG#TIA}$fT)MO=ME3Cq@0_?k2SWr^>_-S!g zUUB*J=bt>riY7O!^wZCtW7DqO+|r8j66~*q6#xs9oF5A*q*hcsim_650cTr{)y=+?0tfb6qaZ94t9?Z&$;7{1({g|1%)6QU(0~!Tm$yz($$p9my=>c!{cKkqQkx1y@9fDl`4<`h8!Q6 z2q13@fRkJL&Gq%A`LWUY#qmMVgTNW=e?JD|;j?}WYf;w7RmnxvaI@bemeUO{S8`C2sBjr~!iD z7Zx5779Jm+cs4ffO!Aqt$zb!RT>;=O6ONMuNOxvYUQS+m7Ca~OdQm}EUQRBC83mve z=3cswb(5@X@pO$4yP0ZB6aX)eWtfueG+dwKdi^w}K@LAl5S= zrk{6qymc6cy?sM`y@P^+BID0sP#hPV zgz?)&2ANFD$j!KXJtsE@D7NDAyqx@kf?O=iN-?I+1@`b-Ru+)q03TikS2*=te02P| zb8%5|aj_AB0l|Jg;L~`yxd;U!8Q*F(fyZUBAm@2|V+lCHnF;h5jFU4u^mZ6XBGBY} zU-n~E`W)yibSsY^wYLG<+1%37)Y1ZOE9i*CZ|&&pY)AZl-0`RfLHqp>EO}&dVqyM0 zs2DpYz4otM(xJt#uA7xp#My*%uLKp4!?N=JkXoo?w+nEy)V0f$a@M+c62=IXh$q> zXl?+K?rBp^O+zDmt@GLA&Ncw=p97cqqOThRvH^5x0PT&9PHL7`_6)oGdacQ*H3-2| za&dPDOG@qL9SGnsI5i=WQ8B>1CC0~}y>Q|Dh1ARG>4+oQpmko&Dk#j$%gV{gyq=wp zl2?#lR8mlwkDe+$voQBMD63Zxcv8?tB4}bb`g#|N1R1(*X1|F}s3iAS^Gh>VOuvm@IbUDN=5yi`*3i zjDR4&fWR=|?4si0BVn%RFJSZzq}OF2fzvLhgHn1ex1g}7uplotx1gw?xHuPWEkwI> zv#wvwx|W%rmygQ;>3DoX$~m9}&n3r)heReNhJ;3i1^Rk>c>AkCZBU49JQtoBe1Uz# z_SzZ(+~P8*K8sUhQ?rx91A_>D@87%z!uM5A*VDdN-A@5`qkav~LV&3@H@DU|Ha9l4 zJZfuhZEJp5-wxI?fQaqS06gx(1&h~jUcY|*^5x+0DCl4y=&S)jyS2WHTtXY)MI>;M z%U$Gz`vGPKu{1C?HX({Pf`gs04m~6@MAW>oz5*8Xs!zh0sY}BrDSBxs&Rd>T0obR ziom&6f(7ECRslK<%2-%r40^+)gcxAv&Rqft4a2Q;U@|WQKbx0z9VFU9bm%$xB?ZOh z#d&#{+-K(iE|^`Ak7pNT;(tzN2I5ib#f!-a$w_BGW{i#r3yzEgUI-jNwTeM~qyj$J zQ-=p2=5Foo(&e4470t@x?CiwIt=q(>NV8SGJ0KEun zU_`^$wZu$W)~tb2H9j>tIy^Y^9)9?$pZIWty)RyLbw9%cD{!T#_i^WAC>+{tZEdWr zZA3p>*9f#U{^N4jBlvjpBb@TI``I%%^vf3m@5jML!yFU^o$9h?6T}sZ#RM>>^-$`9 z6itP@N`?0Ohrk+x!IA}&H9k3om~yG`P&@#D{$0zy2JkUKKyei@H!m}@BtIJ@$=m{T zxy1$fkVFpb9`P#!&;CeHyO^3r{J{(7lL1Y`962T`I3yGtVc^L<+~k-d3lDijtP$FQ z_cQ4CKuKF)UfsmA2_^weo|&GW9vMQf1OOc=~+ASe{DTVR!O zxl8Kmij+kT17-rIg7G$2H#V2S$C{g8n0gNi-}vVDn>1U86>K;w9vk!gDK7p#ZhzF)*3sD1i2+Iz0wCN2obArG#yU6% zxU)~5bV3-<9+6%2f)Dv>;MJ?)k$2-$Q`4C8FD$KUcK}h-!6grQwgWrjF=!A9z;+0< z=L;ZO0HBYdgx8CTiA?~R^xU~*K#nh*Po>tSL+j|hufRSqRmg=Y7rc9WyPrSr?t0q&^a%)~ zu!9aT*Z~8^bAn(j9TY!cH&1aGkl81X04B$bybst;_IXyWB2>Z}55iLPq zee(vI2TU3y(--*P*Vov{1CJkkEw#0v*j zlExjQu$ei?e|ddlV?)cRIv{Z%%hG0tKMMs?NJkCM8(9um@&SRUeFy-7QISz`F%ePm z2?+`45#!@P?>v7#1;1)tym$em(Nu7;FXLJ$rZRZmLdK=EjH?;xm+`cjix*N6gkWlf zBLxZ>plUc0+$a{f52y82&^ns?fyM;)* zxxESC_p%1?!1=kwh1qG)nrEhHa*SXz0?H@49>RYP3}BFisrIW^{g6N(o+<-!Z7=Mq z7Y2ry(hCSS9yIg1|HVs;rTX3sp|irfN5;m+CjqydoP}2r4iclcEhZ&!wZK&*^bW@A z2)z>UlmS*G7jp+84$Qm2Ad(c32tx_L3G!xqTtY%DE;}VACIKCK7SQnIl$3L@kre!5 zb0IB_qyj=KwqZ3u%w9;naF(dqu%G0_IJ`L_Ato}43W%M-2)|$i1_by5{R$wR8&E|m zHvn8E81sQ}h6xKqWg*fKc#H;cX9I+5T+2h39&^)v`s+m z@Ix-56)sUMEG;r#C0Lv@lZY-LsY7_<0Q5qLBkv)@VOr87Pylg#i=H2oZEP{264n*Z zQ5c52=qwGwz* zJd1`={ebnu#W-ekFgbWpM0i+O1R+R?nHm!VA;!VUAd&cZkY6F2xC9W!u}yn%39-@m z!+H4OD;iW_yfqSmFbqJ`&|pG15kc*jnI>zXJU{zGcyZdGdy>Cnq)ylhv)k0My%fik!jJ244=#H$mS4NpwdI~h+DR98Ykf|!VFI2eC!gLSuy$6)Lk(FuY? zWrIfm5k*CW0V}MJLEO*=H;kBxNa;abM~rK!r3@;HK?4fr`NTjC4+p=MWP(dj5fE!k zEDRIZ*P^1MqtV#`R*N+xjX@{=Q0Ps#L_l0p;$RX&82`afg#f+4@TAc1ro_+HuJkZG zz{FU5`p(OTd!S)uwrv zB^7iTxMl!<TQ0tg;q8ZrCwAiSgpW(jCEAwdbm%8EZmj=;$V zlTQMc3ptU%NW$0(3y-8HOn{&p8iM&1j$wuV68#RnpFRipTpt7s0{@cQ@JCQVu=rfT z^+I9%IfP^m(L=Z3plc-}BMP8_gti7@4Syg4qG`khL`yf;K@8mhJQOh=aUNaoGSH$B z$l@X{1T8KsYLUtW^dz^2{&3wC zUr7i?9nsv-*RqN7zJ-Wd4kRBz^I)X_l%g;y9oT!ASpzGG>$_^QST6_{6m1j+T=n%T&q);UK$13-8C{$QygV4YFhsyu{n-)h1`<{l?;tcTXY?2XISR=YgjVX!AXo)p zj)X}A1`bbd1PYDDO(JB>0Xd00KIFnfuvRM!7FVH(>4iTEos8ScXp3Y==zF%dHwm5z z8YnYfCT0L>S%*ZzX7YkDLt;xn>_X&(9;a{t@k0kx=w*tND0m|yr{Z(SAs}6Xaqdve zf#)Lv5kDAJBF$SF`H(#w){dDnP1p#7nN$N>YBgGUlE(p3RG zBoi_&NaKn$Dh3(D4v?NtTwIJZF!Zrv;7UeJcu0m+gPe(gxW|l{*pG~E$#|@gHsatG zmX(hSch7Ic))=)(Jg>A0D)6sA}4GjBVnSwpw%iktRzIhA|lM23KI|_B}s|} zOfpRBfk^xiFW2Q!hr}Hssd{lE2s)FAn$sO54MC$IDA9_^9I{5Jl7o*2WG;nYk~m0T zLKFy{VjFim^P$ZOfm>~84u+jnIJv{fDTZK>z{JnCAPg8e1r(S&!;WeZu$jcjIB9zm zKQ2%Y#exhLw8!Yb5HZsZ3+!|Xsf&2&R4^Jqh(aNWQ&&La0w5D%-k^I^3_-mZ2oeUu z#7;4fBRo)xSb?3xvKZf!NWoM{0aP58&twCi2ip_UBDujvA%0SU|7!WlenQxED070adYfY7&=)xMh+}eqe>)v361X^HHE?8>Niw?K@Y?a%O|6U z2C(Ep4ACSh%21r4Ad1-l#KDw6(m|mr$qe?%WetVHVsa0GOhh@NC}4jLi1Vqu<% z29kn|XbFLF0fGWC+=GC*WW&rys3YJCx62*H8T6mCc%16AdZ++HZAYQcr*GgQj0z3?}$m%15of~N?{Aq43irtJu+hW z3b_ha_;WZ8>5O_}NSwBg6^10{j&g5uXqP?*p9wGIw84x4hJl(mYDBT0Qwn?-mV^b} zX-p#+F6Eo_g5n5Jq#RPwacU@=sW=vLrWj5|*hH5B6*0;*#7n+|T?%E)=-HaZ8+wV{ z;CSJbK_7+UP{%FjUO0kh7^Q`GDRJ5?Poe7 z{cy$MKF|{@q$)U&LuJ&TFmED5XN5e9(;rj?ku&|_7-b76KV7tRLhw1=FO?#32yKgbWu2!g3TYzOfibkX!Vt zD4bJ~q`BeI0-Rv zL3f@9S~49nRdOE44BZiwNRkdm{2*VFu){BD z#T8B&w0)$OqYYB-(GHDwQP{g^`-yafD;L~JdzXBE~i?j935+idvGg~wo}Vkb7~+TrY$Uw z`4%aM3a9iBFL3+ZYaf=}hmtO7>*zbt4Rh6?s!=$lCDoOB1Fq{M^*Wk|)dx#<23bzY zFme*jaV>vvUgjT8?`1n5sr-XOofzcMIFE``bte?(a7OM7I3jHv`Q-_NVmpqnb*@;D zB^4j9F|~mCE7yG-EveBY0_XaJu9?n{6z^Jwvr-nkLbktROfaH{O&+G!Fe zj~?Iq^pm)6KBC~V8Zj+9w0+b7#|BzO&2^UJI%hqrQ}vv@jxCQ1)3JTbjj-ty|A#dT zpr5MiPdMWE?4vI`Sp&95b)yYhAFKYcO-}bGTJ99bhqcq=Pg(&9k+Xykqh7XuWCG(eS#1mgl z)xv>OYK%k2+jMgKV_~rUB=mDOL<(^#iLF1{J@(qMk=v0?(aXmS;G^AR zuYB12@QLi$husrfRIksJ6D|DE@Q=4nl^J*Nq;Q>kq!g#qp01#i2K5>1`bgh@^6s&! ze8FzmSdwU72s$ojOM&*IkfZfkuUCZVd5xO9J8ZiZ~Ji6agcl0u`|B3d0MTHjERL3joY}%LFf112b9&<{Vtv?wMop{x`!*vv2 zQtZ$A8ou_#=Q*qK6V@l2`uV*tiSXEKC#CgJ0!}X$SQCbyMlJd7l)n=NIj=gosIMn#TLef_@66O1$~uO zd|^RYsSDD1d$_kIoip^zYvwl}kGMd`9%0zy3u@R#94I<@MOIH2;!2nWgcv zkn7&OwD67a6pzf#H)mdMh-}k?4ZpEHAN);M`@oZ$`MJS+gVoHio>w7yEr03#|p<6S#w^Lla6Z8M#MpDA%vVxnb6(vQPzxe8_(vs4Px9${` zo((GX5F&+|7q$``>)+G0Dik)_c$!^J_0&t_)7`57{NmfC&ilr(|M*{i`(KAgnt%8G zZ(1I8zL{uy-P1QZwx=`BPU`_tQ3gf@xLzm;h)B8k>$vQ{xq4ob@W21xw=&bt7ggk^ zW)}YWuL_bY&KKoJ1t%qVsSNUvNDnW$#fUqp7vJwJ_q@_H)W7U&ob363?!Wr>cin&c zePjD?YVXzDuX_Hh?*D5Z9$6k9o6{T^bw;tDlJ6Df6R1|lU(3zNPR}YWto-#aZr>=q z1L$DsjmqNeGg%cyzd9pGyPcrCc2*atx{|XsXSg624)P`D^_le-Z$@4>btC(-uiH?;dUsf*p{b@^(7hfhRS_NDZ=qC#ZN z=Ult}RqoBd{?%Xp^42fDsmMyt%FE8nOia$Xnv{%8d4GR7@R$PKws}Xex-vB~Jv2A> z>`BkS6R_Hz*8kmq`|Z7_O-)t*_3nfEzxyvW$P#X8e2N65cf*5k$Mgn1Pa@zcWp3`s z6o`$EiaUEHH~adZyCI9N*RD5%@=;ocG?4rWU*=0AfuIA=nL%L&3h@ZcY zN@lW3Oxiu%*|~x=ySd4Mo~Lgfzj*qvrvB-pnx@+7rrN5z51YRG{^37-+tAR_-udE5 zeOG_)=)~sY+>*gvB9^Irg8lq}6}gxe9dhx?#Y-vIL4B&cbE~o}H>arV)}61vzV&rU z@vq9RWrO^b5)~Se5FHqf)Lb{EpZfvs)6p#LEY1u)8yOjWJ2?1ipu6W;C-NCv+N*zi zzy7!1-ut$`t?q~K@3%ke?rMI9G_{@=WApE)aC^H&C=RO`z>_?Og!ZdQ z-7f^grTF&EUw;iuO+n?Yf-A+}lwQ7?cl}!0xhsj0QIUxu-d>(cG2cyPF|Ms{FVD@- zj7_|MJ^2>kgu&O{9aY~|HFb2i-n-x2)d^~2bJ?Tb+3MYG`U^WNdr@G>(?WjwkgU?X|!Cw!Z4#y&oDn z8XK#tyB^gyHP&_Z55IZbH$AhV-CkK097q)a-Y8ss-NKS%Vp1+7#l?liWL&;-=P$o0 zF8St0c13aK?OWx)D7*Q~o41NfGm)oxHu(zJjTZwwVnclWmF`xXT7nc(10h>cRIvG`8RS?{^#uHo^=H4%OfM_Ho>p`_ z>q6qC)Wr0(SfueqsN4cnO1X?D;O)&%Z7m>E`fdN);o<(*PkZ`b^gL>=1Gn(|-~CY6 z{QJ8PY9BtVzkk1`{(jSoE)XG_I=crJSJ$^@m-Poapzf8kt_0 zTbO?_{d#U;=EY0JC6#~m7hiw%)tziuN9pzJMU@q430av5aS`Eh@n_=#gm$4_tprZd zwxd}D?FqQ2>9@T-uip>7>~3j%)Kc}Jx%J`q)y?%y5B|QU_2Gl>|NGsls(Y=k;3;EM zGp|RMCug;~UGu&{q83Ty3OAL1fPdVXluKEcQZAl5bE%{(?^aR4*I$+A-ukMnpz`aY zoZRf3>m}u-MH#u5E~H+$5F7y1;<>YtNR1L4i0s>2y4B^4g*9Y>jJ$dIv~O_u#T$_1 zYTF;(`yIql^~1foYNUqWzyGMM={I-Vo7$eVb@UAmj?BIrS&%awoqby3CFmBpF)mBsnl7tezUa2ff}@zMSf zQK6yUJ`$@)yw5Z33TFTv7@E~CjQ6*`f7AGEaQJOY)6@Fz8=tm)|C^esyNxaP?>2SR zJ^Y8NN1cyrY99CX42^d8%}kDh-DVK&8<0EeDwlbOdBh|JMa9OR&&Wtj4m?wsS&)-b z`LAzO{Nk%ym6bPPA>~CkD=Tu-i%YUDU(Nz{Yk6Iqwd)VAwT~+%Je|NXxQEP2;?YDKUu#fIX&5vI9_K(iY zjt)+kOm@YgMJ$#21xI?SeSDLnLt-Kd%g?8$#9pbm1q4q)Y1udBWnbSa%B}oY75RU0 zCq4aIVe#dwMfuk=(^E2liUbSB3nUS#jwjdeA0Y8|b#wy3V`;E=Y;<5`=rLHT&mY$` zKWVROuWoF4@VoD8fB(b%``QEyAj;5<@|XTj2&Fe`Y6B7saMRJwVF zBS$mp+_{8==(PO&l5Efi@{7OuMM+*!Y2}@w;;$?6%8D)*Rpb`rEQFxxv531X>Acn*G5%{(#J=w@(GU$@(qqUn~{93 z;9A=CGv{-1({p}xtN7P{{%`)Wvgn&X%P%OqnO}4>`^uef@-pL-fJg!RD>gAY(A~{L zCJuCWk?{|3_xk+eu4ZB$DYoOI!>@Xuyc`Fert1+ROG8u3!>R{&@BQ81e)s#|J?v<% zu4`ziYwK)yG|=Az+|<*-rKuGpsvjKg8ZCQDUr%4}fN(!_GyVx_$fVBBxNs#WuN3gc z?CjjKuWsMCk)MCN@|R!TDZO$n`Nr2p1%-vlkpN>|ID77Fa$Jb7RDldpFL$BEv~M-6 z&rSiSHu-vbZfM|1*Q-Zu-R;eQxVBeUceGdEtFOC%ukq2d=HJ|Hepp>w^?hA?P2IhL z_g&8hriX?{7RKlH^*e{|Vu_oAZ+CHz2@Z=63-s~wJqvV3QGRAl8UBhOoAR%3Rg@Ow zU%K+mjjN><6}JmZ%Cm~Ha*Fc-A&ZZ?oE8)mAa_$ry~Ky+Jy2YEhTXlbh4DA9UcVzq z#9&+Rz^fOH9X<7JJ#B3b55RzE`d#Cb*8Bf(zpeWBKm4b=Z4YbO8ru5b^z{r+55Jr> zt}P)6(jb-amEJCVSMWBy1Ck=cz#K|Uy-NI=!cvfm!1>6zR{G03zbd_!U-7G7mY3hi z$Sp4`Ob6WI+Lh!psYo7s`~B2#+v(Z#m?T|&bqFiuHlJylbSUx?xDtQx%+$% z7on$5aFAC}LQ+gbcuL;Y>j-=R1Y~4Z6lautediY?g}46fPDM#^c|pmIYq{Ut%Ds|Z za3$sZ)vFiIr=CT+b8KK>xSyBIRj@DE-?CZO*EV%KtFt3B^E1Oe??!syexSrYucgY> z)mODXulxNEzxlSat<=@wM*$mC19OiI+L6b zW;dqs)m}Hh6fL-fBRkMv(9?(o1XU%y?;Nx3Tm@vS!*=&Kt+&?MScOOTzGJ7TuMsP zh4Ytl@<6k@UYb`_aJ`_YxbmygoXX1bvYVw>ioPip RDVZV6azUXlRg6SXe^Z zg{1hTw5%&B*UNG<%kSJMEiAlwv$8y^?3Pt43MEzG`qJN)8t@4I&cfTOfN?(OMngr=95z3j*Va|vt*(23KA_?5_suo6EzOTwn>wDqc`-Hua=_H${QCOb5`x^mi6@l0x_bwK zY7ib96&96z@mv~MODUOo>1i0!=3LLc{Z-k`g7VupZr#3JkzavM{MPLoIoD9f)Qh=U zR}d1<#Uw4K@B{*sSU`&)`r#|jJO{UzMB{x25o3AhPK8jXR(-fVhk#ZIBqoC*F+@_jk8E?RgBl zd))fuX-nbmNxnwq+r`}H*s?*IPYgS+>unmZa=p!&{^XRn4vM#oUu$;l-h5YBsh ze4$0C01d(q6eR!9D1srRq-R{qxD0&Tm7MI1+~Rz&%t~+ED9J6j_05ek$hEw%thA`S zq@W}>BRwPg+Qn3`JkF&igP;)M?-}6ZDpjgPJli4v0Cbqou~D&Lfy9IE2u#z}OBq*ype-)UD=Nt@FE9P70+YELr4^Nh zdF2&_C8gQ9nU}NjvvJ~?xcGAyl9S^SB8XfU?CGLZD-KP3{@xDq{dTukG!X9m%=>pE z13ldUP(4FP>g(yieB)6^!-I!acWWCS{P6o98bRB9Q1h_5rs`fzb=#xIJ)j{XJ`9h5 zOfWtA*8!rnw7jwm@ZXY( z^2+jZMD~ix3LxUkN)S>@inFg=1v2y!0vZ$(3pzzeU~qt!mqIMG@})fF+3#(yt*-!M zGP5*2IyyQqFbI_9n-^VA0b6f)*xb?C+WM%m22%b0eqC*Sebo=&J*d0?psC?uT}OLc zOKoF!|MORG!3YE?YHkC#xozz_=%8XGy1F4t#w{Q;G&&j+(YUi`&VurlcK%X&W?n&A zL3UnlVcG55rMD_d%D=u{cKc@e&5Ap>N(Fu5L$VKQ8fx$T?z=kpT|*sU zt<|l~4K1ymt&LB?YwUkBJp5+h{p`~GqE>G-==SU)u*DQe?e*|MF8~w(*sMuusi_w- zz}vxuGBXn_zQTgy8+XbpZdPJgR$BSh*OeueH*VZ6#mESt_DoREFm3|vi9jLo!M-7( zL}E}QBS>&?cu1M*+bc`6tDEyv01uA58U~mNBaj#UkBJG{4j5ZQX9oq!o<2SvK;j4wt%rcknRVK=rS-Mh#W|uUjSRhg`?9~k>jmiCPo7Yp)>z$u ziwRBj4UN_J>+0@*|NHMAHdZ}+P*Y!9Uvsafwe9g^gu*BN013Sro1D}v&*Om%E8FnM z1EEwbkf>ZeV3~n|A;2Mp#}aS_2uSq7pvL88Wh3SRm{(C!dh6D$o3|JfkjLZ+P7PxV5Rdv$ejywz2wQZT*A$RX;p@SX1*51=I8U)h+F9u&$@LX7cpK z>$f8#le6=SD@Y*K0`YKYwaLU1nH#W9zJa0P!J!~^CJ{^LLK+xo*TE+S!>Y8bpdh!f zw50r2<;~lb#if;yerahX`t7ok?95#J&joUo(0@sB$?;K9k&z()H~IVf`nbzf62alV z)eNTl4pOz}W&nd&93L44l<7_1;K0kbz0aO^KLZuNwGG!V>YE#@AJjKg*Va_suWmrc z_M-np-#)ze11=09Og^~(pr*PB(H*qd_RjXF7-&9+E`}$-hn-p7+|aIX z?Hf(VQ@iLP^gSwmwBwbcsVKD%y=tL{a$|@^w+^oD&extOg zw4m~4#qFDA6(t4bW%*eEvgM|OHFh4TspME7J7Z!ZgZ;e0^HBpZ1}23a<0q4TR|l{d z!S~Rfv5~PM5Q&K(+6&&(V}R=0n;Tn!S4OpKAK-xyb=5Tw@7}Afy88fKY<=Uy>V}49 z5Q>H zR@ZZYO~Xa7qT<5R3XpBfZ=fwVDsPnDxLI0?L4FzFau^q6!w7*-y>{_@>cz83$!C*5 z-3bF)%iq)8Qw1c2M09A}#~cZq60iY4xLp_rwRLiA1QD_y6uh3UXOBQbdxAcgsB0?xF9xhf6e7)z|@75lF#CoB`G%ro^w_ynZ|JejL~rjNmqqth;YTnz~S= zP$=C!fVBWDBqSs{HX;__sf!mcrd~+PxRRNfTga+hPy(oZUfInG8roD=mK0Uo#>g=* z4=$7kmUJP4LIyZ_AbwpucQy`a^Qf4J&|qJfnzxS<*+DXaU3h2&3`)DTzOuTqG&enk zUUd|VBn$}q`}@#OJn!v${l_B&VjS5zgQB zqPG{k^*2O%1AS_46DuIuGNF)(@FtCU_lZ+=%B&VjOVw3~O zT~=-`IETR7A&wQ~7L^u(gk4-%R#5sS zRx9P`S7kz^)!7h>LEWRrx`0%&fKCvU3?yL=ySnhShyGXZ(22gEo&w=;Wn~i-ErZc&J1|;>V!-^6 zYOeP2@h3bEU{6uNo5lghnv$FXjisffXQW?YsJ`o2jA4j42b)8%E1)!p`tO0Sz{LpD2W%}dEg$0vJD7U{UI>0`ds_#Q`|x@fK!<&=LFaorG(0>! zH8-PK!~`Cw$ZeewSx#2Foe%7d(oOCPjwzTnzCJKXTr3R-Y7X$Pq+~pN=TbT@kzE45 z@#^I(S;Q&?Yo6GQv_-vUW;UK$1ROJlE8y~;KaZ#nrNu-9M}XB70=S%)J1&7Mk?BqB zDxTeDMTj!$L4g3>ZG8n@INSqpsj0Eiw{LMlba)6TwAZik?1h*314^$49LgueB?YPx z|GF?T!-HJ9o;?H8@?}4WjG)aA;41OkvFV8^%;Xl=Fbcy|L~k$w)d~ut&;^`qK)T)4 zVCrFh8yFZA5dlnXBt4Y?KxrUL!48DQfjxThQfewVdY}qkMM104cwibYXIz4R0=I`T zMPlNav%u2EU@QrSQiz{lkiUPBpC|AS_!0%kw8&JjQ?{rPY{4CHj-bfZ)g>CNEKGwY zJ2gEq3P$M=jbUED9(XU_SGhu< zGN}vLA+`e>Qe)vPm;+;8wMkA1>OVOpfLNfl5kYJO^(NBY(Catv-U5gS(%stu-~nIu zzwGUO`RYZ_0LbsJU%tSD10a;4ckhOVMloxdm;g>|W}Zl6@P{3cKmfBegLh-G3HV~E zSVlw$v=-=5FCc$>1Hif>mK9ib@KK_!C88w6C1OaF4D26>iL^jpn3RI2N?}BP9-B~q zA_5JFchR7WMxn7`7(4}H+<~dQ+7okem0a!$W|%+(^MwK14)^tJyu7!o1rZU@9{3cs zcnO@fdCVlHrvZ>8q}u2RvHE~S1d$HEIDz7aKlJs3kN{}+Z_v98y?Zl@T!odK!K0KFX^0(cCtCGT>=~m*u)LxPDHjF~ zacm?a6iw_B(Y!>2o3jX|kU|o+v4+hj!~>BSMchpwz#uZ}38~~wKmR2BSqmEUjRP_0Js$dx)}k@5KyBcA~1&rE))327~q46rXB|nAJBWTV8}&9 z18xs=9O(U#VZhCWf*BbY1nB`E1-2IkX}B~*UW{0b9u16Vq#M|ADGQuE_%os5z;VFU zgG~_37`JQ%9T4?Ei$u+up9KeQYGxM1H?Z@F`$pCe)Fbei??!+ioEU?i5djFjN2E9) z^)T9*2XhOwOZ2828$fq~skMjLu@8J2{2Bl)031OBlY*lsgZAA3IfsAaF&Zd@dP9K? zKn~#$LU0&)E8G?1XN;h!&;~Re7aN7AAJ88}g;Po6L3lS&wTPHSKr%8aYQGY=CZw4% zKnw7eeByvw!Agbpp%y*(cn|>~@*5jW4nU_afsLnO_RrXCi*t-K2;SlZta=9jAs7IJ z5fG+9)ylx4S+8M1O*Yw4g!H;V+pb)q9Conze6|-Aq*aRazeEQ!vOFm ze>C5dm{(X4a*^bKE(^W}xr>qPO1VZvU?b`x63}4`=w2AI5>Or8&L+GS;7YzJHk1jT7UC}AuZ=;A+UilEsMQW1uv0R;@~%T2^luup-v(!=2Z z{sIdSxrQK*^A7DS`#=cx15lb^dLbMDe&ZlOIjB%*3r&QfUOzt=2P_<20Suk~qsc*m z5D?iv#DM1GC0zH#i$web-447z$P{rNpk6g7-{9sF#0$b8ga8>l8Dc165Q10_7A#~^n8pk0Jw@?6zBPbaH3D$@w=tov*!I=)M2Mz@p zK@f;CWKIwVA_biTOdR)44p)ZHMQGB&OiWM$rcE|LZ779{-3G}Qh*)|!EQabzzz+h! zG29hef(rzM5@QH5G!Qa^I}z&*g=l)-0GxvARh1Gyl2 z5`u{$2)qZu3gZ!uSV7W(2Z1z3_e0^e%!kET1TqB~DnbnYJCHccieN@k&BTnG2GXYIT2`x0Y`~)fo~`3C~k45Kg4D-EG~naA)N^7fH1*f zU7&UcL=YCnS_#pSseyq;!h@|5dJY9E@=Rtt60(H>r7%+_sMzGUeJ@lZ*Z;vPx z1UUjGYzyWM^Mb8A!A#7^I8P*HJGf_zmV~J@ECDgr>6autG#?VABV=%p5TWK6aE^sE z@?1CkmW2gL7=N75(KX`3pay1LOk_|Gi4EUMPzdy9=uXKSDO#~YXe?ss9k6;I*&8Q4 zbo!7YOpeSBg^M-RbQX7DgpeZ%ku6|{tT5-LEfOgToq#2Tk2kW9fC1~#y^(52bYy8D z>=0Ukc_ujkR7&p298}0UVX`}NQsP_EppXg;i6K0aAd?}&J_=b4iX+THiX=`aXO(Tz z5!fmHC)rVNfx_NQ`d}Z&;gINC91hAPNYcX}MSN6_1vDkuw&J48oM{i^w zME1vuGtSW$k}X1&q)+C?j@Uvy1XDbgf3;8k;lYp6UnT#D_6ebMGkO7mNNYY$L-~@;z6D2#&&Y>-K z9y3smwM9#ZTX5fs3SfrCG>wXJUQdqikS=Gl5HK@b);282cDcd{nW6zjIFbif9D?Eu zkot2J&a9oA=zAT<*b%nCduh#m1brYY^c~LcbIO;bOEDCMrCFi6BwZBN6Or|z2R{DVzPaY}>Q zoPuUwz(h?tc52%pXC`d6pdSB2A(>O*Bu>`N6-Rp=5!q?u=-!SMn4(ks%-d;)S*TM3 z$JY=tx67?Ryz$rvVw1j!3ENo#PUaB&k<3ZxWGmDNPVNq=Gr=?4!ou1969x~dvqEDC z6zZ28();X+N&UpD^hWk}=Yq+cTO9jVX5vmW=fuy|_DJ~D2x=9#Cev~hrxK3o0$m~v zyiXKPa@0+7{@|1;1tEIPxqsT4y_cQEwy>a&=9V8TfKvhL#;Jg-u*3G9jbTmuU}VlM z(#A2FuuYl;e^i{3{ON0afaBzoANBEy))qV;}a96yVrkiaz?_6W@KLjw5|IY>2Br7n4}?ID6xk zT)UYV91@|`@$#wYK2_97VgJb9Cm)D!qhro5WtBO;I9}i5`f>ISAL|G0KA|G)d?YEh zdnCq>HXJfO5;fcS!h*)7C?9lcoH?eQu(J;v!XA5?VQf1K@hm?rHW7Yl7WWp-@+ ziFiLb@Szv@u=UAFpBy;$6|~9K#JOh9gF{@E9q|`C$JOO%q;utnZ%h;<8`?N7qhs60 z#Yo#swzPoExqZjZCp!4i10R31WB=HfbDJMD#2%juDiG8yCNUk4t zk352Hz&*GFpD5<&yyI{E>#Ei8J@C1>fk)|R6qTot8pws(Ks`KOau>GTEpV)AUGsL0IQCAo;ArR6Rv#TaDWOyLoO1oCYo|{+^vx9iQ{PMHol=F54jt9~lZ~S` zpSp3X%sx5zsjUA89r@&&j}Dw#d*{JV8h!l0N0R#T?jNF+&!3C$U@tnp%W>kDznNY= zUhR*!oo)TX8p8U5Zyt&Asem{%i+H`+wMn9k1f)+m03=AFQ4t1jnH-$@72FYoD*| zX|J3vgC9BiM|tWWrl&8R{Uf#e=;hN|{nL*9FHzu6tJ>*jebn+lc=t;}|2bd#gDZAy zf`59;|InlV<5c^H*5uUl|8N2Qw0Hc8O8jYG@*`)R+Tfq<;IVPYmvqd&@92b|?b}cL zpdS&(KlPVSYw|zeWB=5(^@aNX^Htg@E&GBT{^`GTN_+oVANnV(F#>x1A5}bF<$w6U z{`zln|LuSHo4+dfKmYx|FZy@?+rKU2UBvP6KgVkYJf0LcwtTLc=+x;U%63=(AjS67 z?2e5m^^b{A9UdH7w`OK^3U?Qa-77L!v}fk?cV?!vA}L?s85yB8Ztq%L)b0n%^SeTU zNoP2)8h0#i!QO{DGava{0;$r&OKo47S{1lS%vz&cRJ6NUZ?+4iNRGhmL#}ecu4dUR zl?rSY6EYXw=gnREVE?AUGiCawS8TGz?V}5o^>@Me_cB?vB zP_TTTZj80^T!ed?1+Cer(-U}OUSsv}ml<^XhkT*>{T9}?(6$f}*SJ!sU zc%Zz^#v_U0W+jDSdv4({GWA@5O*1~Zb!fLBpFtfOEZJN!2nTp5|zlgh=1 z#%X6n+MRveI%#)t^OA0kjcY|>yHy+z7cS9iZ3;D#gOy$ZLAVjxKP1Ha zaAWnr%}s_M=@0kTmzJ%;=abYHgUNCzka-6AS{Ej^L=ryc+E(NCmQ5Y#X5Bv&;x}QT zZhmgh`%G$*w-728N!|RyA_IBzBdbzhPZ{6EKRRT8j&<> zQtjFvUn&vWbxZTx0+~8IK3qYUqxaXB_3AJmp+RRbTkPuaC~v``h@MI8<{KOuKpOLUUofp!&7?*Y8YVRwt%p{NRjBj}@^w?- ziQsrdwQy(MC{u`RNI7;7kM^pGiQuH>Sge`jTWW^Tpe6CEtrTnEU+B2ap( z^y5QINJHMY+Kd}3dt#N#z8RTU`=$dSV=BmT%Q-#PoVT&C?V=PIwE9E0uyEDhx}GO< zwXaSrSf!MQjr-%bRzYXla|`y|U)#``Z6a5>abbGHD)xzu_ZRb!Xk^mN>(xPO0d7Uv z)0tc&6T_6aAI3$Z@C<^p_$eg{pD<+a1n^g9cU%K}RfxoV^Ok05TN-^fjJLI+H66OR zdHZ@n5$^`(4pnlVVP^|@txMLx#E`@FbuGfa)RnhIssFeU&MXg!P;Jkx82GsP8G4nA z_##&i-=Hu*>&&}lz6!z5qQl$gmtkWFHyb+!(>@=(B=hv}g2{#eX18oFty$eXM9A4e z?_uFfRi0k%bUQF02wtlFWsO-OweA_Me1(6In|a$LQt;QtX3SFY0qzvr)o!jW&CP8| z{QU<zCnKuW+?2&us!n5SkS2#zPj2b!~1-5#l4Z;1+kCQ5K#U;YPPlNE9A{5iyYg zDv8W13@J?kyyfX_g};vy_YUz)Tbji+i%&wFN~>AZSp;&mr`lC)njLt%AyJA<+Z*f4 z^K+ZB$QUJF-!WQ6E|#_V6`daUuo@&m;i{d5RsA8NHZm*}V!lY>?&TjA$X|TFXj6a+ zaIm+vvM{gN76nE7S~j+HCg2!7{iGYC<6HQ-(8fD7tS#+IeAGM(cK0oIi4u4zBv!#l zRGz+`hwB=HRC)+^64@<>au1cjF4&uWw_p+Sa1W_r8!2TA3mZcJVA;;59v>l6$&Jg) zdx!GSqy#^O%tf@nJhSB%6Y7fGETe8u8j%vK#$9j{7nwRJHZd;PUE<;v9Cs!mM7TP& zCieDmLzV(;WNBepYx9nclCI7y>knOARZ6);V4Qt3v?+1r>5yBXnO`(|#{}Cnnyozx z50*H)W;SlEZR*8=VXB>_4TBA-7DzBBoQfPFF(^c-otQRAU1dV6X?JsFadFk4Lhr8I z*g=vhPvPxpU3fodL`Hiv|4va@ahS$VN~q-MoMaG%T$gNJM-A zIxT})>g6TjSyso#H?20@OKmf3EFxJ(V^9YA*fzJ3+-Valcv{VtRUQ- zX{6Cn9(>$ztJj)66H`KDVkvSEWS-$k$iC4mC&hXi=H|?9J|3B7+(gSqAD~k)u`(BX&R?X6u(Pr1rPpu!?wwE;9f`ACM zZfVnaU_&0QLg^|MLLw@)Ux=q^ZhBWN$3SY|u(PqesM+NCMFt#hZtC|fz!-YF>ZV55 zFiyY^#D>k~9kI7JdIQ{*VdYC))!yFj3OTGqXNeAW^&)S7SF>hp zd>s%FgXPe&vot+9v#^eVnoPH`i%5-;kYR1rDDsSm2~euM)GpeIi9P?gAo(Ex2fI2^ z*ttY6_zZG!mHx43&xETbQjg%6#H0ub$fGXa-fqZc5ghC+FD&mIxJAXOx6$p`U?k`` z#0N`5L#rZJfdP3=%L|K}q9E#^S9J$g?aai65D|H9TM-^E-CWtlK-G?SsT3F{$&sSz z8{}nOn%NO6Bs{Cdqz40XX;n`#N4Kgqp(~ZCJ@_l{Cv|*2G;P{lTiaLqtL=0%{efKs zu7r=Lt5k-_iWFYIK(%RpR)-;&%ms8LtIFR~ust)jV6g1z%`lSn`N@fyRhy^3LchL) zWKp}2zqh(#5POD2`AKD-UJBj#$d*@3u&W(?K+&w zmk_DknP203c)20#NnqJs)2wV8_|)WxniSeSTXJ79!qS-?Q=Ub_5W2R~K+JaXY_vK!9{>W=-HG=R@yIB$^GY zS41FheM67r9U;bZ#+i|&1HqxiY(f{hY;p_su;lW?@+QwGD#lkVR{N^W z==I#9LzIvR+-6T1cP?DYmn!8hQumh_*V z;2Y_0nP1pJZ=%5HR>Id#jA(drp&6;bNHf_otAYbXo9OnpCr6g-a^CKW!9C2^y18Q! zh>+2z0E$TJg5J~9)5kwZZC=vY+>`?3Z|oa&n=6{tU6;Ucm40oyoxA~e!%dv47vRv;Z5A~{?go7|De z>;@!FZr}`oZ>Z<~2B3xSMz=*0yMDu{4D{IF0)7V)0S5*|Eg5)H@cw{6ufsLXzDgxT z{-t%_pj}&DUN^ag1`4*e_K^K%cTswX*G5LQJo`TGsn)MA?Z^VXtmwU9K|J8*)JhkT zgl^tfBC1HXrsqwV{u~~<_yl`dx3&@Zw01j&%z8|xmXM6NY?OORwHocg;Qs zz74@`d0o3_bq@>TFU@V31yT$^WpWq8^w8{qtITes4C+m-Ss5OsHfgsP-j8Wr07NO06Oscijo%owU$eS*fN{q@MBYjT{v^z$}7`{Oyf&QLAI=H$aga>%@*Js!Hn8FD7hx@zh%YZlU9Vi2R50@6U50Kg{ z!JtdDJ@#%@=qiTKQl8ANSrHoLW!ct@jV#(^qC=iXc!*55W0e6TqogDtY}Icg`EE@!KfAE37rTkKm$vt< zxI}Tlle($VFf`f2OQt8yD`nK{?d@)F=#^11YU@5Yb>SiA#~$4U|j^OqR+gx)@`LKukBd%DNE=pCEqr^ys97@tC?*t$WeWT9 z$b?=hwVNR~Ze-pn6Ioeu1YS2OgWXnp`ZsyD-5p6} zqK|P+YsGxg4Jh8%OmHHuW2kTL@Gpk;J0an{e-~<-jg=_fW|(vrt%;=XG+mjE_rxd)h5D@<169 z?!Iq0#1MxC5I=BoQGI_DU&;2|hSbl~1=tNM*mzo?5_fhERK7~X`mR-g&JHtCm398z z?7l=uN(O0#vhB1;CP!4TsRKN0dXr56+jdoZc>`@hgUlc#g98f>VoRV%r*rZ1cb8)N zeQ2QsAHX*u(LjlATW`UnMvTGx#_-SvPk3mdQOVr2)-~A63`VK}Q(=KbiK!#{1c|~; zgz2PB$}{Tufe8`fon6!R)Vn2thmxmTU0j6Y=w(Xt$jeo^x5S{gfQL=gWK0Gy9kH%X zukbuPWEirV_uvRSCcArRs6xLDUst;UJ>rSfbeIS%1S!f2gJwhQ>m{+8p)&Mkdj>eq z7T+fTmtTyyyk+BKWZ;T%!;;NKgwZF`v3Frg6lDPzl=}OL_Y8LA7P`okVE0n)KhoZr zeFXRgghYh7AK=1q&xG*rP7w`@+dRkkY zn$oJmyiJ-Ftr64W|DUDz?2+WU(uBiDMr5S--j(gHwxmQ!LurJa8DN3^`u^Dk-klj~ zhm^=B+f`SWuS)M-cptG(l%@dz1VmP6-Mr?U#~msL5Du9zoRGphGEjNW(Wu7r13_0^ zXK{6_r}LtV#Kh@*4t%F&{P@>JzRsJdFmcLFDqX1*vPoijH*`|D1nruJzF*FkvK^~- z^4N)Z5Hd+o0Ddb;sRG>DaMq^0`Pj;o;)vUWw?#)nlfFk%l9#I`jjaarvxi1FpEU2! z2QC1+$ck+#n@wcgm-h;huV)-UZm1>+!^@tYA_^C952AA#yLT%sZl~JE8h`F(R``Qe955OVmWGMPB`%ANG}2%=USK)b|FHtyT+3YIS|R7t#{r zBY|wUnod?KT{$S*qnUv>IwM6f5r9zO>%l@e~$Q#n^M5~iDwNT3EV*7_h;rl-| z89I@}smxS+$2|lhRV!&3b6{4+1klLbOMC`PQb@DA`@3BXr8U%g9$H8l=5Lcie@`kV zoh}yW+10A?=kJ>glg#0L&(sc%4-kY^DaMR_P;6uvf{8KCel2@U1ePm_MwyJVZcM`y zie+-}@z!DqTgN?vxJjx~XEsa41yw`(KiN)jI^nO7l#7wK3^9>KPxQV^p zsK1w*HAVvt{e&NtnCX{$JI1)cZspBfjW=r~h!7%@FUQh_JYIDvogx;GOQz6yc2W$i zkP{ef^tj{WBoa9G^O>D0Cu~JueE!SdHl^o>g0fx%69(>@$;54p9oSO<9vpPakH{jL zDPp}64DOdEi9{^{DL^zUBoS1A9FbIl*iQFcu2f5z54Q@1$sA^MyY9tiGp4I1+Attq zm2!#>0l`z-iOgqH91*zM7M&jnu7<;V9m}Mg{^cZo_M6irN-NkOrF^AU&Lc*m$jMVh z%!y=(sXpd}2UOYbT#732tu?evYrEH#wuv38x&gf)$$26 zO(_?>Y3})N-_#i(ZHWY<%vMB__btcK)-qQr^C)?yAOGvzZk+YF-D0hwc>x!giW|2d z?=->(il%LVFx?MlR;H2O-}N^j@i-tvaE;J>)T4qmOvJ11p*0L&rdZ)KX@f$3<(o_hLzQ_4RU>K052hLFm!Z z?}6kMBtqn3+H^x_B+?6L+L!0EoySnd^d7sU^;D|40T~0 zNi*a{0HPqVy0u&yd0DKoB3}H@KeuRf`GrD`)i$Oe(Y{B7=H8O3_`tUI`16nVbmv*s zTg=d9Bg+MABB+(n9;}I zy>QQ&UQ@o&$8t-k_KwVwBRq!ClgB$93882u4g7%L(;y zVB<+ZOM{zgw{?rB$5|pkeTEI!JnYq@Fwblel)_=Vl1&#H2S;^gzxGopp2UA*t1i&B zP`8!)ckc#d1uZg$*uY1xT7-~d=fD2#dW-UNzgvxOzkEEu9@)uUIQVii*{ad$M1^SB zAs3;$@fgea+sRsb{aw%c{PT@1asjNJ4x5Pkn#ZyPhHm(Lwp>hs|Krl7v^9K?g>=kA zt%XbuC)26~tF29Q;o|($LhPNkMew$eK(L9ZiUsDwDAN_05(03HRA?-Q3$}Y!Ui|o< z7k1_JS&0CxM-W`P+A4aJp(%n?<70rUmAw+=kB*BJ03?x#9IJ%H9biH`3E~v7xzWH#iXHb^z=NMgJ8MsX1NoMismwOej*&Yu^{{}I^ zb1Ik8?{7w^k6ntfM|WGPnhZl$6mf_`Ydv>6-<`$!zkUQ-i0aO^P?$Rv%2+cCgHk0Lgg7iy`S34I!WyAO$gx}$n;+QjhuV#!7! z{k9GKnRhIjr!m6GrZTl^n#F+?b0tZA>>DBg=_rnFw-0kQNnL3KM=a0Z-Fx}N<0>g* zMuJBOS)>%Dh~>k?5hQ^GI)Tnc-EUN})yr7ZukWvwMDOKE#>Y|S#B8ly@D@uCkBTI4 zPutk=GbgV)P+b5_OBE53*{)`#M80uwdfKZMF>j(*3>7zBlqks8K@i5<$9Zd`YNPY> zIhk$NW1Hy?Xq4q*N#g$S{riCq%r~lekd=p#K5Uv_-`vm89QuTI|NfS2Hsdbdb;`AU zhVg=@WBvH$&X6Pmp*&`YOUraw4F6JfH&&dFo1=X)ceaBkx25Mj<69Vv+TBBzEh=Dd2;z>%J*J9%LfiN z5za%i7_OIY68stR&`8hH4YK;`RXITPJzAz>6TLwthks}Q740=jg>tJd03RsjViB8V z838CKQQ7I6>kl`Yh#@QfWTr&D2tlLO@P;4W53ImZ*O+GUhzP;{mQL~8yN5YC@xUH` z{B@N(s4sBG1it? z%*P4aAw|Tova6d1Gsb$_cD>olRtznpY*C!sfA{l{$aaq#><)CMN5`Y6rVO*Zx>2Nj zingOr6%|ot=ylpz&v2Fg*FjK!e%4@dd;wQzo&me&GVG)a!(P? zwRQ`k>X}Tw(m<+cqg*WK8BJkJML;|#Eq7-65~I6laP$etx>sZipT*`7&2GvY@r z)OPKZ&Q6mietH~&okF!%j&c{%`7&UbI)*X`21cDYH7QqjU+5hl)MKbOlse#d=3`a7X;&#KC;`sXT^T(kB=p^OgT>vu8XNmw5 z#F#*=`wKcNp;Yowi$IV7K*Ud$G6CLVq`HQbn5$H>{`79iWpUvkgH+R*O1DJ1;6U8| z`Ga5RzBsE>noXcG29<>lido)Gh(Zxm1@beVUL4F&Y)hsdIFm1zyIAey5Lj>kz?Vyv zv+ks?32_P>FyShTnNxY*p=2FYI2fEX+RjKgAVn}>glHuF#aK@kaL$$8YPOR6z8Gih{Vt5}cBGMQqKqT#Co>@<~R_Lv+&-)s>gjUkS z-yCAHQn`wNVleVPDymdI&Y-d-fGMEQwM;i&tqQ<%d7ST9s#LG#$;IPZC?-iHvI2@> zYlk&9;1Z&8{bdoWJ^S`7XKNl4i_|qWd~jxUKOsvc@L5ri7O;e!7K2VqSA1`N{&`Lo z4-a$Lt?-nRVj)jV?^aZd#9tdY@_ejjk6P4TL0&vTG7v6+hXfuSPa>%qt(jzx9~CJl z_}YFwAMeC&FD@^E!@mFVLPPJ1ANGiGf3}a$4xH4AmV}LYJ48zY8kh`6dOXia_yB z>Tg7x1YjuWEE3(55=9EB*!KEHP1TOyoE1Gi00*3}Hfq&M&Y#?Gpw5s;*9MdoVR3f4 zQ>SG;w1(%`dn(&KDh9yKF#ANvRiRM2*Ll_h8sPyEQWD)3r$Rx5R8-WrIgoBq_Lr27 zlH)*i-#?l-=S~E|0VVD9#NB+gy!zqCdxsHo8FF?%+3JuVfh8sU{q2V+)A|) z`Ww&(=o1Xt&h*;6YLMRa%his|96YO2*c6BW7V?ccGr63SA`O}%G`CAT+pSSLx-y!O z`{UT_-BXH100k(;?EX&99yLNVzU#{${?_-{I419{?glH}!_*=X z<7VW$Pq$_qiU))bM}Ow)Fr6co)4k7y^NUNPaoS*A3hW(4a@l&Lgh>h63?ol>Tuvff zrgqj;e)`*tjs@!0&K)#~#TrBK05qqvc}ed-DzP{PPR`ahTe|hE8X8V$3@!$Ky3{>s z3n+H6B+!6VtEGf79-5g-HU`loh)8H8WH*1*i5U(@t*)-NRQ}|3Ped3hNwHF?)0H-N zGb)M1S}57Hos&K22vF_CIHUh{Uis4>>%N8YAdqID)~zy=^IIF6JA`)eVdPhOIY(BF z_4!|anvsw~RO#{cU~Pn8l|Xg6`)Em|80d1uO)gi9>5k1Mw9!Nk*kEzLk(wFTqT)#b zXDpU3=2IY|L}=T9O!63sBsRLSoBw#Xp-CNb)p(=iMpVu}l3Np^HG-s;3D5=E%5o=k zk25x)9BX(v3^Gsxc2b@L{2`UE)SJzE))^1XLaPK^Ad-?Im|kO=&)bXm%IrpDOA#e^X z$pj*hdIN=Dr6IwGX>r@W%X~?jK~p=p?axW(YpBir={7uO0x3$%^ki%iD?-+8FI;C(4JX5eN*}Azf;;L2C)S!2%x^1!fq9Wrk>&nEFYJ zHGM(Q`gdD8d-(cU9?&~55$uwq2DKQmR!h}m?a(5>@6B_@LL`IXW7{U16cco9gj z#6lBGa`$N%h%pGWI0zmkp@dNBrzOepAXznD0C;VXxkk<#UwpcgeHRkFU69O> z%ejHl9}CH+Q`W=RnNI^i!t|Euit9q5xwitXe?1A}nbt`+4u}kQVY$(2w@@1Zb*MM; z2%)FIY$CGRN%T%Dfk8+~XZ!#ZrTglv0=5>yxky0R;LHWzFyBiI=ZSK6HrKDk7s!#s)(=CNwr}UfHi8 z>2WyPH+E|~QHKfGOoe~PY4b-khLhdE1jD0_4@w7EnBGEvZ#1S8?a zX?H5rDSNUbWCa;qcf5M?ypxQ=5NS45>vfabWEldk-~mxs zbg@(AJSrZy9xsMr>zi-uB)T%f3uA>=x1isAnTH6aq1*`D$)^Gf2$g#E!@C*E9I4*m zW>^xNO=gl93*fA7E=EL(GZ4#)CD|+|t1y%GXHzA>qC!aF&c9x)lF#0BIo(3$InDaJ z=_UYOK$K4o;!v|2)7uf0wixBRcC(OK>_aInk{%(IAQBr}92G@)+S+DK)Q&4Ys#Udr zGxfM^@3hIG+96piNvqQ;hLh_l1MC%ro~x^v4=1b2|2BNE6 z*u|qd3GRP)_jLj!ymQ*(q5FoUCs%28nrZ$1YAuus3Fzrf1@cqRGAJlQ{2i7gjU%|A za@}Xgkt7~*=_});mLCMK`gLgjjpabhAafr}qD=cUH_m}WLT;*}U^=6nDstO} z7VQ$O^Xlr$6m%%i9?%6qVPoWOIJKB$>!cNn0IE=*j}j2KMBsq5v_M4|dJZ>3p z8tHu^&A+(^KASyiv(OlfzFe$AHh*|pbR)S49mj~pc2!dEuU2BEl%S#J#kd17ohFfQ zS4hPX@Uoxzh!E}@1c^3T3K6ub}^ zJArGb9h+Z`99+y;5?SXm$iz>9f)xuqd^tPrZ{MRFH<-|y?#HW7vuHRik7nn=ZLBua0sL^T(n z*X1GC^0`c08}xDULR@Mqi(!ACKI#IW-#vc(yzr@1_qZHrrVU`FP-`~Jl6HT!6l<98 z9%bQcP<=w%l5N#l-Gxa+#Maj{hi~4rMJx$LN!7!{JUC*Xr69n`aF!9Pb@RA)i8)kG zY#j%OL8l*jRHEFehNIgJgzy;h;Otd8ou(WjMz22qe5V0BAxpio`EWlsDF_ImObH#CN|=i02F7Y(FhoSr>$bHU-;eBcIS$4+YOo;4{4%r|vD_+CxbgyuWv$8GTsnQ# zX3-MhBs=?+3O%s~?bY5C%SBqz2p)3PAeKuS{hL*QBvp{Q&;qZ;qtleU9Dn_AxeuuP z@k!PM${66%sWlr#$sXO#`FaIQ0&LWPKM7imM58G=o{%f?%S$L_+ONJjl5lvjB$eJ# zIb6+cXxVWEKuKyOI!DDAmgLL5O6H&c_5`&o0v4HcrIklxp|f;AV3(+#l#_gq6U_UM zH!|QPQ*0e(b`PVS3w|gICXax!+}qAIhO&SJT3#d;9vG=w#(`l5OJYpEUar7W)ms^B zr@NTp#M_hGMdszpQs7{kOhEYRYEKEF8toDd3UUTm7z0@ekotsv|8?qMm$>S3G8~Mo z@@d^0efjy9JH;kTrw6f`!;YKZzDIlTU=oHmshVERRjFo9pXUG^W9V zd>Tu#a8cS>5AXl}zORdogEBf~6d%4&Y;|CJ3KkD@rc#dMi6tY2FMv>?deGzzUn(~< z+TE=#6?$*J?Z&VqycE@HqP8;8c0&!FqK%zXc>X+(2Ipb#lDU(&-55wSiV-rERsp5I z4e2@1C)X28#h4Rt-u$+&LRO~udDu5r6JQ~b-XfSDxG^bE@?3#YPT8wY=*g4&haD(Z zYP&Pwy|#+etL)VYY{$np=MO@#nieQ|=UL+!s&Uh(^0!ND#~W2~jRfSP}~AO-MDu zSoOFo8jetE=IzH@C7x@)`t7lVJD!V!zv?Efjn1H`PUhf<-0!)AZ`#V2&lCKO@$RdG zIN*GUOw#3=1O|~5S;QcP4%~i~L=X`2@P6$FFiCRFfz~&e!9tv5Q5b@zTgRi5@ApLE>2t?ma7giO(rP?>7pTklLCkv;Zu5!R2gzC*xcL9m=PAIW4G@eHC4!L?H!h69cxC?cGU~a>BXl9%ok@1RY?IKhF2I}55Y-TOW>67 z+{NhqV_&7POQLZEGM$QB8|a2~9b7%Nx%%8!;pzcth9N>A;8zM6(?0$GKM(h0rYKl8 zNjgxP1BR&=Q#_t*3s`Fm-XE3#KZF{r`Qoe?22!QlWS0XC9j$ zw=i834lhi71|SoNaT3!*G}%s|uK}15O?i=W5jSkZSQcWyNK}&48#`Md_JH>%?ttjsCC0hu- zbpe~9Zvn}URv8rE=K5+K`U-@#^U2DOi}q@b`bN=kqwKCf-fea0_8`&#A<~Z~K#xX) zhsfm@&oYYa(J1!`5g1Y_mvCnzJ=?^5SmZ3Tcy}m1)p`4ES76Z4;40m+80&KQ-5<}g zF`!O$40mhAJzvUWSUrYo#>mLh$3rh@DvFKGH`72| zjN$bR0F-U=jl+_+(|kN*kk}Qoke2dk$()S!NCN;!US0I>9+qsi&MwC*&C;h|e!bdQ zhMzzKJYE7}MS?8IXgWXvMxZYwI9|KMGwo||n9Sjs6h!A~No3U~IS?WrN1CB-qj5un zhsVic4vQWZ1sZKB;b82NtxQcbXQ08KemCsZtV`nzvy9G`9U8oava zYM3Jan?HY35@AoPbx&SAFOvq5Ek(10vJwlT7oUcO&=#uWZixXn6`7PE`)2nGI|XtH zAmq~{mO}}*g65Bng@(>ly9H;X1&Ceepwh^Q6r>4pb27yJ8knZ*s`JNN21(l=sLi$ykI_@KN{c-mhSLqy|oz+j886P!xE>FE@KH5|GTMGA%JU zV9~S$-GNTp9e?=gR&~woY&spm(=ZxD7Af4d8$uwJ4OFaXfG8;9fElMq5Frc@TPz9M zXA9SNvh((BlV?)ZcAZx?WTl=fzx>m8b(k1)rRL$;tFCC;QZ!qs46hU&U`a#{LTILT z+~i!yoS~;ElxsO}0q0&xg3by(PqurI1M0DVxLHR_V#6Sk*4C)FS-fKqC&IlCiGIqP z+|B}QVc*kN!@KK8tI)}6w#)F*F40|( zg`k19RFan`3#h9V_$|?&$u~cLn0vmq!v8zQgeA=8>yIa+iOz{02Ka)+$L|4GQZ!?P z1DQe;D>)pTScKPxT(bS` zvt5caAJ6YqG)nlTN6SDGu$C)ykT5ky)a2~bPgiPSVG=KfDow`yyW7X{bh7bdjIuyY z72+X|Vi90o%L>|P?B>e3XaW|*1MrDvkH39YqL~zk0YTda<$78uzxn=EL4Yx&TjX`2%|9Bo3Gr@yzHgqT!F27;20Mx&%@Rv@cwEiWw#jzIk1y$at|{6ScKlsAstB*&p7N zQHo&TR^#N`Z)?uV%wa-p4t1x9+LVqlzD<=+;F|Gc*0CC>@~Nmi0hGR?Fq1fh=oZedt<-6di|IyQT>Sn0 z%m>Yf^nlTLg`uY?&rya$@eKALgi@Jc9q>+DAn>?B7tsD9Z(<2$I4txO_9t-g<<0s1 z9!oaC=2`Z?e!l8Y<~q&0^GReug@6INAK1Jwhs~Y!w_HkuvjbHUCb!vq>-EbrMSx$< zhCt;i^(4jAUVqz@Kw3h&-gx%*?IC3CBuvG)V)KbePlH*DZ1wC}f$(9!Dp$}^iuCr@#`X}7J#oJ;xr0bW(7rPG9$r=w-^tG z8?n=kt*0Ahb@lH3BuIdq+~bD8n7!zUh$an!U&6JG(lcOO5MVRt+%TwZM<|uf*a`_YP6LZlYE#||Ui?6qX$u1PA-2^_rr`s9^nh2?o7FBhP0cK8n zx}ARZO_%q{m=y6L1%L7&OZhZt7Z)yLSOl{G>hIov*@U5Ex+2VDdpJZO@q*bbA~v9o zz&JZmxxd+R=_Jbf4S3--2a%4s#UuRq;nSx4`k4f6DX@Zo4&|khtR_&wVg@8S8J|z_ zo69dV+uG0JOD2`T^&1J##afj|y>X)>5|*XrwMg0wsx}9 zl3YN&u&hA%x_c)k;fr4-?uhdUPTGNTp&S z!0%Ktm|geX@=-5K;2nlLlZr}axBux{A+RJfRVXC1@m${C{Pc6*wD&3t6lpZxnCio? zALayYZ_w&M!-5mE-nlU;grGU{$+4V-eSoQYf->FkHPo+|48*#sTmI&E9f40`K5G?a zNRM64=~^w5fByaN8nj1BB@B|rMB^GH#BoP~)g!~;c7yB=_Npp@Ad2XF=ZxW1e-EEd z7*!aLdng$kRUN(ik6%_1`LtHKd{T#dA9_~&iRPao76VI?;rGyyCb1--@MQG)VHs$8 zB(1~LVW`Go4uG{;P#@s}FCQKjC@@bBp1e3lBKw0+R|*3Hbm``5!e+8l2k-uNYlH^U z4-&{2xt|&S?(5HARhCrYI-gJakE7XgWpGK}fQ}XIW2Df>u-AMcVM6;+jw%y4>U`D6 zzWS~w;Fc`H7ur5~evkw}A{BG>H-G#F*C&lOt=c*`Y!xA6H#TOfk|bb8g9yWv8dY+O zQ%OKDVhk_uS9@e|Akt%SzlNI3R@B-1|M=U)vbEhxW{Y_{G7d*RFU+k$E=B^v;e0-> zkA`LvzK8(0Cu&cXsvbAto&p7gPCT1Sl3;Iq1~ECF)aW3(2>21WS*%tD+U&S;UKF&8Bub zP3Um_Y?phcSfVz|y#djtx4gNU?v_);8W>BYP4NkAPJQ|DKYkq9a23opOr=7Mk{uofxE$mY=FnBmvm-R9l&S$@Ku*L&49-v zogzgjBOk?-AKV~t`e0niMfL}FS3^IM-6?k zTFJw(Y)>CIT!Mtx0mhOgSa=F61F5LvEN`x7%5pT9Eqy&4YB9+NI=1=pmmh}?8vn_X ztTbcG0sPGvKZ>FeKJ-K$V=|$@SWk^a9(GXlauLdhlVKT9wnY{%8VLqMCg}k_gOfz+ z0cEtohNiH;3ef#J5GtntbCh3wQ?<5Ej9Nd;X=ofZ*^=6wxV-gZ>=rJlc$(H$7%@Jb zui??v_>5pgPG~=%F)V>T{spAr3h1*divhXJA5v;!?~X zzwXjdDx>X8qpnJWhnn0?!#JXB_WLDBz;uD#!Ox8uqx=5lqiQYtHS z`1sck>o72uqghx%e##U+B*gjnLb$^Fs z3{8WhPZJURwAB`${_p!0T=v_^)GKwfu%m+AMSMygbt{x&NIhs(>D@ZaMkN8nS^z^L zRW)o`eC@DGSwXaOvzbVrhOiR8`T9lP#le9N466yD*8-6YSy61h{ibBguE5FtNtjIp z$`0P(Ue16ISMbJ{$kq~^%>vr5>0&jX?de3!+{jjRO|#K(D5U_NF2|s`;!ZAZ^2iwG!_`WQ!ZzE~!`uui+lbHK`ph(^Bv$~ z6Yy$5$`B1chDXh!%kT->9t$B{HXE*{2FHcWlMXprk__hbR;y`eI!5IiM=JIq;Nzsx3nvFyzPiqnvgZbUq#gafP z@9T5aK!-iSa7cGPv>UH`NpLpMk3a*POk|tgLd1-rUCu_rsH8o-#>oNEpdb?mUYM-8 z+}SV?tgbI6A!{zCYmE73q;Ruv5<;-M0{cl5+G@EY!1noT{JU_#MK;&SHJ{2?VM~^m zW;_iQ2@k0b(g<+IZC!CvuzZ+MIN@$jdI;!XSU=1^LsPE%9NhNNv|}U!j?5(PlG4j> z8a~XUSsJ!LJ}Wp1JW0p(V5OjucE$(>Ofs-xVXAj@q3jtrO?G(ZLAM~X4SzAxZK%kL zipn19Hs1iE6hAypTl1?AUzOsEx1E^hLc_nlhoL2zDmO|r`Ux1*$th@Ht`EM@&+ZFf<4*y1cA2sWgHcAe0qd%r-?P)b>fTl%rKpM-Z{V z>cA8vG(vQTUL`4;jW z(6}Rv34lJ--*KK#!Yj4FgAHR4HirdSuL`{#qR<4+A3xDFg;@nu?dHr7bw-lHBU^`w5q34cKzY98S3s6(F25g5H|re07aJ zx|8=5G+Pk!K#5s`xO{o_V8i{5CJx#vK*_MBV4C_41CW;+GhTxgRkjfwlgiNW@4HkO z@U3sZDcgz*6>O{1ZdSP6L`~HT$;!*`P6P;oYcTvwH0&Gj+{uHpy1y|v)F6xgijJdg z1mClP9(QUdGBob~Xp$gS7x5Z+cR-KcnF5$*0u`SrWMyqnmu`bkg3iLeP$ z8xQsr<#DBUE{LpW=(Dn=YQ`G&6)r}DNd}4MrIF~VBJh!!emvhv8Q#I}9G048VtP9dBcCUfRi@Y|?mnFFL7jr8wvGLA?i3-D z(+sFpQKsVSv7!jb>e-93r^D=vN4N@F1Y{b-PEzB|Z#!^g#ESK}Ji@*aJY5e9haEwI zbuH#hZeePmRp`<&t$aS6nPL(>B0Qsj!D&H2yA>0I@t0d2_TVV!Nn1QTI zBAx~+L?n~!h0amN0`tQqGVHcLHX#9`az|%XAG-uI!4XIWU;TLIr;=hB(jV3Yc~_Ry z@rucao~l^!b}wto5Y`8gNjJ`0Kw+cd<+BZ$O#?cIV;(dJCrRAXbv5`p4uG;_>Nd*n z$AMFSR^9ylFQ2zy=?;&w9wcnY_)_uJK&vD*4~h%~J+z2j(rBhN5b-fYT!O6u{#vM~ zd|yZtgI~WWLQ)`1MJ2Ov217>jv?O02EkTTy#LLu+41`)72cB7>-f-VZu>BR&MF{M2hVH4-eNIX883LX2wnJ^O-aSm zw@0FFN6t@UGAB^Ks5Ta;m|y2=T#j20FoLmy{ZvqBk9CaIAvM zAsvIv)^dO-6ZZYb2RjzW9fP>gbQwuwR3e*VcL?o*5SFy$y^XZh81fCG8kLNf+u&<% z`j!waNx*?FrPSHo-I^BhC0I!9Kvr($sN!u^kltzdmhNT1^1jr0Ru8ut3F;`F!cmU_n+Jr&+^f!ls<5H-@ ztKFj(yBaCjZVCJ-AEaq5NyV~B9f5QN@G>SaRb;B=DVs{rivXpSmFZT4t?V*Fg4^Ce1{T^j-#Dp< z>%EV>10aMz_&J19Imn`Wd6rsDzHGysz zH{w|K5NH(rR&f9o-VE`YA_qk+idrbfW=5`;9Q@x88`mKVhmgrypd}I+(L%NygdB;J z-<>5r?2;$)@1$UkaDXE{1n#ADt)7B9KB?W`?itKY=P1Fd`G6Gz0T zymu1C3<<*rexMf$#bz^WJzPw|=VQ_15Wv~8GP`@wV7Rf7hqM7#E;j1)7U&l*vXElW zAwV#w%27RBu2AwsqqE_uM%>5!IBdOsRp-G#^P)uW;Z6|n9bjlRk*_7t=P=Cn^UwEw z%-@b4AHae_0Efi0!N!7M-o{lW@sv5adN2e5OiVPbS=nv(s$m)=p!P$eR=+I%_BH?Kr$~C^E>+aPA}dHnJZ( z$r^-qO31m7?>??sTAM!J-#-jj$dK{Ih;~Gb43fK~M2yr1mq1=b(t3(d!jdTPKH*b9 zrJ)J!gN&t-2>>ev)H5qHCdCrHKm6%LLP}ksdHmw_s{=s>{nhJKv&nR13FDJ>$l10G zvW`xLz~R>PCTj3Y{;*v+iEk zrgx7jd`Frp@3&DHRVDqT$wKv3ON=)5Rrdl-2d(GeK%0154YD>R}Y$)3a5{g4Q9!!7Vah9n_ql zv|A}2{N}qZPZy3_^i~my8Q1}n5@TvY@2tU*VlHLeT`ah?fKpf2JRy?^|A8d~)JJAU z(t+O1jP4F7CP1HVWMy)Fv+0S0kkPxRp}LI6pezRhAw0=Nf$W)6S;;Y#zgC1ComUvGDOnqKxNUQ8a&8PY-a!~(5b+ugd%#m-n+Tuqa^IvfHJDBR@z$1JTRx)xBvQmi-Vb> z^Zd=**DqSkUWp%^_NwKh-~R4^Cv&|TiCC&)8jXBHVl0jCzHIZ5T4tTciy4zf;D||O z5m2$S7@m195qVkaZnX(AMWj|(t^$I1=DEro zH0W(#=3%BnGKeB$xd3)2m_1y(1`^qPVB!eu+w71&Xd}`BOJb*wPxFA@;~;t4ovgFk zv*0<3um9zr4`KwDX`Z}#^ZMm!&RVOSJ7kD8oyl_bc}~`0KW(JQ;J$#-YPT*A;nJA3jT7V&c1%?>rb%sd~kO; z@CzjZJ+x|QkOAD8SQ1&N{876iF}Btb&+2(AQ} zpZaw-xq863TO`{orKr7I(Ua@?vM{N@j*abIX2r1Wi=&58)u zjdO@5E1vY?K9$Ud!}AB^-*||B1+%rCsw6luDzZvK;ROHd<<*v?kQ-=PLBJ=Vx*er;7Vkb8|QRme|a125?FUD*jgJ!*XN&qx>OO6zoAPdaz0vHc)6aIvcZ3Dq*Ti47z6hbfFOn^B~x(1k1v&|5sz8j>%N)3CLyMB)$TEffTfp8OUcG>|K;~JDiBJ&XD?s9 zIBRpe4ck6$*H8cH`(xfk#ar^BV$a3#09kO92t@O#uDgie@GE?b2>xnXQfYXU& z_1k~_W1IH*V)yjL%NH+B0HT^DWGbEf;ZM&ck16yj#NLQQ4FIkP8UQoiKF9?=pXL_l zNP~}g`;DEh!NfARkl_Y%3Z$`26zOvPdE{Z2K**b~#CCIecmLt@0yqpF&@nVg+jupp zFSn|p4&MKKZ-?4)73M4adbIgRb}f!668fS8Xt6<_|3KOgfRi_G(9XD?qqFS`pleR$A3`u#t@O!;&U#wS@9u_QR& zm?2@r8VC8nWmB>B#rc{Od_Zh?Dy$LMnEzf9RGJcke?C3hl&9^Ym_<>Z?f2luC+5?V zOdgCbxk_ajM$C6|U2;JEZmrp^*ut6h; zOG|a@1O(T{;Mbp#aA2MwMcg7w7XJ>PT_ltY(WHa(f*Q|2SV- z+2iAE05_wQ$TgpxX7#BW&nDyP&Ub%)oAb#`^WgCK*^8Hl;%*T(j=IOc`}5m8s`^Hm zRt*vK6m|)^95V*_B5bP(VR!ju#fjk_)j5*IrxO*SIw-uO5!T3sb{;?7n{ZknJqJ@V z^!Z*HetbVfC9Uq))Ber%f-7g8H9p?<=G`wNH&B-gCsl%IV{JpN28uB%V38ILF*|No zgiuD&t%aC6UJxmX0w;td~h#Uq^re^a)3(iQf=+0yZtRDJ#Z+d{M?olf`Y`HPd3 zGPg?yy;uMI=d-BmDYKmgN+?QHF}^&OiR`QYEYvv`R({T{=X9 z$Rhw=Aw~l8FJl`L`hP45f_0HkVM1FyTe$gy$S;aUH=eE?;VzluE{Vs);@Lm_rV<7) z$81!>@oL6KZEZqg^<_tR z`vpq$V#1gK*NJuslK)WgC6P@mW}yYbE~%s&2n zqv0u^E)4h>F{eO5z<>+D0s`~P^N|USBZMC?@Qjx``6&SuWSEr!>|$8Ra1axvZd=$- zbu`a>EOYeTZ|XpkYTZt&eQK%lgU;gXy?g)b-5y<^W@@X!)%8ZK z<-wTPdjHpV1D~{o<$fKXc`pd z%lVG2)Dh>VSdRMm{@1={;z^P5FKC0|3Of+&1IH7KFCT{{=)b6Doel=G4Z=V7TjcW3 z{bD=s$|i|yg=DE+3Knw@BY>d7XuN*gAiX#y>C_wT!)L&|mU8~j|KI;QODB-j;_q$D zT1k+Q1;g2CSY*D}%Mk%D+K&i1O)x-^%vdoOu5d3#gO`wH2zXxN<-41G454(`r3R84 zRuR(z=nH~})p9-_p>Ilg2&!IP{Ca0Hz@fG(U92T-#Bs(ke+ETJ7Rht9Vm4oGwi=CQ ztJOk`ZPM8p@nVKYF&8E1EVgw0pkSj$H<7ve;e4iJV$O<4CCvWac#GIzK<||Kfa(^x zoe2He&K@o=AEuc6fW+(jn@2TSOB+k5LJ26`5i&J=RN1KB;HJL< zL#N>|>$gAraHnGdm(bcjTzqkjg^u*Qde=pxFo`4A8xmB?m@GjY#o#W zjYvj{kf=#67fSWc0X_lbIN1Oa*lv-BcT0@3D3LG$E7R>Jp)6PK&igh*s2=1Lz+RF( zWOtxqf!m}Iek{Unwxpj@NF%s4qAh?0Z$a>Hu%iu=$yYNlrHmd{7-s~bs$tMrYPc0* z=ICiXD$q2Z@nE+kf9$A9%MuXo>5c{Xz|MXMe zb~fuU6<7O@xHG^_!WC!U{_xjp1Kc(C#^mwl`mPW0@Mv&%_4UzAA&YprMAs7yZ=jdg z4;oNs=ygOmkO-52mMV)lLqry1Nm|{5ZUb7z0%c-ASe^?aYY46q!?J9yc2r{=cYpuo z(LiZNU_wcM5oU`>RE2;9VcO6i{X>*xV-6Tx0yc0P00DmBB8Pr~T=$TsoZTv#(F5Wf z8N5ibLRWf(R3aC!Pqu<6w~CZ%y?ow1IDK(;a?tG_0lIkIjXQ3#fe1VV%~AtTov^eH*`^D%Y=Lx8}QbMD=L{_l@6Jf+j|xPNnTas4ppBe3f7{PT@0 zB3P+EL_(fTODSUV`C{#QHeB*7Za+)*pb(4xWTG(LN)1W*rF^kgpkN=arfE<;&`3I( zW?CjuJZ!`Dq71%bQK1}hc9X|}nru~IU9>@fz*&HDQ;_?^Na2YYCcu1T7(G#_W8m9X z7dkJFvy?hSMrgha=|1#hyRF6_{Pr#4Y9MhhHjZANCRDfm=Rb5R?bBzyN;X?NdHc=t zq7P^gB5tI0!@(hM)(`}lMwrUc^T&-(8`nSl{SgQiS*S9LNBDGh;O&v(Gybpt{a(R5 zn(cfR)y;z$?y~;F?d8RTnT5z1BLH9=i)G;ay7;<*tq``NbPbQBOyUej5t5kefk>zZ z;!tq4Rf?=(^0@?w-xLlE15gz}APh~&IVQq&uR|7j+jcXg^uVS_ z+14O9e3aPcmw*4DCz6c0TSJjNT*~Sm=BCH{hn0Z55|EV&1Phr;nVI3YK$T0fi8^K% z?Q9Vl)cAT4M2$GcPN&tV7O*yD5d=1dIKgGgv%a=LYpo%^4p0TBZHAwJI$vV|lRSI8 zeo$iBn7%=!5m6v0DMaJ(YC**jX&fSqW*2>Y5ODEEC+8s*0x9vLz1dTkCL)3%adkV< zJ+6vC?jYAGbq@08XqEf@zx}2pV9%wcRHb)(P+-(Gk`p+*r%M&X#ehnKW<}RUSM2!B$4F_jXZudw? zi~}&{SGOYvw-h5Hxv^kw++-PoNR)}tK@?iO9$492D*ONFdaoYIwlqC2Vuz0%J7S0T zCNm>_R+c$+y3b)xYolN?j(o;2oNA3=-=ol9!qA-9(Mg(h8i*kDh!S@kY=KerrQ9Tf61EoSd;z~ zJt|iP4sUBDopJ$u7Mh@7D(zcT+UgJg$&XVGCiqU7r{wG~q%|s2hsu%|xjZpw#xOe^ zK$C6l6#H^88dl!?;&;Wl-W?0+n?*WnB4r3J`1I}%AG$VG1|o#Ls|vEnzl0*qwwmZrT*$16(U~|t5DaYUR6oKL+o&;7j{oc@FZ{ICtX5|vO)?n-s)1EJ zB?K^_2Sbfd)45xHyeq9C@bwzG?|$}oH+@}TKe{bF7C}*oSJ-JD-+%X^h13D9d#h5c za5%w&!PS%-sK+PnCCYrrZj31P46-@2_2$6Et9@N(s0Vi%3Ip>}NHj_u9v)za!@&la z0k5o?PzAh00FxR(vS@U-8LqW;%KGX*{i-k?R7eae4-K+`6fkyq@XTbOxgFET`@RxX z#h=B+BZ$xpWzDf?=K)EQk@tmz*40vug;fq!t9$S4(1RP z;%ByqB}qr6`eVneT6LNTpd9?+msb;HE7NhO^njwmy=~wB{onlht}%9`QpVEtZN4?* zydeT|`tXN8JT8EwF2}9H-Cdy#JF-{0|8mo?9UKFAKo9{-I~FxIADbJ(Bpv5Je(jkJ zH#Qub!@!S!`pP+7*+VhV?WuS`b%&$!e5lcg7LSR=xE!!s0QE+tRPX70p8nte=c_TM ztV*ppwYcR4S6kSo1bwkb`%ImD>xtn}3fFMez*9(hnIW_pWQPO6y zM-KN?9jBF77=T>!8fuT=`8TgZ0?`X+C!UOYwenqMM|HF?BG1`e{qpZ~3ksTHyL5Ng zwc?z-{q=wPyD!z5D##)C_j$-5wD|4jMgGknvtmisvwrn4Q(%)>Pa4_l8=#eEa3K09 zUCiD@!q&|La@g(yum9q?!s%|^_1oLX%O8E6GAvELSS*%EB|^SHEbd&5wl23-$9K>0 zeTs34R{*|vtGD%rHXr`>Jdqp`3@D}Bi! zi`gu!@#D}k|K@j}+8XMnZZ&&z{m?Q6?79E@-~BwR`9dI@+fD2$OJ-Yk)4KZpcQ*ve zF>36IZ521+8lcm|O<@~5jhpd)qr!Dzx*wP7D@ilOfAFIt2Ya|x>$ld7q`$iGGqDav zgyn=X7E!}`xO8{~h?@VZr>-%Q^`XSMjw zesCf$NDDOK-VS-C+Lwo^mEo=_dK}$WKK}lFb+tpCQP17o+!bevT>sg>|78~Lq`6;j zan3g9^ah|V*FXOLdTe3L&rK0Z0VdS-YTS6dE36|YNgE6;3I|s-C8kJA%&W<-zddvc z@{fMhSqJv^PM@U}%?utM&K*S4STcmDM{_w%93#RA306ToCa6Rj-IYnJ(<&Q^)T&y~ z7zh{#OlHJ%`g@GExVKsX-@zf{Il*fv@H5spP9K5G<7tTaAi9bsCQ#$x=|x0OiUQPH zuXEF`BXcyUM_-*d7GuG24?>MIHon{-5Z}%5JTN}aynpvVSSJnDQuZOs4YytR{O0{_ z+o+m5`4Wh`?i{D04PNB?_vN)wVoc28SRtJ0se@E5)OV4Sl%1GDoU*{(9MjfFG8cV*h}+7zoxA5| zS?6)AZ#)6Mo%Ud%L#d6g#if{Mt*+kZb6~%~hAt4$NP!K(vx~5iB|-Wa@|%|{o7;h) zT#^%KN2-R5gl>_6sg9AMzwh*A%1i<Md3V#(v1xW| zg~vx+TlK>2=P&my5a``p5&d{?x-(OA_ix``_jh7my&%7)LJtWs@2FiZH?%N(EkaNE zZ0=}MB`^UQdF0iPUj%49sy@W?TW`YeKYVrJw+h*6B;*fJvk{ikoZ1kebMG@OkS~fQ z5%{ihwKW)ZN?Clm)Ft`q${n)xtBu}VSS7qZH%W}#!L~3jgoH=rji3U?a^pRD#t4;I zAEz3k5?lzM54|Ty04Khk1_;?FFPn{}L)K~P@ryn4oX6TqtU=iCnCHHf^r~gK^&KBr zhn?b=Plct3F@cbnFP19h{KM^ChDo}mpDQ%P7@SUnb(VSerA@Ph04;%pE4AsW5xpbw z*w$hwMerEy05zI|f6&`m-N*mnM@JNg)UAqcMJ24N*q5R<8{bMe;0q@9_v7-kIW&TyQP#VC&i5RbZCt74xho_OC>EZWkwlpw2e)nd0}Mulw9*sesxzSv zymKF4rmP~)%c%yrR!(LX!RymoBc$nWmKH7%jzzsXlUWksm@}~?dQq^jByg*8Th+GS zxYPU0$g6jsA15M6tW^~}20Zo;cMp#ZCOzDQYhA1ln#EzCXWoA4BB`A3ym8aRFW&Wc zh}P&p^=g%g_x#iYh=`0AXLvuXrzMV%{)gYBRpPX~UfXJF2q!!i_wlPEr-7bpNKnNS zdr23O*BWFd+HpjN;KCe?03y)(ATX#+cx|y+wNR)UzbMXBU1wrfq}S6+MjM#oV}(FTQ;lCCA9eSbjrnApTHi z`sJxAfqM_IRFGnlA$_|%AgP=J4BfB5r19#^`t@S5+7OIREK}IIv&Er3r80lR;5#lzr zNYjxqc@~$jkgo}q0zm89q9y6u?Hab+1ADL0t7ia*F$U<=D-~2(l>!scVskAkcoLce z09iXbO~?BWxrKhSG&0RzeD{mbuuIVd@0NX7WP#^6hFKCmZ#?Z^H#&MR@_=KHC?jx4 zGA{N+RHMOv_B>(QaaVQPkgy7GKr`1Q*-7x~SECM1pq_Y22%fFfo{Y=oQjwpf(6@x( zM;Du8u_RPnu%JcE#$LjSFT*TO48<($TPx4yH>WP)X0p2IPNC0YCtWW#me~1;bJ#;w zY8&PABQtC$Epi+;2u_Zs$9e2`>B?{3)QmQ5?bu6W?Pxb1Z;K0Ye)gTfymwkL% z5|V8R<(|xUc8_bB{g9nQMJK6wZ*%**cP%r9A=ni|`frg}FM>$7_>WuAHJTlr=kV)q z&ip8}kz$z^5D6oSUUQ}|_snqYybhEd{%A60ZfDCgp>Kc>xSDrM+3JM7o4a0>N2FX6 z4+=|?2TBms)eEGNa_bYsISX!kPVrRK$_A}Oqkj5H+Hx|rAOG;uOTsEk7Dxv5gT9VD zF%q8xCuiPiXJvC)hPlVS$vEvUed)Mq3~~##GHfE3RDbuU!p^DzS2^^ItK!YM6F6q# zLaCp>Uy@RvoBOO$Cjwx$V7GS7?my(`I?a}PeoRB+`crMz z;;>KKQ-kOD>#z1)xOi0XQ0OeYu-;d?z}dNXvy&lmiqDkVb9C;rLsaG1!cCr#G4tCG zJ%hyPz1!>5V1~3ikdOt8gIp|Yr@-(6=K{S(qAEm0VI;=K?l!l6mIN^y@`@eiiI`PT zx9I`y?@Eb%jEs z`Eb+FTXlGDYuMOW6O5o;y-QiO80t|hZ*4yJ{NZDfqSX4dB(3{Tk04r+Rn1h9V*yU#uM-6QC`iLOSFZ6mhVXSnKa%ji7 zl3K*AzBy6PU&e_E7fTWgN}~a@(D<{L`zkYflwkJN7%II?6W$Oz-?uV5W;DQV5aX)- z`0;TLl-IDc1fs6t_1o*NWTZPd=p*T1Nx-?HW2h|MQRk>s>7Y|&Nyz@i9>nkx^|yCb zYa*3!qRH7Uh9mR-SEnu^MKRdCVdtXN(F8_gzERUh>V%LbQ}>41s-he84AF!G*fZ1= z-W+zfW#-Mzgh&U?lRj_^+tXzybKf%|QWmK&C?z?0YF(Y`(L8)@$6XyjySRwk4ppN z?`8r$UD%RG5hF%Orrvb@l1WST<8@!c60OZ9J6Aw%eR!KkgD4aWT>{fZeF7O!1mL)4 zb#l`gqKn8iq+A5=hWvg&2UFruV?I&<#QbIHK6^#AhA+dahC^KO;6w_6=#EfRGd>&Z zkcDid4mv1VxxYk3i<^yPal}2yp z_QM?Gc8aPW_oStJpPd*@uESR+%6i_+JoIcHB*N<<`;8_2>d=nQ8U_uwRIw!1=(Fc> z`3bG=c1sgB7Z_1f!E;I41eAyYz7j~Ll=jtC3m6af^g(+j$GojKZ>rnPu!VP6;KqxD zJk~1psUxJ$Yc14qqG`qATD?GAPkPIn2oxh-#o4$UoA~Ra2d_9Ue~_8?HVn* zDakN{UcJE8U+DTqK&J?h6K9{Lm&ptyv-{}fk-T1Xv-fQaQs<{7=^6K4oj8EZ0qgWS zna9%A4PCrUIiBDNE{|zG);YyAV7hkh9fpjsc!2HMJ+Zxr_W168h9sVF*mg(rr)-aZ z1oO%U)f+z{*vk$0JdLRw#?suJ!iBJaS8@u1T_Bc>lZWBnbcS*=>cqqWcXehxU^CGJ zX)`*6Q>C5*OG^J$Gx-I^bY)r+c10I)m#0iuhLd@CC$gu+T(X2yX&_5 zQu6tU3&94J8G^ znXKTMG4bNU`_x6T`{Ge^H{aM$E`ieZ#)G=y!n7@Y_`(OL2dH^NmSKMhe-oG&qg7Mq z>fPfKcE&<;Mf|(|XtS)|+z+2()_6=hOnjvaJ6 z+nL;)aBz!=weIO-mnSM@G|aq;VLCu*ugU3`9ES#STgk3k4d)hzc{?4$drBwmTm(QG zTbMLqRVsYA9y^%lFe_Mj_d>%DZ?bdFG49#ii8=YG9os8xUXyBjuI;waR)e&TOtMD| zRKaoC#;v6|iJe}spv*)vcoxM>SI=~LD|zB!!(gJ<>YuORhLRk$c9Q`Q z=};4R2H=|Vru^wsLr-mo)PWkXzg)F55BOSivmVMk@t9NwreMg>#gl_y09za|h=<(7 z*Xk-7$IP#E5UebSt`Vm?~QuzW`oTlz)X4!HyjgD>LWR_^Otyuus3J03BSusbh( zeqfte4!cWd$T39z`9zWhsF^jE@fuw&sm~0o-hcaL9ddQ4wjQF+CkwS6% zkk*;VB0_^}?&tDjn!C@Q$A~oPXYV?4&|?<|H{$rSL*8I?LUyN#e~-hVZM_$-xX{oY zvb)@-Ne{%1ky-29ngE!!U`ZrVz(&Jvsqy7YPYF07u#Ui}x$5uUmsU*i(C81_0+xYF zVy;Ae%W8f61VnZEMeB&VCL9eT4N>OJzBLlC4@%J}ciXgvd=BWwoz5nBdg9}T!xhxN9WZHg zZI`aIulVN-b`kvy{CXj)maRxwi6MEUoh8hnVoAL6s(6*#1$<^IEh(H1POsnH_DRBk zVI^W1=~j>@0GxhVsSa1*(NNOEJs^vlBv@iod~RK*v2=^D4M+^*!8Uk$8M>|{6y5aj~%Js1FK{O~@r#?JuBHYtxf491_F z;9J3gh5hIywF)RDMe%y{15%n4GQKBk_tAM6kt=pR$Q3AG$Y*-EZYyEeZamV3 z(y`6MyQ}7w`S)l%qQSZqP!6e1;raAI*%j1SD(l}nfwuvWjU}A z&Y=@xGTuxwQ3qe%*I;y-{QGfIN(YtO+s0PncxxgYv`=yF^OWG|B>0(ecj5i@MCQ#g zkjt=X^*5_lzOGTB5BYv3y~<-@tYTy74hcqCQ8l`~j(H{Dm4eTHaH^6|Q@PIVU>bl8 zq_8q3XpT!vA7sO(7%p>Er?I*24P$OEB3_%AlhV7Zq1gs>5HBz2g_)s`t1GR^d{ivt__2e)DqERiVq~ZNX!b{CM==X60Tnn?*FA;LVO#v+jfR%^3Ik{&avn0DU_Yx7kY9E)n z{?}h8@wuAnH${CQL>}mJ)M+mKXJ-Le)kLckL}bVDJK6+}lTaG%#L*H&v}m-D@a)Fj zz9IGe&@!HEXgFC^hJWbjhS}Sm8&BvE48l=lSH1aCm1jY#0Vg~@1E3oxse$V zGK-a%{9VF~!Xk8%VIqcAH@%LT;F3<1qccIz5=bdKwS#?Az0Gb%0E=}@dM|$ zC-}o_HWM;H0b0ur)gOsb7&d5$QZ$+9WA|G#_sN+Lg`Ls3tmT+ZI@kSG;CRoBBU;3t zIKaV_h}zJ@;$8XAj+L=MPZ2JP%94)QtVE=H(6Jd$nJgvE?fcJ}i3xoa1AuO;UMV$p zku>q7a96pJjg)o5|32@GQC=jPW%g=TzxI!UXqXZK^!f z)`ZC{7>iVM51FPR;Ma9pgR!uE>Mf*c*#QLI%;+k>%EG!Z&rE$41l>PFmzwn+M z%7|G-@TKgvjY_qx9iaYTX2j8ZLhKa0(=LBTDldv{gYSu{e(;rb2-8xi-{kf329 z2NK6{aUDGkEgHDoxIZHkgP$Vf)_`{boKwQ8=!|&n#5XehYwzNhT#%uLkBZqgc}3g) z*s-5hh~grKg-X&6l}#M+!~V>1a2{T@ah+l(5acP;VdMPcMD<%%_}hgoy>WkCT3cxm z&e2G`T;Hl783y08*ICXtaR3G8(;<4&=cw$d@xOp|j4(@_A z%eBt;BwdnB_*duCZY6u4ZRq`C!i%t+<4KRbjqnKr{Km}GVh{+?edlzrGAx*%Yjze1 zZg9U2rdmnwn>!840U>o!Mc5mYD%d&bz=V6#8DTarmISv(_}M8LLp$B1lxdoLfLX@& zR9amy0)h)|ra>p(9BwlGsdb;E!tO{jp`GU-4SJncD3k+dz_y-}Ed=;_QoX(H7#Ti` zl(SBqR0Y>T+|cWCK4?G;=x;)(lEse_^pcRP>(xha^+lvJbETHB%XvV?KmjtM*Ld$e9rz+i7(+p<|@!j5vUs zK&S?r$}E{mv%sq(Ql}SSmohkfQP|DIl7Q0I%y_S8SV-O5E7GiXD|2MuyXenpusq(j zjCS+=6o7vIG=}C(ixju(arq zp^25B%RYYSR;AFkBvbZbXH3I~dKi|Na5a6uB&t&}u-_TnBsYHll(gpFqkRh|>a}P= z;C^D;XZ+`j*6V`ol$j77u$0ZH_ug`eviWN8@~kK4$eH1rKJI5Y1JwAz+sQ>F@4pvZ^oI8 z^p#D5C80UvaM(8W``lP?aOdN8@!P)Xm#*|kTu01kX<0 zU>>&Z%;OBU-Oe0NC(LBnQ9xol4LSwRPzY@oYg732*w1}k><4btNMW+1nx!$VS50#t zgW=w8`uR-9?6Ph}J%E0)w(umqi}qlN;+b2y$Tr}OK$QTEi-~!&(9$Cp#ph07W(`A` zAsDlhzdeI~OOQC7SVSm@%k=HLTfF#$Fp!2vY+b+wl@R|8avh1}bS@+|knC5*wOKNA z+hTSBrhf8B9@5j1BOInQ&cb`HQJq-Yl>j*K;vmt2lpaFwQEu)qg9ura&{B2A*1d~_ zf%$zmn;FXJB`qw8m9zuW3S1yeT&rdqC<=+!TT>9#wh4h6ge6ZXk&2@N>QrzkIwgJJ zT(lk}t(z}zRHp&_@MJn{)yB?5aNC8W2||rq=jEoj6F?X=7ZyW5bKTfUjQUaA<$4ysYXdidVL$gF`o9Jy>>zRPZaetB zNyAyg_kckSeboT1P{0)1)k1OROL^vvHd8SoBtajttqE?G-?gq62PRoyYiMP`W9tm1 z=t|GqWar}#9R3BbzWLnly9kZ2c zFhwq3#7sog3`>=jo6#wJc)DxVrY;Osgxvw23~}B3@VH>C~Qh9$u+Qn#}-lTdCU6`svUFI!A%`ZDd%cF-FGUJwP5a5x%I z#-Z^oy%DF$bMftk3x@__x78WG8c>q>fBLO9OQMd!1Ct8G8wYOviL<7#o0+D?hq_+j z`bk+w?6Pp!4ZB#C80EGXvd=0IkL0y5c=5~qo9jL}5HrKlZeJHVPJ1YE=mn|-BRz4y zfgOg?n5nP+{HusvWsQ34X8Ubj|HokC1I3^ z2il_V=N|{O2UmnJ^uUHUy91aA5CoYhw|YC5Ti@%>NUM{~&S1z`y)No$R8n&jIbw)C zzX+O$u*6~?j_;@UqA(nhfx<3}J2$m#b@7(3L zKED%HA4u?-dH?H|AtUNGY_Z|g@##SnZfZQ0J~}=jNM2aX&QM%08w0D)qsUMIh82)H zzQdRftQ{~LQExu=+~AfbXR&!gn2wH26}$ywUYIK5N*IDqWW`o%Xe3)@ zGFdYdWp0%4Omn$~hkyYgzL-s=`qu^6DlNTR9^Bm2h^B=@yI@#geE#jBSqwm6>T8z7S6>OJjW3!=?WKadR(<2$ zfAP)B2EO4+aaRYK9rB}E6OK*A7mZq4nFfMcnBZpUjVtx?$FBk=vlD@FBz5}Y@-QAv z>>V7Po?l#?9i~zT$LE*N_sk>c9iZu`n%CV@u1zd9UYjltN)I$I^3>sIJeh0T)|Yn= z=!DA^Qd2Tt-isx<$&D?x&d>jk|MPd{R_U=qT`|7-TpB|%l6JlOk7dv6A4D}Sok9v> z)m!4PUIZiqDIqD2oQPZN&!Rv6hnIdX43x8$9od377!b~jJkDBK3?^XOVrC$GWJ>^$ zK&?@*o3u8yIeke%knWR~Q)mr&Mr$Ni@VYnZ^_e5&TK31^2LXrdgIo>qg^KzB-O|c& z_UHc~ZZ;{z1jP2wU%fa?@Go8+D~qWWtSY`UyMEFrH*~S%$PNQt zm*gto=%TPisikS%yZ3iZz7#SrSdtHHCbzdY*}g${|L^|yzj%nq-t~?eSH!{4G=>^13tv4n3ywj?qilki&-j>mLA=w?oz6$S)WSkW~-?#b#BHXIx?>>LLx_!uGviWB7 z?$`hM_xHKX%{|b^b^bcvTW+=O;NjivEOd4r)>A18m0v6k>T4PQ`XZnPIp|zYU+VN^ zKN=3R%_kFyy|{JQT*w5lZI~(ys<~`+8;Hxje7@R2YR`yEfPQ!%c7|Q^^LMu`whuUS zYgz97>i_yxZMW)@Y){m{Lnhzc`2te22Hqb@3)AqzLsur(i4tE=Jazc_>$Dq96DExK z@v9#Iy*cr6Cu!7kS(WR7tM*Y)TU5f6Pvjo!(DGLWr#P_EQObf5d)AqH*Y_HDXmYrjx62GkUsvs==AJCtqchzkwu zTwNo^#BzISz`xvwIiio8Gdbt=mjH`#^rjo52DGFo-KW!!lw0s6Cf?cFbb|4?N`^3}P?K&1K4e|_B& zDuQGU;|TH}HwDiG@^_c(ESnJNrA+s<}5sL;~ zOH9P@1U%&(^!u%9-xBbwJ3~5+cJm~ES0`Tg@-mJu*nrw5ad4Q9xgBaaY}Y9#KRoq- zOk^6WQZt1E9*-dLlxy?dYSbIf#*NJ9kJmMwVyu7qaFegHZL<1ASpe8<52n4F-~8Rj z3jTw~;>bV`=)>=S{riVDgF^9`+3;Uji-?WHC{+f}fW)5UV69Vbqu3@ud^Bw4zI?hWZ4_(g^Sc|8 z;|Sbq@SFck-~aAcAFHi;DVOhI&d=T7y!q{S1v>kcS|$H*^ZBYG`IKp`!JUNN z*s`hKm$u}qvNt2dNrdeN+3WKNzi#UAz`bZsgMao<|GO7KQOmfOvO(Imou z8uCwRcPJ)}=wU{-1a>fz{$;3X{q-EM|} zkJ+hpt$ai0@~2;Ym9p-3M&6h7$?;y$?ZGZgezh-g@#@qID^Y%mU}906+ZOC0qB@C# z9f>@xyT`uSxhsA8lEZR9C590YksrN-#kBCJ-+ZdmDJhqFI`guet3JN{`_Bz7Jar<( z9&bNoSFqaZ4d6}Up*N0*MmEt+g)Tv`t82ZsA-E=z0a;VbK5mShXMge2ZxT+X@Zm@z zeR6&T!FU${+n@?lKP?y%-kzF7?Tru8Id%%r$wW~{lYwRKx~{X^EG9V=Sl_)Vq2my2 z6P}ZLccE=&nkO03jaO!ODCjZt$}PfZf~P;kQn%IF*j`Uh4~YN~_Ns-&qxz0sT?EiI zBUKa*JRC-yLSutajCdn5gCC(JHZ%n^)XifRvKf~_dZD^Di z?Eml|ew&mTD6_$_=N%}M_CgK&m{1sK+|pfvld&2KNQs&vJ{aCUnSC}-G#T8Y9oX8r zXjlV#O7#z)r#7b7%v%w|cV+;$cb-(#INSV-zxrpdY=5oO{j-1bzx_}D_8w(UUGO;Q~T~=?h7lMAtc^-$hcg0Z{Ov24%=?h?n-|D zt}`O#$1=;`RCVUnaOT{n1=*gEkL|IJO2x65BXLy}#I?cFb#UxkkLT3xD&ZiFrOmXK z!sm=g$#ekssUP>(vKG`Pb~XpM3YuXA5U@MoSQl8OfO!O%4O=1jCJ`^t(nr(&9qM6}oX8z~P6kVS zURXOWr$qN~j!IXK>_JiNFwd~hOPNvdC~h8>?lYa)h>c@{#~$@Rw*C#4C!^i1uCdDS z1R8}}ztLKH_Q{Uz5pwZ;gO8!G6ZgZ+6Y=n=fKiP4y2SG%D`B`#ZQ@ug=vL4p*(Z&W z#kYTX5j7)0C4H3Wf}nj^tm)j$37G+c?x}D5QCpu-4a(7#!9i>l4J(7d;+WdkpX)ms z0hqg+fFLt%OUS=%)?4gpGd^ODvStQj2Ib7Q?f34o7h=O zmaL}1<6YNx@jRlTY@sKImB8<_qFWuo2cLg$c_B9|OfL%%e{Z;C*aA3nwxw0&AmD=J z4Xo6#KRWe7Hrq1z{D!e8=|>oId?9uA@ZoVuf6lRgk<#&x zT^ktPO0&85#mk7%=uP0Mrx#D9p4#8{5nCIGQRVLlT11|$wzX04t* zwFj?4>twFV)`QdFmNA{krWC3wkwey$3`?x1Lm+jzfyHAPG&{6f+KjRtmd$uPhy83> zfKweUCj)WOYnHQj)h!?b!GNT9G}Ga(R5m0G^$Y}?Mo&_PF7~Fvn#>cfqVRCXmeg5< zAmJTPn?su#Q_o`tjIxQS-|Y!TV^KO*aT_n8hk2o0z&57DDd{=o#S;k*LLhmetqIZ( zyX;K?MUqVz_hw#8Tk$hyqzspwm|q6+ zS4tj7tOlE|b$>sLoF79K(n}iB5WCy2t_nBh4Ym&Wmi=0ud!KS)`7*-zJPi_^qikP%vE ziJ(b~aYnDn@w6KkNHiMsy3y%G@f3y4(~;y0vmz*5&|-Hdkf(S?sJ;H*6`2Tk z87txr`8UPey1~ISg2HILcBj*>EhIoey~278S?T|?BEq#b92<~n+d;JpkF|B)+eQwf zHs)31$LpE`s!Gocixf|t+2!}6iMt=7Z6xn-D8w`?r(0_`iI8I83$+VHDmQN3rg?wc z-U!+e{it#-+tfJ>B%CaOgy0kx{wCyIl~30LVbZWC4*m1&yN@*^D?)BB@d-V1^3VgV z7p$tlxP^Tf)`;4UMZ*+=;UH+JguEO>&mpjjuw2AC!s@USP+dsaDAjkq1OUykm@!yL zf3&r4NqJ=4DK?U+DUuEwHk{&2`JjLa*p!80lXMW+V!Srf;cy*zc8S+>M#za*)eXk3 z!+kdwl2zrdWInh^m_VffJt5267m)k+cRjj&V-l6IBtQ1d9y@@`cC*nE&lsi?sDnGL z3le`NGXDPSiWrGRnQb?8Zi(DOv59K$>T^>v?Uu&K5eB0-Z;Lv`%)CipyxRKcp?@Xz z0Ud9$m|OYK`33Qg`w?J(sY=9H5h<96`mk^zfg>?&jYkOX6}t#X=D9r*F%vb!iWr%! z$i4fAiOo((E~#r1WB3qs6wf>l39n5w8uHti4cSA4d0E(NkR2|4=g%YX(O5QjAmrHX zi*Azt zl7$}4>|IHBW;2(j-;OZR7$@p#GzMEQ zqrbFnbz(^zNbm}?z+u{rv;wTg2CA12?!t9uYGh`L-U_ICWhFb-P2y3DZ~s_TkAebF zGhd90Uv4|PEwo?a!928`4 zY13Az>o_`5v7pi~kjxm!<7aWRjucZe|AJ(B2SP!j0HNexo~r%FjwyU}92jPb3rb#i zZ19EKOIOT|n+QkI#^?&E<2!*kl|)mOJU&|_W*zpR%Qy+W6UlsD*QQyS5(Pk?9@_~@ z({1p0-!`6X(XA77rD`zA4*U+os9GK=spF)=w32Qu7qn2sJ$tu zJ|Qxv!W>xxZjD($^Zjoh)#vA~C5MT&5N18ux&)!ZiWEmmOdS=fJq}*Lh6D(Ymu5~5 zTk{Ho4;DWE=_%1Te}6TlmqMn}qMgf(0%vSM1L=-C?a1PhXWf|& zrNUM-yMqCbQHTqeBM^is#+lurIduV9fjO;3_QnJ>QrdfY;MNUWeSProB;f{U&0W#% zi6r;D^I~!3RLHE^Zr}y4b~ucRO$AA5f^946tlK+D)DDfX0P?I9eKHMXO%jl8nmG za56=wT3fn&MotuxwU~{$2vf2Q3dK3Y4_r{XJtK$S?vAA5*4f5?c^0HL z8S7k$RmvN4_$HnDjCwRQjF>LX(BirL|f1AMO}=@M27Ga*#R%1rctP{Ucgk%)I&zNKBLV7fJL zN6=Xp@Ukv~8}Jl->ir{l0$Tj_dDy-ljdcFQi=z1q`@jLV!J^GPRjTQhoXiw^!^pwfYm0lOgp&$)rFjcwKMFKxjT2*)he zL`Y7iz|oQA`e(l?AR8ukeq6o#QjiWV0!UmC2(Eb|H!I0$#b5<%2YaO-09F^BuKSIHnJ$1}tr34x4e zR)yX{U~pxjU#Ia#S{ezT;nNfEVz@Li=G;p;=TrIY)t(37!o(6gJx{R1s}{-Rgtd1P z)5?%G-8SS0dZGxrI)B`~sb7D0y~J~3FoB+v-gmogTV+*}4&t$&*2H*c{noF{$|RZ3dW*Td%iS)`Ld&wjkmzdksttExpI1 z8?ejM&RN?7_{<(y8)stj&FmURxBv~#DA_b_fI`vN~wGO4L z#kLvDgh^m)ag&sa1RCKzk?st18MA1X_{E8PHDM#kZz!iA5mw8!p*0$Z06;|s=23Cm zdN&`d8$AO~t_koM#@_u9&TkSF3BB{i;sG6|yPb-f5v+J ze>F@E)nZF>yEG<@3oZ;xi$CJGt|wESGn|b1rTWdoj85kkD_XMm>%YC(Fze<%&O|)d zR}c4%4RDUjjoxCI^tYa%X-(?K(=#HjX@P*DQ|@ySFh|c%+!R(hGU`2kyCx>!<&G(u zRHnljnkE`Qs(V{_e>3Kx#zHqn^oXSGc)JL8&%34$?u<>Y=z=8KH7gTVOx;2^!m`$|%u=mBYSw5iI@uH%*Jo zFmyKTR14*?D;1U64a%5}j!?##9CdG(lB_yy#85z|0Afp~qz)`W@Z?wp716oBDkCeG zI01n{&0Mj-4(JccZQ+HI2VdS6*Lrcgp7uc!cAcp(nEa_=Gy>sZQ!3t;G?l4}=#;nH zBNTn%lOtt3;Q02&_M)cY%zg1J>b0-B7-o;3AJIji7iy4$`zNO4^s#D=k;+>9F0|auAQ_JCQR_5EzDBs%4y%)Z$>aij|eaE^Xja z9W4L&vxlvfT$t&o+bA(G_Txzx4MWWMnoz$*ti-j7z(FEB;K~B2sTM)GNv?zQglV-| z)Nby_Ep*+p^0xXJ-o$Iz`1sw*k` z7kgHesNKw6$L@EM`NUIF8!L&JnHCi&bNroduT*;frEf40oQ$%&kSS3Vcvb`U5{7l8 z83s4GFBKh8gn(dZ-8TDk&FDWoR3|{jEXY-2w(-bzco8FbZCq{Q8$JsI9COYX+yV>et+^c5 z(t*O1Q>GHzopNxXefY)QN?6fRnhL1rz{;h*TN6ezc$FKI+h;`TPJFi_q^T%5 z-OG9lc!(`_eg;)tx2)df*IqwqiU_=GO)Ze}V`5`~iU!-^bxm*I0Ynh3)JeaZ&9tmB z!UZYX==z(1D|qJF>k4}wouw^w_||Zt5iApza3$%jo;ZJ37vIMbMYGl^jo~ltF$Q;< zb&@@hIy#Q53b~o$L{eyYdPtgW46iet?9{i)Jx!+5(azl0HxSJU59)1gvNoLQ&4&J6 zX1(_;jNOV|8Mj#^9x=DEhYA#n$(M9Azx&t+A-<+T%m|n_(3=Q=1Q;$i8xqx2tI+Yw zB0EDxscUyd67r}vGFdFa)8`2bW{F|`zG(@#sfrQTlD-*@+2HK+m@Ky@j)LyTKV=0Y z0(VU_cbCBt;axu^MUh?PrK+l5ed$_NV(PX_Vg)*ECFhe6|_K6eNtVR#mT>H7Y0VMJh#}GI`TkIq|h%>`-Ye}e~d0EZm#oo@640Q_r zr?HOs)57Pv_tmM(WQ1UV2NnFMe_bo~4OYNz_E2DxeVfN}{Pd7OtgSsI)(Tnq8Zo%b zs~C|Ti3o9Q5LB0h)CIOJ1ji=l-sOoKy79V+%wF}&Tg-{|N@L@Vc{Q5dJSHpp-A*n4 z=6yp?hZ?~|C39Qcc!iayhyEWDy+7<;WSE0U}z+q|)W-FaaTfg6fh{qu* zPwLaq@M)6l$7+5#B?s>SF}=n_ON?1vKn-4dPBX{L|wgSV?1MA)w5-tPc<;iYT@v8(84k) zs}6et(b7g~UBCHU*#JuDpcE}UmdLR)b{i&u&7D>3K zZE*x61=4p1cAD`{r=IqP<=~PcR-_M8_Qnqm<5E30Qm`hoC(vc#=1$8_M6c@Meo0gf zmEj9>)11RK)|#Q=It^DQe}y+9ito>Ec``J1ul_> z0;{-DiO*}cCtD05Ov4!RSCrQ_I%S^e&JHB(7v_Bh&&92nga+& z_I`;aA-A4c=ZqXL^eY;4tPTNrR(<31hhgf)zInr-79$XXBPQJXcD|uu_ORk*5wp=D z&Dy7k4IYw-k))ML6TzSe2SbEKqf3-BA#%Mq{S53<9#1HJw(ok<025|iMVv_6b0MDm zexF^mM}(}oFE%Jl1Ei2vr#yo#a1Vjo(yzp z%qJx5<6?H?)s45|%OkfPB6_*9iC(^d$b__%0xxzJ0Zt+Xhrvx}g!EZg zFSZsYhoygaRowvE?+@0lkYiBntWEm%&Bwa?^vDG=396DPN&+0FI-ub=<*kSS$qPat zh6w9vNyLt=2kJI!k2Vr1;N%n0K&RD*tC@iFiD{YA3jwp;CxhphCx`kY4J?mh4;zn8;m_63XaIvAs{qztZoG7&oaDtcvu_W`! zaIjF1PW{Uvd|X7%9v!OGin?7~DM7yV>anp5z$hN((zw03ALe(g-*m zx=SVKoaBq$HCa~WPXJswhzMv3_%_ATXs5OBK9{6eI=G_)LEjfYAD{sbR&e;24*n); zU|t-tm!K2q!SQ9o-Z+DvElgO7AC|<8yAf*%_G+L!A=i2^bERLrI`N~Z@j4X`gsW~} zauUYNYy+QUn>-B+`U|_4p?zf`M(%G$}`%lFs(4pSbL((s_SDV)Tm-5n?NH~C`?gTwWd_+MADO6T=J_bu7 zTEBgS>`>ZyfhNEphMFtrTnaxsG52V)$zpS25)T9bB3mcj-O-=__*gc(g$msrj>%K_ zX&j1(un7IbC$L9f!;S*@CWGe8w`b;xj@I38NT!ulpx$&I5I)m(qyNLBfa>&V9?d?P{PXNdS zQC*$-9>jyvgt8083pyTBlikK{uDpeP z#)ykei4BSOMrbD}352(qNNb;N&J>+W262zqCER~vML^#7$C1DI$Cpl{D-sKN+(D?f z-40|88|9p6RU9AG<z8*6?7O`60d7pxvS_^ zBC&86Ao(C7VQ$|$ji9gP=Isrr+mW841jH_1V{Pp|{^}W83fgDXj=@AKY#uZR8j@F> zvNW$6q_y;Sv>8XaQh#f+&vRGBIf0efs{&DLv)CnP>q};^wEicu1Z#7@GnGlqr^L-M#`F6rL7Mq6(}V`&1xNGu87X!Xr4j7Ulc0iW&P8eETlx(h27o+G!Ice z8gK75o;by2O90s3WsROi+>(6K| zeBo9xb{}PK+EzC_407R+_z=gr^&X}j{pMICkh%?nzV+x?3~|A_`cT{W&R(CocEYWq z10NHQsL*8qndHDr+PH=@6tA_21F^UB)zQwft6hC*Eyv9|@bVx%gxZuq;dj-U#Q8CS z1JCu4{2VG1SU&yiZV<2+i0GDR|HOAAazu@+IC4B9;~HCo!e5~jhA*L|G~w7FL8Y8*~gSl}u3DgWFsmst|L>Soa@5k_+Y zN>O#hq;_wuKr^>2n;q-L>jX$uwNBmdI{z98E2ECh|Gy+)(@SfYlL)*4u1IWq;gD1- zwia4V@8PDo7Ev%`W5Ba6mj`1JLN{NN7_aNmgy6g3jRWe}WYe^sD#W|nusPHFu^H2J+N|ND1jA^F5+hBk`zpZ#ev$uM;GCkc>wx-N`YI@Ua;RA#ni! z6&a}uUX`%0wzha1$GzD`T2($?wl(s+Q>_p_;c)IbE|83|BvzN(zOCF8cTNXJXi7#l z$z-;a1DU9%JJ>=Kae*;zs0W{(`%TOXA1~{ci(h^jmI*T0stS&HzJz}`F4o8G>mM$=tDP<2Ue{_}VBSXjZ<-z5F)qF` zP8Wv9RF?wDIOF!CJxns>SbUFteH>B=^9oU_aj=SQ!jeR+I@j4>zX*~jlq?M*EDD-24$2up=LXaa^Zk}(O@=Zx~ z3Juer^?}1^-q$X#7e{~dtCWs54keZ?oKE-%{g(TM zs_Jzsq98+aM8HdUQz>;8(yH-PTI_~p1e#z6yXE$v`123B`4*o2>6Czr zN05t|=IOejgEU8#MlnFwbiUMu(*9Lvj24T*j__yfZOKmrxL^yLOnv=#FI`;tGQ1ma zA|2-0r5ltQDwIlzGMvuqK6Dsd5y=A?0CTND+s{3AjFGU0c+1}1pMI>tPjdxrz?$k~ z)hv=jG302CyKbc`p~VtV3kH(Zm0Z1C143phj;PMUo*)O2Z=4pB4e7Mg=?TVgU`2?+ zAw@BBLO$LB*4u<>F*C-JL8r;+J4x*y?iTzB*9eoxozC7JxP<3XNX%Ai==yIg=3P*FbrI3pWu80$stA-^=sz3gL~D08*lo(%5(^h0&C zlc_|fr4lhgCVa7aQW^BRX}u=&9Qhd_M*v5Ce3ObAK^1SI}VX7;!DT<4qNcWSE>H{$Gso+7x(G3-Q4;ZT!`wm{O)aC zPwTFbEzis@9c2$k76TqZ($;luK2*1m%y*k9HHC1FlS>&lcYu$}Ocj+e-Gt@ddGs8V7l2kU(*kI~moP~9A5EXsn^}KqYU(wUcJq-cdtw`K! zU;g=Wi156svz z`ymH;U-1M?!)jxQ#zUxf05=$o#LrHRjP@3c+b}kO_(yCS-&1KI8DV=!XyQ$nrt|fxki^g9)nF z`ojj61k)=#mQuE{Sgvd&#BJaJjEcF<$={v}3kti00s$$ZW8cg-WmurxSuDwjT<5^5 zJ6vtLO|P@<%~@xlc|G4PS=yuogn8{Oq zW1JXEGIZ(T^5LwvLio8AvyHCq9YoNV!T?dbtZa(sX0sFU>fBPJxa(zu1v;CI?ST~bk)uL{g<}kU?r1B$1^8J zYp0YYj}EQS7S#ZZpvX~pHyE$y<-6PxLEW(2GZBbB*(g%&Js5U9a)XF<+V0^oaU+*O zQU%pkzv$15PUC+p$$n0&9ON5Sh2(ZDa}Pb%M;tL^YD0PDA~p#?7LtD|6fX>nI2pz< zjDyE9-Eg2~SZ5^T@RMW5eo)Hy6ed&}v}%=NM<0$FU;{1?Y~5zZ(z0D@X?>ney*b{3 z%zLhl&0Gj~AIda5$gvbjkadPfq!C$$jlqhuW>?37DXpOz#&ukeyIJX`9{u}Y`X__6 zs5_s-04Oo?9S}Iw7x0WbBQ26d5 z0WL!>1E3}FWbplQm;geTEYyt)y7RPt2MZ+-vCTST>@F6y@mN{wcDm3>ifWojwc1@J z@OOV3oek)ip_XM#p78D)g^ms^GSFyL2O~}B5Ea*a(YU+q>d7Xb)Vf-qTSXzgDZae~ zd7&}*qs}>}Ji2_I6prU@B29;Dn~R>fsF)y8GZOU1Lk2S3#g#QsK)Omua?cYj;0Lj!TiuNE*ZCDl7GeN}K6&E`7)Cv4P_th4 z6n^_t+CnleD zwbWVn#Wx>&T0CCfsAmO6l(|0&1Q>)uyR{gtEi|%lTe2iHokprV8ibu|R7Sb`w)hk7 zNpZ9@vB5|OErp<-adVgXJgp}c*=e_0?A}IwnKJP zE>>5LAR$+%NLC~I17npbgc%@zr#IV5%*xmzFx_;0G)YE=03sEa22y{rv5^!w9}x2+UoWERqGu1shnA_5IE_lPS^M#( zM#J5xPMV^3vsvq{Qu)ohj?O59u=j8OV@a@BlLcF8mbu+uf2?`X;BSE!HC z6^j_aNf)Y9nJ5p-M9l6s(*pQ>Y1N-uL)g&=EQuf=F-4*l8y1UGoTgdkG4>T)-i+W0zU)hPDe7q609j$5Xv1^^VHP4=qE>S1-^~aVSb`lV^+)*pguIL0W|4cP`UVau zR!<>r;kmW3$CI|1u=24ah1`V0$(}q85@s!Fm$humboTi8ff3GlRtAKXEV!GWAAAY`>@GUYRGGgn3;t$@Jv%p{kGhL~# z&Aj`VlnR@eI7?zl`+UTx&nkDa#WhF0g?0gvO_F}oc&h2KsiPyunT8uTm=YgOu1#dM zXh`!2??dE0ao1@Tt?=S)H7XrojmshJ6w4kD<3MlHD_$YG9ScQmAjrR*CMMqI-9m)9 z>~|3JuuTtkeu60ogm*kQmmK)HH!H2nZ&@v5Bl-*NvYxA}k@GX(npimo1}3oC_TJT1 z-(aB&ytW41n?51Tz3cZiqJlAW_lVZQM(S1j{n=(Wxc%YdNH6hf3YbOo3WzMGAxts( zf1lLqOD0wD-6#+Ho$iQ7Q(T!$Hae3ydrM2IOK;}DxyNO!?Ay79j!{lnT533eo|l9V zC)+@)ioj|sx==*CA6s&N{y0EQ&#PfKu6Ou@Xqg(R<@Z;8J^wrcfsNDgS`USQ0Mn4i zO3i0i7o<$_##XF$dX{5D;v}pSA+_30zODg>gB(-q3OII4848?MGb|E&7Cb!mk$9p( zsBW}-!}k8whmOv~1X|+`nn&%0VjSMQD{CzBwB2G!ay(Ccnw|jc4Q~JPuBTHcSZ9e| zfu2B70vpoEG*Ow75~#rTqdFwWl^C6!Clxn7FNt|uf1=RQX+7x-fa1MQSt7zT1ye^U z$CgKqUT+N~k}-2XFFqW8c8C-aWb32h{nKRws5+DQ{bt4HH}Eyh*xD!=3)(76&R zh|e3-Gw3!P3ApTB%M!tRe)L@if)w{t7WOQvXoS(bRv1191*}&ZN5CbmG#um_1SGk> z&YQ|$09k=;V0!NM>1rQtM_S&WD;Q;a!c2^Y!S$Q`-lUl{o81mc07TPjyKRB3dH3fl zJ{*34KFe4rN5S~BCSv?})EN{$PRg;^6iK)mSby3^>T@iGN%G+!-7yaa>P2$GSeW?>CQ zO6$oSI2J-G4l5A2dMx1a$9(c)kE(^7NqDwAS6wF;L9Lct2C0o9%5NolXlo8fZA*3u zgCu!e%5@}1EbZUXHxR*1umJV7_tb)nlvTu?qiScRlNul1R#n})OW&hee$0-|ZcVc~ zST1UBzkT0ViI-)KI(3+tTy(G$1ku#6X_Jslxy)b(8Zo;>6U;<)&!l71qLS}(Hkl*G zDW2pUE+9g>MsGNC6jkSS z{Vu;^Nlej`jA=HP?7;|4FdNohwQpywUEmX%;KT(M3cj+dLk*Q|_C5HgM-_ zBX#u(?H{(XO)Hxp%O+lUDw=xcbV9kNuf2WO+DqH5$?H~IRT6{c&h@+UPF{8Z=Cv!2 z@IT$U4nDDY{rz`u+WXaTW%co(Bk@sK(-4UPJ~67jN^1vpmnEU5NBpy=0i!T<0{%QJ z;p4Oz-072$2Dqigysnp~HW#}2q)DJ~6+6MBDgUZem;)ZCTA;^3MJ^EnfC!2gJ=vAs1=s$vV7l=_-`2^CkGaY&#rJJjIB2r5BY*9NmsmcLk5qG~fPA(5R|-e5OgA#-2_$xO-n% zsq=3Ac}eb?TBp*h^(NEChi`wVZB_#UxJ7^=*(<%r1%X>4klP5`Gam{JvSs@ z99Xff5=&hM`tR1S=UYcCYM9J4D4;d_ZuY4s={+%Ydvx=u5V**7wYP7otGy!LP{0~+ zWay{ak56M>`GTfcVL-pL8AkQaaMZl|mbmm4Z%wa>sW`Ao7^1ZF=@%Mx<7#CJZhbmINJvOKO$IuvH@`Cm#IzdV?dBNN1vQqcAeNVCTZD zL0kLmuSD2^f$mwwGa5?%xElc^?`oLdMjc}GV>bbnjJ3lwfLIcLAbv=5XYY^u%p_d7 z4V#%ZdU52?ncW`4pxhvBUIArljUrwJl)@8dS-sCqG#Z=GYYBQTMH3eM*4w}27m}U- z+MtF>h0KIi@$$aEnDhY~b*m4Tg*7vl286}-&3E5E&Q`;T;<5Cyc@h0dt%(N!-Kz~4 zd3}SOjZmQNtW&G60|yZ;Lk;+q1VS$@CdGe@OK#1))V^u(auX(BJqych>P+71<#pCy?t&5@XOKKqX)sj(#B7Xu;Fup@Nd(7Ql8 zz5o7krt>G62h_WaJT$sV?lL!+j_^8k+GUVM3yXCLon_Fu`_u1lCV0iPZcG0$TN|z+ zUkatc3YW0dCURM3$8f&RI@Q|Jn~CZ%cxmD<;8}g(ieBww@vsP4y`Hi;?Rn7 zmnC6jkg)V=&bS;9mKBCcNaM*Z<4T`lfnrJM530UYh?Q7yg)3Gg(K<(`A*ex9=X;Khref&*FUm5`+Z@11TO=-?-F4bm;mrump1;9L!aDJ$T_ zQZ*TDmeJ*(uE+X7GNeK5%1mmnV+Q-wW2oG0wcC|@B3n#0ey%`$>+gR5eT5!0W#lxM zrDLX&-H4QGiDprJ9#j6kI80`pN_`*7c;PN_0PmXF>dvAwM9z+EBA3MB;uv4pZIV9v z;*`noUJv|(pLYpYI{*w|5wd1netu!fTKBg<6&p=vD2^1SGP?uL2W)S$yHCW?;CX82hGdh|1pcH zO|?7bxyLffKr8?tnHM~)b%R1-v}Z{G1kXE_>e`C%==TBghh zQOc?09CF|8Es&DMY*3u9Cd=yK&u@CpbjChK1<6^qHK>iNt15#aW^S$Og4eLAPo> zottB6uU=(ICM=0YvL??`hNWr`A(07-C5Z;YsiO$xoIe?$x)&$M%6jrBnP5wS zX02zh-aW1CwpqE<>R{O?Z-k>^au8W+QvO%Tvl_drmk^EAlh?r>Hb+k6x zjzTm~0xXr&Vyf|H61H6r^`1ifgJg~)d06gkp|IB-6w_SJ*LWt%(8@#7COMP%@fN1c z>k}KIaOb*SUAh9+-DGBpw`fiGlzPi>CVKr;+)|Ddjs>;v5`%`%d>C2+;KIZBoXN1E8S>sM`+SVV7 z6YdE9^RizlEj%gC5|zG2FAv(%qOv3hrUe;tF1OUF&8-%7rKDb;S?6<>#LtolLqJ=H z4bE)$dQEgywc_I6`9niQ478f{;?0}471^Qh} zv={717_js~G8#!79ECtCgyKFMiCZq(hvAnm0|21CnpSCO4Z3xUu^c|Y*2>@0sM~jG zWUlus$rZ9qs?RtuiUR$rbX!-#j@^u;5k{!sf$3YluRW(O)T+hXH$OeCti~aU^|kul zAAkE(V}eRiNAf72(uDaA1GV{LNB0n_Dy0=I_{E@_AJ{PdO{rWq^{3*}YL>Whn33hXz4YNjc}yZKvF!P~ z4?n-FNmi+Lcb~7^fBS!bS3n!Qmr2gfR=}Gxah=0oSqT)+eT&uMT{0ikavf7VqNU2w zL+Gq)Ac;9s$G-iBcRHf&MQ38dM=yI{esyA8YCwzws7j@9JdG`pu+M3ChR>4wLP-}6 zT4g|sCX*I*j=EL7CodDb%2UhWv#Kk}bM`u8TuF}L^CKsnNyjPqG7-aO?@5O2kPF?W z-NKl`!i0ZS-z_v`zu!!>mMaw{cNB+{e&2h%E-lsN#uoOjtCi>M9>O-b-o%m!tqpQK zZV=7BbNlw84;LJMZSnEy?OP(?mA1g#X8-b=-#_#qqiPrVo2PP<@N34<mpJ8WG<=gySss6))@ooI9x2e{eg&U-63?|D9f&M;I=E(0*Ngar+@`H zjA1Yg4mx=L!cn`QAoSFWL~g6H6@uE0f_KPVy(>!|8^K$S6Jn(o8xxEG9)7&0V^JPU z5dd%YM8v^x(+x^c8wXWG=A?0u7bs>MvC626jN^%lVptkxZ;DH#sg_7kt(V&~!A7Pa zCl*2s$LLDZ1r(szP3MvNb@n{oEj-+1(@s}^dnvLEW zjtOiRM(j@CoZuX=c#c2IEFa3cNWdiRbylZ&&y*0RmizS6Nbl-l;lz`eX(I&^UaWdLUcB_vD=<$A#g#kY)J!MFXwo{H>`{ry3*~xCctMSJt5$q^c+5TBUS?-TefR#p*vS3) zH-F4?yqflnr-IN-AmZYcvqZ=@tL8<#AeN@hYSd^-gtPEMsd19fiZ+JRA?5;L`#c22 zLeSsZZ8%T7C!eJBOVt)ae(Mi|)9kg>VAN|hSV3&54-ZSkYkNo=DqU!Zao9^h`wTiNYCv{%L~onMb^G zz@b}#aL0~rdSQ3boo96vpb0s{SP3Znl z_Yb+k)6HdZZItRakJV=O``><-7jxG>2_{`cw$QK{AG0K@Mxn7)@UfxM1~0Pqr32Eu z^>j}>vew9;N*+++d3|1QIIQnh=po@XF(=4)B6%bfLz@NgH=^&iU{tzITE%x1-R4W= z>+BYoYDL=OfXtkgK9*F9?<*UEcBw-T&coZ`%HR?SePWkz8D|dSmi5vci$KN1HK*Cz z4nI5hz~C@Xs!dHGEGM{jyQMyyc8P%3z1SsSLHM0^bZ2R;3F&$F z>9#yW_tGE(qMUnp$mX-Rmp5&VVV=Fo)v6!<{omdVZ9dB=SE$1(#9V^+47a+xY2_7YI#10auG3Z`w14;+aYhnB|VS(GWa5mhf>nnWTJq&@)+ zqpVb4B8bTb7>a62eflbF6)ES9#?Bk|7`8}qkuYosk}~!0Z#x=kv9Kmni1S-BbSsQv znytVP z9gNWl(@on+(x{m2%K9w;w#{FT~% zx&8Jx-}jsWLpxh;fh!!+f<;ZmDLX4a)>Wf~_GgXaoxWPew=RCXY)A&JIr$>Fry*+> zshlB%C#9~@??%I-_0t;LVi1V>9dON42Z8-yO#Bp2T{K<@t1p3&3 z{AwuP5=+7!5B%y{hd^71oKvYd5g!Lk0MnZN; z7PQ^fiXbUUi`+ulPnXVgR08PCPe3dQWRC?ez~^4ao(4t#@xxtj1wE`%twKk?xqE!L z{`m3ZO>N1%@A5uh`1pt4UTH$EX}-|F=f^}GWdqL~c~yHvnhb)IUN=gjP2e!RczAa^ z)ao^Ki_%iFheL=gqEJMFUs-e5FIhCnQJc$^~M+L}xTF%7UJ8aMKEzuD%2 z2iip~$5+nNdL$%_(H0Ms={3#I%)Nf|v*f8}Mn=%Ddet_wlw%j%}Tg zgVNJ2sY8#qS65e;phUOr+pGIR`TCFF)g2*;+`b-cHOe$a*%LRvZ9eAbMrAi)>cwHQ z@EpW84{xq|lB_Dxivw-5wdT5HE*G{>N_E-q;~JLq{!G%YF?vZ(gzO%MlFgb-O{mWt zZtVBmWxOMCAelfBikws#MLsM@A3Vj>n#AM%gbFOBhZ1nDzN zqFRr?`66nzy7B4(ACLNs;^*Msu}iG8Vs@a{uMwst-LqP2LJ>kd&GRmyo)NMx#ZXh1RIW1Opz5sv?Np`1FZmq!s?%Zav`ICnS zaR-1Rl=t9)QQ(M#LlHw}C60+eWu$S>S#tyKHH_tJTOw(HK1_s-gn};`O>me*5G1-Y z3BT)kRh8ryU!_Pv@T@mjd@-gFhmPGj3 z(Yn!US7AQFc+==MrS~5i>m}S=P5;Apm$^I@Y&Ms@|M26Fk7La$|NbglDHqD!IZl44 zghN268ZB*7&gI+3v4Xt$Ip4D0k`w3lhd=z>#_YEy>e<<^jyE{}HVmz9yVd54!xBqGqw#=lwyO_xeMLI zHk<_{D*JFQdVS$UCT5rnb&;6YYKE1to$HdHz4Ggs{}GqoSNw^y^8+VBcm23jW>TP8 z>wOW+jMIt!5hijWz?!c#3h+*TH}Dj)c3pn|t_n+)Yo(ej>p`e!>K_BF-lT0F(5Dyh8OmG6@KNxX(D>e>h#iE5S zkS|zmL@?e2;8iqcnK5J|ky7LbenD_Okh7PLu+7t+2D-%J{LNS9bbG_V%It^19+n@hgS3kZhEjNT;I2^5i|K~py zo7G~zP{`iC{r=B4W9_c|?!#lTRH_qhGQo(8Twb(zct6f^AMPe5aSqauqpF#@P7s^(L3r{TKg+(YEe92VkKP=r2PxMfvX~hWQF>f~ZgQF-X zjG(hvkmrfOm*?0w1abW?p?~P&Cb}Rh!7(i?BUcm+OE)1H@fh65wI?E`T|%aBZLIqgzt*^G#H z(C>>S8R!$y^@r~aUO|X@_fGXoYs)#Wtx|9e6+g#jy#5m#P1lTe`i5#oQ>C1PC0HxgBdOmV}Q; zGzIqJ7a_Lo>o@mfToxn6qrLvG|MF9asvpt?M*<@S=h^F+ywwuV*XTMw|0ZS7THV?f!S^1gZa!1|K3Rka z!mr+v>Z^ktOp~2{uegK7|K9a(f4&)P=k3PY=IsCBzx?K*OL|x~&o=q~+aKX|3~t_2 z#Fi@JG{IuUl89L2pSdOf0k&=iAqqtRPgopSrJGGzX*hF_dnhyAVD?G3~-DPxaD zXhei2Qo1|iM`;E*(U8vFURC zzq=Yr+uG&TQ=wRDj+THyguRkaoQ&RBEh&!hrYlwt(J|C`YNswD+U>X? zh23PVPk(Y~6dr3ru?Z1zI~{hZTj}d91ldkl)MwQyYI<;E==}E$id70Le_;A(&E=*A8j&mLB0e24nrZH65_~K7a2_eEI zFoD@NusEGzA8l~HGJe!M|M|-hRx1+JSrPHeO_4HS_@ORlk|*ZdQA?=I=@@|WH^8pT z3kA7_=p~6SXmJ1YWtaDTPj=a+SHJzQzt44>rT@5dzWwe^Ww{&Nf4IKS^28a9sX-v8 zQvd3eRq^dRvgqM_EhgQ18BLBLD2_x#>u_PiOfK<9!o(dpIE(IT4fikq>F-{|%nKTY z4&TW?{ICCTVAPsH6JC7s`qLK}F%u41_MV<%57iNhN!X`B5)2@@=+u9KT9wXKQkxMUV(DA|Ile9)fGla<+FN z7oAW`fVf~uU|ga?6fY}IS}uN;M4DvZer&BKEVRX=&Hwwq{N|>`k~|+KfBfSQ+39|o zB@a++6B5`cP5NW#SbFX7;q7gYDLGQJai>;dNrcVtc}Y+%rOy+(1?)eEH{qjHmk6(urgDZY?_VU&1m#0UWCjo`Zg@W?uO4vAJ1vsuQ!<*naby|Mp|0TQ3y~ zIjXfk{pDkQxq&cqeV=1!A=v$*TEn0%5AWaH_OQ{>A{}?C%+>L7W7F*u{E3#Gm_Y+Y zp%GR}>LjLV_x!*7$FB}p9S(bK?DXZ=zy9?rk2p<^FFyI?B~hQLkdYVkIehl#*c^{= z9*OUo5)+Fje8EO%vf?^!*ZO(}|KkY>>3cFD0wUl>JF2y1uLxeDG5mZWXgo(EU}bN> zk;*GO6b~`~19CuiI{o=L7*kFo5ngJsTNQ%K&{ruaPIH;Ze6*1lDp;RELvmk3aW4qGG$){P^y!vs%@!-d{bI>SWUOSrWo?5iqx| z-#+zmSx)A#=E{Xq9XzREtRZG<5A?AkmPGhP9sa~&T-#~czxs!-(?s+p4gI$E==I6b z7ys#N+8W;2;o14w*~R%`%%<)ghbJM*a+qMj^CPA7K)|b(d~HUQ!)C4dT*3Bg70NQN zIvg#;D}~(bpx=cyxxTaj*fr_6QpKPOsfs?B#_Y}8XMgui zI+8jdx~4S?zB*4FfBiQvTzopfUyqMZ&yJEl-By_2V4RU;?K^$xX?(26jOg}sV3wnn za1gFG%R#N!+>?Yo?P7X|hyuh7Wr>h$8>sJS$30IVxlT4~QzYe+&NK=xree~{kcfg_i&i$;97Iy!5O^*D9Ly)~M|#byzja3))8gCj{_->&Q=^yJ zCO^KtF3;3e@!Q|OZ?1+AdIYNv!*b4h>hu5fdB9-y5;J{tcye}<@`Et;9VHy_=&Y8| z#b=Su$9q&5IvQV?)LNAa*j8i;wF1(&Fet44?3t&+kJ)X25;q{0i`M|x)0pNHPVmKs zM}&>^Uy+Oq{Tu1?(Co2D#PP+?Q~LQH^#+BDG}nYrkeM@w!)vI65Dhd*%OH1%-)BsC zQULeNo4;JPDc;+);scH8+XB(awLkppn~@0=cY8E!H(H2#4CA|>Z@QqeATf=b`D~@r z@3ctY?!ob?_w=y?&*m8oYxT1vx>jL(_P4)043JSkC`M1tyh!*mU;WjQS!)et4$}!* zsOg|yG@Rph4d^zL``~3}O^Pep7Pg59e1k*Zn=yo|=L-{S$hi{sIRuhu6MTXeTIv%o zk7QI@a=t-~Ksma$L{lCZ~pZ!17dpkNlma32%XdX z=`G(9IJoIz+Ad_PJx~y6L*cXVWy#^RcS~1HF2D*>T+&UdJl`OO!LQ9X}F-xt*P z8(p>uVqSd1mSw%rQH9ISpjl0X^*U9!U6aexYGjX>%U05StJun=T(UU&%^_iz2#YXys zg1k{GR%v%mc$Ag_v!kr)uuZ77fG^qB&0P*I+W^bi8?@I4J)aT$}r>jM`@KEfIp;UDGEJ>~2 z*&$lhh~N^)+mUok*UC@gzxd^u&qFKLD?tA z5b*(Pp24xS2X#EwdZGdnfqlEB@w$!DP8)jhNnp1%l6)wSTVv9=)c4~(-zVoK(?emr_pS*MZo4%us`ZVWzUjOAs6ez`Lt8c<*;*m)izfUUT!W; zr+wGR)wc!&`T~|p;sk?2BvNw~n~<5JgSWNtutU7vx;!Rnr}1BW8rKkv>UHYr6dB1@ zOjre~-)yAb4unEp(+D<(#i?Iz2uXrpf)n$uqpBdGYov=8bNNcGNIb+sj~cw#g#FSd zAxBgH@TNE?Hfd>%SWCbAV{K0m6WP6!PPy3J1&>l-QUIP9+zDLK^pFCd{q-kwUB#~4 zPPeXuxJ~al|NOwLwfTv;^8{jvIGvD@mh8ecDpgA$L2LiqL~Z43Tj5_6oko&|KxnZj;>$_Xilzt?OOo_$yF-J|i96Q8Fx|10 zVfmUC-GMH0`r_DcB&ZTIC(XK0IAF&@+E!e~&DxnxnQ;kK?{4V|thih%Wy;uGfx7Ls zFw^OV1*YXZ!4|Sf+rE4Q+G23PxyeNE7U-G0KiJi7K{e(7{*7TU-`P~}o7+&+y- zIHWkKRw||3Q?8KD7RMUhw3M%Qu#ZnwgC3s8!x)a)(h(2u@4x##w|O4H^QJD9ySn&E zOe+{LvXyKSY-%LP?>edhG6FOBy7|f5%kl) zBxx>ao8})nT*IrK_aK3jbJ2UcE`n0v9b}&_^!2hSuT)n%g?|~_>B>g5G;O1~TY!nMM#(0K)9Z3O{18Q;O zxR4w1UW~ka?I?pjXTy+;t6eXYP&x?C(Z&bi4HLyYZm$WTktJjDv)BGD%rm=g+8tp+ zKF9k_gV%Rk+bKlFaU-~U92~gqb zR2z)g<-PUbMaHy6G2*ll7D=9{u3sA?1Ok|+HTxk-3`@5U1JnaZbSbBq#P+A-R*9P4 z88QzDR1%3KJlIT={9{M!PMx2H^@A)C-*&LLdJRoHN9w@GC2T;_hsMV-Zw?hZ*RTd< zU`99HKsCfouqU`67ISJeIz=xK!mNqc(4&CW8+LPT^f#~ZQpWn%hKr*NNVAc}dI{NDCJj-4ObD6;lCM>G@G z06R8kzWD0E!8Y-@Ojws45x0J4NWMI_Z&fpoSYU9-b6m?>4FsPU6cJk*Fiy&McjP$i zz(|kjSQH7`8uR58XpeaJ%^D#I;>)p{=f%6a?@M24#$YNM|LpbyKF0!LFHzjzziNANT9UVq@?2Yg<)HeEftqyJcCrE$@9tFAkh~%^>?QvUw0HfW;-^ z{qVre`&Lnm&QLsR95*K51Soxi7cYaW(cWxb6DwtO24XRo7IdfKVUk>KGr*PNDO{o$df)33>x<{4L`7h8tlwKEEDeHW_obZdb5&DjScX(8gk8MRchL?Vg? z<_u{0%tEhGVQM7K*QhdTj&;G8U%&8~L{7F-x0ve!L9#6Ya;P(;CslE7jL1qk8O;1&(t%9RL9f+vv+zaYbX2n)el5 zz-ytqJR9#l=>v~g5||5tXw*Dw4rD|ds_Z^~5!?3HCQNzd9()L2Gy)2PHlv_TfG>3G zS~oW=!FHh}j(p3`a7NA*+h)gurYT|-3t~yyTa)S8B}vD3tJuu|7%Q9oVrk19xtF6+ zEn5V01`bG!z{oVd5J(rI6TI;lH_1rjI{M;ugx-aNOLI9@Jt3QBqw$}d1=X$Ma00m` z$TsGhm&;S&6auSk^ZS$q+oZ72k!y*5n+uQwcHFC#m_Yd95!FB#i(ZFaZQN!T!56R7 zCKQ$3hy30jz+MkIYpO)g(l(f5fB`(HpS1n@OnlxNbNt1zWjL1Y=4rJG2|5^y+J&A1 zkt5rLzP<^ncU`61AV-eFn^tc=uia;-iaewL(QGxT7)vO(hO$NORvH7SWu$Rgm-$O^ zn9Pl-WB+=9ZoDuCP{fj;F&JrM7aS9)+PHEOwnYb)eC+jCCoXaGyBzWYl)q2eG2;6q zsoUrr9y_Umex`u9Jeqs9IY)us6>w?hq{6f$0U{CGq*f9A37RKejmIaoL|X}fx6>iF zZtq9li%$=sFc@a}$B8@SWU7q4Mh+fl=)tg02m+3V4WrsnOOsVr0w<^L=|Hks7uC{8 zu?6BWC#Et)KF@CiqCEHI%lj!`&f1iy{L&*@h`10ICt!{Ub zw=&lUd`5MvkDz(ppj~#5b53w;+&U~&S9oWc@&O_AXjg;6U0Fo1h;2gY$dd5olv{gu zKI#p2@# zFFPxfeOoUw^|A*d0mHKvm;+X96SuZ;ecjWdU@#{ST$5&hF|9llmkM`Ii(lf)DOIc8 zy~Q}G)-hI-_CeI)@cy#4#;F=PO@N@EG;)=-l|Uor*@NNSlDSAKO#i80WA1H#0Cqa@ z`tu}{a!PPzF_nBFyj-+%UWE2rw97O#SdwIDU(a_n03R6hDW0HHnm3=G2I89*r%8*9 z7tEQ>T4Q4JyVl(HsNYQvw{2OtE-9y9yl|@;i>~`v)<%L>9s)!#8)Iil6B!QtzV2W& zz&0NVd#`K^0{|aPbT+k-Z>mNM6lpUEb;Jrf0W2k1qknr@7yEPXO+_>v*nnevBs-Ga zX}P1XFXW2VMsudONS$hn{7Z4HnP<7H5>I9|aFSMV#<%gqVv|sx!vQBBIIyRx%OQw{!IkL^~`+8^z_bsFRX7 zP4-Jn9`rG*mDYe~aebtKFmBhGcwt8NxTM=&w>(R59?BP8i>1f7}OWDo%`rK zs0FtJK$^qKxvW-~B0k0tJUMoZ`l=11N^NTte@ZJZU*gNzqhcr9ccqUHGp_QDBb7Au zn}hWZJNiIYxlwWbHA*=;&dssbs_Rvn943snXd{(yE`Uhnk>{TG_;Lyjl>j})vcT^& zAuRF0D@3{$?&UULPVmK-XKn$BbMWjY>cmUePaC;7fBtf8Tt=`*lip=1+moR#5i5$- zBw*V1vUl~Jct8<6(_ygK9`;*}fevq02k;**e!vU*=Jm}q_4SuAna<*_mL0g0UL8h3 za47c7c|=F`ht>d+r+3#Vj-YFx$c`R__r0abwyNa18pRfh2lVV}@;9|;7q}N?oS;1| zntR!w@NZk4`EFczXzV0d-#ltsm0Y$^ZH%=x!?@ZIP;0WqElTh1s<~I!hWJSYviqc2 ztP#wB*+6vem-Y;Y330FF9!5A{2a?{BeEnI%BV1}1C{?|1y;ms~kt(#8R-bLr6b?Ncgg)!=?y?j5;+q3y zCtHl8d_|j#S+)%RmJ1EmOP5N#GR+V_%B~SSe?jCn!xPby9N|#wq@62fNEe1RPY}!( zz@|y$vM#b8a%-T88*-FwoF?mS=jmxE?U3yWCnRkXhFdG6b>FTsF-LW-H5n(_>*_{b zp~+5a$+zp2s+iF^Oax>bz{+{jfCgJO17Fz>`nWJ-uf8}yF;7IdOP()HLAQRpk^*NJ ze(a2Pi$J4?pk2?46n$VT6ne{&JLXgIKD1S|laRwl&+}(&&A8+IPTbA|8dVC(B5Lu& zllk*so?H3-EQ?ZM6`{$@3w`wb&_V(k(k0p^5v7x@ZGh$(%t5>m z{TXh~e0iajjKR2161iG{*R`O29Xy@LlGp%}+*yJl^Qbl43XlGn#59pN(_)(xs;!y9 zVHj3h!{=6r!J-AzN&ijq93I(cqvf2C6xD9k@)kr6x^^C(1SEuDM8JehGr%w6%lR}$ z3x`A*yGrf+~wD9pF=_Wp!LPvOi~`|c#H55FUEX2 zl_qmm(sq5C?sIUGWORod!F{faz;3=Amc(Ya+3Z?QsGh8q_Odg?UDckc^YTM=1DsNP zIxWgICgod;U7YiiIp$UHZR6Z6{_L$1IgUzbHYW8l3GfEK90ojdbMhocWFpK&u=?O! zLEuI|`8Y0FEXAK9p-Q0*?!~4Vdd7(9EI-FO=(GD z4(38?*lM}jB2{OFDP~Hyk>0b$>htaM@1CrmeRD*!)nwV$@?(FRp8pgm%EA~Ij|)^u zusk_2H1)?OBMy^7D?F$jEj6=B9z4U|8cWz$I3MZh(TcMnEjA7x=`ZpO-RsFlS$9Tz zP52{h7ej7az#@Vm;*pOT~c%&P5Ph+h{33Cmj*_hVL!qqF$rADu$B+jTz zSan`i&TYTH)oE-8pS|!xa{w}8g^^%&!vUP@V&?~DqFi~;o4AK*;w#;Uhlwlb6sjTF zPo8)6{-KRLXaWlv*$oQIcDJ{%2AoR~-N@sTz-L4K!>#=C7v~O|t^B!iJ?BACOQ}X* zVdp(L108~oS+RJdN!R4*abiS@ZS*E$W(r)Xm#?n0swtfE?S##bJ6dOAo7nAAo&F+v zWNB|=r;j@G{j|uXqd}O=*ovyYP;E1fHVhDx;1GuK&6jh3*Q3u6I6AOQ$Ko*QXzUgl z{s>>r#(i{*y$v-B0Wr#?O{dbDdi@FphX$64U79UT9*eq|OQG{qC)u3zlZ`ep*YMeQ z7(cZ^zXhf7-kT1o^@scVhOrg9b4;dQrP?4d$^-|EVhvQ_R%`dWXLs*<>A!jfI0o3@ ztX$rTL<^1|@))eCvyikz8>$!_oEV$ZJybWaZbo0!hwEuen|&&Y!Fos~l>wHYLOukD z2)kubh-ee# zS_E+OB=^v_2c5dr7#w=qG0ELL4Wwtx?X=&b{aGdKl*2@Ah_UEqE15msZT4*~_|>NY zHm%vTtQ2Q~q+^Q@f|tPFe|luW1O~du?1{uIUGjD$7b8~3@H zR%47DC-o?z#$TlaK!(~W!nSdbeZ<^xhkwn zJ6Ip$E@GR6y>|4X#a$p^+0UStANaPN+*4&q)X}tl-V8uBL4q} zt@rAUJk9bm!+Y-|7z~m@ZFUW0o_OE$9`iG{;_lvX{dsjwv%GD_uW5mL zY1VqKg;ivOaO+@35Qqkc=U#09{Y5SxQ`2v8gmf<~-OQVdups5nVm2!_F^w zhUuGWJaNxNR2b3^@@LmQG#ywi0USvINgKHWrg91wMP6&RK{~89${MXHvJ^KXeAUX* zwj-O^MGmZjK<8TAgbJ<>_a^%Qb9J4{GI#Gz0GzzQ7|gvI+G>D_2hPRyh^oiy$9ZM_ z6+z6petA}RhS(a^RRNShiWkS1e32cV5E^0xHWO$T2Byr#L>~SuDctUJ)W3ddEPTI# zaHY{|1h_v8M@(HLq&c#h$l9EaUKPbI3!M%sg!^?Un0%h#l(S#U-&_?3qpmi*fP^)G zbdnCWuFZ_`1JfxC*zI;rPZx=M!C_C}tK~IDl0CpVX)v-V!rD<}>o8;;%O0(tJW31< zdMEq4F3N@p+{R$Y9$04!}%;anXywn{hW3D)2& zbfT(qwF|12@q`Vy3bOl#%EM=AB`vE95J;)1)vFwf_t#uvJ!y7(vCdOD zKzy`$hu3`A%gdM#(>A=MZ3va0SDj({!7HbzRv0*J`D4wl)2mZlRF&8ny5YCg_P!KtKAU!+a!Yr7 zeso!f8;gvLqlq0OH-|0}R1!V0W0F2N>mpqQH*CS(DtCsWzF`npF$ic1wp3B&4H^o` zav#h&(KH#+SkI_Tj@VMn07b4T0;!T9K)$fSiUQb^=Ne+OrCYAyK%ot9-J8|}Ii-MO z0uOiyYFUp8Hah8yDUwisV^U8@2I=#>Dd^GnYK+<0%cjoGax@R1bs5%!FJwck4^!#N z%@GM7D@Ie-XWbZvcqCDqv2l|c1w%%;ITX{X@an9#fmyg9^!=fr=IZmw&`S-_(F9`N z*2(TM&W&B{7@ckO@X>!0-BMJ3`Q(Pu@ln-c*HSNlr3weSzCxeSAobRN4q zYb8tM8M8kwrpK#m6q`KpzIC}d zbf#vnM_sRJ?8afMr3xb_)#*wXD#rv^uUT8YxM<^VII6cS(kKjgv7nP9p3#JyZi%n@x=gS63v8@mosEN? zG@dSM+9>~wGxgi&%mC(nC~9qE_cPJ+T(dPt6@X!kI<^WJhH4MG0|Pxn0(2wI?v1y$O zYZ|4S)AI@zQjiHN)Y50CS55pT25D9XElJ@3ut4={#0=I$e}b7)w(sI<0FmchwOxzL zsPuAmxDf)=>0TV5c`qLn!_FFj-g32X^;p|^EHe434RARW0|1=g28olr7ErBqW_4DN zZKMj{I<><>F9)KN4^vd}ouP_8AtV%QHm73*21x6SuB?Q#6HO;|mepaBOleXnmj-^O zd0_ULeA+xdY+^E9jcajx%>(EbWSwbPt?R%)Rm(l!>aq<-#t9uO3i?1U5EnW)YH)J~ z6^gR3j?L%Ad7+P568y>%M5>dEoF*Kzlv(;Un61gH$sTVamcc$J)W=~i)v^Ou0j^Bv zTGqtYV`9k26+@-V$7=obv`h_!+ZfLyI_cH6&h61w0ViTp!FHGj8+I6GLoQW@H-;4z zLXivBdTnSJabqHE*e zrw#kq+V&g~_n=u#o+2G0z}7kjT{ow2w*#|oI=?C#16dB+C^Ds^3IsjG#Mnp|)IOy9 z5ih_Ea{$oTg!&VonVaMDh%)VUSFR30R>%QeYrs7!qNF9LX>u!uA4f0wG-?+oX=?JHfud(o0|PA1d)fp{s4nPH5jj=~LNvWr|A1pZ)QBWNPJKIM95S2-oPt1M@(m%%}h!u!&aIus0>T{XC9OFpc8+Sdn3M6Q7Y z!Gp}ztd!DS&NYt{#v_5z^>Nv^^>7u4gGqyt56KgsNYN{?%x_FVeisG>ILI)JBW?9) zCuxd!K+GHPk*#;?snhEg9BRJQQYm+Ra#FU%LL-PN1gVDxKDbxb$#hiUG+i!RKbvaX zNo#Vjs>PBzwmGY+;G+fFm1E*E4YHRhRtm^Qi~SNDlA$Y%ZE~rF05T{ob_8--m=So; z%i>kV=g>XR%BqSbSUy=d*%5jdOWImKT{VaO!z^B5BK`^(%4$~^&J*L<)_M?S>wfa^ zdW0hvgL-hoMcvGL7+pZ*CV+1Er~RF?hcuLbHly4T=DV8;>-nALgKk z-jNgSb(@g-f$&gjI+r(mZJb-dk+76U+M}JmE@&KP1?9vaS*+^Z;JvjIN5} zJqDHr1nD4KWOhDftU)VI+zjB_RUDZPlRbz~INKHBARgaL$h%Nx89*}mkuMgDZec>g zgY@`pOsj{eMv3rn3;)C;87JJL0V({L9yUv>4#AXFV zx%gE(-H7I#U5Q?^b!I(os3W4(%A|WVKCNPbMPD_S8~aHL>FR)K6T1NL2Z+m+a@wV> z5W)sE%yxA=>#*zu1Y39Dlhdp;N4V!A#p*-Qcx(*^?edvSOo-9q=vgf&N7ot?|7>tv zs&yb8GMsetKo<8uoKYkpUqMJ(#ZD)Ea9CC``YglGEhf*dhrY1Bf(RZ&JvdsRqm3{o zI0E=y@D!`cxvmo+7K~SMZyko#SM9_;^Lx-K7q!&xZ+1DnW@uF4rXJ&g4SiTmQR*VVP7weN(?gwQW4BvS^=z^ z0dnAJ0f*ZzK0X)b11KkF!8tq|g{@I<7~^;1I>=n)F-*UN2GD zwy1g29Zt+vc!v{1J+tFF_lyM1EUnGxMKud5daZRyu+>_ zTP%iFIy11z6oiE(9-`SAeyx0LM9i4Jkpj&$nLuF3`go6+tQfi{8~AuY!Nw^p>?Z-X z(K#G38pCKJyZb2tJfc-aZ{AodcN`smZBr=CZ|FvzkS%G-P8!04q~revu~mUHR(y zO^p~$w=Jl?VZ}sYmq{1Z;aN|oh#Md*DfvCcCkvPyHJ%Kh9nNv?s*HOK;P;jR_vc!H z8G|edEe=+T2cORSMi5p5XTV%9c00+vuVpn3U8rmpz&O^d;y*4bICM?Isemg>aRuX+ zm;}64SmN`;|5`OwBaAS6aZ zH$%#jz6GUF3hzv=X^oo&Cgc*53FpMd zUceaefY(Fe8R49+j6Q{j$%qIG6>_j+kiD)UO($1}CFQ__|1|F-vI;QH`~fE=E9+s* zh;g`1jSx+6E!~+>3&)?+jU4Bsnni=VQOTm<>em-jC2XNG(x834bBMEHkJK%)XEz;Z&{$Q(lK65kq62V5usJ3^w2^#-q^u19NK)jC zDd@)D`FUqg(JvNnPJUT)os8swT?sd%SsS?7BDJV+d28s(;I-%sIKje-clVR$xaJ@W z4hOl_>}&dkWX*yt7&Z^UVsojwIbf|O^6;pXkc^PUWmIWq`)pKKZ<0L=c0P5bi_c{8 zbwem(s-m=v@&nT(v9cf}EX$#enJC~eTS?MPTiEFg?TF*npua76m zc!O}@y2HZZes+NNDbWVwanrD3xsjuJMc4^DIEMTjLFr*AOniRFpir1_SeR_WS>hA* zbiufo2+(vf9fGOl^Qy9EIh~7FhhtJ7a7?jXPyr0nbG6VVW6uG)j!TI9Vi8Q2d3tlz z(QWlKyx^Ik%iI+a?s~0|%nV!}5QPZblL=MGZ-I|OK%IBiplu|s>IT!8Q5J7KL#Iq> z?3;GA`R*Yoa!iRADRme0qMhhmyp<1H=n;&4Vqq4 zOcj>-nhKPSjvpX0d_kf>@5L%8TniQ4ZR+x)r<$67mY4^6LTGIdH_Jo zq{XOOesZRE|!e#ISBooovGAXqh1v~U$0B4qFx}Xa{IN=bHU+Y-AI-(3;s63=- zN0Sa8=j)TA$z|1wvrWg0Y<*Yc3dH=}jnf&FFZig0#63t~H{e&h7VgZW`7zXMgo+DQ z195>`9f*IPVQv@I5*CcSSQr({AYi|h;vh{6x@_)GANDtkI%{K`x0q@19DO=m_P4@!j+5?FU#G=bTa0~wORv4wp= z*it$;D0Ma9E}8U#Ug+XTLhxLwFvzsZcO7Iz%1?g)&CuAR+|-L`%a zuUu{p6}|`ay;PZP8X>{G8e0fu#-P`Al4qH*Nj82p7@_HOHeV=@y`kZkUk(j((*{N` zCIinl{wdeZsZ9XGDy!E^V|Cf}hfMvUcX`Fln2r6EJ2VyYXmTgllYm>@HB7HesYq*s z>;+f|J#Z*t9T09V1! z*sQ5$FpANl`-W#rl?01XSsU?Wxj5`1C}VktB{i6ad3dW9P$n#u*qlP;Qofy9>N45& z0JpESIRVJYHBzazdvSfv0$bN@)7CO&<8;V07A11)V2md++G#NqbTjF3C~TdW(R;N6 zFfesesiE6tkZGBq*E&wNQxXz+rKn4_bkekgaUZcU9!M=m)Bl?50*yxoD%rnY#M8JS$OYb$=` zFVW*Xt@BxX6=97dyihi=M9CG9THy%l5ltN-xylrckX}TA4D@Tj5_=D|GPg)fr;jn|=*b57vId<+6}eT`|AWGRsm- zjat^IknW)|k0ya|QVL}aW}B_5bkNM{1&fU548@*{1mXSK=qza<9%G3z9#TusZUzg$H#8G6Wz91U45 zvF&?w+PM9-G9`1^qZfU1u_SM^;ZoI`$oGuom>ASNYtsGkIw0y_y*RJy1%bdsL;-bG z3W-$DDlQe2$q0&T?6&6qo3C}SMRj6Q$V*H{6{(0(JODvTOe7I|0^nSd6}3GEc>yaH zo=knK(6F+!#O#P)6?bD-%-72nS)zcS<(P~(CKO+YVb`vCg&`KnkiLvD1O=~%739EK zSB>G2wo;X2Vxau1X|2J9r4StlAyGFN2F`i4+Q^-|)2yd(E}h2XcG_Go^n8eEfrp&8 zXmwWWpjgs{1Hf7#HFjzGXkTH>AWtI_SV~AFIm!bFj0bn)vQ|itOq>gBaP#Ft@)BT> zrEvqJl*>Ib+Bqg~ulg_~$W9#VhMw^gqNO713F^U({~}$g>;L?>|L)%Z{ohoofA?Sh zpa1w@|IL5;FaPyF{M-NhAO83M{D1wY|3h`3|M>Xd^N;>FTtv`!;1&5_{vZj!?0<+L zv%%^2Lfuw(N`)47aUh*Eo~Zz$?DWJ|%OG>f3ni0s{HX%Hd_%=@sB*lYwXbY1IT|Mj z6QOY3VRJkk7~G^gI5Sa@O$14&6Iu$gRSjbxVB|LtiL`zx+ca6n@Gu9K=$xnDoLJ1Q z%Y&>T;4^fqg+j--z7-hvV5gd0(4xY+{6)ba+jX*SZb0R2kb%u)rhd zY~0!F>A*Q_47ye>*VSpPI9-80+I@G_Fd(XI7rC-3*0k*!T{i&qorx>gci zvsrkL>cEVrhcgJhgW51ET%~$iBsquC?G=4KU4hfvzd5`fTGY)-U)Z9J%FW)VE92^e z6+4{g%$GMuBLMWRVxQ$yr=_vljaVXL3XYh(7{!F3_;g5&s>^qu>r6A$Lt`-N88lTk zoG@kEN(GLYX|kX~k)Q8n)U6gLQ<##nD8h;DcD6eyryEnh|D7kXd)d>X3E7#bht4CdN0YsqMDAHOx*>rOW3YqoOz2tCQt2Asabz8ukUJI=oMgP1Fj(eQ0#&Qrxg`9 zv}T}Fr?t~_rYx#A^)9y9uF2)w*SK|wo)z|xXD3%VI#YuWNz+t)Y*67bT2u|{n%LI7 zj`n|`g~c3SnDOb$*BR|hJcxvgqRNekBs8nqz_+;I!~zRzaJ_qGbz@GOPY=97A!0a8 zBTDiye2N(Zc@Ls%B#tMH&*g9jam-m-n$hPjtLP@U@JAjtOZjRK^hJZENcF?>^ZvMc zc~W)8T$QUVPQbiQdK(C6r=xqmn>LUyz@JGbDfa!#i*RXZ4WVx_odENNWho4m3wpfV=UJ=a45aYwpf#K9T95!y7VXifVq-SaJ6&eIFxEx3?#@_2P9e(f zkF9QPt}HGt1;$NvbZIu?rvsztWwM09Xn*LOUyYi0Uzp2zQGVqgyXi!Her#dOg|Sp} zfKCtqrk=+K~ z8p`yNRSr!%=hWqLy6A}=HmA?gOH5qYe1$h=dO|V zIJ~?*Kid29<#OmS!&5zc_0yXaDmV>OC@@K569{!iCObY|;j-+CPkXTR<^2IkZbc>8 z6u*BrVgu714Fx0fn|INmTUy(kHTKwgEls1xXvb}nUd^H(b-VhR?F|ouG=>YHilPzh zLgbGQx`)LgcJ1QE*%v@)wr=%nuik#m*b0#Vg;fx^DW-tvd zaZTaHufwD|KiEIIfOdYkk7&Ez#oM;`{D+s<+|Rl>5*#Hi3q8)Nd~T1fc!`c>)I)t~ zU>C&r$vEz6r?(+>*|Dq$212uI+ndYr_~P2udSKEq##d*99=aAh4atOR{85IE#myOp z{p@6@{6#szZnFykJE*PX+QO8Q0SV5NnrOKC3eSboP2LcVOi~xuMMkTL<-vif9)Ffe zE?i1x>^C>bEc0AplT3<5HnBq#0${k|jSC%J#^<=A(_g!>0auqZ*lwfN)E>KI5seU2 zSo_N`2ocr&ovXSOk(Df5jLWO5%Zu}~6P)`T{p8nIPu^TrE5*8bdP#>Vp8%-q7t+QuAfcF$rw>~S!+(~AbF zLKWBe7v`*;4lqb&QDi|U<6If11?j2_7dfp1J}ZsQ$Q>H({`|SzE~eVfDQgL3MUlUy zQq+uVr=JgM>H&R?^!8U*H>q?crLgtVh1Q75t*t_Kjkk{KN$}&!Mn`n(w6HgESLwC- zw)k?Oo39N8QbuFV$r~4r53*ub$@h`Xh*B)%*{h4Z+GDTnKmX~?H4!ON#Jj3s!65L+ zH;U|A`MO{N$%z9fkEQr#tGgY?>itEV-tI?X&>xv!TVIlhEU&Ju#ce& zlwFi1v84AS0@CO*MHR>&a}7ioL>Sla)f6w&W2=53sP&#Rtlt0eU6zGh(-yXtz%G^G zzH!tv<4$USm)52Q2N+mW;`%y~$z^WRQtao8tpVe4ywTx%$dZNQ?UuQu+l%6hYg8(X zu%_*4pt-u+WoZ8LcSF!-UewP3@<8Q_^{0R_%i6F;?_rTs}s%Iq1;9I)RsPXuR*QrJ& zUC;&)%0Im+iWd&V0o6cc&^n;2%GF999%Uk#&hRVbG#*miH9HF zHA&&FNuxm;3$1L#%m{!oJ3+qN>)=)!^=+!vE{PohIshN1XXqHB?Ef{RvlssM|Nd~2 zxI8_*>Dry0!~IlCWaGfjdo1nbP0h?4LQIdD#Dlw?aBVieTXze>eL50}Z`|EpUs+yR z-`o;yzKCvUU*1}XV8HFMp;4m)ZUo`nGr#0!R$xQt5~zTmVnm_FGyJ+ie2k{EfiPms zlYIK*q=wm;E*u(OonCQm_)ck32ldMxObOVMRmzYz_~G7MA0L%Xs8Ya%Mq?H?DnyED zoXF`EFo@RK8P_te4Kq?%~Wck9mG`}ZF_eDoLwkIsAN(OL}YiV&?5n@Ojg7X{(ZdGwIEzbssWA)nLA zmLcRFWv+20*TTwZL((vx|N82zTA^FHPX8*x=WtcOHNL=-%D?4<9{x{P^+rzlU$_-F&|hpT&1!(W|tZf9#`I0kw9Ss#XvxLco?<8Pz2xQ_gFbb zf~00rq{myPmp(o%587oI=ZVYX{oVb;!~LDlpTB(m{NcCX-hcS*_3QTtTy#!%PSZ&9 zwFek3+XNJv)p=b!5DdV$O)#BI*4X{WOHO-uaeWmb$NS%Z_h5Vb?;+U^TimM;H|CX) zc&w5!WT)?0-kLS4jC^;%n<8N!E*SyDZJ~OwUkBtjV4GV1`1+vS%-v-5v03fa!9@s9_JR=P~A;J8hpFKG#^duyyoAblnoxKCD&F9abK7RP^-Me?cy?OcaGoG8d zo!xT=d{Qt{ZEC>jm&`m6w3q0E;f8HA8_m(}hl_+{VU>Hc{^0w^_qOiblUu_n`NO@4 z#j*5Yb74k|mEDBI<#mb6C>E$%EtELB6Nyt`_YQB^-Aktj&}iV}V)HMf$|4Ck-pB!a%en<5Zf21nsNr*YhLFQNH zcu>Id7W42>$`T_MtzL2}N{=Ah*mS~CSE=Qc6cAgj?ensxp4 zKX~-`(WCEv|6tl`o7vu6oMW665=<)`Sc^u3W?@vPW^8Nclu*8+BJCRHGrXbbj&-|+ z@U&|8*0#zxcR&j@=Lx4>Uye+UDh93d#d$kKztXcztVS9uqe7{o!#E61B>UUYr4wQOZ_E`Jz~E>E?1n zR^B*cV3ahg-+%I{uI=Zp(weE5F>{GOCC#M>nWF8eDIb2m9w3ws9A$7P7^{AXoPa?CH++~(kkBlNkgaJ{J%E?y{dFpmq_EPy& zGL?nqdW}JL$ig6=K3|};sQKXnEANc}u8{;q-hd?rDV=vt;)Js(=w-$A05Btx+~wmh zr&VlEuCFdm4t73${Pbn#%O{Bl;dt@##jE4`sIvcI|00Fp8p2*&W4)$)!qX2sNW*d- zKSgoY(jz8vpHvdS8EIx=^Wk?7w$|1+w;w$I?(xG1kAL_5ir2`#euH{88sPP_xKO!NqIf*KU&xj5dbxmhQcGjzbHp<=1pHbn!~w{J4TVJ!oOJQnKTr0b0EWvxN~%eu$V zxPiwnJIPiTrDu5iCQP(_$H+voj9K`?qi3e@=wCOORL~Iw7g0`LJqoc1HkV5U;dX&F z%rjV(22*qx1%l#ovVeeCN2#bHhG0f3z$A;g0wFQxQ=mCyuO*KNb(8X*fcTOmg2od4 z9T5Th07#F72-pym2yZ8{WutE5^V`FGDNUk2-v5NE@^2qLynFrYFTXr{`sAl4zwYJg z*)MNDAENV-DM8%?nXJ+^FGNvDkHaTk;Z1x8sDZfz+jnCYt8Z$0nvgtvaA#$4^^S6H z?%#j>yFb9Tw(=xNNDzt^7%w5wNABE%Uu&gd_lAHQRaNj4QT0^~IP*lL23}Ycj5Ptc z@%fgT1p!MLk-4R$056GlLY1$B?|ICK6xJOw&t>@7;m|lsAB>1J4+)|kbaXr@Y%wWB zNFJD1%YOo7hbtqeL;Qq^26q6d|3UlaI8b`Lp2b(_ls#DW5^XvB>-dkT> zSiAe^yYC)9xJO9-;r^7#Jacb*m5`ua=%gvdq{X%8Pq#c>afN|1fIoG zzX9$OK4Ph+31K3YZ<4X#3Ex~@IEZS>56e`xkJZz6FZa{AYfB50Wd8K^(>do%)+4*Ivh{ANW+!*S$5+~jG8KT#R?o&Z~zXRC1=q!OLeRQa1kGo2KLG<$?L_E z${W&Gk#-<6NNdB@(eTO&uMMCv*_3xuco_T}5wqj+6B0rpTedz+>dMKRmtQk^3CZ5) zcduT)e)sOp%U_;8dGhm5KRi1u*UnzP_KJ+9MZaBtYbVsM9B z8CKfs_ZKZ1i$6L&v#@^m-o{dVY4hI0M-T7cy?dWp?ct1BAKoG)(^FxrLb%f0XxrTV z`!OQpkNDKp3J^;hP)=HF`CzQO`u$cJElK;#jHO;3=y@I#OawzhP^^&|JXy2JE*v5L zByrEJO8W2C3lb7Tz20L?IT&FyWHC^rQ+SYnAPv*S$g`m6vr_>RG)@WaQk%bzi^IRE zp1yg$!^NRB*!}d5Q$l(6;+H2s|NPUBKfb-GY8LXkb^9z7;;6^I=kTN)Q}1kNFNHwqG*S4+3ftRvz037 zZ-+J_-bDG1_HZRr)CPhY3Rix=9s_H@!Yn~i4PxetNC7hKa$7hh=z;;iBCU?WqKoFP z>NWg*^~-C_~kon$W_OcoxVA2;zPOnhqL& z?0gpI-R)G#BD6{=P)Ta-5on4sn0weDhzIcDPzkkSxQitXDMLmk7M2Lgg%UY0s0c6$ z`S4gNuuAD*P>vjME&b`qv!g=(3J>Cs?_R(7^~I}Kzdrrx#~*(9@!3wQc>d}cndJ2B z5+mX=`0gqYTy+r*n4yGPTm_)ZqlnGRAKuuCXfzId?PnI(H#gW-tWwY4zju$K{^583 z@DFQFjeYsv*3t|{#C{4Ur316L=C-z`ZK_7DFm|G7XrC&^~jyk zrrN!c+1ZH4fj~-Zerb7m)>OfLaVF>@W#S_u6erSsF_F_oVhlFB1hfsr1&pvPF$F7) z;E56*Aw9?|g-V9lV~V$vgrwJJ%up?#z54OZbt!YU$1LE@s~0a`zIyq~&p-U_uRlCh zBJ$+b=fjgz5rQd#yTSB_B}d`wPHKqD7k5?uS_ENv(lvpV^{`gs3{T@=xr}we($Xs9 zG=8=vm;B)$HauGM+%|>D%rp)bG8(4cpnmi&Z3gR1dQqv=@-nh%7nF%ags-{Lwk<6C z1^`0XO}Rs}i}SId&mW$Vf$sXUt5uvt7pAZr5wMyE*zo#ronGinCvd$Y1{X zpa1&eB$xX9^OH9_2S+Ct3AnUK(_?e0!YpFc;x&^2)|GM?_A^qsPDd$A7%z*IVZA-(8!ZjZNWYemg8DD#S(7-?ty)EQXmqNv=ao zZ`8rXIctAnVZMRr@H`skxHru$EG@6DZ!Ow7hz8Du!77S!3$YEqZSQJnZe5^tt`SEXmexFF6?|uC3&5LJ0 z|McY9^QS-lJypZAY%-ctqs0) zGSY=tmOMz{M5m*%`Q^3swUyOPIV41c&hK~s@Q>R;gC+jp!NwwD93KZ`@)+~V@e%Ie0}lD*%x$LAurWICB8 zASi+l4{uaU002z@G;Nudn2j3b>?I~Bi?Qhfswg2D4f#67)se_S6DWvH0}vV%q)%V` z^UsHw#}^4{x)a$l8*+`Ve)93S zDRVx)M(l+cT*)OePcP3{)YcH$lZ&&qwz9msdFRfZ?d|QmJUHL~{ttx26uWnSeL-q9 zFZTfahX9_~6+$*sJoi9Va!M$xDG#U;2#RH9C1&WWtzJLwffx_RXTUUZYgSj*Hn(O> zeN}K_HVlYbdS^x=2G-=2V%xnCw~BN_7v`~ns$?X^sq*HC1C{98lfXIQtcVcp{uUAr z38}SGymx%$om*mc!>VF)bBmbVlM?p(?;pe+ zCjZ96JB!nia43LYE(I)jC#q^Hc=RlA^CcwAqm=gsku1zlCfb5R#3J86zQCj7Oj{ff_?%cW0 z#Dsa{gJrM9vqDIsp|BKd><$ELE}aGiw_Z*iArTaeB-SpPd#x`1+cTIi)7BsvJ{>0_ zR6Ph$wi=|h&Gzu@zXlnpdn0J8z3n^{=vyr z8qG@))~E}jrhEBu58xQ)<|FP+xeR-pa(ZByUYar*+>t3lGOL^t!lE3MdykozP@rr? z9roDv_R=&Vk@W=Q90`ex=Ex#4SHxY2^DN~7MP!rbKpR?|we+EKv115N&oNktOkqE~ zvPv#lUvQ2Fw%LUk#L_5EOYxxcCC3RKGOjC_m#7*Y6r4i_=zUx)>`e^9Yh?2^RgtWp^UR~9VwGALRM-*|EK_#IM}C`}Cpe|Ucp z6KQ-oklZLyl%*=6pzrn?7t|ydnbakNl8L79AX^SmSfemmUg4Z< zKYaY)t|Z#cX{Rl+zCIVmyFP$w1sG0d77`KG9(*vK0wD$PQ~<>idn?rO(gg1*-`xZ^I!k;r>957%E^nT zFXWJ%;%APiBB=xbxL#X7`+S6|3-?AbDl0=;LRPuevCb@o%@!2urIK0_!^cIb9@aMR zJ$iiq&gSNwyIV1bCA7LW7vYdFScA~RoR64DNXU3NJbViYZWdw&S+4Y4@p%s-S^p{| zxYjBm;TewTy5j(#E*hp=Hz_3~L=vx@S)KS7Ga3-h0j+2~Q!dRK9Gcj zM*>8g6exq{#ha%euF_2TWp?!P`7bZtynFldm!JOpr$5v0x)BK@tqy-eSFL8nYjQV`SH*H{L_A+e)am7*B{w)aY(3f6E|u83by^tt37BV zJTX#kvz=}Z;Cn%=>3f%!17^mD(D5SiHSkyq@%hE2)y=!yo2|9Ajjg*6?!@e-z&zf= zQ#i1(mJs$AG&o@?^24bU@Hn*}PI+QBnJ;U?a{;Y#=CQM2%pLZ5NmK&z<7Wzzk`4DF zvXU|cW|}O5dJOB46Q9!+a&@X|YIp=z$tAqd%uOT|7-bPc4yK$PDBh57^Z4PVPX|l0=_JS-~zq7GCPe_*6wiub*V=yftxi@P!xXB39(hI|| zVm&S-LA4SKLV_49UOg2`WNJWMXb2vSuRiX5Js`Q3z^&sA!=yyrOrG69RiSJp zuv9j5O#t_YGz*%kwFReMBsM(0=+f3Ub^F}B91JBqafB_$WJ$&?B{Es!yw9etb64oH>#r52gHDY5E>5E_}D;ZG*3npzcAAQti3 z>4NE77z0x#RZ5I%fnQ7s@@$}(8bU~tl1F+zP<#g3hUQMr}+`1;e=qod=C6r^Io(3442^~7b4Pt7twt{)8o ziIAZQQ0KONaU+V;iO=tL2WQu}w{8(xG=BH*-&M9343Zu!y0qpgd@-k^=+Gha0qhOT z6e?7d)xu{b$a3(Z7`K`eL=a&8AZj3LQ^JsiBMXu;6p6BGpPTay3RR4Wef)FguD2yu zlQJ}y%XgfpA|wH9;PEbI+=iJS)>!+A2B;sukw`;u5Pa9_^gwOT~Pa zy5#GZj~~D6?lLm@?bY)a7=IM^n984?o?a%4HGnJByMSBfkWVjs*SWo74R-pS~#T z@lU_Ke)-|1)xP=g;p^GOxlCN4{FFh0q59NHUFAhnQ$9XSOmPS!DZ#mftsL6i@M#Q) z$%t9`<{d_?wD2pNG{W1PRNq^4fA=3jlv4ZW7v^G9kQW)(3NIOy79$sNOpGjp+RjNqoj~o)tQ<`z<`ld>yEzLE5J@6X|3Ds4jOh~XlQA6!_IDOH@ zjm_nmspt$L*}l8IwT7kN=JuUC_a5E}Yw2wn10kjbB3L8|L|nQdIC-hgDtwv}X2vaz z3ou-|)JB0%U@$^J0lq7i-9YcC)@EX!K_1;GfkEk5`Yg2kjOp^-9^MhSM+8lh{`|{zp&xvLy zv-|1b0$3xapbexI&`;_hZIQmrBB&uASa|JL`C??It(ff!QDELI-@3!;k1cPkFHB3} z%>s0LYh!h3X>Ds;uqJCB)z~$+Kwa*$F(O8(P2i1C2cYHhg4IhzL>jP`%HffabOApT z5&02TIOgyWLh%s+$z*Fm6hW7Q%Rr$hIhl}x7Z-uhmNSY5R}MiG1}32BWZ)+9oDvd- zRR$!eAoNP%EFqCimm18F4ST86EYkr#L-yume`oirL$IE&*zyERX>;^xol;X8Z z-^>brRJyt>(LG8pz!yTJq=ZB%s%pmg#w=TJIyqNxW_f)z9wj78>s#A*HrH1c$tjfd z_wH{5bz}SN(!xyGYZGx)^lIEwVP=53SU3%pC!_%6q7|}Z_*^9WY$fe zU)T8Hw{vWU^~yw>4^jq(>|R+5z!2bXz~5=>z0tGD_mc|hJv+Jfo*_&j4v5b2PEHwmSzEeLzaU1EeZk1qp@;F z2)D-;x>7BsE{+Zkk57(S_wDSQR{HJhFFQw9DGVMJ_F6?!7nGcK^5n8c5+o$I)Km!x z^;)HvFAl@&NU;(UhzybV(&9`w5RAr`H#j0o^K<;0J6o9RZmiE*QSDw@oEG{QSV*a{ z8Tv22_Up>QC84^H}CxLNVDc?svFQ0;`hTw;bgW&3d zwbNs>Mo1Wb#X>mS$5+-j)|M9HbDR?H595=Kd4~uBN=RgM1d-olP(yXSZGC0wubh$r zDtE0cdi@Azk^Nx2AuRyP&M$-jLK2(yApbtWWQaqeRe@o~>XvOVBUGxaRJyDSh>2I3 zkbo}%=K)6=ND3QxNgoQATZD0m31mOY2E;WGCaEAGji(>=2C3xi%PxQzG@PC<-be z5poJHB2inn1eXG0oHAf;$U#PgTnR}U(|L_As4p?zp==UHIg1LI3vAZO8elNQ)xU}@Q&n0kc_*0F8sqDnD?f8E)c5|aJX(r}R5-#IwHxg~K>9+#ARqtf)#2m2X- z27IM#qEWuA^2Uh;JLO_o6I}|AsvR}y3cqX`53k1`ooA-803>O49#d+L%hKw`ZAjt~ zfUh84U^da0i0AfgoyF>aK{+D34zH=6BP8s&ErJVRjBvaAq%QG^E32(jY?@#IDr0OR zR*^Q^${hx(nrUEsKFa5LgeYEVXy_|RC4wtq(hG2q!6eTB%K@RP6B1C4R!u!q80tn1 zs?xG(M-q_}4$1z(b#v6d+Sxt2OyEvUC8^BvX=D(LX zkkl=63$7Llt45TArjUHi7NUGeusTwN2FCnJKoo@~cu0$kLvogxn~ls~nS3osg)D2*8R- z0mqjDSjNf5cqPRhYX-18imuEZLRyB6(Et z34`1~C=?zFe2{eC zwXXIKO9Q3=Fv=iDac`j8i+gpB-=blN#2oDkyc+R6nWE2idBSX6DBa;r!%^A*+!-e% z>kDr6*p5cMTw@wG*-?P|;o%~@RB4i!3gwZ*twp+n?vig;lu~$`gtaIk@qihKdMA~N z3fMYrn*wX20Acgrl2p&3MY`27Qjq`LrWbi0wamc(7!^cgXNa zR?D(W;g}E-4uHo{y$uPSJjvwt73RrdKqcD?zp7eMIh_`S&%oy}r{E6LmP;dy=S?o# zuufsJOJSp+`xTuFxi~JsIRbPg9LkTp>0Y5J`e1~X)K=q!y*x4;i9`zjIzn=EULH;A zS0`tAUIxlHgnm>Zl32;eVhliaTDdsJV8~D{gT|pf^M}KJ zm(w>jI|rVVwF?dL+R8jhc8QQI2XzCje=6vq@>jS@bOg7nW!AB9YLt-ltRCEnlv6@y zB0?$3DUl)OZAgOJT6v7wnF75Vi!4HmA+|g@#eAWV%T`TO5YxnBhAFh%9OO_432)55 z3W=x+aad&DfDS>bp%*u;78Nj7VCjqkJU__|HJ!xid9IC!kAf59C8H>*oB)mm zg;A4(#s3fRbm}6y&I}>Rw-I|8l{h8HwYmd>sBs0NGqX$-B_s}H86Y`hq0_>oG2}e46e#Je?@Bkx$ROMA9l}Jd2xr5!Sx(oym z!Nz$Z1pr4PazjN&j<0C(d@U7Q^jPHA0O&lIKN6j0?5u=jX=y=D2_acsop+6U#>h0; z2H{eXnq~{6hDx9{n`?$ zVO&}IGqBELY7vbRk|}v{z(7bY;pU(wt41MxIt)yP3jv8&c#n+8Z&i-TPiVMRb(oUD z(UudWHi#If4Azv8Ff$<}oRae7c31-Z0(cISeOBI71z^WYyu@W~aLQ7{&|0?RLa~6C z#LbcFovOqw6jOq7`x6L-y{c-l$Bb4!2ri|`R4y-5`pe=_-MYC*VgyO=1=a#`IqXZe z$COO1>%ILHX{1!C1G?dZ;r7agR@tP8ATHKklBqJcZd3U(1--!KIVCXvxHxi3l+BscCFPOO z=$IEO7Y8Xx35lE%3Y$VU-*->BP&7iRP01##iv$MD$pj0@LxUuyghc*4E{;#ztPG6I z)?_^_6-Ex%9KQGx$rXh&GB#*r&Pat(4y6ZODhPq{+1^QBf<*mKX~)Gult6e=iDWXB zyh(|UAM!--%P?N|c=;|oWUX*SE)Erad_F!aFAhIQQv;D;(nui<=SKYR*sVx@CH!(P zvzbEI9(2=cS!8=hphArU=D3K+`pzlVfon^hu&OB@;7glxWkrtx~ALaAXGyiYF8b1%n~yxY5RdSGJB| zO{5MWB&lS1q(l2LUEnH0V_~oh21Se{nzFU&m(KQ%b0inO0Pfhubt=R5=kfwKUVg4G zuUj^szLe&B<;@c!BM>8wxx|Dd3nD~LNqljc9o=%wG8j4#%>bGO`ciBM!J#To4iTXY zgf7vx1U%y+rykk@zmCj!nDuZ<_!E^CC~gF0nME@k;K>o-u*_SOTmrtlOyk8gCEytq zlw+dk_{$)T-jNv;g&N5uBFhm@R5_d0^?Pw9)Lt}_^fyog? z4;fb4OR)hM3~HCV`zb1X8TS$ro+T(=4Dc_Y7+znVUz7|U3o>>{8BuOBrbiP)vSE;8 zLPCko#A*LXCQFM;%d@uOz&ZuBKtjSKLkWqJUnzP-b}3gHc_L0+69G!HtW!`Zk{ao8 zBqRZPoS3s;L3_euW#V#+)fCw*C6_SI;&xM-sJ#JwDOc}{jKDWxJcaqmpF>D+g65d8 z6aok>N83q1plvOX0-*LG6`p3N%;ob+)C37cMwgJ}bEN?q;a#?ix9D9tB^C(@xkP>u86i0l2$zsR6d)wZ3`au3 zeJ7XD!BgBcO@8+v&ryR{qE>RWq9UslC0+qFMaUz(0mQ_CQn&?SZqh4BP2LoK(OgSw z9OV-^7(Oi904gF^FCmdk&d94*I{CDhAtXR=t}f2b&acTN=Vzyf z9GakvOPL@e6NwI>@-6UJPBBy>Bs@HXWY#_&*&@Q?b0dX4R(AK2Us;bMe}}kizT9_& zy+f=^lx;BcG`N~V)FdJT>Ee%zxdyeaEy%bMfCLaIW%wxzW$ruEWd0Z3x!%i5j_iPJ z@_5Mwo-Reb)M;Kfq~r*9P@csI7SMH-+hY}Wx)ew}E|f<#^gl4vtRtN6RTir`oEyl8&>5AxFAyyG9V8^Q zfl5g5K>mA3bV9;{@~eoJ*7**YNfoOjcf{Q?jW~z3rcscy zta1hWM88|k7yCwIFPkjze^eoP%2UKNlYGT7VMEdHX7=76;h0Kgr<@K1J-9y1CnY53 zXU8Wwt;<-xV&w=JgxR1>z~!XF4=1OycbQ|{C<}j1$x=u^&{C_RXhZo5$yiqSx3Jts zgEd-Fv>>Nkok9%)2O;5`W&9<-3Qc^FQzGN&puUAG0k1G%E6l9hBs@x3!8U&j33E`D z%d17(g%aX6B*Gn6`e2GykAx&77l(S%je(mUF9-k@qMge4gB@FWWH$9OV&q5j-(_Ll zl*QHU5dpw8=;!v|ACyqKLFoDRlrVKtLc)lWe?3l5oaQR_KO8853_;yjLLz@JA&LC! zkj#73LvxrHhl^v?W0fGF2IZ6xk=u|AToKQ(q+A^OSj15kLY~sIN=PEpv52`_AG`c6 zo)I}E0)8YUa!R-|N=KTbRYsyDj|?=ZEb)019Y5x;a&zc`(I?}{ky8@3_Nra0k5j_^ zg;l^W4qCcnu&Ts1o$+OZ6bVp~)1%}Owh3MI;W;ITgyi;=a8V?M5Q?Lt6VeI)dNZ(F zo7Ze%2?^YNraqL4md39oQamv?u^6T=-A`=sHgnb|4J2oCZ5(bPRx*Wzp@{Hmt zGICFON2S|SB5Zixbm5!GgApSoTdBNr+Z4EBaRFRZ2-8mpm^mLb1{TMh}CPALd^ zZrE{-T34S~0=C3|kOxOXBE!kEV=lRae8tiD?Sh8A*;s3~WP&g{Y}B z^N_y}JCsV#9tmoZa$&xS-+-JFLc$9swVxya3KKU5UxdWUZdc$~b#Vfa2S-9uq{hzV zIu?(qo-W>oL?*Bz6VHlIP6+^h`t{q8gzf!GL+^Gf#jBw2u(!bJ$B32^o;^~m($T<9 z`F2X=6$ZpLs-1oMlBmmIo>M|dPQINIv`z>Kr{t_|a!hh!tH!j`NRh~FmEVDE2u_ud z#FdbMLWFJ*Wt|?Ln+|cKokG3_JcWljmAJ|0amO+^n)L3p5muuZb{WRk>4o@>Ym393Rk>=;z) zT9=|uPIjd(!&0e+BxDasr7HMfY-bT)Mm-A*3)hI-O^imh(~qAM=(Oh{7hG{)j{hE# zW9E{~vQIK&o2i-R6&A3a)UU!CAeV$?%^w4Cw>TeHI5aFl9U5IAJ~t&dhl#XSYI2?& z8hkk@jFfTi3P;S1G90YiQ^LjJ%T>B2a-xqt`Lt_TX_sMP>dv}&9Z9vmt)nzjO` zBwHLgT z&UnN)QE!4(b&i44@_;+!5HDyQW9l%c+I zht7_VuiIw(2qj5E0$RZVX9!6|IVDrmvuw8{Bnyk|h&MNtkoXsXzAMQ@h!%p67N)L{ zD`+^YZDSCp5CvqcKlkSzHOI^W`=5)yFD#6&_O*jI^(grs8u+}W(Op&_%%l_9c{0r&-!kO;uU zHK;VGIB{;|l=K?1q?M4c$GHtjwqbN(HY8<_Z^#FdAZIeR6yaHM5ruILdRU{;gNiI(g4;kZAzRK!{R6=lA zcvMYpeM!t9PzX0U0XBXMi9j-E>2H=$YFu3>B%8|tgBH*4|6fQLnMg=TCWNHYP2lnrYD(TbTreG8KOQYgML#`DnKnmA7?pqr z=4UNz*0~o~6ta~N!Kp0BufzoAgVLu|8_e+8UJ()s6WTuhTeQN?f4Mjk68mjP$R+5a zBiApZoqq{Qu864o*zO#Irx3GM=^oh&5)vL^#0ms8z@tNL!mQESL(u>eAKpBkEE!{o z6%@F&T(%?(9dIN<5S5tAy^-8PIX~#;kG>ogl#pbSm&a^KFPR&$GyVH1IXp@9Y!0mG zYeY~n-9v#=DBnV&iAzitm;XPy-s4FYGt2Hv?|o)vdGG5vm|+ju8Y&c$LZJ;K7}8oh zDnzar!HxbXX{n9K8Fn|?0Nntdf8}jfdhfl_?|fMgK+lYdY?uM?Ue(Ea?|03)=aQy9 zXJH8{QqURNIo@3*EFeh4n3e?yHTjtQ8PBOBK)A;AiUUPD0HNoL%9>YrZY41w*$DNk z9j~l}A6AeM2Z5w2&MK|ra21?@zR`Qy5i8?cLNMt1p2!Z6{8Xv-iE+0Dn z2zROEyFdc54Aoin^EP&N_uw+C@rvKPg4piVV zuY!b|ugD@*#(q??DN%p~?<4P_Q4S&CTSqy6~pQl7DDLe zkGzm?pCD03tv2)o5k4hP;|uX8ofZ*yOrIAI0M$MUe16(q6}^X4o(S&1Myc_zmJlB1=7f92@V#8-AVwcaPkfmb2Np^4KN zhLBOO<2bt5WR4Q4Z9?nPK*9pooO6T1Fa&VMT7g))lc=_R`f~yLW+w)IIDL+9%g+qpNb-_kP4J#Rx#koV(9>rJo zG9gy5?#!rySaNXk{P~M{EP1{a4I~eML^D~0fam!ukjxziNII^i)c{4#h}QxJHBCB* zsj7u}qBL+=jZbSlvv79it(F#FMlZmyOA55aLY|JUm#95XVK_sNM}DIX1%4$mdUbR8 zb(>-m{xX$h8h=QaGZHsVxlWg@H~_#ykaH8%GLYJlChEk;q`MYQT_Kb zBnzv29j578Sn~k#{vrnCp)Xm+kga$zgC51B~Q5;Y6cP?=j9iPCDJRxO7!Akju-2& z^LTG&4J7zc%3Kv-H5oP};CLc9s#eB6#;{^zn6)E*jmp67n^v;a z!B_`18=;C{U+yAEc!OcrO~#e$Pq$T-5OYW_1&QJ-4aa*WZKAi8XQ9xvT4RvQae(MA zAUTxd2ogjY^aj8B0&QYW_Uy000ss=)K$Nq5+IoZyB;1(^E+=Lc&6sH-@pA|gd5&6y z6tGL-QKfEYy~#kLH}VORQW;3f1BAnyPktl0Vou!5A>p+F6+K}_kUluBl!soQeavT1 z(rkijvCy>noRq;FzmaNz(ezOH3nYM{A)28HHSa!Ml{MPeS_aws-DBnn66ww|6TVBO zYxaoFFp3MMQ|&9r@n{8{SVEK0IF8Y&pzZ?_e{kdYGbAeTpLUt&R>F8-5?PLp%F5_9 z$squU9U?0+WA26J$fR1M$$?}XR5c*^IhH&@;%a?{#0eyvN@taVh@@D8l^953F?Xj_ zxB6jp@JY~FU=d5je#6EdO1{X6Bo0jg344Y-zItc0kI1_4(y!T8*-j`R0s|H-bDIdI+VCw_EOb=-kRmNU=U!33DyJIw%Tr5b`Ow zlJw}*xY3w1Mnbck_BM$h$$XjM>XanX91>9ynSA5|{jBrCwaA-Wn!n8C39 zOF8@m2}WW<37nCB`qNp#{CC>RxVU5wgY~F6Bw%unsif7}@Q{KtO@{ua|35B({x2?b+shRLM(wT z5kZ=AX?^>jSjmf5FLz@8_|AcWL6qEDJ#R7ThqCc;MEjQCO{&`A^y`plFS8;b9z(5-}r7>Xu7;c&ODb9!vwz( z4e25Em=z=g6i(>a%ppNPJ+?T9WL^m?eI=Cehuyd@x(y^WgcDI{-w3*hI!;z1W-Vn# z%gLqF5_?jHwrScwW0gGp`d zkJ4f`egoayhuW)_fSFVC;7}@=)RjMS_p{Wr(J9gKSrJ<6G=y>p3G`gP8EFYdBER9A zB8OLSmC^|-5D)@McI=JYvs6p7qb81Xa|ILBbYT@-Q{rgpj|@&CGo7y2BOEu7AQ~|Kg=43KdDwJ)QjvIM_p9&?C66I;QU^HZHl)5f17ZE=N z2@ec&DrnI4nb*l_dsV_Fmhy9BCFH@P8N%i^R9Z*t{yf#jgm@rJ>D%kObb(TWDHk;# z{AfthsdTRCiiO~=PzY1w#JP|2la&AotXE!~XU|@|eDR!4(qYmcT-)DFQo<2l*~)op zGn#ip*8!{JV3g{<(t+L#C5MTif-I>$KTR|qb9VU2C1h)rqB8kI&y=ykq{d>Pk0uEH zQ7I~Oc}5~hx&&<;2Xa(YoTf;`)h&~hpipN%2W*7rG|$p&p=IM}A=@=t`X!WLx+-wQ zyp(Xb>E$BL)n)U%WnwRo+}!|);mQgU=+QdClFl({V&MEUByt?CU2ZWUM`&HmfoCUz zM1Lfs53KBMnp0pvqN9N(cm13ll&b?@)ZNTMs{#^U9ci`xH6(40$l-B_X`B8$ zkkl>FgsWMFk0qTomZS+K;s7%E;05rky+uzK#hbbHB+j#I<-FTsGCH z4~J2g1S_GW1`;9*T{^Y4oxR5k&Lr?0wVP!QfZe6VmCeXX@EAeDo3?$GJlj7!2kMJ+8vDomGFiR7I+hsYX>;os)>Lo3pp^XMwntAH`z>;~!=;b1O z*nYgu_TYp<|EOf|Z^alEB!pEUVNrv1jnW{H2=p7&u2!4N+}etAAX}0&^X&ED_~b;R zE$s`xc)lL?CAOpy2f5r&her!2c&!HAf&|u`GXxW%poTvlKwAG4VSRkgQAe}bbj%^q z$z{nS%StHJt4(`+!O?&pss^+>fXc%h5|uS-%?T3XQ6$WJ)1b$SZm1q$(lWg_X>FM_ zx!YMwrAyi>#YjLz@+6|7O8<#mo=sd1W!%Y*07i zqs2<9W4EK3;u5F^z~pFz*B)hx#wxIP)XRmILA1Hc52!gwxH$xe#G0%Gu8H28Co3_K zKp@3R(0%|CV9!`3?zCOF<9hrV3u~X4`sI5XclyJ zE|3AT2*@mt$~^cBfMNKy5FVraqVQ@Q=d+bOK_b;!<`(D_9zJ`q$5@yZJr>?#QNMjI z)XS&AsHn`Sj{Yg3&(%oFN(2{}K=U(Q0{Vs1O0MZd3dSr2ifJg8n{k}RG`!&N)gh)R zcaU6xglu$Jm}iE;mN{d{_}+w)hBfHZWk(!G;nigGWaT-o0=sAB6p*MjMLkVNK$Hx! zS7J7i9{RDzJt(9~W9_~4kvz!=5}e)$%4FG`*36M>jLD5)L|BxIAyDCGmNKRljMDaCpyMUPIdWFLP4iI&N==3gv#y@}|I6FpeT zJeEKmh$TNmvNfj;%&p|)#eTx$TarrLFRVlvsH{W}e2!S+ZlyCC>Y8AJnGor9=*Z{b zZvEJcuB~L4NLooURYA-8JFF7G5r&sCheWISEC}seTr~R#xVVR0<{aQD7lBM7SB1K_&65q0?ux!YNPb}dP zM$n4JgkBBxa3~fE*%Efpe&n)2YY$!SwheQ5tI1X&~SjX(C_@434>s1V}RVnR8OklwdYeJ<)1JPg95} zz{*zf8oI%wa;7?Ei`GDr&j=E|!Wp6~BS);H!1Tkv5SdEELa{`TFx$~{$DudCN-Xqw z$O9)oLlRy6N09Uo0mDkLyF#|Wj%q)HL;n*7GzPaz)FCGmxf>{QI}Gs=Us?eP^S7NBvHi@hB!b1GwbMx1oj9X z-_i5qWuGI=^vhTY^*L{yx{O+sVA+tAr#$gGNe(2-qG^E=HdzU;-=LT-PbI$1H-nK7 zO8BYxKnW$IU@|nUcc#i=FcR_LKt%5HH1UMkiJ8Dt-=FO+pL7 z5st%uhU2gkh2zLdScrwvxCMa^jbIrG{8!Rfzs;LexQT{UXK0wF3>0_?OytA4uG7_f zam|7(W}vN#1~wx2A8J!zI9NOHX03Es%<%rHb2Z4 zm_$5%sv$i%n({*1H>aAg5u$6_K+-R%)2POpAki@E2@=vHs!zXnT*);ovXVI@`Cmf9 zoIoM5HV!3CESW<>ESZN_VFbtkdW- zXHU^Ug5!AXwFljk*D#i57VUNkV%wXkyNX4K%+oXSG_`<4r>g5i!EYuqZk09W#HT0| zN=tMX8V-{XiN@moQLQ1p62iog6*clh9)GEtf(UJ7i*s7lPQ9@b9Wy~x2}I^!>f;7o z-9n-7V5gUjVdFTc6tc5oPNTCAB;HA-+V?NUZGr?PyC6q$mUWL_{E{a~On8-*D3-j~ zjo2NrCFJy?5guW!0V$Sf{)k7@Zpil>SjkYTJ zVR22X=>~`+Xgd3XWbQa&Ym3ns6%OVHZ=TOo zDWV|3O43<2gi%?`qr5;1lU67HntEw0^Q&zmfwquuST1z9FlHcWq6b%dg2ecZIzB+s zaW5p@y&l^U%3fEvTY*=It7cvtWV3+e=olevRvw={!*M)Mjw35k!&fiP91>o_@|Ziv z_rwy>f5c)?fHR$&>)Z(Cbm`414`;nwGzz}d)sNdaCuLCt4Csud5ex$ek@e_jC1oW5 zM1#Q5uJ+y9QYP!@B4;Z*r?kRvfFx5HyX-CX=miNY?Zho8Z^B~^38i#kT*6Nn0(~3m9$+8NlzcaPrFIze}Y6Wj>OgW4iS$>hC#99m-@}^qr`=~qX%uESp zqN8bji5u{rArVECr6dDMXx4<>s`Y*#(MtVKkbp^s*1Fxp*0jObL6*;n_e4a5inL$3EMQ72%?PneMns-3)9X==$?YpbOSZi-4 zuS0o;q(r$quG3eMMR$VlT{;%g5aJC1=);SIS7^!7=SaX1myioh;-*?^6E!8YInNiZ zY}k-^4%t@Q&Iptw9X73jWTf95$N3Bi!aK8SzGZh=^(zcI+V|GW2U(gF4TodyBFAwh z7H}L6T-Xf1WJxa$H?g)9Nu-+mOGr+3A~tJi5pFDsNHi_@t$%`q0Gmzc`wn~a;Vuhl zTfu}c}h(PpB*P0@eN9a9tVnO2ci#O zEpj=?I1VFaq&;LMlud0%BIzB-apqQn`aX}aIP^-@}D`5j==3Nlco>iDB z)F-Vgn)Mdd8QDx}=(JYv5f4%OT_m9n2Pz!n;>}IP^mH&%==y=GDWpqTHHCDDz2f<$`_2qpjtA!rVX39tRWd2!T2GLJ9|RzRX9CBiF^ z%wx%uN z;aOW8(>Wx@Z;WjyU1h0GRw6YeG`C{*PJN_SrMkC70;*QVt_Bk9BwOq=w#d?S$7`P; zfl(q80g<^3il0Zg<5Vp91tjKm5G3>o@S9p=988*AjbhOYqj4ok?9?E~SP70pc*RO& zCTPy>FF0+%CB+hm@H2YC%IH<&m=rRXtxjCl>I0YY$R9OvV{S0Dj!Jj;6|9N=A3sOl z)D;RO5*)1!ys_X^TL2b1^K&balrXyss%luM=dXSNNn^yJc|kH%B)}f%&?|LiZjsHm zOsf$&Jwc*tEIKPQe{lo}fy&b@76XRS|m~@+fgqa@8rF`#_fnsf)*)u0K@fzbjvXXXPkf@((AW?-fhlEKEt;VU_ zT1}-D+vzdVse)uJNSvlUp{BNfs91s^rutaqQ(BBP?H(R;bdyX|id_KzLfqb0uIBVc z7FRg*31bNU9?fXQ5(KlP=%PoM?byR5kHxbfD+yyIM)Fm$grINQpPBN|VW~YZR^&}F zDGP0fStold9egI9hkbW58YRe67Wf3C8X^Nk$Q2|ccnWQ4U8(qu7N}^4o2~0 zB?MPK9>S|cS{p-OB5Ipki6<;oLL5hJH3@Z~=Skg%%ZL}eyT|F074OU$N2ZSw9&P82 z7A$2YavZ+1T#cSZ`GKHMuEyMeC#gT3)F1Ei9SNPZkdI`CkB`GpDC9bgX&~wyYB7QX z-6&K=0?aoexC;@4EZ&I0ND9hGAjKV><^-L>`A}I|MN^yTdT|USRjh=8-#@Yvc@CHu zNMt26fUy!{305+PgvqV4Ruf*87jzu41>dlz2_Z*ekO!IfkPIZcqbBCK{=cMVbyNBlX1_iH#`9QeM=CR$}97 z0FXF*n(4v$4I$fQk`ajuu$iw-ZX(LUQq0zFvM&zRjeCaeYN<>&UJW2Yq6d%nj`~tX zrv~I2jxz~1R$?IG#o-Yy_IbviAR&!5E6#i)3ah3A15u{yj3>Q<#Ln)t6jRhN(d{Fw z1joTjehJBn*XoM@BS@&OSq!53Y z@0nG;IV7wDA_SYr74%PdRb&sYOp#g0`B{*Pk3` z|7bty=BTW;G0eRJsHJAE``K|y1G~MQNr@gcw-Owyn!3q#`Aj)&Qd3)yT!Mm=EK32l z)^mk}7Ce9c;4tR&>&~u$L@@*h0uq+n4J3>u+cv*AqSh(57Rt(Rh+{Mv4J4!hzqAsP ze*Ll(IZu#KEqMDyhOoMNF0mk(x=LP|CBs-=&L=t;*XqFIzVtX#R9JhB`7C#G4 z9O~8C8LCI-K%A)yuGXg#LbotES1%TOqOhQv6|07&40`~->mzfX{e3@Avt?nKfv zz;hTmQd>Mh!buL?=C2_^{%6&1b0=t7OB~oHGOo$!`KYv>m#dB())sxtxs}k8Xg3OX zSDDVJOA-MLR3p^x8XgylKmsNW?z}sCgjZ%yN*vT7F+^(6Kvzb4=!i0{tu80|&dBNd zt`NLI=zlz+yf<@5G|54+GM()>T)m7=oM=u?8N<90&oPiNm&S7f<1*n@8on?dbWMt` zxQZ=7A_LK|i~_wS&lz$`7ve3YI2DY^)zE#F*wb4XCcfoCb&g4$~8 zM^pOMIMLhIdaE4A@Yrja>zm?Wq`XRBK=A|#R>F5uZrQ_O8;Lg+tIJGow9T#!dMWJb z3rLpN*4K~@LuX*x8Mp76KzaC}<3N4C# z$E8ElHrs#VAU{FU1`=jVJF)24hB(<*$6H46yG3I0?QTioC@$U_TS=3b1 z0wyI;>&B2WO)P0nL&@+|y+)c^F%Fd|eU<)-AW^brOaw%BF_W#R!yZbaAsv8WH=S=Y zs0G4LOe|?z9OH_HMCIyy0i>0`KEH2_22HJtYXpUrXj}qA#z0a;l_hK?mZ<7OX`bF9 zelyn!lER+MWC^LQb*u#GYG=Ri2(hRk!udSWF?osUa?-8IHm#zosaqq*(Fm5w+EaLi zG8c-N?Dezb(AA(oq$@s;B~LP_a-8NYoD5DVS@C8%on+F21SwC1E6tPhOGvh3PFsLI z3#JK}-xDOpae$;qS~4o*H}qjp@WM)J52xq39!JTfjzRLEwgk;mo@~|iJXAGnBZ*YR;Si3gRG^jCb48L2Lr}%?=lT5%6nq) z88(Gt2~UnPdgUc##Su>rr3a5@^`vS>;zMnUr&vPvYWfw}ONT*G-GPUth9=S1MaS0-JVIHx9~$4U%qe$M)tLn6oV4fF`3XQ2v6YROXj5+(|(D4=L! zAfk73qGGtyn?k|WqO&Tl1!5Y2@ZxMU-C}{uxXr=AR?NwzU6jUg948Za1Buua8kE%) zU5n-|(Z#yyxcTtmQ>Hth+XDqTCC;EitTKOD2`$e$`=GOqI8gJd&p=|VWckTR6kRtq z*2qg1d4zkM_GT>sYLPC50g1MtjfrH{SYs?qEHPH1e%_On$a54-uo7YkFAlfgHRYMd z5=d{qfP_vth}7HGXj1VN>bj8-qj?iULs~YXS9oqEK!TO*uZJA&I4x_1S2mY5zk+Uw zH+vBx#9ZuaccLlbffvw2PlKo-={MTi(HVd$T4SVIx#;3<1m$CX-v zm3-pI{FT92R@XN-i6ta#QQMG`$S=7r8`T8CkqIiFhJH<1Nfsi~q&SC!yhKlq8EuJ^ zMT7+sU%y!B|5W2h8|SA-*fa+S_@JncLq<<`t?@05)Af1^zyH)%hfon>0w1ftCYk@7L8;k*)m zVP1r%AzHo6_#`s3dI{&vD;^U;qc@#emxx~Upyezp>abAT+1@;_n;R+7O= z8U_-$rT3{PE5XK}tc0S-8}*NQ?U?WbiD}DIVsWZWugsECc`18u$x2pMBDRqws4Jv2 ziqYEw5|d~B1c@?wM?0M^axd@aN0_dMAc0DOoHgy}wv#iAiX}J>o5Zs5r$<;OM|Pqd zw(pHct!<9Cnc9e%iKbi2NR1mvHn*AS0Eq(Zo`Hmh35mCX#H4C-NXpHr%hqBv!lYMs zlBdJ+^{1;M-!@MR>Z%+?n@18oJ><74VGC_%BxLQ;RGC?v0V-hfM0Mr41&Ol&3E#vT zmb$UBgxSU&V<17-0>Y+IWs~e5KZfx}y5X5Z-|6gRAT9_J?QDo~10;MwFJWNjAJIZ` z69usnbDfS~VLm-EdC6xhVN=QxjXAq*&Zl}sVqmjGw!0!sl)rA7)-;~O2IP{LgkC$5 zelBw|s!}&`ZhGbV*yUoFrdx1Y=blcQsk4h*OO>V}AnW1=T^0Q1vymYCKJ>@@6ZF0$ z*hOESnsXjXNC8&Sh((@~?_q0mO?t5#OWyI=jY<~7W0!5zR`x2-p`FVw%B#Z|$!UcI z#lagvq9MJ3#K9LNB$gE1oxC1lY8+Mz=9!xKvp5a~FxE|C&tLG>nuQYnQJVu(Kti^r z_=?u7yjby7F2hu3mwf(UGvcs?mX|q?%y|VXqy$lJ^?kwAoVc7sSB(@IW-z($W-rcC zjn9yv;hi&$Cgux@B^BaJrr7gD{1d6dar#cjS=EWbL`eZiMR;viO)S|EBrEGn-pPo{ z88vQMiNfm~5^2_u(j&V^!=y!bpn>rMiHRkq$N@xN93bfyAWA(!qK}R~qn=*9!P4BC zVrewIHYhb%h#-U#r?2yWEpn zaV{SsB_fREGT$=XKE%A)za@J$qe}S=yfB5?QU{quYZsX!WQoHJ_C#-$6w@Zr^(k?c z*g3k$_)^j}o+1e2#tS(8$U@IN66hILkz;tCgx3tJm16ve$ssGjM(jW$EAbOvJ5D(c zye$IJQ!D`qIgYv(@CVFS%NmZkdt9gH^``ieki=YX&OF29^kAa=6%{K9tv7?v6Tf_ z4kplOB*5!@5s1(!k+tAEYpdohPP#@DpBWkp5))q4?ob1ZyckF-TvTd2LZ~#+^(Q02 zsKm>fLt<(iVhPqQ>Z1v-CR4*U1c@nkD3Qmw^r+Rh7>_g0ut}=*{!#uZz?#SSV1F%W zcSH;%Ixxtl3vFE-2MLBTCtJG^0YtWu%?@k#=QkyZuxc;r9xE~KF19+|130FnRvh`W zg+kWO6C@BQjL12VU>M7kHLA481q>t`n+wj-ED+TkU65$?+AL4gexX8;P!0px;$&b_r5(*}XCTq{EyvM|GjEgNKp?{3@kM-%+B@x$jsMbEi5@}) zH>GR*Pg1zxvd2Y-q}T3=FA-nSrbQ7Oi!hLMCT_2#ZBieauF{`t7j7>fYZ{W2j7B7D z+FAHhW}#4Ybq2`fC)J@h6Qm+gk>h-JP|t!xkVfkrWa)D+#Y+Q(z^Xsna=W zslSVrkW7zyrQ3`9s)S(8_M9BY)FE@nf$L|I*oGw-arTJDY$nljCA`u?S|Dx^OXiU9 zI}=R6WpjJgKbxY;#?q3HGQ8i`d_dhAy~46PZb&fi%V&(05KF*B3oxecQ$imQzogjL zBdnbnVxWT2=X@UP5Ee?>fTuC?!6|L^xC#U3AOhuwSq?=736s8`4bz)emtc1#;BZEk zmJ)nl98Z689EMgSm)|`^u7l~gHWpbnXcup<9(iwQLek3MkVXnf*zY&iqV9%?DUF#w zU}-gU*v=J*Y7vRvE6FQ;G}XRX$%aW84J0eR$;8X_3rIYIgnE|`M389efRz*_LSN2` zuTFT?oOx~~WNPSSppoI}mn##WY8>(s25(aA|GC&BmV6E+yu!h#yWbvK@luwFl&k#= z3E$0@deb~Sdpj#ZyFIu_4~gh%M-31sQOeUAIlcBiNh{x`$}O_x(%r><*$C9*Bibi? zWNr&0K*FvF;OHO?%P;nzZ`|NkI!h<)5$F<*fdnH#bXGYDzrXw!?_KgtETILZiL~iw z!?|*e=C6imLTOnZxM0akgv=yrhVx4HPtm6q60tQKmDeGadkk;CVnw6z{SKWI&W zsiv;(%ZL}tNZ9JXMFS#hAi+9vdU^Dxe5LOWID0CIfFxr0(1L_+>t{$da9p*sfMmrt zo%$0hCY~VaY5CO*9T*Go8)?aYR%P8%RwAi<`frLQ{8U&8j^i1W(JNrk{*#pmlBe+c zv*XBl+SXvm#8=7zowNZHVK9C(NicDFz zVUHnybHzCgwFTHUy}Q1?H+nKG_j7h7t^Gnll*u>I*chlJV)2uy0_|w}6RK^NO%aUS zDwZi3*xpXMr?%)~T+JFjXjonfPm0`Onyqfq*`fI`~X_^L2hQ3E$%~xaU4>6VRPH;Qb(Ba_!`fvX2SHAz-V)?iK z@&Eka|I`2Q@Bia}`gi~4Km5D@`9J(0|Neimy!pQ@mW%(5fAl|DEFOA4T6g*9zD!Pl zPQ!3Gb%qxff|dq{mf*>ImiIP&19Bi}xh)rmbi-?#F-tphecyI^<6Ha7RuX)xrJ2oi zJ?>G*xw0A7j+@ijx;@X+Tx}Fv{`KW)zCLPPy}9X(C!wvKK%?MAn) zU8+odj$zk#`1Ot?=L_1v>LIY&f6sJ?)y!?%NYZu$%iF)Hy%#&QIYNyHNAunKGr`+*vAFWKG8=D!#Qj)`+!3FN{ z6lbxJV(cJ34PhroD6aO6xj9O&aVa(_=0}ml%FADWy=+s`kXTLH z>Xos_KI*h*OD6~ZTDdzTF9bqo;p2JRCGO?0nY%eXy{o#Gmh73!R23Gz)!Dy)i>i0M z6Fz>q(1LN(v4#*njG&~&2tK8`8MwS?7FfrB)U5T!yg6PU_Zbdrjf;|>uwRYC8@Rte4_3z%5 zYmcWF-N>RXb#|R6c(jIgue5aU zL;|N+e`we(UCYYLXQAO>hEQ5`b@yOW)r^_2$ z0t~uFEwnK#s7*~erF1oT{A$fHMyA*qOm6N*JDG};L50&F^vv1~$L5Pox6KFjk!{er zE4lXkZ@)~=W-ypIOv!L#F@|1n-MN%-;SkfwuvMGM^BDW>~=DVxD`WGAryuUAG|CdjV7C(n$AyE8uk*$-)cQ~WmJ^kkU;&f0gRO;2t#fP)UQYqJTM;xVxVq3x| zh`^92>xNoF86qr#l~tH)fng!jkFCToE_&^yY`*SV--*;xg%*Q#-AtRL-h8aNxcJE3 z^}^%r4SP3ZjVXB_qRgu*@x8f1xAUoJWvUIIxdzOs90P6g1I z1d_eYWtdC0T5+6Q4b4b8DCnxyQEV-e``!QhZMs!?EZXD#(x;2G_`hAOa(MIYw-2L9 zvyiV;^EaQ)?(@ZL)gJcL?sFXbV!?arpqetV0WuJ7lb|QgnLc+bTXwG`z1;mAbZb}59jT1t4Na~e|P%vDqYClWk)_&?Sb0>iLtD9<-Z_*OB)T`E&wXhXPST=8ZwhkiY*T4Dg`!W^zC=~9Wzq=_xzg4%lpZeiX zr;Sm&lzz-S-khCYr*o;R^W4m5VFA0zPs1I1j>bD+dQw1`1_1*u^@iQUjwKeEG@2v3 zZPaA2?O0k1G|Me!FWT4iJE~V_xhW;b91>OvFxI5ro96Y8pIVfI&c&^GuiCSRL^9IO z&$7FoX|P}ccQNdiG$Egvl{6Uf%|_F)^>WWWu=-H^39lWUBsz~}kM>V!3fQ`}$@bs< zdevt2Gg(P2Z4+IWv5}Q^b{oBNcKWBkqz1!A{_*h<=~rVUXBSnwcfihZn{}VY(BVV5i=PsiNJte4=3CGM z{Gr6k=H`mCc$u0KSH>&=1eUnV+=2&Ap-KOGk~zJopw!IC$wKCyS|(L>BqC(LjnrR$ z_n}2<9aveM=5i44FcPzT6yi4>#TWeSM|&hbJu)lKkXh+r45P=d*6lMNRuNg+e|a2g z6~_xS=<(vPd(yY<{I~zzk=GG|pu4oT$EENs_1nzW(82EdGP)ye|K@7g!Qmbj)}5Qr zR{Lk){KF49l$YGgiPpOxFN@m5WdFW%|CistB}uEKxdC(f{=;P|bASH!eQx6Hryugw zDof8@E5nf~+cOe{kOHqy1*A6+U0hvBcpEntRbR|Y0x^rP1e^I5k_ozN>2?FjSxI+S zAfP_p=rBay5|0hC`D*I>-@d8MIN-e=X{L)^n=gdI6CxzB@Gz4eCXxZ#RdYz7+0pG` zxH1|}V#lwDp8@iK*vi4nXYpQnlw^)W5*GE&hStr0{Xf16J3~tpqZ|9rUmSDt7*^2X z@yW^Y0c|(Vn=S3Egy<0g$#nU}aiIMEo8O<;x~*w)Gw}HR`-eKxCZxur=I!@?d|#dP ztLeMj%a0#UZ_}CEPp{u(Chl<-E^{fXZy9d+nC=$B31(Z@rF_;)#j}(QyPCHbB@d9G zh#y{#bn=Zc1s!`OZddQ_!&O6fSGY#|aCLc0+pHT}uo1@3|M<;mb2tudtlP7X)uEGn zkL;2`aq_nx3y#HvAGgz;SIy+q?SUCT8QE7~JWJTzv1B5WSU-C8BGE4m7k4({mn=lQ z)`@NPU;X!A#ht-LZW*rco;*L^+gw*pg3QX{-sVbh*c>IcmxJ_(y7iW4`^CmA{ljm+ zyQdTHSzn)i`tzp@cNMHSU%l@2*bYy+6Otq_5w9|6^+8ndR@(XaGHy z`gV`YGUD?>nGl`{%Oz}4`M5?+TM^-d%KhVz25qZ4jBX?bh5Cpz0v4jjGkkb|(X=pC zsaFfgh2Er6w~ym!e44%g_{ZPAD-VNPdtrocS|fXaRW$Bg_`}WyIe#+ZGmwl2aDBK5 zjEDzi_0+L+bhO~~C151S*A8F4SQ?i5$-QmnT=bzG7Dwdx*Z*?Y?~SdjuPm?cpFBI* z-T;z)FgZHdS>~dKbA2n}qb&?1zTM}mv)r5C{^_1a*SEPbJN?UPR*+DG_ABS#{^7JW z8(<}uAK$(|=e@ak_m{ui47~R8{bLTML!oN}5?)$9GtQBQLx|+rHEoZxMZkyINIkRz z+BdW$H$u%qbBtswN={x!%|U_BHi2X ze)A)zq(N@t30IvJDC*}Qsp+qVr# z6sv{%3rTiM`Z06QL89~fu0K4r-ON(5<>4)PXLU$rS;yrUSI&`Vy zKbu&WUj6DI6j(s~J+^xEH~;eM^+~A}KY4zzxwaJZ**G$>_~P&Wo4o*QOo_#fgJ&mu z8yqX;C>T33JL}O=sp{EWk3g0fv})7k<85d8{qKJFi6h66{hitQ_it~@J@yU8qfRyb z;oI--2GdRV3SXC1${eshPd-^2CxxTZ$(gW`fUcMp&lZpB=4evnVq zeGyx;?%tqbLtg+nRw>kayEpG{dbSZ-6ZK;1^5O>ZN9ow1FMIJY2t|bm2U{o3U|hGA z5h`1YkBen{EaGPd9P>>3%ossLnzu9wEQ_!H#X%$xXL=D`J^K1rUv5lGjqov$AW7u0 z%p8I6>KFg|SIZ7GHka1-j*j*Sy*$EuK(f2BFfElFTU&9L4q9~m+eeH2t3UtgG+!=F zR*zR2AKsj%k>|xnhfpow|M^XBK&F+s`Sk9?87k5b7jORj9ce?Lb)$<)sdC@yBHyBS zs^b3ixnZ~q)*q%BT9_ZhWLzg`)|Hl z^8;Tbl)!Jkez{?-HUh^lkG7yIxUKX_!lC5zUw^eQvj!J8IE%fh#;jQgIoR8b4$BSy z)@H&DKdNhAIokD=KYsT%*Q_>ZSNJoZ&K|0LA{MKtoksrb$M^XmB<0-Q`RV*R&gF;K zKYXlvgT0#za*1@MKjVrItz}v@C^pmF6eM(T>61_lI$IC7?SOw&=|r|yro~Fn!VHlP zvd>XDdzTtcyDU;v@;J`5E?U!Ny}7!090vSd0(Xl&cRS^L(4{S?1$?fM~eR;AQ>DQ*4Uq0tZLd5GJHw*-VYhV8Dp?B&| ztZi*?u9D1i_L$}@b88Z&x_fPX!3URp7}`Bv?wtMQU4fj~xpfq&+}>cYYTH|(b$1(g z@7`qwlR+bQe|>#*$sIB-w0(U4F+B~~vY*cHGU{r>d(npAl-h;cH_Y25l1MJWP$*z6 zT%{%UL?WyWEzb=Vu(bV}Djzkk>t1&{vbS&T+qJRC`GKYR7^csD+(4_972 z-yvJ~(ku7FYzb|B@x`j$7GB;U!X#IB4tB8>I{4d*mTJwpvbqpJSb7{gIQHFq`=&VT zlsmEg&B@~}pAHhvG7^-dIL`Lwp+6fp^C&dlUR~bY-(6o`T%0`&ypH1Oc?vd_zH!D4 zW+xpp*5@XH2+sa6aS!zFFN#hd1!{C_dBRj}#-$cmGCub(eR>Wg6l_Xf>BJ*lu0A{` zX^S(zqkQ*RG3PYBp^(=u8OYT|Yw@uY(1pN|HotmMQghfUE@wHsnPkzcph4{R=W zKYlEAnx%GVXWf!d=g}OVl8GXiHK1UtoWH#v+GqkpzrVlYG#m3bPUYNn+^*{RWrig# zZ5z`fF!K+M+EF26%oU(GM$OAvy0~gNt-WDnYt@p^RwZsl{(`ahGUw;T(WnI#h~dPY z?l|9EU0&VXT;Dfr?tbbzr3-k9#FD<1_(gJ-duaJ3LkV?u0u9(eqM6W;==uUk6pCYs zmEC7ApC9aO#io7t{uf8<&?r$T)G^zDCw}zxanv2=2+=}3xpngVaFb+qYbR-Gbb~+= zWMfzJz9E}g<*Fs23yaWjsP-KU*vA0kK$q_3{augzrPYwkDYq;#j8eEx-f%b@H@6%& z2NURJU;<^t=xZRDj-9xiT)K&R>R0C^?Y*9RW!+bK$Z=U3`(ugHx- z!U;5p%CN>*Pt|cP_IB;Dq?bWF)XTxd;giI&z8jyXcmMIGW3>#akwx}kFq`Id`}K8Y zYHw4OK&E5nKh*xM5*e$R8RFpZchK+%Tk;pTFyZ@Fda9}u5w~I-kF8H2a-LsRhy5nM zEVHY74xe9f@=XU=vpu_|baj(&O94n{I~-bqiZ6z`>C6~~ea<0@jiFBrg>?iUk?RW| zesvfk;INCcym|2a#o^A*-nw^WU43z~xd3rG8ge^n&a)@A^Yxdjv~fk4TG@Nf@ewG) zi|&5c1-DSsiWyUMdd!1acrh|YdP-tH6Nf*@E{?KpU%)p^f4XWp9MmY~Qce?EhSr=n zJkJgtgU7pUk`2;Zmc@WnL@ocC9!pDLvGs3 zUht3lbRZuQ=)S$Yyt+F7^zrQa{yx*5(L=fh5d6$mrf5NnkqX1f~yJ` zkT@`u5{{+kUu<|>;iPyJ+ea@5CA)`*i}p$I@WtM;)EEe{XrFrR?#-|N#g-3*X%Z~& zoSf{fa_M`~+3UKwO$O1!X`$85wy4`-21$4@T_CGbG&F0^Fd6IA;6$uY(bMNHEvwhtL*z`_4J1-B`IKa(Aj4U7fC-&&^ zuU;ixa8eS9rOkum{q@Bp{l!7YZd&Ost`(C!b}S1!Yj*l3R8fk3e2x;X<}dKt(c{O< zmfhB;ufnYyhStbw-(P%qci(qTbNn5Yx=IU~VjZo4bIE7wb|clP4N5+harWY_X^C!b zMMrR28=Z*@X-OAZ78en+S9i63mp-zl;XrbA@#({dGt$38ciee6hdlsSn6{aLMAJ4; zGnKQDr68+~?x;CUfZt%vc4vJ5#ZJ&2VlqJC!qJuEeQp-L*bUB{8!wOep%*!&LCj~b z(C**)`s;PK(+>-BaeeP_e{(6hvJx1zEs=yln~6cK)(z|&Cc35Ogzah3AR&(HuA~&j z{GRUpN5ZR;%yJ=(B>h7s`*?f$_RVeIWhszMJZ8AHV~%-4X~T11Rd53td&mESAxq)n zsu|wiO;}KOYBjqv9|fBtx79hyU)@w_M8g5%HUufp1^Ev7@y%_fIcTTOZwpN^2Q_YH zbCV_dsJHo$nM61f!lT8*%kRZUC~J0x)(`g=ynd=&xDz`E#|T5BbMWdgYO$@nINqX< zgg!Gfgdj6|=fd+}orJA887IEEz_GoyY}O7utW3gX=cR=gJ6$~7^){-SN>bG;HllB; z*Azi9SL^;FJ5XOmknkE1N>bM!-@d)=x$R{hWfobrRW!eE-e>iZ6G>LZK1t66ErrYL z-s1j-kAXYQYNs@E(=Bi1kwMS%k%TIPaocY;1=yovDYtOp4}JN_=YUO zda5Wvn3uJ5U$n6rsC^0LLF~ZuS4@o2xZjHU=vl!Uq_$;^{qPX2%rBoU*er>YXM1Z* zY~ms5CW|QNU3>MFdQEuKe9?PtHNkRhHmD}yms$sK9~N`wzY z{Eo)MO<^#l7-BCqb$k1e$>BHe-@Lu)x}CMlv#SS$=rn=UeRH-Xtnf^bg~KyM&Y(sW z>5E%6l4~VQ(aYzII!7n$j(+<5y4-11QKEjhmCt}Q7zYD zUePlflh}uB<=e~%w}j}xJ{oOMT!uhy^{?%3$GvQCuc9X-C7HvclV{JKz5Md){h-ye z^To4mO|A&bFswp6SCRd%UL+iz7#oqx64Ic}6r6P@L5QM!chLJ<+k-fG`gO0fPAhcpa z_R4LF1rW6N%^e46R{+V(7TMlk^?5iQsP3{^>z_mN#aD+>t8?Skv#muI?-wF|k~NZQ zmv8fnFISze2*i6N66i)lT=I`P)+p~gEG1-H0_!^oM*J9|#{3ImCy>xu#?IKR?dBe` zh!bccn18swyh&xV4_BXnm6h-uh|Q^W55lwvQRt~K z($ek;atM$hiq}^q+TkoPKi*%RVkMtGefseB#~(kHM#J1kK>~5|2@;x4o}i<8d(Ym# zu{^riC^@M%ow*kGc4Br<6w)5Gz9{OuhbMeJ=X_JlYFl}EvYAA&A|Zhj4TKz)z}{CU zVVeiGp=2X=wpJjLg+|R$kZ?kza>O?`LX#=Y7-}nDGyzq?&O?f+V?Y9PuaKaG#(R@mi!cBIJ<4A=> zitt(`Cw=m+?rr$3B(6zGz9T5ZI)Qt)?2hkAMJPWYZc97vMI7er)7izv>8Fn$-v03C z@6Ow{{?!lfuQ{re;$%sme@h99==fA(DorF>OcYUzKu6I~?4|0_BoNe@$qlnNh0$z? z1VAbO$UR<_H`EExwotO&|jS5J1mg9$f>8Kp{q zO#;(VHb?hr4p3De?9PHlS#tT-~clM3=!}0U|@OTi|+*)D`8a8zskVrkH>)$zEvBGSE z!XQ>EV|{P{6G0NCmtYu@><*EQC>Pjb3P^tZ{`((a|M=sN-+%Xq z-~Pkj|L&~bFTVTJ5ARQb1e!s;kj|9abXXMfIIn0(VN8CV!R7TNp9Hj1s3$AxXR$mJ zNv6T+x1eG{Rs%InRL4C_D^z;Q2f#PriC=W^cV_T%MTR~&3YEEB;iax zkHST7?B6`x@X(KBd3$+thyBLol{Io$c5#u0+>cG@F7K>im)xL{tS*(UR-aq8m4hvJ zr!&?uN$s2Q)Ie`FP9)=y;FJ(CM>F*&-hM&i>OY=cR~n@Zv4kxC^^b4fzJ2rN?VBII z{ljnn>o=b&{rbfZuRok#+@!gF0RK++Z754I6wdb0APfY;zG1bUc=_d41j7$Pl1gsv z@2rwY0?Deok!x~h1s{OBUCsfC^a0b44QF5mQ=>_rk)MGw5)HW^XKB-^9y3rn1$2d3 zhqXCvXz7ll`^QVRnTvm8ZY735zXK#xIVXop_TF@1XKR@QwdQjsxg(|F?rGn@xt|<0 zddjjT|4B886S4oz)PuGOq!7C4av7P4!Xp1UvBcI&U2q*tXg}A#UBpN8m-W?FSdasMmqv}(C#*n1cIL%^(qz9tQNGI`BP@tXkeP0otGFTs{ABh;g~;{$CJNKRIr z1oI%iI8Q~_=p3>;cXWcj`A%ru59}UtVZ>bh)BXgi16q}sCH8g#ofbW9$t<8R<)>7J zf0!Wn!wNwqOY%yx4-Ne}!N~y4VzV?JE*~4vdAU0FaQ*4+o44;s(ugN`&TqeYlkK$b z-n{w94fm85TB&Jyg*Bh$9VQopu+D0awNW0gz4~G&!VM1UbErhSn~Pz;pBY4GP^?U4 zB@#A}EW8%@G6-w-J*bVRE3DdU!Bebl7~A9AU70II9)4+{X!U?ZDyg%j`+>(kPxrrl}{LfgBMZlllMjF^XbmP@$87oy#$ zJy^+-kz~qDRPs^alXP2UC5={@$s|eZ>AN@Y-oK+Rd-MAHZ-4*0-@ndu+Nrm1Kb>FR zJOT-e04RPAH2@O-9AyTg6zUPJazA?b#dA(e5w|_T#m(LAm8jnrUR+;s)lrxymaF?~ zM9>vXh$$VnZ>;Gj(=t7L)Q>C&|(abW}YXd;*ZKTJ33Kd(GZxG7aJ<=ZOiz4wt<|waUc*#au|2 znLxy2L`R-w=SS@x?I~S#RD_xk zIXO*A6X`P~T+ZXR&6so19M0J~Ia+j1nasvnfnQCA8DcK4_&WKj#p{xyGl@N#!`cQ0 zmW~g)WU3^5)wPjYM$CuUb~gYd+6W)hXRsh5Iqde_nu z9-Xgx4+c^y4F&1r`}ZF|zEgbV9sc7VzrSzy@*m%wUESPDSgFktl)A7ujh41CLQ#Xm zvqo(OgD!-`oWsr(JEr$71lNKC)r*ttL)#Pd&_6{l0`+0DMhQ>f8VS!(JR}p=u^VMj z3+~2a!5W`0YSu2A+F@DPvXDH1syeh>XvuQlpe0f9>b4ZmRtjJhgQC z;p+6`r_<99@80t4U?<=Gc;6nB&fZ@#+DR9r8%z((sI1L5dvv6RTGP=%Z;Uha}VZ`LB-ie>UZig<@7-E{dEII z&f9a{H_Wm4%t9m!nKYy^cFH|!Dpt!l%vc+$fC?{eY$m-nJO{NEogCNhOt70R&Vm64 zl^yEtMWi@b8Y~wIy#T#u-6A88pgl;k9hwv#;GQTVka1B2ApPKGQK9SIeX--GHDw%U zdv9kQiUjS(ZPY`L5BByBHr(Ao{BZC8BkVn!<4&(E&-BPlAmP3DBxpbqBtcg!Z&XgU zCGBj)zMF}ic{LFeyRqMEXS!Q0Rh7!nlSNM$!uw35_dauf=lKIHwq`drL#Cu|p}>>( z-shTg&+TO+mK*Vsg(i{^UE#JS>YW2kjP#(2q2=IH*Fw!z!UrBh#j5UxDbpzF`I==l z4o4-t`Z}1)#?0^uNM0C7NY;Sl?)_zQwWTKuFsioD1x3#e5iJu-go*m$S_ciF0Pi#> zd>XyL(dnZ%u03bieM3X-<%69po}-22oD^Nrut^{+7Ol5HM1@z*tW>@E?(Wvg!DfOb zPO>PEX28@ZUZPOG&^U2sETA?F^%IHwv#Z*}yV zI{MlF@FunnFcS$;sg;TNlcXub;ZmT(olI~MR9nEUbUT`|J3GYy1brXsCvYdA6f^)y zq0};cW|(|O==Z9&A*07ipeoLgsG&4F-c%sooET`~8+ViB8HxWCAGDBScn*_^Jg*RK zJGy`h7TQuYo+ES!$!^|YnTNT{t6;LX^g2v;U>~Bx#%mg+uU_)z{QT$8>(ThW`Uw583f!XdzMv63{RVMh5x$(LhCC*Aa9d2PiJ3$)>Z?jh` zb9w6Fy`VHUqrsS?t)-gCQpQjj&{gg6#5RLA7}YXgZi_RM=Xd1EDFr665}pU6Of^0Y z6;CUDYOI|(Gc!FsGu>Vx-pmZQD?(@_X4aE4xDNaES$}s+nXN6tyR`_w^cf2`5!H0k zWFB%LiNO$n)g`RQS6^+WawM>fZC4pR(KCMf_|e0Mj~?E;x120Rp5K45&ae)Fm^6ds zNP_Kd@mfXr>x4KQ>W=xa=E?UVv69nta5CXnmY zVYFm8QfuvSB=K@v&EC+`)!*k!YzJ-d;K-|>m_q|I`3e^Ro5oL%H+x~6z_%J4n?8Y= z7)Yi$-aEsIo|9eme8bqxXeWifnIIcTcprh}5JG!LJ(hxjCUT4z&2(Fj%!GPdQ#wH* zNO=1cUvYuV=Bt%ho;QcX%4>^E6<)n~W*{M$EW}Ikm-n8*yW1w1q)5smthtex@TNhi z)2+qE7V-5X73kwynGW>!wK!aG&jMu3osza{DemzOBipD=$0W6%p7Ug6KknvGB0GaH zmRuNAw==R8aWa`=p3=aW2*p3XH%d51_sLUzUZ2L}ERsx|I5|b55hIzV&&ZuGP6qZl zik{I^BqpR>Z1zLE$g@kK%p?{L7dXRI#N9QIr%`~4jcPmNIglmkACm^T^yKgu@gCEc zaN5%wf`m7R=God3R`Tj4kUV(s=+T3FFC)d|!o4ReT2)0eM=AjjF$9U>7;;7rDM^%p zQsJBzNW5&140YJ64VK6xdZ-Lix*KAf2XzVZVbSTx-p)Q6#z3-{YwGl-X<*WMQIp&m zUO#luH^^a7&@Z_T)F^;t<^)n0V>Dk+pE(OAr)Ot}8%pkxv(x>E z643R4|7nuO0Fv+2WEmc1#K(kjD%=cJ-YHz zjuYP9+FYlN4kR>QAKbtH@X>?2&w}~n^1a8)TX@wD`746klxk)}M`pq$Tl#2io&G|E zYxnp{Lv#N~my>l%)6_K5G#%ZI>Fr&qhH6DP6Ws$_G=+#=!CG4z)F7(i8qp_EI@nIZ zoV4qWmrlGOAvw2s`c9r=V$qB$F{B8N1)iLmARA$@HOu|cGiT3Vm~5&#htAFn!`R~L z1^*-Zwrn9$=a6p4>utTwMYZp!FS)9!Q+RAoDPh$%8vHR);fY^*a@0rmxXTAii~AXz zT*ulOW4|Ot%{cLLW!Ou&Z(CeA`C5@Hn;p9mZgFu}Zo{J6_X5yy~_B3=1 z54Y6n-WJ{;USU!;iY)u#W|%E#8_m>gGPEs$`~?D>nAPPW<{eP>UP^&p5q z`xQ?#cY=`|kOp?Pp}VJnjY=?~nr>=j&5*Y7F(jmb=;k`fvUrV^Z1ESRy~V{~R&kHL z?q!p{zI=+$U?mS9Jl)NtHyA~&(@tULM?w*fnp{F%O10y$60LG|a5_Ba?`Y*H+t^sU ztp)*H*w#U%!RSe+;y{5iF!wjMH+FLVR%a{}XXD1@$mm2ISMO0`g~+ZQ zc*;v^qgwmS+;qU{<3*>gF~eZ!&N$7v3m49xn>~MN{>p5R%h7Xoc50BpaI36bY=X7XqtGm1u~u8% z-3Yf2k5Utu^ftA#hhCLAeJn_J8S)(->}+i8Wjp~_G8BWRQ$cAv<8qOrnU5-DUBzS! z*f4zl{9uE-1uYjSth578PMjx4@~pF4l)`n&I49dB~B&zwKa8bN=TwsIg&yby+n}6rMcdvuV#cS_<^zpmU^*T>qoF(p#_ILOl6nHeZ za8w}S4uGqBq{qhABs&VQfjG2OlnN(f3Pd}V#2 z)Sjp>aQp84`}gkr^yA~bbadg~&kJj`-Kd5s8}Lfw=rnG%?WZzFkaSCzH!wXnJJiTx zE<_IYX3Y&Z7K?rPP%tOL2$ZCdc=XJ3fMf&e_4<0K>bGs)y}wR;33I@XH))4G`Vt|9 z`mIp%jbAw3?Za&bhsI~-u3eu$fBMwfOE*6F_`~;ZT)lkd=EtA^>f;Ojfvz)vMf+s5 zC*aW`Sy~}3ZjI;~PPM6jD3B$LYh+7KW@Ag3s#v0l2=bpsUok;Kt5Z^_ni;@m__V<$WE6Eo-MuV0_PICtslJMVq?@y8#1@ZP)cfAaa4 zU;OIYcxT`2jjMBKPtQ!y&lBC8hK-TC6RMux;r5c$G8t$vGolj={edvbV4Ex-xdQ$h z{)#ya4gOko=hcg?m`-u8ue^Hl=+R?ZuMh72^!@ig-nxDJ&aLlReT&DJZ{K~!sCZ+G z@f?C6<`^JvFhAbhHe%|^VF^A==ZB;MhhDzY);=vR>*PdNyCaU&8&4`%f%to^LF)k$ zLKpO#m31~3UN5h#!4$?hO?Q^TsPw9`khXjyXJ@*6Tt*)nJ289t+KsE1<}O}&=lzd9 z{q$2XdHwjj>`{PfuqwHSZ+;U^%u{nOvS{r>4e z^5E(1N3T}b5u+i2<;pL!29gH7TRLNl;sC=>{mId8WYUM=H&P7(g{BE-}O|}n|V?XNoA@XCr%IgeQk6|#!jA_ zzjpQVoQ&lCk3Rn7laD|A;KPqU`~1tVzW(S;Z}-XTH?LhhcY30~gQh21=uCC^BQrn6 z6dL;nn{vrKw>gNR>Z#x^K%(dhA|4e16q&r-H2KP*<;A^JQVse|`ty&UJg2@Pl;Af6 zSRCj3AMUNj5?hb%zgWR@b`MjCWxy`eAXn>sbdd=aI;POqhX^_&`VkgB5Q(RGG^lMV zH4wQh&|D5~aSEL`AW4n}CwOgRb94RmtLM*NKqpvTSzcINT3UL|_TLr-IZ+Et5aN|M z#?)AgrxEdofzj#NOY@g5oS(aN<@&qtfAHZ)AAa!uhaY|P@n>It{mE=!$He?QSLbGD z#(_jC*V^NP3MoX2#fILYmICDzAyjt4AKd|y$|4J?q=G)lEZIdeYj>1G&|A}~!(D!p z^~GmTp1v@gfxEZw+~pOPx%_x%DUvyOdjC0Z4ykymz;ri_o->sb%_$bZNj}(6)@*vmhkpYm}B9IWI^Um&}b}l07?!1A7Vwf~UlujU0c%cY@DX3nGvvRnye2`%khtBK9 z((|X!OwGp|EI=MSxOe;3tvk>5((#o?PnSvR&HZ_FvL$t9jzrSwB1HuPnxSZnBJ9Pf zHboO8Js6gA0m?u^Fo|vl3k>0C{s|I!3(<=q$y4}zOUsMUlL#zJ_ztfSQEN|kp^~Xe z>kxwf5KAz?u@jUtq^m%3?Z!LU$@@U^!FvSQuRcBB-#T>h`jrbT!ZP(EQG(vsK&&8= zfJn~PG1ys6knE5;;3%d)Km$%6{v#pLjQKobQ#c)I*r$n1jM3!&_R7m=d}k{9l)T=5 zK>B)@82fNNncaK(cySXR`2l<2m4YDzt7EDZmGpzy+J~Q@+9Y<}*f5Ms>8>G?XUs&X z1d0b+u}T%nVQCfEX%J~9)i`_9gRHi#u{{5(1(rzvS* z>|LI}dQEon-uoYX@ZQaLKm6>APcII(_Mf{pf1ah!epKH@9?>*ZZUZ9Og0HvFhjjOOwDeG`q z0`V+^ZnN9ByvhT-4F3yEUM*5c=z|ZfuC42{xhH~>y`vARgtM6!jRT6h5qSFC+@;I& z^Yd4)-*^Y#dGDPYH$V8~SDye$&zUQi&Q6UD4OP3ZR902JWY$=$Wo>m=y zbi#<3{(LYJ%)}~#)s*uf;J>;~|44Ty4mOu*MjLV}{gOveDjutCLgQpLQHU->wqqo9 z$RY)W2QLmQ1ypqKSP<@zeGCm_CxT>xUkfu~NNLPO_l`QdTG4S+w-n$5#6CKK{7QpIW>coT)KRjTJPG8ci(^i z=Jo40-uvj2k1q|icFkO#J2inIUw4b2y~8F5)|xR=L-2Cd+o8*|V?sv8AjfhP9$$R~ zh*|@hWzd!La9L<$C73e@B11c?3nJJpFD}q&1P@3A>aIO}@G4x)ZoORICT9qt*uxZ> z${k2Zi1Y$?_d^Sk+|VGxxIi*73NuWv&oHviyu*T|!x48=KU&XJldB8slqR8gQTz>_aqcR0 zNCAOy4Q0Djf=3uj0?8zMhB_c%G@1Hnn6xW7X(VKj-EX;g^uN5Pb<>EYL7v?G}Cf~7Spb7L_iJ`If@z@MI<63lBg5FKS2auswSag zn>5zhCS&V?{42)#ok=3u?}O_8jOp;p7tBJR!C!k7saC_USGFnY69rx?ZFq853AU3W z1szd|B}(cP`L}UMWTQj9(qpweN5_5@OoAJsBGg$1TL(abJuELTEj)kx@PRO4PQujn zF-{~RD2dxD3o71@Krx!GKubjDT|bQwdusOlh4bgn@fKgX0U}rVTz}`iYf~L9Luc5P zmQueUfyHA%qG>q;IeXVYAafWukN^ZVFj)j1!#D>-cqky8z~XD-3H4-lH+c#2Xzt0! z$si#e(n-RK8DH@FJbnKB$>W!y3XOaN2{UImAd9$*l_(7ZlA$+{Xrey}cM6>hig|*G z#(pq5I$NuuO$eW`iDMC2$x9$v!bO4P!6R^o#vw?aKms$6tZW>Vn>#!y7O^>YDV`>Z z$QC33a&GqA#VgkhB3I_G-gx)=iLOB3N!}aFN*oFp8ehA%N- zUayDk40<>(RcD{e_$F*#tVI1qdako`SFT;Xa`oz!`OEXyZr(WE6X=>cNpv-ivJiB5XcZS1l~50gl^>#5NztiWol#4uFh;J`tF;D@Zl&Q981M{-DM-82=Nf21H$;gO~^%+4z4bwM-Oe6C*^BJ3%;LYJ?4OSwN zXSEushUqqLaMgno7I0t%2^$xS3>F{L<;6_Qd{JBJ%?rHO*1;&oDfkb3p zAem7UnlAM0#rdmO1j*$~m#^M@=S)vi2ZB&QGStHwH3|DKkZ3rZa&&Yz#6t;97t|Et z10;qs!1DwtldTlohw)j9E4j0u*AWP=7oy+95*tN3W!U0^lF220a~k&S440fmR;f%Bx3^u&2z+AV^@DNNzRYy=jN}>&tDNFlz}&A`vPra z(-RDMhKMEndc;t|t7RoXlBl=$_*0>H{tYC`NCXLGnjnFyWp=^aTHVQARu7Tn=6@EZ zML;RKy@}^6>8m3A5m3n8UTznhmHi_~;09Tc99aoznIJJTqX>;!ko5n1NSM@#D!rda zf)CZ=-StHZzJ*1lh3aWyCZvbthG0UL0wxQK>ltseElN>EA#W6cSR5D_on(5$_~!KK zvlmED=r&%td~xpb^_z1;fxsY5H9^wmqx&jIRA$O?__M{!%{_sfy1Xj=)MTaHW1z)9 z@E2M>A~!+-)pwWNJt*no0bx0Ez-@^=nn`#LA&#)W47p-q5x?KeyKIL^K`=^7oD+9)3&8OKU2NQkbiVTvit^sgFR-N}@+G#nHpB&`tBu@VyG`><`v z3dw;UKH^2jXM&XWPkG7HZ{F0sFFQUnSiQ0o5ogLov-T`OS zA&N2?<4z44`SiFj69ODN082y^cC8lHQVwTweT}g&n|K5!hK0s)bkCQ5nn@atApsMU zzxHY%3{hM9uzVort?g#8611Ur3?whfSuIE~lb@9+>-Bm33}2-wzQv`*LMY5UfQuF^ zIdb^3djOgZGCrrzoMK_?A_<#;gcRlG{CJDMo5WdGB1p7gEJzp(3lbO)wl=g;EJ(yi z5+ue-fJ7}H){Fx%e+Z3Dh2VaUBo?Bmg2@h-d*HfbCd!vsPo!wq!|*y(b(Qv3cbIUS zw~hp8eH2Bi{Z_bgpyHrcFpI1?AAep~$WwO=VE=Y!qyh}|>rN3ASf3|40p@TO)maB=|ZMLgSbTw>p z>Jp-eSY~{|Fb)qZz6Rt$baR?ZgJBdC7!I$g_F88}ksyl`tq^c(hk`_c9lT#6mk?f! zmC#f~K-vl>f@BP3dQ;_?l@BzID41bzxf7c}f^H!+dRd9A;rUZ~cz0-6VIXQq@R6Ck zAgXWW+^+Ni0w+*!e5|km3HL0HA({CHNUoje@V1RkvZ*uF*U{t>B&4YHF%)0PU*plF z9o7dDJXKn(L|@o|)_WuFDC;t?QcEvz~ z5A79Pw&?m64}jUP5+pj&rjPop29l$5Q~v-7-++N6;LYx=?&k{d)tI8ahIRbxElBPQ z5`)QupPxK?K{2tx>@Tw)O3>#;Se0GQcC&va0x*QEnbWga$sDf^j&tGi^&4lpeSsmW zD@x7IfV+<4z;zZWn_vRfgjq|i1)@U4F!_{d0*TDTSc!o|?||+ww3H5m9KJ+YH_x?n zb9_-Je2~+iW4^wQMgY1AK(d{6I+Gh4!K5G|U8blemMBj%kSM+~XyMI~nV>l?D^c^2 z9+I3CBMCIt50PJBP9yDkt^TO1X}aI^sqQ^6<1~RnE=x7>ay?~tq=5t)gv}FRp2%UI z9_r!ADKG(&v$N;U=^+M^^B1q)m>X*J^-zS9_jk8w2I{7LhXo;}gWwH`Iy&VKRddU18y8HS9#lwg$6Z5|okxUmlqYR&DjM4?QgiI()>0g8^ z?&{&jUcA7h!>SK_Zy-@?fCEnq_IZO1BIKBFIU=yFh3gYXvsthSz35zXhcQH zhAdptJGX9u34=5>d`*%oXm;OPA&@oI5u+ ze`9{E$=x zX^(~S2;C8ixI=OX1vANbSi?yWF$ymrg2ikEOKy8?bC*V=1qnY)ooK~ZtYP5DbCj3J zNL29Y{34Va#S%3nn;M;o&DBso$J+2N3zeYpi~`t#gh85tL`^)I2_4VPtgR9jL5^i% zHwq0v!a)lfnG-}M7DJ=E_j!z&lwc?i zm?k}Yv5~Ho!)CDybzDEuPmoYxg2|Kx$!Q*9Ai+w`U%EO!+2U>?zN(Yg=BFQ`i4}Dp z@s;*~Ac<9)JN?-h!mHHrZ1V?TlkyR#zLQkJ{EMul#|`zafkvfWN{ZnSqsOFDHZ#M9 z;knXaaR zWaSlYSF^)l#zuG!V{M1M#_NsIk|&7=*-kc`W7Al;k+5<@aMNAu*`>8=L<;MBOp)jOKp>W63#h_;#q39PlGy|u%g zLR+8tj(QsuzkIRU1v`d>-cRp9e*k6_JVK6Rm60GlJdCkoqF}-YNVdq?EJ!vF@=gcT znYhTihCvFx>>ujM5hUbk^yq0c5=(%D?z|u&)aZdTQmB5vE4{t4m&wItg#;6NXmT9I zS9uOra%?8-jy+#bRg1xmeb)cj-tuzNg5*+1YI)KWO(=E9>UoDvkL|+ct7p0!y!}%w z>p;6{65|a~3p$OKl`yttZ>HMZ>C36vXhEXY6G4KNAdiZLs66Q%?Dyxm+pAS)do{0% z*qk$Gy$ZG-d_Ab08@x7Hi4Z!-IUMP|kfs)8QU!d`lt~N}`Udz26Vr|+cLfnX%3ZrU zJLy?dKVT%jhT{I}Rx+Oq0STg^G7}(q$OP@DpMJW1=gw__xl2U3r+0WQS;_CM?ZVvW zWCH^d^S4tIh7gXOD1|l2iRrPOzc_!k*UKLMgoa2kksKOIhy`d_36MZ3%kT`_Q)wOP z;mHAIc@B^O22Bhkgf)K}LG%)Xh_wNS%DBkG~wl;U81mp6CwOALiK3&kgw8ofCot0Zapl;nN>MLSh1?%*hSH427g1fdnI=JjId@R;sZOGFJ^2hFT$Q zd*DXWghKm;s~$O4&LRg)_84RxK*Rx(O?(LA>p|Y-qJLSa)!2te?p?db?L=6t1PG4I zM6(59BS_edRlmAjxw5_xucxs0dNYCC1F>pt`Nb3MY5%MN8jy&+aSK}!CifmZe)c+A z%o?e$dF!!|4IU_1vV8ux6)U7p4YpaJ@jpdQ} zTVSq5zg1>(8%T&JZ<)zc1IhB5?yEzAg{#Y}&o7UYg2^b<#+eh-u;@+S5i_}PZluZ8 zjAna3+UL!ZOtc^|PmUmoMIy1hw}Tt6W}(nrA>y}^m2je%w~=h3&&+W9B~8#QyeNmI z#*r(*bPpc@h%OoJk;t0IN098L9iCEDW8qSX9aTCn1`}f@U_gx{|1pIy$MlTnXtJe` z{szoe%$t)lkZ4G5P0y%q?t;lNB$x@F^JFoYFNRlEiLaSF7f7{!*Ti{rEK)s9mT`_D zA&V6x=f|2|O~Xf!NV@I~B*%$~PN}u&#w$e$c?2~Y%1AWT_^AdG{u~C9fyQF05@>_$ zjlL1mfou&({hEW55Q327gN!3cFd8t4R6PjYX3H=XP-bI6ORbQ0XnMwT`1S~}7&Y6? z=Dr{^l`abrZihjg=2&lfdv!mPiJHoiAvu^RzLL4(I`SL^SRf(58c3)&*~=il^5~mH z$PiZuCv+SI2~RPJJ(!$3e_^`a&3PSmsId}>DcP;voL_P^D7%hU1ru{alULY40?;b< z#8}Bay}i(Tc#D5BW^()P!&jj~;b38D2YMX4bMy_}>;Mv9sV0TV=;ZVingg^Q zPZ>~V&z%C-~5U8{eg_RIRTWI?bqWwj_)4WuNOurOgo&OT6(!xSe9_xsWRoC@rr@DTNm%6$MxraWLIg;7elU~m zxU<<`Oo-G-OO)dloZW^8MeEr>LSnCC7)X#ZGj|v<6C>pz2N#p0YRrUeO?w@f31d&% zuE%C_3rtk)+yRo^Z1HeuVIyc%Um(gjfkbW(B*2a8ueLw6DhwtXWSo)^&cMV-uM`Cg zgTz2$+R-#%`4ffo40kqUkm{l7&r_)yPM{;O>D&uOS$Q z$a1dN9^YKIvNgIf%P%1dkgX}0h%ES{Ah~TIx%YG@TL>>Npd^a#BbEunol?y}A~R9Q zI_eV$6F@mVnD4?r}hh%yt zB=<5Co}gZh`z+7l9d5A2HaBC1jJU@@^6L3>39aEd^h@sY;P9b!!hd}5bSqtqtt_l# zC4vOH9lcEql3=1}B1n#`JZZe3Da(FXF*{mJRZi%O&yK-n4$x$ z@_>YKbj6c56GRNd&TwSVxGXeu;_F9{6ly?1ZhsiYaqyfYNWf$dNVbBd#ujdk$sd_a zBKIvpeVGZ6&^Mx^p*B4UtjvT=rJn=ZXt8t|g$&5qg#*r6asNm3Xat#GK*xS!+Vi}` zxA_1G?EQQ9pKPXz@wJ7u{TPE1Nvg7`DM-j6zyumBR-&#WIqXSU$?4N$&30$!nD~2g z96`dF5RMt@W;BpQxC0u|GtA01e0q&=6<-mn;Meb?y<;jIy_uuEo?_A4i7bsghdYc+ z98uZUJy9*gN70Gf!AOpR31$*^wKj1Z(pp@QnKVj~zE_A)=$TqoM+O;@^NUjv_i)Wk zW}-b=p3+iieK%d;&7sV;AbAcXrWZ|E#Y)897bM%6Vg$$8r|{!S6?#by3lb}s3~4qp zJ~1^dO!O3=J~iIzaI}pYNLXHEg^ym5$j6-ReFI5?r^J(%yavGpNQ|zd79i9ZJ)%wx zk8*0SfI2SRNcP@PP${r_Z3G*!kr+%I>K)@Xim&`Rw)ff3YDkN|LT^g4#Q`01GH<&2 zM$F7<513XXWpR&P#Zau1l+UIRDNHzI``GC=4A8JPrbN~L&>&XI+ zv${)h&N$7fbt0-)(e-(C44rh8l6Y!LY79KZr)DNQk(e7|*FvftSP5c-79{M=$x67$ z9gZVP=*zHEbQE8C2al}8G&A_I!&u2^x4T$r>h9+4LXfPO!%9s*2_gswQe`GbkQjX$ z%p_`WX)Z@1Y;P%=@L0)AI5mZpsFgN==Lix!N7*;lqG$r^M*DuOO69n|moFr-J{n1j z*0zf>SlI?ynjq1L>*uvZA+ah*;^YxFhuN@dlDP>IxNBi$7NA_ZOg zMD&VeB{5NGEl9Wo+St*QM;DS{qWH>_gHC{2u7ZT=Hmx2o8R>ME>djJ4fIiC_H#}cd z5SRuDlNfUyUSXq7v#Uaf#!1oN!b~3;DQi%KD1HO;koD`wYG@81Y9cAJDAVsFdE$>k z2FrBb*H8*=?q@j=$D6*nDq8e{Hcpv+@-d(=HGjmoT#&3UuI;gzOekkh#&L2K7zzdL3xLnkSM8#*g{kKaC1Lb&Qdc-rBE~$7?eMYI;nq}<)}yE-mE4{ znXRR@y{NVrh$SvARuWz{aa9{n<)wlNMq*jX$rHl?yQ6(%2Q5 zNBd!)TkuJHb4xuI(KencKOpgN@kfOpM#8iKv$hJ@&W38uFR^JuDHa=FKMOqI4Cz$3 zFfkTFy|cL)s(3v)hWhLgk*~Gjy5=6W>PhosP2R?j7V`2ZW%cdq5~$2pSRE4Qu zkPg+V#)-=dOfY_a1Q4)pv}h@YDLo{LoMl0REFq~HFOEh>xQ$8a4e3*mOcP<1!k#+O z@2zvFYOo7PaGZ)Dk>daf0RW1eAc>Y6A=QLs;_@6pqS{F*JzY_?sD{*jz~8$X9X4-! z7yFa!Eor}s4jt>0Ji8ymYBr>77K#wq_8JF)V}-FT~C$4_O)pic=3 zP#9z64?uv0!bf+FZbEaDGr7Bys+Q1q2_jMbnrY(75;8Jk+Jc05Ch9CbeZCT_ltU{( zqEN!rTLVVEPUR)0$blwkUSad(Q0bgHInvCNGcw#m8^l;inJ$LL|D>D*0IVI)3Fp17 z-V7Jg*h#{3I0%J^qB0X13BNlzCnjjV&fcCj&O-Q+d~|9HL)7jhmxW_2Q4t4)hvpcJ z&)3YHi;e58{W$l(N!X0w1(>MqC|jWQWhNF!51ZAe$8ngZsl(EcJJ?OubcgSdiM&XZ zjGkeY0t1bCnz0OppTm(`iB)UdMrCZF!$A<3`8xGn^5J(DhX70_nd$Hdn-}NgWS86K zAK-+jAmLtoslOI!uU9rWl$qb9eXh+pwZiSvDu>NO2Zde$t0J`f z49x;p5+wSY(V8~h`hYFA7b&Ci9m4`SO2%rAS+oQOM!_ta&%#R}a^>8G)kE z?`1m?r-u`a@qq|6j+AhDZ;anyBd1ur?{2Vp`-Xd|Z~2wbGem%iR4uBk6FL~rKJtGF zd%%}HIHY?BO9NeHpB&OW?)-Y~FrBb%FaZ)xyV=ES_mpyFw=xvAA`&rKoG_8c@PVBq z0_O7aMuKa98|%CVX_S)@?nNC0oeD@_0l09gSLt|bprM%tHmPY2&!<3XYjGy_56fIq zP0E+$@^&l-vKJeVaG8P~0k&}I`|O7+K7TQIppjXH&cDvM@O5e~j1?n( zp$06@&hJbl=evdL<144Q9FGKx3DyFbEoZtLILzAGD(nhA%iEb+5k2;O6l=K9iQHWo zYe87YjKkGQH+I3hi@&ILr5rY`YXgZZwI3|H>_uV~`rQbp?lL20OUV!!*+bEC!E4A0 z8K*nP`2*5qCb$TGA*(1#FfY7{?MV{(BcGX}1$!3Jxf6qp4o~;!K&P~`*d#PoLU=t4 z8b~B1ipXTyf+Wpt8|@9#xkmY#VUCG)e47d?`jxS4>+5W9_18FG?DIK9^wN(*A5JVs z;J|0ZXvU~lLWOdCePufoiGoSpZk8{Y6hk4^emSmb&>(zZi<*xpju@6S|GhsS+=rV{ z!KPuULmAvvhGLN@VS_Ebe7VRL#_CSi-B8#^6cWyj8Et9xnA}*CGa#X(CP+*iQM(aJ zAFr^()j2Zw3nWG3IEO*{Vd@ZWv3eTHd;FEqek3iiZWis;xyEyJvQm9hNHRk;oo-K?rhs^R=NItNWZt zJ|wbSqfqA`?QUrq^EN2@Q)*)+=37+!6E@^iO^!S_(i*6DIH(#!3!5}eO)$$!FcZji ztKmYC0R)g>CP)+-NH7yKf|4==e*@EV1rnmUWhSOc(AC*k2pwQ0)niCFzr`v$TcC;{ zf`nxqoOCPcYP3ZVk|DZEW{i#wR-&~qwI7hIBzhbpqNX&EjJLa;t;54??Ehyx@_ zufo4Tf<+#p>Ym#tn4mcfK}!ud%X7?wXvIPECCcl8L?s%Sttef)bfG3~YP?zVblFJae@mmoW7AWJQ} zX251Fcdgb@u4eU*qcm}6lQXsl7YykvAfX&!)HzN`wh!qNW;-b8@1q!O=80 z+`~qWq}ht>O+#K4B-jXzQWLjAMGu16@KufHXuV!qH%-uhWhLTf@ib6dicDaJqO{lO zxd-Ygo&1IR$!{Ihk1`{36H3H=$K5yM`OKa$iN)1Jm*$9CRoV+};$!{}mg|`D7uKjQ zEMC;p?aKxsH6i7iLqC~AF%k;~6NnqHwHFIGTKL#RqQR32k|b+Pu4#al<_76@Q}2zA zq7G%OL;>a08Cdm%S6*See_*7SgCD$tEZm`VL#;+E2~s}D{q^Q#oD2$f5dh;rht}&I zWFEYh79@~Zn3>}-f`mATfp@?XX6EC`#nN@1;)3~Rt;AEeiZl(mUh4oa7gi5*xx-al zhoVXyjT$utttxUVg9#_QO*f4Qs{%(Qy$P{=30)28V61*DDg6eL4FxL$iN#jf45Jp5 z4~5x|Fp%(@LCq2*6gOi=w_}En%!E1U>{+a2s@G@t^^f+oN`Heo%|Jq4@-`$pdntQE zCA32@5hno^F(84bWqx5ZZ&1#542jI8Qzx3*I(!^Yu0X>961Wvx8k7qXo&`a&_zNUE zu-gO)3N&u!7%1PgXlH8=HqU33-Ge z16z=^u@kKm6&55|$!S3%Ot2ClVb(R;=5n?T4|X&e0ZUYMawdB{QdK1)O70+G^HdLk zM5k>G*IsIO4E;2z)4V~{8|75EzWFX`^gxneS0r_qs=+xS!bXqsDVeq)F=oQGZq_9C zRyN2@*mBmT<|@+>$d2Mo2KX7W5fD~b^SBJmqZ7D|%OI!j(U=o_ofzs?CY9@Nq z1POlu@o8gozu;@CnI?qIgQ~CQXojsuhY>5`Iu02t$WFgPa}wSn*|aie8Y2fTNUzywYS4co5B8TJG(hOgM@5 zjO96;o8m`_M}FivxX$7-moU=%>stqu-t44Qk-1>hY9cHttT_4x3;k#V35|nJrH4IS z_KihKBJQ)FsadNePmgmS?US2Cd7bLg6L2QYH2`=D#B0(9^W+?PjwmJyuO^t77iW5; zmHTuaO9G|n}UAf&#%BS?Zrp2Omf+ip&A zXuE()U8(*58zgOAEj7ganCRqqDWga^ZAxB4W(w=ml2eq^qx|AsZ{O%Rt6m(5&@FcHNTekl^gJUI~?!6YIf zx3}Ue;|hJ~yIT1xfT&?Yi+<+B)I^`p=IYdzk_AaNqYV-$&YCYMkwE-ndkc=4J&B}# z3N}yG>>uDcG7~w@KX{J${VmVwq6;4>a-;^=k>&_yqVLhTjuy?#2GinttXK%6&R{U% z6riQ#&LhuHKLT6{plU#7{34(47*}ys^ic}ak*0?PB;&o_nzO^~#oE+m%w$Ya!q48* z$b~~~m#!T=W(fsJJ9YJC;lQ*7&1DX7_mBkcJVV5G&5`AftJecJrW7@`b zsD_u&lUQC_JuH+GyE`EYW|;}s5k;LgkjO7(g9Z{)RSFWeYJenAN##9_E9-*< zM6eAYf&a8u^apA&qiv@_pBj}=Utxe*A4%W}kZCm=6Sb$`x*lxNH&2 z-O1D|vAsPs*N)fztdtPTdlPZVo0ncHw(bEJit?WE{DY+uM zvu_^CHA)dJ{_)S%)z^!QtKqV}aJYM*p&UI@$ovQ$(4``^GO3Q5cEDnFOqpeGPS(^jf(Ltv5Hez_9+4b-5P zX1E~HPc(xxAW_Q{DuI|e?G7gM?X5ls+(=sJ*6&SY#=Hv}1mLCor`>~mLz6ubO>?>F z=#iuOjKDW;xD3Kw@?R$c)z*OV{hk9d=vj9+O{6 zMisS2?Sk^;$ln$IcpJ>~fSohfhM9wz#FJHNj`3?#4pWMNiQaZ~3Di%bga;F@9n|gF zeMHwNh~LJ2Tz7?snM!lx7qmiKHP3sWD?H8zh;6g#Q$)H~M&n zxhqQTWXy!|!dqqn4C7`lZ0rPl#{2!%iod73g}X3ZraH1fwOx&wuoFOGNz-&QTDIj6 zB%4lZQb%}CTcITNN0_KX045eFcn%kJwCK^(QcJ|k+6GfXWvVj`xzwjWhD6PcrRA-Z z&6e5c+4=v01Wf28YYy0E%R)hI<8qn>2|sTk0iuXHTn-_`oJwg2b91El7lkwrSL!V!xCjprB|3!XDRZlVePBgYvZ>2Q?nZ9V1{=4Z1ht38yYX(-PL?d=_?U}c2_-2cGuO~lKeV@B?~K?cL=wHB1O5__FoJfmMTE*rGLuEToYhc6 zEoBI(PLBWzWnoZeA{^?V!lsSR!>*CGi1Z33m#ghCv2rbYz*<@W{^#O#B zY7)w|7F#++tDcEOQS4xjFEAe>njB$bdSFy)D=Y9e>yCVAkFlaUuxOWa2p&{UcHAH4?%tW0!4dLFH z$>gM}9X&c0Bx3`Om7=G!3#TMdWs@f9(GXYjTVO%?PijkQQtiac)zm&C07hVCOB+d5 zy!_@ZOpYL7k|B#}b7oT&ug^)VS!NOph0TOmKb#CxodQY2fywG-q~a(?XdS~5HfDmd z5Ppg42wIs5;RIHxSoT`UXt#4g3$1Ab3D%7=Jr%1w2Q!g-D%lhyp|rEfTZke`g^~nB zJCb7D95b;zhaK6;af^(kprWP$@wEu4s+EzImYQC{9FuV$at6}vGwcB%LKSTybx3waJR>fBal?Ub#Rxce$$ToG{ zx$JGUCGZ^dMqu{v^{FohBnl-aHK99g-eEEnS;|@ztWI4@s$A`ubBd@j>h9O z1QUrwZtNr~wM>YOb@a#?nj6tcg%b-BO>Q+9K88ep)z@Zc@W;hr#zfkzJ`6`q;;j}Y zA7g~-_>c}#N!hEKFkmK1PH-JIT`W7{J=1U%8ko;DV<1mg4t}cM z9DPg&OneqHw_vp5Z>qba1n{RMME!#KQU-7*tiUro7)gklew<&^%Orb;U3Vz^Ge#u{;0 ztj{-QVt%{~P$sy(N7am(#E9ScrDXq!CPzC==I81mCM;qmK+^9o=N%k2ZlDU)>M4+z zdA1cy%!Gl29!L%j)2@IwA59TVjOWn3JoX$xg6C+4ftkDsCjAy99AhGwym^H!D|suJ zs8zMLwwtci(yU-)&53mQ39S8KCr1u%DpnvF?jum5=E|1-d2t86RS!Zh0>JP&pyILkqMk-CW2&Ou-8{Eu%gPf21pNll?fH`c#a0p z>XWJqChy_hk5&8udonKVGR#CR*kjKjSCi*}2}5&}&SNJN6a8FH_H}j9Yb11=YC$w$ zei}3xC2M+ajOXzFtgUS)YR*a`N`Qx>Ct-9p?lhuMEIqai8MicyAjpjlx7X9q2pGgu z{$+p!x+F9>8R51WZsVA0aHz?<6Diq>VZFn|Z&v4sRX&u;aLnU;ho7nS11Q1+J-%|@ z*@|#4q&$6<3G!E{8wL_0tjXEv3_=?eyR6Ayj;G6ZbVy;^QBJDmqUM^2lP16(r6xEI zdD}=!O{CQ}W;r;eVFFvhM37LB2o95(=uM{DN!i@OMC1zknJru^VSs@pnsfyHA}ce5 z<}DF0FzIk)GWGz6O1YTGPFM686CxGgAp?IiauFswhxxi59b+cEIxu&<47rY;Vjg2n ztI@<@iV9g@keC*M-d_t6 z%w=k7z*{nqC=p~qf+-U^Pj47^jL@>e?3Do$267_+%@EPAS)#Co1EVa`wbswcr> z^ihVuX*O~`N_?_aAB--U35S@O|3d3gmkO(9$_lT_v<77~VXB4**L8Jw#XQ7j7HYjZ z>MxoX%`oHo`&;YTqQ8TBm6IL_KqOOX5jRkdQYg{P1xVB$P->Fo9!fcpf$e0}hBSI7 zgC)H&!GzE%^RR-6i7I2QwY;OPTagNWSqj})ohOmeDVY2_&ykssQ@QP#h^YFI5#NS{ zq?OApG$WKyDVpG}qhokZHs{21n2Cv4&-~YLPK=rGC~QFlF=j%v-A`3(DWgk+nGj9b zf<1~Re6z-LEHhDV-{UK4Sf(KwKr zl{lte9a8`juvkwf-BE7e<1J)3_IKntg2Z}-HPGfm>qJr3SV{0O>kc#&lGHi6wPJ~7 z9(xY1BQrVj9A&R~POqz24RC|nn0AH+m9cD$&I+*n%14k`o`aB=%S-c}zBi8!XXY$S z_+2f}VN(Jlp&ux=H|{#rQp=VanpwFzGLs~4gBF*J=RnyYCsF#!9DO&GtySWvxgVfkK!$8E zIWiODIeYPPUG*>l7On|}nuoH)dUVuIQh?PuKA80PwbU~OFQ>D0g&f{7;gzW(#jtE7 zMB#_yC}WfV931AoEe&}DGkJ3w5WLY$Uys+DH|H1x6RkYJ3+WIc6f3mP@@bnTaB-%!D4VF-JV7sgf!AIcG~|Vm-r* zZ>jv=G83!R0TVb&O;E*@z?#(pv0+%Xf8#m4GH-&3qV4oVhb>=kIr1EqpY<)8My6cH zP|W_pbN2HdZzURvX&H*MLRNsK{e0{>#!PsJEzdy;i|%p3gW88N6S>LJN< z;P)t)tSZ1d(~!V45QPMbCJ@aTmE4N2UA#GpCiK7hkKX=1((hW69A9pa9a>V&W^IRFak!b>gZk!n3$sm@J)n?%tSex>D&nt7snh0i7G#$iJ9_4$TXfK)`=BT zKq%#EZloxOn?Nx62hTAm&?|vXZ#-vIp3_K!z<7=u;Z{1C^kaT`b4<1-NJM#3cYS4j zFX#4EqnsHhz#21oE5NFaMBD2K5|bj1^m>aqJ5mitB2scq?2z1tkJ2@YCu1e-{iSGA z?e9joDrCrfe5>NFncPIrjyy;2J;8*lOLN+k4L6*dZRxB@ zU=>Vs=#3p0`89~pmIe|Mk|~ll^{7vbwN~?NNo&8rya{@St!xd?QJo_zInLH(E;|HR zTRf=MB`HTy{P|>#mgi9Wsoqn79WcR!zh$>OpL4Vz3nC3F%!IGh3Reb`9j0A^o9i5V z$!eV`my{LDVrejM-#pcX5%VnYo42G)Xh~4cdWFhU7P5C5f&@ zG?6|ATQu(0rYZ;A9R?CnK7hos64?panuf#EQ#`}8P)?i}r1X<)06P~pL88pTdUIqY z=FI^VHR=f_v}4x}iVY3L!=M7Jl$VSMyHXRgb79^bqANA4d2?u84fXPsR(Ocjnr4X0 zyeN6pgCLrip(vP$P!*y>5M_wQx=RIqBOgt3|M2y1=YD_9X8Y}b{lEUxfBWb^|JVQa zAHV)T|BwIl^?&(~zxpr#`+xq**1`X2`G4d8{He|6wMl&R|MMf1b*iFVa|O`*_d1Kw z{b-fRQOnR+Hw|59qdOCd*4cP=b&U=AiUlOw(LOu0`5grwo>VI9>ge_7;^`8)gvsso z!*V5;ZyXtK%|Qk!9IkJq8$8uqscE3UArZ|xn_B#Z{q2NH8V3By;dyaXi@hhlz-->u zHblD$)e_Q-W_LcGs?$ogdpaji^xAS&Z(Da?k1Kw_`BOUM&W5hZiMDdK?jv+F;{l4|g`UQY}O6>GiEB8_v0%g{8w1 zRd_g3ZtH7G?nhZ;a2VB(B%8nu{nMkqT)Jp?=EK3fpR0ZKdX4?kP&ni29qV&t@(w}+ zdWN>xLCV9`KBsQnd%A}Q0&ra`yGwia)_~g{7&|@Y&XzoF9gfIOX86j5?z*G32T}6% z?Q&a(-(GJXnP|(!i(KTyb6Zd;vw2!t3LCHXY`rH=bQZbvno1U2{^HKkE*rn-8yuhp z{c@2TyBi#fdbPNgbb2!DYX`|3UFJ+#SDduw%O*Lewz+|&%fg95>*R^fTE*4W8YqYM zivt(0oa*3SH92RXZ|d}5_28h2t_o?htCXTO;Hc*^{_&F?xp>ae)Zz@U?N)uZY`OR1 zd_QLt?alO$5diCQhu8K!!~Fq{oYvBz{cx`CsH8)?sldpP2V#S*kgEj-dn?tsrghNIYlv`ATc|A8?!+zIrycv+{bK!|s*d$)5a9kZ33gP9|6$ zZYU3oP4$*`xGc;F5C?R%_Vu^=nlY}%#L8-xkC zN;4)NDfgb4Y2_R$J*d{6k;%@&Uf9hGia4B?ZgjXbaA|(TP4VvbGu>2E zg07EXd7v3R;Yh?eJ%7Fr)^K`jIaslkGh!I;th{^~aR*B4OPe7SP-z))vwH2;H$R8O zc|;=n+2f~+YnvOPTBGw|5gTFIKniAV-O;m$*Y}VzuT?$6=T0?64@*rfMe5Vz46aA~ zz5O%um-;K2qO-N1Dtus|HM_l6>z05z1VWkzv~=kPMPnsbar^0V966;-+r+RlnatbR zscCHGuAlet^`4snISmBXthjq_^LVu$U+tKl>E+-vQ@qaJp^2%<)?6svGSJfoU(atZ zWODW13)fEis6afv=B~l9k=|x67-Mw9{bYM?PgAG4$w+FnyR=ma_{ydS_Wy05(pZ7fu0e`zVvu930v>T*x4(Wh8r6hvULxiICJ*I zP*?ZR)L5WWXkaeQt#YrUfcA5tl#3stM{DcoZDduWV2ALerZ@R_ZEs<-Xl@j0y)hI_ zHjIwcUfx>H)+^bpt$S*uA(5>4MGAm-(9`ZM#8VAu-O`EFp}K0-GjMXUwax|KX0r;) z%vPgMGul#4-#^&z%f(7f9nH{}$%W1I`moyCVcSI#fzcV9%NpbUL^`?o^vPBx8`1^KC=z#RyYn@o_0CSemyb;?ACdF17_& zP^y(G-ifPMMqF4)M_2#Y)Fc;YrCOvmgVCFm%Hr5)uREI}iRMM6Of7ku{be|!IXXye z$Jo>(NTjlng**4RQn6jGG(Ok*_sf^hSOtHGzM}S@aieDoIzPl(+IqO^btHm`BKa&r zD)fL%IzDFhS`jKebMD+(4g{a)bAgZk^&Ag`b6|R;%k=Yjo>O^e*HC9A9L<$0FgD0f zSR6>KzgmeG^GOw z&69JpeJ+Q;1D-LxTlfvb@ZjJ`in2l?C~TCe(P|`hV-tX34~tk7l_cIJY8Nuqle%BbnD0O{`S{@`^&%m^_y?L{qEauzWMu42)H7>@oXoPKU{vj z%l0|r3>|5e28;^Xaj}{a_XUNy%UAetYwh~AEA#VLuU{T-Zs?fg4j=h$v)5j!I+}(~ zj0Z{uYCrmooMZKu5kJk>Au?r?(F3L?k*KO&C;~7INemz}Z3T;stu7=67}6MRVdUL> zfo6LqS#NEJ^+>mYD_Ql%;S;0&a;-rV5xj;CCEjxlZ23?M<2$0?u)zt}6G>5&3f(Sk zw^Y$)Q2s^@4+oL^P#X__xU;mmy7-)n1Gm2a_PZZ``T{)|jhpYi|DHbYTIc6347Yboa@7+- zvToF9=tk-Cua?Bkh8mmKutQ_)iYxzD13P%g&OYuE(q5|60T3ze?emw*TvR4tQo9ZH z_4czc+2ge}^bYq?TOj4s;At8@dzORn)+AAnIV3D*&qN-?CF#Q=Gc9RWax0?~!)ht% zE0kL452y^&N7df$+JnFT<<7#w6BKNJ{QKYj@|VAU``usv^`HNR&tLxf&3AwQ?%QvF zxQ)uhqbKBUi%T0xr{A8*;L+%8;jq&aF1w*^65tx#|Nh`Updb$pb;KT7M}vz{wDc_9ID2oBmk^+8~O1cQ6i>ziD4JfTQP}Gi&!BpaGT_667jF-#l?LB#FsFCTauAj7X7=d?&F(?H* z7SZ6M=>ydat6)DJ#!~r6+9ATC{SjwCWfN42)klB(=O14zK6`*{9$5U#zkU1nZ~yYo z|NX!JkN@#6fBDViV|>M(0WbUkrfmFj^qcrY z^DT+UCF(uxc1k!3P!8Id?%D4CVZqnp$;Q=GFj5O7!?0JWWv$)^>a=4t6-=05dNqO= zoVpIoupx-ES4*3e9)G>6JE9n-cH&spHyv{*hWxGIW9X?h%Y87$mmdE0|NiTPXFuyG z=8xa~+rR$h8=OTETM@zS+- zKl~Kaz$U)>_3!@pr$7DiPk;XNpZ@Us-~ZE}{`{wZ`rX%`V>q9G@%cv=hP#H&oI5qa z0Xoz~bj}qr4NEJgH_O}v3L#rU?ANi@{Q{-7mrG<(W<6$QGgfz3m{com)bZ2MH5vZR_>Q zt2=-Bmmi-z`}zJ|qy+!|&EG)e#~;4``*#3>!mqT`jZpZjC2sJEPIQ>CbwOoxc-wl1 zCvX|A{mfsziE(`O>tFx+H^2GK@Bj24{@4HTzwr6%>&6mLS)t6s<_Tk0R zu7R2JXC{aHy0`!f<6RFSeKHG_opsb9v;d;5Lu5ambJopTX=d+uC*yGCB)cF!8lhbm z`ZNq8^H4vF9-_L;gi#(|acfwpXYCyVnAElrO>xoot5bVJ>&yYmn=!363S^YD6om>D z`d4?q`RgqZ;Rq6!n7{qz+wXtm(Geow|M26jJGYJ6v8;r9jf{|XN$&Fg{=pWzv#En}g&^Q+H3`S6`H z{T+j+&YnQvmIi;Ao&FpHzXJX3Z|ku7Rfm}#2PcHd1k!FfU^AR(U0H# z^iZla_wL^M;k$3Y1Ct*B8u;{dB!e9aqnjI6$U1cb0i<|i)y=DwWXdGc8 zLwO4m1`WSp0?$yGm>>=&>N~?rTYdHL$M5evekwG`M~tf&m-$v<dZR|heI+w3;ckkMb_vAZYe=YC%-R}Ve1Np=6e*2r>{PwrM z{qBEq=3nV0}OBcnDZEh3q*!y8mpvTpwdfo&I~x!gBL4Mx5UN6q?^eex!zwC@|60MGMhll zKtIX~5i(I^L7IYWytw<*{pYWc^!=F>?FZS!?c2BTn(u$WW%z5|?08@&QThzom|*0HhOOq2mWGQdojL zic*xMqC^;9L*bY&ffI)k61;Qkr=M=!Lg)Ag(D@M?K?9l-CigiJMPaPl+b4r_i$g0yqTnA?&91WN40Oh_uCtw7pqumrZ9Rr38B_-2NTHh;cYLGs`EzW<`XH?I!rXS#Z(x=jqv#yu;+%N1 zN!pOfJ!5K21nN03fQJ@(j@Ej3nvZmRiM!?*0v1kCSf~(e2`kD1sHQd+pTE#SB^0F zKlq5xC!ZQfzOXEYnEKhLpM3n``!~7sdF|@_C9LG+K%k+CC1Y|Ei*QBmh(d(MFt5?b z;q~P@2!P-;#&dX#&EC6#gy}2L2K(90W{_EB(Zvz@t|CMZ*^&9OTBHn0N{Zg$V808SY~3-z(5{IHIDmxT=Rrt zN}0SDg7?Rurr>BF9`0(=Mb8nv!}If(bOaYnKKb}#!Gf)PYK7Ae_`Lt#&Ffc4U%2-& zdq%sAg8>_L2n7$!WG>eb@&WndniN{v@%xUB;PH71nP$XtBE#|doJ&G>Wi>y`~P(033Y;Qke-H2J| zi0li=k?QQiU0wJ=RS~1Ocb^YX;KSGk-_8*lsI{ozfY!>atLADSnHXqmp>Z`aeQI{@ z5}$cf*?jQ6KBTH2@mGT4of|iVIfw7?*s!BdO`cZ_`)izR@V zUj>)FbBEMXp3CPxce|cFf6kL^G6CkZ=wajxBos6hp5lwZ(P5Dk<1nQ-LjUK_b4z7b z8Zp{Do?=UmYFK<5o+pU_A#K!P%W-cfi#h<2L}D~1ACkVYJ`W%0lA7!SPr+u53-ip# zKD44oDF(rf?fz2L(}9fynmZ}HCQowihw}HLATc5I=1twCe^1f$9XX4<<-#l~uG)3A zex=^tj)p=!QAFFCmSb>-hu6wiA3r2tRMBn1+D`;Db1y^&%Mn^2F&Dn+3dbsLXMz5> z_V~21p|*(TrIv|smKQLnL+AAGXkDQGFY(5uN#exO=l7 z*{>tdFZaDFGi%>()!jE!WmbGuo;Uu2w!IB_Dr z5l5XKD9|RB1Ql>)JQr)0IZ2d~1tQM$?2rq9F~OFZS^pHaASj54#N#tssNs(%aPX=7GIrFiVjO{kdj|}YNHj;)CNBQy)hBN6Ic;BJIC$RaDq@h)!p<$ zQM~9Z#+4I!#R2i;4GnlA8RoNZymVC@A(&+64NQ+`f=#gNl(LcGGen8soI*PZI3Pwy zT6AmHKP|a%!`W0MgusO{gmyA2jEZC?7S7B}u<$J`UAQ96c?BVLlJc#$bU3gE5@Pfa zXuF1pfOzKQNX*+vI#4Nc+z%)&NLdvI0z*&ZY0U{dF%u#~BFo zVr!9MDwK7k1!97XsCE10>rxt6f>-cOHX5>WI_ZreDlTGC03}FHCG)Hql^II1;)X-iC~w8T5TC4WGDVlgH15_zE2vV27p zT8C%KRg>OOEeJISrFM)%2wV?BJM#`XM0JSl8Aqf$k`&_zk3) zZdLgLotrAvVMA=z1WI6r6bHSLzi1Mp6QSgtJ+KTkt+0B6LSMH;esY3Bb!$PQa|W(m zLtZ7Sut6b4>5K+?WA=@O_oA75wq;@o?sYoaD(q7iAzIei*xX@_z4^swY(80PG$bV^ zD6D~E3V~o8Hq0=~Fs5y5uN;WQx-B}j2`A6w;HJ^xLhB8J;#(7Fa@OP(mVakWNWdc{ zhHwPI+^sXm^hbdX;+X&^WKM3I=5YQ3io?uIk{igd;%NE~7LmBczJA<`&Yp9(d~oka;!D(dkf0GObzq zEWr|1K6Mhr6?g>?)?^hy@st=Xei_F=U2BXZ(qSR?=-W^u!$mnNERjE;;EibxUJ?VY z;2k-KL{6J+D8vw3{;;ktC|l_Y7D9(wQNlS8(hIQ!ong6QifqM$ClXePtIre3$@FL{ z9MCD>1hLA3)@`!$!+kZ^X;nBy9F3NnJ-FgantbHk|(ba;kDHcd+~niHeGrU(GY zQ2Z*aF>BKE2h3~qbOvgODPh?hDe|PHIPj#((P@edVdyzP6VC+W2~Xs{I^mEGONn&6 zsO@zmu(tI1VuFDs!zb6obeMpNN`z(W6~n?;^M+K1EulCfZ%tbqRb81)lc3aE;WT~U z)4+~#O;ikE=M0$U7&F`!gO@BevL$c@#W|yms9Yo~&b17Vj#sl{X!%G^g}wcSZL_Qi zwTNL0jOPft)uTkVPA3J=Q^wN-MIvh%Sm(5wo93j%c3GMp=O95yoFhib zuDg7W@{2tW76U7mILnIKvi#!!*sLh40-W605_RVT+J!X8^ThONt)x}j??jGU+;s17niRpg|&neiH9%BTs^D67U1c+}T7+ggRO zY7Uxj_EkX&@kAnmd=L_+@X?(54T!glt8p(P$|RYXt~miZ?xYvA1ChoKRpkRDLt6?n@`?}WB%yeq!4AbqiJ`>R zu0uXOOmVA@nN`_UBSlJssKON|B$!vG#xhnbNBt7T5xDn5~|gHadl0C=cS{GQdu;z$5M0lPLDYGR_vu~?Q?VmWL|C@BhV zQmUkhLh8 z?4h^hGen3oJUv1v{;gu@<*sc=iG{|84r7Uze7q;|(2$6@sbRID&nM@O$X9D{EHE0J z=}nK_)I`=u>5GcQ#ddoN+fbe>Qe+S9R0e{cEPmGNS5ji`v{oKBKc+G!r-U)ANXTSF zggB1KsHp)xouKIWWG8PUDE9MpNU~6hL=NjQUJ5Rvw%}{R4{XVv?(Uc~ zLf}{6Yk(jGZt6f}NQDC4l!cXHo1&mm2^e&N2$#_Q4d0&T?xidAGlmcWxM44e6ff5;6zvJP2kDK6bSJsA-@D?pv4N?>G38ikqh<`0HR@t z%?Yu=n!pes+3Ai&YDP77;s`$Z!#2gdXIkbF6_hv}m#N9T}H8n5bztmvSj0_UEC zCBR*yIbqQO3YY|0Hz=_#6)W+y>8rN5SRQ$GkUB;}E1qkjlP_y%e7swblC@8p1}a|w^e5XfLw z;0F>y16ELL>J6{{t7>v4;|UqaEawd3BdCil>Xxnp_N-2kKY}Ado2ac2l26BO z)L1g>C=pXUJyBUARvkqF^Z{e=%_ONNCeoQE3pr?dvuci#DP{&rRP1Y%s9HyBEk`Xm zrsY{`782C`B8xBE}Phgu*GL z#3zK>XmLcQLuW5q#gLgVmIFkZst9}skw7Zc;B6E_n4NbR3sP((L_JCjeX^;s1r^d5 zIKrcu4~d9mMA{2WRI)^06Hrbfg_c01sWm~e9&*K4-ylYDA_K_darQfAA|i$H3FgoqU5hv-0aofR`y5DkC=jaJL(;K;b3-)!4T zmSBn+V%S2f4JIUj>%e-Ml87h9kRBm^ni^8du*6}-OcZQ_j5DCt97AN%r7Tif&52O~ zJMey?gK{#!rV>D-1k(jAY6LYYIfV!f2r(%U9j3LK8K#C}M3Le%MYP!TpdEV>OlZ(R zLfwwn$S@-CA2cZmGe>fE$A%g{h$P24sj3 zgAr)PAw_BoEgmt3Z9Kw=Nn_DgPfbLJSiz%s;f6{hV(_s;)atDqskit9~w-96G$Y^7$8 zV^ifl_!99VRyeBqmvy&sBqQf9j`y z2+IDSy#}X<{-OBkU+DjZXH{1I`FFphvhvRY7x|~JQ&|bC={ZPvrW4Cgj-^ne+TM04 zl1_%H`J0_iwc24CKOF>eOIOZj==R;%c(fJFk{`-U&m@b7wMb?tTH4qshXR}++Nc+H zcN*EL;Uingd*#b~@;E;qqnltL3{##$gLFmaL0=r|p<64}w{;qoS}kUGTv zyV>c9Xr&IMwnMIVxUu?Rr%luOdLuS572jJgMbnA)&Z8%#M7VO)ojN<&rZsOM+Spv) z=|(v~AaU+;?s)U4lgdO3>+9vr;^lMs*4Dk7>mZ-VKh=_x9MVwgM5!eW6I`U#Xqc1C zGnJL6#TbXZHOhyT}01@So!ROhqS|w)%G^ZsnJY}#!wtF3OA?brXvR%B@UhqfNF{cqEqJY3(#Ex~!+6H}w@^~d{(e0KW$#mNBqm^O8d zQ&VHv_Uhf`RyN%^-tSJ#XO4GDok*m)_QmHL^*Sh@^;M4CYHrb+jODgcX_c41cu?w; zcXtlR=B#nj4PIczeCkX|=kY%26wm zPc#FW5jyjP6QgrW^Wm+hh5XcT7=&buPC%m%$K~m+ zRo~gDWauuMyYkw(&~YQF;{hj<$19t`@zHp*nZIx`%V}O@G32GSHz*-Y1dIED>80%9 z>Rv4z4OO3g{`q>fytn@B*?uG!-FmpHMu*jMwX*W@Zyr|a$I3fCdwA#eqxGHjHD;v* z!leo6ekHOjT<`}dhz^Rp4NZe$kdJ3&u7&zkS^SIKdw!x@Zjt9wkRU@%q4h6r zKc@FTe){g+-~95{?%~!mvJlT6-TLg-lZ~|}_cyvj@uT(qDtY{BAVF1UvT=0CQDG4r zj&Nk;!qwSOGci6-fJ*Szif`!0IXGLcvaoGoqi~ zd{j#Sp*`5+tdG?~dUm`)>yuKs!4Y!14<7E-33AnHrGvFc5B4&Pvw;IOSt6u30L5(rM>!4A~`Wny9UB>@?G3C3XEK{EZg8SjIyrvf%6N6>pcYAIlhw_=`20+? z)(pouPEjTOso>Vh_*jzU zzEI&*{i-SK{2Z8l@f>h{9F<2Sqi}2Iv%mlKa;;TT9XY3n-+p+MnN06Ld(9vySIc_I(zXE|*;-740G7MCtuzc!x+ax+b{WU}wm zQ?rW~0Bp=t20AkyYqnEkv$PH6955`TYNTj+s-ROK*xJ7N!R?*ny;Tl4yhRbAKs28M zLk4jBt6zWkyU&03F?fLw0l1R9Vcfm@V9P3@O0?ICX2#~uT{t^8Ge;4_%cL}4efj$J zSKbsz&`^SJTs?c`dw=?~*DhYTdIQ7+=z+^4&EwYa=nzuIfybqAKHuFZKu5(K{p4GX zozH&x?%nk@PNKYj2k0;N{rt{GrM`3PlUt8DIH_>FcI%_hmQ_l(v%B&1{@n*FhtY|N z=;6*Wg=4Jy3d0b^oCM@*n8cZdi`T9x(d&}I*8x18J459unSpa>X2v*fjsC&pjex4F z4Gf2vhiYrLZ><#ztig{sarjdX)%_g6(fjYc`<|inKM=6hus)XD11bNE(*w4SBO{|x z06qi}C+E*ydFlGqt1rFs`kR!8efRC}fBy$R{;8_LsGIuQ7ot_^H7CGRQ&c2Us-rLw&dm=`B^gbwG!+`zj7ypqeiuZ1=Y&`tv{g1!6 zd++A^zkL7R%H!K#cokAe?=u=d1oyX&Ic*^vNo2=~oeIKXhlrm+Rp}~17LTOo#fwTe zl0;e}4z0=tKpWt(6+a^Es{lb1uEg7$cW$j7b0z?Y?7N?T{PCw>+`9SM$G`oxVe~)z z2xR96hDrz7s!Sj0MjZ}9;B~LcafZXeaEcoFGZ(J|69hW>#<#xvgC7fo{lg#qghH`D z{uzZnK+?gf|MVw6{O-4alz=G#S$f4_K64|{Rx3<97+RAY?XGb;2&o8=0iS%JN~7Nh zVEe0I{(`!acYiH#oG_G>3;?4L?#X~@276lH+^MC;vYko`i!d?{;FoL_&_yo~4pQ$8 zsskwZeBDC}zI+4d)p@1l!71o4A;gMsYg`-B*6ySG>qm#2%k%gF`1B8bbUNieQ1Xla z__sg*JJtUDy+i#XE}uF~HfYY(&7E>$0(A_e@)J|@XD?rW>80y0eFL6+4-oqIfAGVf zyz?i2^0Rjss`UY*4p#qr-!Y)+TW`E_LtxuWv&l}Eb4cRESZhV#Xe;Uh1B3*a(I;rG zRdax%V}z7BpSqTXLx32I6_r6X__+vUt!Z6bi*J%07}8t zfLQ<){}O~=yF!-F!v;{0tQaGQOQSJc4kX*lh9o+Go-}?4< zzWe9Z42YRrd~g=Q(;S|ty`+}y*Q zefG&m9|3v-cnxF_5Vnxv{D)FoLK+D%d=K(b2&7UWK(Yz)5eUd4X)>iZ4WVEdY~fe{ zg8}dbA3%Cu0!kiOIF>1ppA_V3fm3luuv1vM_h@T>TiDhIvSZvE?h137ZPv3dxr$7EN zm6SYTPku-}hdA;EB8=QBMZb^>);kHH^6LDg^XQ(E@0H^G&QNj23)Pu;pkohXE5MP^ z`pW-uUScv45ZEew%jDrnSqHJenlTc^hq5K3=}{mX)Q16@eDyU-_P_Hzs$72Z;~)L_rxg1j zBtQFS6b;&<^Y*vj6226@xpD2%nUOdvCPn>W4!2bIeG0QU?ii>91H`@@0RgfN94Dlp z@T~@emWTj>B_qDRU5*XYthCl8i~c;QUfGH^7S6 z5p9-drBqoAVe8h|dwl2NIwfkRHXq6Dpkj^!ZKo@GMnryPED>byqfc>41oZ*ztI8id z|4NX9mh(wUk~!A>@(nBep=R!Ft-ultROcO7^0Rj^CT<}%o){GC`h}@fG&xFDr8OHo z+#~tG{K&Y9@wcgB_)s=g!-`BCT;A6j%fSL%JZz_j!ZnU$Q-@j#7=RIDT{*WD^L-V! zKw@GRM0hJ%bXcPGSFmUDCYCZ0OOy&|x5}FjZa-Sn%sjYz^HXro02L{QqM}j-x3GkT z#&{yJWtv=D+`gb1j2!$4?)_ee}s59N3QQ`iQYDFN?P*m(SC zl@no}f~C3r8IV@)YUUOB_~pCO9PG%u*fz}lhZ5Y+4R<6dSyRE>aj{7wp?o|+&m5%W zk`*xE0bov8g2e>v2kOJJ^8@Sq@b-89=uM$q(QMP{rG<%PfS$?P`_Z5T(4vDG2^NRP zh%tdC)vS3XYKV<^A~+bxa4TWhIL5p-O4Sa9$wN9HOq)(Y(R^D1a`T4DJFE!nx^R;{ z^9o#1<`tf}vN^I><*g@=R<;E8eXIqCAN`4zJ&Xygz@}m8H83TbA^JRgL80^mV0tLd zmXg3lnh{Pf(bX!9 zS0YFp2xuUJLP3iuEJEPp2bdz~zqjUe8^_!0o4b44s$>M}t72E|t7<8{q5-*&@*#zS zmjC*hHaJ2+!4g1er0C0mEZtLMNhB6X_~q*eDadrG&f9N)4=#KkpUrbfzN2APw`T01 z3&`)8g{gF>-l6FmEYXq2u!Ln;=t;NcU_~S*@2kxOcE!X5XquqM_a8o42EwqubsR{i z0<}hv^fT5(waBW65=(>)geC9<%kTUW9sX;63F|NzY}E`%P=*r0+A-(CaC9OJdHPT& zE3l;4c*!X)yvo&F&48ps+)x<>R?qw+1IbfxQxs$|NiU9ECQB6(buM@&tiu%k{1IC| zwmS5}fHAl!-&M_=l{37GfME6Trty^KW+&3^ay=NKicpwv)l#tfSz*?vtT^HYbm&p! z$e^LUR#HLjk8@u)wm5lWIM!4U*j%+GnF>mOj2H5wvI|^V;AjMT zF##_!rJX2(ji+>vBNOl5{2jAk0AE>nm}9Tm4_nc#LtpnyYVZT}*s2JROD*>JU`Mvuc0REB zY_vwD(Ksb?TwMW>u>_u&;>e{}g_zJ_+Xaq!h%{Saf=440iarRPCm^<<>4L~pxu7za zR|SHGN!s5SPbd(>o~WEX4@$m=2&eQ1*yR2D?6%|zNJS7($g!e9=k)S7Z+<2^u}L?_sOGcDqY^w+AxkYJ`sZCWI;a*qVF-LJU+%_ajX>JVJtghYruoL4qD#uC#U#}lVF@&vFY@Wd3ygycwNgk4&tx-vp}>*$Tj z2vHbzH%1Q|aJGH$h`pcViDAMQfXLB@iUTm)YMQ8`IgC8(&7())1Ml8aeVFtFp*3+) z34_$e$%xer0NFE?E$a={rk%v-T01pt46!fcz!e!L0+xQMTp)D zm#&$Vm>pqytja|WfEGpUnX86U<Do$j9 z0SgOQQKM})J(ig2Xp1ESE7cj##@gUO?Yu`xs{^zOBJbewrajmbR0xh3O5F?ztFK>L zDLRCarvNvWot&N+p%z$`Kh$L539!pTflWG0TD)>KVfJ6rwu^#Bwis5zkd3!1G}hLM zZj}D$j8A6g&P_Oiz}m{kdSh}TMV8}au>qj!=BcTAV4DDCu^Anr$1ex}iYGeBhY+G$ zaxk#6uW#TnOJ_7Oni^Hy@GaJ1X)tRJfcrbwhYOK&?Zv-GMjIV`o~6ywv!n9_B`L?HBY z=e?q2IVJFf(dUTt1`#>u$bcg(!iiu*xE-NdDHq3~@2`Ejv)K}x6q6G+plaLV>Pslp zrkFwq#sc}R^a!|2^Q7lpL5Ekor)3XGQ6PW`GIF3zb`6H~XNTH>Or${;yT(zURCQQs zC5s<|g60hJ#Hf)nW7*uc0*_H;p0MPg*oqlTI11Uy2oZpR0$h_4PgGfxMThFNjSy8< zvgV8p$2#SjLQGUmR>c~r zG5!&T%}j~g;8>KAVzo*qk)?$?rz_K%OQmX#BXZ_F#W|%nCMAf80&6IamCONN7%Z71 zJfJEV0q22ateK$6xF?X-CTW{NFQiYqoW3WC;3DR5s^S#r(lfF&dtS%!4j zX|ZB_k`f|X-aa&|gO1^e3T{+HuT39&3#-XeEtCYsq}EhM1hEIU`VP@z4w5r#6i3H6 zzG4{2G1VnjA!Y?gifUPHn9-o!m1ogZ?}D&uZh&cL6G8fKbNry28{p1XSg8u6n^u5? zd}y^%O_mF^BB=OsRst+CP#b230tQ;W5)dceuYwyoa^ekW9j4mU=0~D}crY+%vaI;= zST$n^Q4PESilLfdxhec{=ibQDtDe!T@WiHho{>k6I3h}Hb_NufLf|<4QYl|hJ9I0B z5=Sh|DDx^?A}7Fc#EiVAM$tyCH~`gC!6x-X>OyI2pJ4G6{vaiA#Pv4N`YT}}^vu3f zbg%(tW~4XLUa^D)hXV&P*4)*K7gUr*NtQ~nwBM3dGjGH=0v)cP(Ps&Ss2Hl-WLTw| zuQ{SbglN@~h}aA{o==#g>C(_2rPVoA!3Gx zC#xnS79pUQGo7%&Owbfk1!7iNiG?*KMlNJbdK^J-%oDh;)rO!Aeu?N%WPpMjox#9B zacmJ*6B|vAq*c;kHbvU32#&;LKwPchg=_=D!k*AXrn;h-UeV$k)q1+M~ z`d%@x(E?LclRT6m2kzH1Vqay~wB))Ffr*F{R|s(m?2H=GH61sjuv$}ov=z;vI?lkF zq$t4Y2DSu_;2aqht^f#|W{WVmXcEZ@G?-ObHArkP&Rdb3B-SIu(;DfGI3gz+#W7Pt zJ6F1U(gw0^4d-3;9;$;!pn5qcu}qIqA|o$$sEknZI#5_CvsPu#H$-zTJK#-(un5~e z$5Emv$#r7W@Wv)a%Z*tQnG(cAjmQJSg{o#w#r!z1)(C?M6sk z-m{81<=9k3@3`VPp*limR-JDoX*Hwf&kc?=XS|V}vRXCGjw*gkP!x&awp3^-KyTKJ z^$1Tubj%UFmgeA=I72T3Yb{GRSpPITcm$3q7PVs6s~DFJb(>&Vd8gG9m_uJv^E4Vk zXDMk^{f0H$MUlCss^lp}Qkf-XQCfMmXP4UwA!HWh4X_Hw0y>cc1RoJ3)n#onL8d+I zyX3Pek|TSn&U@O5(WFdaBk{v#h5)b%)pYzUClrGKcXMOy%3z6hJ5WNfK;D}cXP4pA zq}YaU64#YfA5+u9&Z9TR5jQt%{){EY6I4aZzbP$JYDPraMvo9rM(BZ|PK+rju$7t` zA)-TMaH^emL>HW4c(tzJPmCRkX63UwL`x7~6+>CIjXIiYF_uYzDds}rMw;IYS0t)R zdg^#1xr+*kW9Jo~C&^89k*loKon`FVcFR##K;EW;$`}3xUj##@;s#BNsw~ibS6GRq zr?L{&*|9#I>r~A>fec8IH|y)Hj$-8yPgg_8YGbCAN)wh~fFQ1l0+!I;z-}l?m>LQ? ziImgX6ujeUPt>eL!eab@88&3!Rf01> z2}@s_&i0xdjG8se77rXxd`$%?Ao0{`iAac80x1lR>dy+pa8INs zMgwFxO0XXqwgDCu6eKAp?P_m0X5fNd{?A7~+!R#t)}6CL&%nW1GWvIFkuV%tul= zqqIepzoLZs5id+*QCj455-A;FU<`%^6sln}xZl@QWdRbt{1V`hvQv4Nv_ zXthX=A*v~W5*NYLJ zm>#b(G(+NrX)NBMhHA48H79goueza1HIxF3{vr)}K-lecqn?}MXm)DUZ zei@-vpF{1JD2C6MqUAaVz`nu1+%CwQpq^>MAPG{1)YIIPcR$`0H`|5 zRp3~eEBp}ss`t>mc;$>bGYNTBfv0LbC8N{h9FfC<=m?2{z2x+|H2ALSWD;`36n|hkbi8}XRV7JjRjelYFs?8)DreM>a}1GYFeI^aG!;fL zaw-$^nnP2PBTfM0h`2 zdkgH&6&(q$NDZNr5cLivj5vafj9M)tg29B?fR5NFg2==QfZV5D&d7>gPGC)l2!nXI^8sbsTVC)KxlSUjU{r3D{vMWbk&GS zI;ef*1S+2?$ZAV-&BUmT(61syP9Q-wO1#2K=`h1Fg&9I_ zEEb_sHBE&E&$_=is5u%as}v<(i6=r!Gz86o5EZ98$$$=2MYH5FL_iAm<1odF2W2;r z5~IVYu+;^Yh$p14M6hU)Oawq2ba)QB0ZT*-_#dnA)oq^pT5%mOUXFUKL%6pODaUsM&bhIu6-qF2Po zy5pC2s@zl>Z7yn!sF#}$9cqv;XqltQbOC0|Y9b4c9|#IVj)wu!WJdX(x^+7-vjZR{ zrkY}%Wx~Ko!k%CtJQK@FnASHy^~pBW+W~Aq!^BiSM$80x=q|LG#+z8R8x$T&^%EjZ)jiqusy=$3;fF-IMhbOKed4&Gt^yW`!sWsowQG&#A z+zy1aZSCYz5?JY`jI7TPc|np{afDUIt^Bg*#zfON_+b2W98o2%X)ykPJOZNxhGJ%(tlkX_Kw{qE3K?$TljA$`GP)aMeRQySBn2GLYhJ)MCQzc2n z2%0n*1Sj13tDTAzhbKPPTCaEJ0%_Sd@56D^DUPkXZjq23a>5}hj4AL4(wr|Sa(QbH zj%xds45|K#2U|FGK{NHv-it*NXEVckGL82r_{t~$i+b)2cRByDe;@yq{hX5zZaIJc z(dhm|Jv@K;uldPITEEsi|F`}Do_$9C1JB-_eBJv4-1z^am-t%z|Nr5aRBZk4E}Sm5 z{?q^dzxnh3?j1YG_FwUjU#HyKbM?%)n=Qc`)FD{vWrLCB_!L!RYn75Qt=F5O%xFHr z5t@;BBGN3C+Q0>6sX3rn-L_@9!7!!cwv2Jy5iKg~m1-+!=k>_TZ*U~!aH!b?g~A50 zOaD8$F4$R%=##y%)zaD?a`u#S)x%+q0IhV`UyBfex)ez3V5)#h!{YR@1x6B(E7S@k za-apsR50XA*t*WOJJH;K3*zu zw(06>HJNA~@0T)*XNT*xKs4Igxc{^pX|m*!iDX?;IU1@T9LML*=DRFvjpdt9 zJNcnle(}Osvs7tDh9|R?wT%WLc%&$tpB@Vp>3y!FT7!kn&3YoRbE}KB-KQAv#t91V54}{7(IU`a&Xkm1HrLI(PB%bd<;i80F#W)?OeT zp_o1x&CSi_yLO6jDl;59THoss!0JRs7w6*p+x7JLND}$W#!8#VBbRhACqJR^^l5P@ zM`82Y_5rxuwPJE4ez>tu&*VxYoK7Bp{_f|8omNGqL{B#wnSA&d1W=_y_K_2Ub)0FK zc_!W5D(`Ra9#ukP3sd3aqY9FrrlkQbVW}NUa^&>pN+B3* za9BzpGBlE2qb2W(K*iB68rOeR}_STY`=7Cu_q+HZ#g-QmS^`6{5D z7=gBAg2p%IR;ALh>dxj7t}4L#((cNGyN}oRj@s!=W98BMVO9MKXbJK3x4(T>)k!ES zXy)as*p#rWXN*kyh>ck;-f0b?`6|59>ehS;DR5FG_C+d4U z74nqwx+xIeJq(V|jdaN5U^?TiqupX4oSr^26=NfXcNWi#=GuE()tKxL4tqB}HWsg8 zZxq_YlaqtVK0ZN&Pf-N|fE;z5&IAivyR~i`kFtDBb5|P&op|uz*|W`kZ9!XsE?|d^ zd$*Pg<)d8%LU%S-HfqVC?!jJxVs7$%Lz#G&qmyb0PUm8^In3ui_mI!0+IJ2ri0 zQB}EP$#yd~GL}u}&s4#%=OKp?L^=yRFsULmBwUkq(M+}%BFA{d=x4()0&tts|x zk!Yx}`s^qi4^)r#IP!**^Y&`-p?GEQsK&M_6b%$MHcJ@n;i(CJb(6(M0sEnJ;Am^F zlfqiV#PZQ$IXXI#ZYYM_4A8WgX6n>h$5RQQl{&M65QHT2Xn#-Xh;lQUrZ2>9k;}>1 z)ecXwRVx*3>~0*j9xmIG6b0b|A z9u~DBi#O`9`gkHYvp7GR5tPgNno;3Q`RGKvT5QK@c-!J^V)SyaGn@+*IZqTnzovE+ zq1OJ>2OE_}jolcPh%3|s?H4<-Xl-}vuWAP}Q!~RV?;ulvX3@GKJDQJSkK#JdlKG@Vf;Lq;ASlwPvt4GLkEIEa zY7^yla%`i}{^HhdBN|o6mUuo<9d+c}FE#?*D(5hlO9z{4TSpaghuYK=!c|1Q+Kt6I z?U_^@doEBH7Nb>t`{*cfKpi5ilpDP)XYzq^HJ0V{Ubdz6N;xn*o@vk-oIRLY338TG zd24y~nAsyHs_wv~Q+AXE+ukpf2s!OywfGo6B$Wu3clXL2wQC?Fs3VMl&XcYsHTeX{3-~sm z6)WycEei{R21MK}utexS5$T{v0l9D?l094)GpZkD;rub+os{INGp!<#YQ#v?pi93^ zYRZGiKhsIRh17yJw%X0|AR|o0xx6a^TZ*!HeV1dbUBQ7Ry3AqKah zZqdsc9A(;rf548Vr6qL;2E}#diqKqu3xrj*M8BaN$Pk(oadbkrRz^F)#@YcW>uY4+ zfH@f8;x2Hqn}$14RjSgJ%R0n?u_ODwC+v@vns;HBe@6v|7$7>*ij4v-iUK8CPU zJ%kU5xh5!#5JE4#B&d*hBec?4jv-Y>U4cUNZBcl|5|hfmSu)*A8asS}DniL0Ggb`r zLDGe6ouzDDB+Qs0p%*{=fGAc?L*Yo+BEDFBAJ+In;si=sqiq2)qzRJAv(RkGVs5UT zCdas9_u&H>WORC9ILe?)RS;M~hcu9pm5ss`w?@)~ZO@SqW62E-u?4Qs<9cyU#Tei& z#FLm|3?!mNsg-uJ*^q2%Is|TTcl41biltj%Ur}y_i`i=_V6L<{(MRRn)I!riJ23KN zs@)P}9A7+vfqNJchH*qJ5l3K&pY*Yyo1(MOq@!&y{E`oc!GC1qp~j;}!X5bV2ZxOz z|6!p{6qPKsuov_b5zs)02cdL?BF3f&%jM48a^*wgWG*d-Y9VbG!FL46v@r1}NdtKZK6vm7y0%H8Ij-t?@bS-1nb@Enqj^@Gf$mx@b3|vv3#)*itr)EE# zJw;!9$u)yU-{i-Jzz{DOu*31gU^*tjLO;}%u3)xg)<|Wu_RM%F9giy)g-g-6C@U+( zfA0`K6BEsiB}z3VMuSrNeWhKMWMa_}PETunNg;|Xch>R5kOd|nmeCha;D@kc!WpRV zDl8dekQYN}1PyX_6&Y79$dBQAp<-n;AV>=hE3JnzV1i76q{td3#ilq$oCEv86D6lC zwU8iYZ8(D-S3v3rO{OJTs|l>^g-DK0ssIKQO^%?a?)IdDX(YcnH|lHGma)@IGT zwTDxVO;lKZl{i+imQ-y|Oq`sEE%0Sh1ID0C&h+-UVi_{&j|__CvfXN9%4}(DiLNiW zzKt~*_V8p%EeqO)i4{wf9nfsC5mkZ`^--f>5M08Lu`qi>DA0M~>%4PE@XG}`P@+Y0 zf;lRTDx z7%HRR0J1VL5mFc=u}9iR$dB5MR^a*iUd_~C6krDsK6Lu z*$i@N(jpzwvger<&#ri8MOi_YX%R=9`Zz~ThRz#!COzlGs6j@YMk_@{E&@TZR3+lu zg9|y_gG2=w6;-|lrZT!@?F{M%&R42q$z{t4$}w^_4M{nnJU#u9ob*)J0CvKUSe{+U zQa~}Twe~3m5>L3fGq+}1P#~BhtHMgeBJ`X|5f;fY#ZMlaun245fewUilW#A7R<5ky zkzHvTK@cz~OccJ5XhMB@T8#?zhD2EQPJ$wH;&jJU*cX%@Q^XZpi4hkYT9f-GMapY( zVt$)xuTvl8;d}}N7qa%+vau;24sXcl9CDfT=@@xzuM z55(m8vNC913e&mmmYY<{w&$c^R^g3fkN4;=%RNy+dxY0<8G4^3E{CiPr9mGAU~)s7 z9DnE&L_{)z(RYA1iHVyg%MqHVAXuT&>v&JLLndtCkp)e@<+u5fkySHxC6bj>_l%m^ zG=Fn}S?dkcVNH+0b|fgG0 zDIV6KIr%o^oM`k` zVjL7j3FP0y6lo9X5mO+S$90)xlKo2eo7h;+-veYog}`0L5{EBq89BBE)4to!Y&&?D z#~7moqGZ&1F7}{dgNy+!){W&bIcSV0u-=nlWjs;!SYjs4n;Dl~_xC<*ck%e;@}C^~ zP)*s z7&$&$m?`a3#TbSr#J1|%dAZ^3zKjr)SC1)%HBbapQzBtycucU@nj|JiR6&c#FkGgj zRUre92u)276Jmt;_qAscr#bP6FowVpSmGcEidd1(HU$!69BUj5LQsh3hgj#40!NgZ zB>18T1_U83h_66x27G`rqfNK(4SgnLgw2eb8stP&NQu44;kF+C`!mz~z-SsILNxe9 zh%Hhfj|AZ_Z0NQx81`>HS|lfIk9$mkBBRL|Bj%Xk7y||$>|e008XikDLx$(^SmJR- zcQV#+Uym9`UXLNh5$(}?L^u+@^yVHTj0U5?BjE%~M1^KX!)VZhdwcRx-}463=|C!w zB4SKXBr0x*HTFs`21k#G{)g@_1e-8X5o07RCNKW&eDY4xW0GpJ>dlN36-S2Mz}=3= z!CB~i=+j^n7;b~#!O!t2=-s{d!n=R(y8fuyI*?x@dOp#Kib#f zhoi?M$z#iD)(jAHf|NcHCvWcG@6>ajEO&EFha2uf#ufhue#x_cfB*T!*SyQ{s)W} zJ1@S*POu#WiG@f3NXX*LuOf z1q9qG{`54cw^;5( ziTAaSw)YxQ9i7T4u7UVaCe#8?6=U08FBID`9RX~Zq-;Dul^aOD@Tc;Kykk_eQ~xU=vN>Z?e0E$bku3up}w1?#Axc6ChVJ^zIV4C>l6!-@$v5V zj*jD?Ekiz20wfkqh2eCG11d`S%NNt7GQAv!GL7xc=5VTA4$QuEd8kRh>7n7#@n~VU zk;_Fp?I6hd)cl2+a6O1*2@EowEN<+FvLiDWuP&y$-N?}7!Xz!x8;L{~T*y$cbGZEY zaP*~%v8OjzkB+uiw@S&`*(8{|D&VKsaCGP9XPeDdg}y@7gXPmSMw$KVu61x6o191o0C3Rp z3((c{ba?fPFPX*f8LhZn+dp)4;0K89lq0u9Vv#W!jP9 zF;1DdzgH_C9+axZ?Z>z80@NFB?riT98!ERVNowR3D+^Wkw#(sA?dY(?sk@cH@L0M| zORbE`akIGyzdVu~inQ8UKx)7^F}A7P)ci46d6-q3C|KJuRVNL4z^4C zO0sOyS*sFFb+?yMcy^@p#i|R`U@#i(s>7W9Xi-edO#;8;y!&LleYm;T40US7T0)1< z>{mMEZ)6=pd-rawl}ZN$$&kOt51(w-KI$B#*jt`s`W?S~JS*U6b56l#rH5!@0AD+sd-*DHtrBz4zlBjer5DEfTJM6Ss8~2GEas>5mJ)Q)ecT{ab4|ug$lDBJn-MGX>r>S-_ z({uT#5Oc#=s;Qw)wVfFQppnkaUp$+SBt|BuNI1@M)WzJ)P`43BA>vAtCRwt;Ag^_yY7tajA-JfcPjc+2z;-Mb6ot)HfeI z+^N<$GihD87>-up@RYl^Z{5ECgmYA$+`oP2!4u#Pn}|*6U}u*jELiifZc4%#?nCJv zGENL3DTLlqhG~NE@Z?0IdMJdTdRYirxpz>F<%TsaBD*T<{4J@lNrm3Yd<&h2r_C(MY2eRo5bdtOQ!er*gqsGdY~ZGe{(&-Fm6i zOinM(Bs(loAk(xa(rGLJTq#XCxaD*d;j%~<2`L3*Z$k zSdcP0T>zO{rh%*4<cZkE8uZF5jSv05qYk!Rc9LAeewxdB!J%kc&1 z#0*3X<4Zwe7*R=w5Gn_YqI{Qz38S$_J(A6)6rAA&daK2_xON&v1?}w^=;9G(8m0OsM4B zKt2|~a=9ACGqsnN_sS|HCD(6NZkt~(7E0GFXpbjoktfhFx))(CFuH}!r_Xjc$V`pn zXv0N|8hYv7q5+m#Z{4K9k@^dBl+5F2mYv+$6V-l01hkKDmbME0eOX=iP|d@teCH|j9NZaH!Cz2ra`a;A%B(( z;&OI=JewPzrNO8UI5r(X0;~quHXm3kMT}vKWQHHh#gGUKePTbz{uy&D^asz74hv-< zl+E()>gvwXF>(y#@X>>N@Wktr_JszWr$E~=)aU8Z<7Jv(&;W!4OGQ0|u&%xK1%9H~ z;Vc~94~XBH>3ka0EVbEcs88yiV2qGBWjG)%NM0JXs%Rp-r9kwi`kP4tJ3@sx*Yngr}O_Z}_BP9({fZykd#6~F|e}uZV zqwVd35}l3qb~e?X?CDc$#zn)Ydm1{I$#t&t`d)2;T`dz^hbKZF3p<6N*lEnl;xmmB z5*r{M?TDW&{e8yN_zR$=Xe0M$phAAPOLLhPnIi3BRKRj*i0$p5)-`^Bp&5I)0STm~ zpv4Ze?Bsr3Ild*Y#1PAmAZ_X~U=kx`f>+Xy5R&6K(2Lr-$gPEMgYD|^{y_m$u+CQB z6#hfaSzPy=N3Oe(S{tbk7_bMrPVF3mf;P8Bb7(Bl1PXT|gCdrkXTX&6AhcuxjpqL zFeQ*xR+fe#^>GSo21aZ#WkOiQ7oR64D^ep0rlAF)$0m6d)#QEn*lUhfo4`(iaosi~+WAtr;wP>K!C%#S-Ps4dWq%l;uGMW>a3+ z46eil$hM!9CQtA1e8v}-2X=|zG1h-UC&+jzwM3c37T-*go`me%u}FkD3giE%Bpz6@fh&Xcmh0JGHtjI1Ncc! z#FU=$s8gK-Y`IPk?&t-j%>`p~iX$=cL_{|iQ&^WB97;e~ZlzRxAHAR|c$cM@+AthQ zz*s#A{c>Ki9NRzW!R)tvQH;bs3;ls< z)||=wqt=FhgtFBEw2*)?4n1Y<{CcQe0sMNnDfMRY^~b|)Im{Ne5KJtAA9;*czz@4?AbF;$i1ZhupHNCBp$b*xboZ3D_~}X_JIz5EQH47nU=(+^m@3 zl9FPE_fj92pqR>O!az9)=YW_P8q-Y}ED_dGz%m=WL~lI6u&?L_1SLda#!ueE^E@$V zAKj-W{3aY59%`jH8B8?d<};+fhP{t;dla5gfbBfR>Of! z4B26Z#-Ieatxj${rZ~^doE2%ARLmJ>Vaiz##Sv+an=Qu?pC6JDk0X7Fmg~U2+LU~d zCt7^uwK;&F5AJhJ5$K~2V;jWb=++J{j6E@?sC&;T_>D7Ro&rfw*rgB$@Fb-tDu%xC z;Vz!YxSfuVyhmHaACnkqlf=VJvay5^03srm*ouhaczTUeqdZPl^uj@>eA6d!Hl`Su zO&d}+uvk4GbZASm5ATy7VHi+5%SGBFN7DiAb#w35#uS-YfB3?J0wI{7a14VZbL(r6 zuRtEE{Dh=9dGUqD%&>IInB%a0Qk_0WOhj0`9Y=aBVX}-VLda^EVx!rHcDLnGOMwGT zA;}K6Wg7?&NapioW_A`&#T$KSpDjEFi`A~oP?x5&-gG!Q(e2!w?Uh@C%&vH7E#0`s z72mGdLL{@ok9Qg*zon-{ZfP>KFb)Ao7_%@E6v?1}ZY{EPm4s+0*!pZLq13Q9tWRjfn$g6??Io^Zov9}y^N8<($y1CuC zCo26l$lNa7nw^CVi!`WjRLqz2A22T%7-x5#b#V|-DUVyQ<;mdHdAJ}$k=ZUxrcRQA zta$E|al&w*&eolTk--vVnB9X^#}^dmVp@+f17dopZo}ysOSCO#T7*~jkbMI_ipLe_ zw>gGrE}W^g*+69-+)@)Vurv>t7Ricit;p6TZK>^7-HtcHh$VM zBPX^Mz?>i^SUKBv7^u!?3T*I)wq%9^g(gjt=E-A;Cnts%Ht~fZQ)h#Jx(PDGqcd)X zHIxd&6eq1_(wwLoR|coVn-I;2reuIA=GdB`7*iywr|gPbnB4+o+!$DX%-NO0;#MB4 ztS!WZPMkFnSBxaL8I!BvWCcd}12*}%SXeT^6Vn}Sy-j(v6SQeErFMqSi1KI1Io@X0 zoS--wY+h_y9AMcAsub9`A%6Iia%xT_DbA|E6Jv_jW}z+R#6ia3kf&=^Dng?6OsuCn zrp(Tw*erS4Q*^@OV=#?1hQQPwUhqp1B$h~Y5fj^(^<>v1*8}SsL88c;ASberA~A8M zevl_lSW9MAEPV3Zq#z@`Ss9?f1O=YhtoU*w?5F3%^_XIgKYqWUs18dmGR%oZM%MW3M5Gp4IT;ZNi>ND4QUPHYUWk5CdSE$vnBqTqeZa4 z6pI>o0@_rFV1Bij!Z1Gg5B7-|B92(p1k|I8avE2RDQq-tgPE4AV9;`t7SY2znWXqU zk%{$Y$7!%UM_D!2KPRj<7bc}%Jkm+5O^}yEgipinO4pc4;k_tH`auOrT+>m1F z-yE9Ti0N^~#8x3qC00Dal>(g{qQzF6ewe~|f*QNYF)~hxtgp#7E#il#z=L$yus$6p2yr6Pqr}D4yy@wS zi2(M@yLjTb;!BGdGcfpAA~L`cGbhXs6J$)m(lU%O+zTaQhzowOQ?K-y5h6dS#}nV6 z^a!z=c{lIRi}y;OJ7S!;%ACLylN3jYPlhIFkPcDckCTKjJ7(^o#B7`8KMc{XpUg0d z`V!;QU@S4Uam%m~;&{Rp$qV>Ak+k^K_$0Vz#}fY4nKg@RdDC-}lmR+?Lc|e|O-_S- z6(*l(OtIN{o|04ZW79Igke(JphnW*cNS`Su#l@$_P0J~koTS6?L`>1Ibu96wNPKWZ z)OpDQ35ny#04c0J1EhGe>n7;bqgdw84yxlztWp&)#h;%(B|ZhcXGaKMfMU_XnqzZg z5_^gcn;#xd(ZE~#mjOC@QsPv{r^DxoQy*cu!>OI>( zD!Iq-#YD>E>G2>}e4+KJ5nXO(Oju7c#TS%5DUJ*td-p!|LSKLqk@8iPIGbYX<0$YS zrBlA%^yI{!pHp0sZRw9bA^v9`9Y%>{)>#x|iph#UNuJ!gTiZicw<(xCf6RGAK@uJ(d_LK2v=5_%!j{C(C^( zLOj*+NpTYEGetMhEa`@f0TTR|d@9Cyq?o;zpg2m5l-@k~g!HL!ullrj7S@>)larpP zI6A(R5K-q*A&xj}Z-jW1hzft$&(?!a(ZI9&H@@lVut$q$-*jnjs_a+mwmv8PXO9%) zNPl+3lm0kO$p8TZFO3$D6q}zOF^-PjA~c{wJ{3Isgm~+Ycp^FRDDg>f&+cd2;{XBn zvd0m}laqw>#YM!3C(pA)pD^@~5+~8_lQPJa9zi}WrwQSGpB9go`aRC7^k(Qw>2R#^ zDDjx$6JnR^QSh8$IYo*`p}uc_XjJsc@FZ71RzKPk+JTwzCTCD{p6AH`HNHygz9&fW z2$2pm98VlEeXclJ5iyP$M~+cr^Wq+iQ2UZMKXw_9%kJ`e^l$iOl(=v9nIitW;mF|8 zZqk>P0UG?NadL7}PzELCq)HEx)PL=fe3B*oDLO@s{d#|*1{k8xMX!C`euu|hk1@WT zb9d|C{q!sQ_dZwplhfCq0k-I_gKW`zhJ8bnypRxG-TTq@beaTv@4n!OKP|Le^~GH2 z5#z}42GvOC4HXw2F|;=QR5ThChs{Z@qX6{S_UTRG+P{*{{|=jJ3OCoX7jdPMp6bAX9wO+;>j@rQZ8&=@DWSASGW- z$%`&EFi-w{Pe1o))r%Kg!>H*^&J%8BcOgv*F}>N9*aowDlhuQx!;bEJGFAzzO%Ju-Te-t>;sGIQ`bY7|$=F z!TW6BTQ7X+U3KujFL-_W-Y4D8T{4@UiYr}g1h@4^}h3^FHT(eOK3Uqofp38 zUEaU(3!Z!5`H~kWFZ?B>=;M=LJn^n~=@;DJ`>6MoFMDy~g8rM6}zBhgG_j{N7C%ky^m4E+F z{|O`v{ORw1-xvPHz!m?|FJE}!-}}RV^z8@!@b|vy3;$r?g8$f;FTC#W|KUIO_Wi&6 z{qOmrzvy4@pYqCGS*>sW#~%d(fA-Q_|N3A3xBv2A|JSbs{*<>P{{xr)&jW#kj;#EW z@#w+9HZ}aY)Mz_A**HuuZ__*`-P*1tIe)92C>>5@;}?>}^1)$ye*V(+$no~VUim+H zSkHf2DKA&{tC4!1vfy0eVW#|SqPm$(RAco>u$-Pbj)Y1arBoOywU>&W#Qh4#Fobpw zkM>J(ib9HsPd@E@bUa^ej886obF90&_E+yc$rO%XUmU)&kXZWT`8Vg5KmDKo*Ps98 zhlOY5SH`cs^3H__*Ia3Qaxk%TP>L2OE=H%Kq1x*2KKUYk^w-CgN~;kbfAcUnKYZrf z+1I{tP%mW5M<4xe?SK3CchZs7XLH|9)WVl9j?B+Y?mu2Bw9=!Ybmz|Fmjdq}J_t+) zn(4(Wi~F-zqvd$uZyxX7S${e+6`N_remInP<#1%;_~@_x!+Rh7vJ!fbd+Y4NmC?6; zuyploEVKWMCy#d5?|%?@x;%aNZa8x3N^yKK{G*YTSLdfUx4(J#xVckYei*n%v2P%- zxBfWycBS(6^qG9=ySc#Z-OHEnN6LZD_E>!;`iq_McmE=Aw0>}NxNvhZ9J?4!W&Xu? zHWvT9mET!?>+$>JWB32=f665rv+LPNe7QB3U)U<2&$rH(Yj4H(_On}|OP3n=*P_k4 zPxnWv4`*(7&W=a+Ub|Rqyj_o^&fY5J*Uq#)tVEmFS5~hcOkLaBojabmw|rsw!R+zT zP<(DCw6XJg{4axz^wizgw;#_uX}x_+?-Kmg_PNOYJ5x&si}5o<>&vd9`rd z3daiL!JqHcznMM$&P=%3L19BuATH;xMrcSC2ZE35C^ zSUF1n@NDq$av<9L+&lklbot`Hl7W zTgktOe01%#2ki@sFW0*l(~YCnV&P6>rS|KcZv`K0e)n2Bw6GQL?vKS@9j%8hJ$V1M zPu^>kA2btB&yB<*;W?_~&#%8(T~FU$FUIZTH)hKFC8xHGx4d2aUeM;G(&4Q)&>ERS~{btad}#p&c>_|9zkTL(|h z9K;g4AAd7``=!PQwQC0ppVp?gyO~JiQtI#1DBVkK_<6y_^tcbmRDQB zmCbTzx>?E%mBMGH#`CGOnP*dhkAHgSZ@%$Ksrpep+j>&E)NORm{b=m|nXBFQ_FIvm z_l8EEu6=Z&eYjKTOyB?LY9sQS@1~Z<|Niy4^xd<+*h^nD z6S-jU&du4(oqDPG`uP8OX7q77R=6}Bz4b=zY4@LhTsm0GWH%qjhc5ryKPrcY%Bgy; zlnTAP8f%|#-idaiH*@_^D{sAi_43xi9&OGJ3JX{ z-a49}U%5ZsncRGE_3g}~y@MN#-;7VMJj&hJjc)|rPW_v`Z@>D#M`r^I4-X1A5_j^I zw>~J(eE+XPm*T@umM@-Nd;0L&w|`zcjQse6cYjcc+%EsKgO&W|TerI}?|gIl@vDu} z|IOEX2FaCWXJXI0^ggq)sQU(cn@o5{+natZ+*V6B z>-OCmpB+WpFD|{kwUp6&g{+%760N3{KYjPWOc!3=%I8L#iCVk+?7<*6yfSgGoS7Cm z@x%J!_q?EM54iQjU-0J1?9E+9M|74UU;W|Sj>HRI#3NCD zuydy7?`O=(^q=Neb~EC}geuP8&{yt?&aIS`k{|6pzy4ca^0N%X9bB z$?(3vb-K}eP?_#zxKYD;lvVFmMM?j`K;qYKM=vz*FSL~;&PQ9QHMOp~c#BWBGq;7M z+Wnw5e6*{UgPY=ye#>~jw$T3%!~hI`ZUBY~@r z48=Dr-xs9i4@MU@!s%_xZM-f{>>X(N;jnwvdb^ykKl_GKQ-tl_f@ycu#*Y%k$h#Ll zGkQN2o%*nx7#R9?){4Y~T4i_tOz`${W8ZM{cVxqgzLu-cr+S7HvMrbxhr;-#*o?EZl3gbETbk zXJ(JQCRZt}&o_cMYx#Q#qjl|Gt5@Z>l-3tIKlZcPBRQK521nCo(GsU$6*4#d(w4aT zUgyH@;QTO?%mjy}VZpf1Iqlq?tYE8M;Zd^YDPA&nTdo}pjml$Hd2p${mGyH2EflM& zCClO)T6sTg=BM5YjgjWcLBTt^?6wuxob2^#n%Nb6wU4v*N_}O2#SSDV)7ng4?yncI z*Uy9g%fp{eN}Al`v)0YjnO##+q9QU|CkA&eAFfIrNzga=!d^M*>7&&3?DXLgJVP!V z)>i*g%qzB2Omo{tuP2z@N@+tlm%1S>x}g~DUTv$xzO*ffuAKD-`plzNy(ewVtZgYm ze|qphZR{MiCx(qZY>YK>=USn*9EiPAt6RET!>&_1*?Yo7FH8oLCRb<)+SD7(OAqA6 zM!LAaW3Jt^s;Bm4lPgCz^i}swsg_;eIyV#tx3T5gwmxCJn>4~*ty|vX%;vR?52h3T zKz5XA?$+7-@PlGnoqA;8=*z<5h8L-ghX-dzjnS<+snhn?9yE*D&NeCD-C4@rET(KX zZypvio1u*Dr*gddFf`1p(9XN=k#PEL$<>nmfKO=y-)Psgk;4}fAx^$UB3Fpmuxqs-UYF%&k z(!&FBQGc&rox9#PXLIii=Aza{W|?+pD){S}+2}B<8#`O6ej*wS=7qjw&)WNaX>zZ= zTNSp3Q^TX3>gxWj;#zxiP@Fm3D^@JMU3P9ip6nMM_Mc8%7js!#zFs}I8HOXdR~|f= z@D59r=Kf^FJ>n*0j~{s#j(&Jnv$iT>=FPG^%sxymbC0L=xf|~JzCVaYQ9J3H{??@( zLF-TMWNt4=rkzMMC%oLEppt^@(oiV?Pa3#pc8y7f-bhXE`K+#tXrg?*{Fdh}uV(ydUxz0%BmkgeK> z*)ux_(b+?OPi+hjQbJz)-hwd@_S2Kr^~|#m_mhy!P3>0u-52hfoL3aALb{XK!J)#< z_VhhGIC5J`*v}{4D{-~`MBV0gX7_|Lw|%a+4W2e9UD4lK-jNQS*>)o8N{Ts^dwi^OumztHCkyd5_=X`jYfH1+YOV-p`36ZESg-# zX$p0Jvy$m5gXzBEk2Fq5g`;9GdAN9^!r!Urd(rex*j_L?nyr?-$H`J~kjdNOAgf-l zalYk+8&7#1*>80GsAz@d4Ygkw_EcjlliP7x^S*N^X1MIu0&mz4bDUE)(+`b_foOL# z`7Ku|JgBKVq2L`X!ljiSE+bz*6hkL4gkO}SuWz$;P-R&(zb_P3#K2{7q zWlNfu+-q0*!vxoAN0Vl^5P7YHI;h*c*h)rfuvg`p2|+sWYukyLJ$bgRI@QjuQ5_tV z>}|ESi|Er-r}maY>49)=-8yUf89^G_wy#DOU**<4L-QWUDNB~hYBzMken-t`@@D6( z@NO<_bhejUbJF{IC|DsHPQ!e zez-fRMZ<2o)bCo#mh((JBo2 z(d1^Qo;8)jgveRk#J(j(3(l@}ZnSPx^urJHS^aS9Vt9L|Ti0*p8)iGGBz6vztokTa zbM8=ay0Xq^%@BLHHcK7ZwS=@e`xd8-a_wQl-%FK6AsnV7b%?{PTZyQALsy$_ICW^J zb%(e7fh%ei>Px}jJe7NwFLCLv+o|kjdZ!-=Li@}kA?J?{nsY(U3Q~@?pA<#~^`PF0 z5`~AMO|H&OAMjh{RG;e=EKSH6cM^+tgiOCUfbnOXh1X0(PSaU(9!Mp5Yy^DfTgbHl?#v+8BUeznDqCI&mxP1DK^byp0{v|H?m8RxM& zZJN9>sP_CSH&EKOVHo7YO_Kbn1*u(6uxzC3BR>|AIX1=Ck{rn`KxnKYe) zsp^M8x!=l&*wQiFt6S~KzEkkb$$m4Zx1Q?lg*nHul@ZsnyBw&@1FT zzh?~1e7igpM|`n!XL0&%QJcW-zUfY+?b-9{8>d9Qm(K1b23E~BLuYnFbc?}edSlrS z+ZmnT&*wIahOH&;WHW=dl6JL+N}ab4vdSP-ML9~3LT;$({*foouUoolOdYyub=b{B zJXdUc*s4KjSvX<+hF)=`(aUT!HV>x!sM$@8l&+zN z`B6I2k|Hg0SD9=Dql9*(`wqTMwpD&8O7+L~R9kP?k_W1LI5(2}e!c79SA9(4vdv*a z@p0yMazAZ`Wk)?&757qZwx=pRb&wq$VOoq;(_(sFKP(A1OqkyY&|qxPEPC~m&355mmQ^JeeMVj{p9%Q-$eRHXdF zgkcXwF%lvnDDCt!g8!&e-cLPBmAr6sF5Tf1xt&znjP6u}Vd}A-<&O@s$$q|R0upJ{mN^F%lTYWes zJTL^sa-xKIWa#DKP%aOmu@dN#j6P5_XV*fB51s8?`gMnKAjHYVvSJ zsp|JeYTB}mq?c?7hBEZZ4o9aLpzKJ2y>K*;bT9Hp3Id?4wtFe5%{%z!A^TIQ zO>V(Kq%pDov)n1Pxcs0wk}}PF=;=W?m=lJTPTF+xri_8mbfsQG4m^LLU~^PR zG1RkrRn2j52vzpXs47nX&bqGXD5K6i*DVYJeUuppi0VntN%8}A zgcIx&exeidJ&xD>u8|15Fte8oHx@gJE^BQbyOW!Pk~YfhrNo1Xb2z~j-K3>S(Y{d( zdPaUf=ePAx9CZ{;v{iW^TdLfaQ`pjTpk}(JmiOCIKFxLWK6dBUy}*`0)0QOXNYB`& zs7^Pnq^sd@dR-d~!^%OFR@+h8EFSFExEAfMZS@lA9;f&=Hb~9x2Q^=AiRq--%!-oL zQIw;oWcgN96Yuj%GHMS~)sfBV_|9JuIo0BF{ZYaXjs_W{jlM9=!&Js~WHsdvxm4)c z@(4_n`KIhEsh*S>30*fGwflzV1a>lQcgv1dJCGY~GZO?>tM2bhwm#Wv6_e4(;fiK6 zsWj!VT`*f!-QotxYM+nt$zG?-%k7b7ihM8RyMd`U6?IfRQn8KeP$~9{(N3m3>_kc= zccfC+65B%dFv_%tx|$f6zAiVpVp3^q$zjq8WJh#E#oLo64t9#PL#CjoANx5k(XwX+ zeASe8L&cEyqnsmS^pl#86I0!hpA);-dv#<5RmD^Ut#DtgyWv2>q@y`8@e6Khh z^-~g-yXSD^xTM>PnbNw!#F3(GX97zJG|Pic1TKDu9tnv)cFrv9rPauhVJ?v^i;i#V z9%fOZ=jX89iz51wlTi0GrKe!eTi>(fgnYnFxLpOm=GZ-`8poHXHZ;xST(9I0{Dfu? zqD*^p%a+2v)0#^$22i*kXBepKrd`A-oUP3=giHdY2NCI}A&O{?YH}Q?f zz_#eRjEt_5HNyNvcRfKBtX6{SN2!4pn5vGX>@>_l7de-6Qyt$JVumZ>%e^RZUC!VI zSMa^$P$;^?lsps#2VZ4%aNxNL4~L78_94OIHHGixg2?fB(dbBqW~z9i69|qtay20o zebs9w@zu|j#V*1L&L2qoL!FZ?j0$pRq-Ly9TJ?K;B)KAfb{HVo>HA@hJCem@Xep7@ zk!@@xM!Eci-xir8I@!G&n4h*@UH!K`@VD5W0a}e^ncqHbr%Tz-0N7_ok)U?)rI}`WxdDfH)G$y3FWVEfo-3L{ZXifus-F}rk#@j$WPx{l6%*~0F9fpM zlLcAOe9Pc{KDQ^TR^UXQ%64)e3LNL=d>mcPORgYB3Avf&JsG|)m zvD3A}1xZygHO|*8_)RZ}hO%#~9Wm8QN4<<&h$LQ0M4?#@1`*EGwMM)zJC<%CR!Bz$ zA9EKllI%yS2W^cM&W^BWdZhV7P3#IOU*^q7$G~ssm=-y1BqNmyVmR1^S#(D-h8FCq z*pd_hYV(4urHX+i8?N2c!w#=E1+6VP1;2w|gS-SM_!(Oc26~8JC&UmjCX}SMqQZWo zATpBJ1IZN(>_e`}I|)0?dYmVD#=uJY0&m;-k(3yOX%4^Yd4|}*7#?-97^L(;B!)D( zvh=7YruaV2UoTFL2r0(HB0Z*7X5HnU_^zEb>VxZ?;XDH?@c?iEqd-x72 zhmP)Yxu%a@oqZR3;!6liCXcOVC77Nn_=Yr;QX%{Roi~u+#%7wwRF?}(F;YWJ#as^C zFoU`r^wNT8`GV}VG;A~h*98RMDsm~vpfwu#JD&L#MEW%@+3-;o#OEgCozh+Ktu=<(czMdV9xP@ z9N7jZ7(*CxBnn>2L8QbM*a#aIr`t|a^|?TidHfy|&^E`K8*%~X3Bn*$0ts9E%d*Au zQs5(vG7OPM9jbm5CPY)S1kpi9(@`Xf8!3K7I|)evHmCP-Sf&_Y*v45NQ3O{JOjWXB zh?u&_s)<=Ew!e-PAqo{A8D+``~7Z|FZka;3=Yi?Sbm4^u|w8*Gf}bWq6Kk?LEfE_qxi1)L9+X2N;!GvtWI3`lN9Al$!kG#<1%eBBPvG1@_Ch1XF%gcyyPS%XI`D+YSX=P)r|g$GbQi2HoWgVkp9bl~E8ReKW!tHx{02BhxeS1 zj6`0wpuxz-RvY*|UghB?w7V{jC&yVVifdss;C&HC>B!(4-+u6uDZsIHejp%Hi6J60 zHo2uE5%APg@nPPa!@Gtbx`quq7u+b)agYGw0?j8vAKTRWl7$~BVX`jbON7n6;e0rV z2Ly?4Uz8jkog89l$2HE1u#Av*@EpPS)S=2nj%q5h3GEA-jrl!#2X2ldgP>pdJ-!SA zQ^+ZSOEv{b1Ro~?X*g#>;#`<4Dw2*Vh`yjYY7lxDFFikLBO4Sy+Ia};IE_LvM!isL5Dz{Biwh>=|M4VMdKjGdg} z(Sac{&cARYo4O4ns4w6E8QH^B1hk&3bDy9H03bjyxwM7Ap&_y4r z0a!-rJuKG8yjGI&joZck9yHUl1AK`J@%7DzR{=(L5ODCbFA@N)NDrU^4pzxW=o5|~ z;(!kaMhT5#S_7jOTnSwcN*HEC-h;QHtuJa0FClhF0gMM51qz5lF68tB*$q-))yEvm zhuU3q1WX-I9U@7KARuZ5uARKz!g^A)XKlBkIH45GG|Gt{9>fq7s=a-6Ps~JUWs>7-ZvR z9-hL%2WaU-0LKhPGe8vO&@t#|5aRJg8MbUozKrivJ`ViCP>BwLY?#R+#6ycd&L4qO zVs~Erg&mj&SZ7P9X{dnMP)afPn&vrP(Hk!jutMFpT0*DcBl%pOsSm9QPA{1s2{c)g$NP}_!%>XmTX$S=8 zgj^E72H*}~TwtMSi$oyA31qgBuLtOH6k%4&;g=N&;Rjft1oS;sQE~&EyX4|nH=2ba_F+~8`7p;472gD-h}{@;;9ddGfdTq%38kUKU{f%05cUu` zK@3&~FTp&_i$dA)z=5lRYiI@5C19dLBQjJ5AZP|I4=dmhKf#4S28b~S(}N*Qn}9>8 zo@NGOgoyx57r(|sJeU~FfCmN`4Z0sY)RB+KFlp*a49LI=tAH-xf;fu~2Nqy2W(qGT zf`OwiF?nS@wsxg1WmPybCSjD-2&4@Fhy#;{`QjWGn79KYryvIX)ASsH59-BefZt?M3O53I zm`wyuA)O1OLyWHF017 zwhDtJ#ZlNtZ=wqj(0R-%D4wE&DVC7R5f#XBsCN+T;ovA_9Tf8jtAhR0Hx-)uAb6oE zCdAMkq8M2g`VK;aUlt%p1uz@%Oy4`;NEpH~O2OoC2le9*1O#*+DlskqBffJmtAt^Z zmte|<@fi9c?l4e-IMBhg>7M3e=qn(Bxxl6ooN-r-_sh`AYpKY9#(NluRr zCLRzLNMqsvX2vc&N`3uuOpr9hcM_NQ&!xh9uM^dZ`GD)CpYE3;&z;FsMPaOhrY2*j16lEAzX8z0;m?J@# zGSPJ@yX@!U|^cd|iyJL(o z-N4LX6C^UJ9yIVt5`jDVwn`FW%q1qNlNlCL88`x8j8kw-!#|n=3^5tsT+o;Vh5sR5 zK+A-HMsnusjD6sMIZ&J=6#|xe1wjau=}Rgx1d%BwQ@o9N1ONC>k^zY7nPR~(Fi;&Z zP>`Yi2D`941QMEuQaB)gr#2u-VE~X&2PuGQh1AM~B3{5LnI(as0~ruaBjHf&8Vo=t z@J$*cdm!APKv;tGVtTAzz>vCufG860)H)Ul z(t!XNBs+(Y><#tMG;2hX5h7@ap+GZI9&rR}p0e8;lh&O>+~pq!wiAOfk&w zV=Ryv_KsSp17^d*2?0@nf#CxU;Ri|*gHYoGshII|~SIju|D=>piQYNlhJwX#5){J2XD}T6<_%2@%f2sarRH6q@KMqCUeWG)Px=u42CTD<**f1_&ljEDQ-rLjZ##%D5tKVr5V% zBS8#MLqrk0r>60#*f(V$^oV25gF>DOZ9G<|) zaG^q`OlpO)xUUHVQ5fSLH#jj?MvExoAH9thV8 z8N(QRC+fzC7|JI|5d|U<6K8gI4Duu^1Vuy%aLiGRlkQm5K~>zE0NDQ+kn};#PCAb@ zC*3e}#0TM~H-?BHk7=+fQU|M#6@$xILFi4gJ$eyuadU#C|8c}a6k_ON%nT+5B;fdu zp`aG5fG+4iYe0OkYpSJ^7=#%<`6NXKf*DuS4T0m9y%^soJQITBs<=^H9}{40SnK$n zK*zUK5VswpppO$MLP_Z25)_jwQbF7XH0UlyPf#)RaT&Wsb&Q0d7*jDgAz@8fE5b9r zKSsxD83n2&MX_zY6s%x;NiES}+=yB;jBy)Q!>Xv9p&>{{jvBGT zxa#DV3Rw+RG8ighmU!GWZijc6)VLkHAxzYi)yK_I5dWvrak!uw1~Ud3w_qP};}Zn2 zku#)(hH#zq)G-oj#~@;^**hy?0F1#gxfpp&I&Kw<#n8r;V`Ky~=5CCN3dRJ0?O5zF zE`oVNs4)wKmdfc3{}=}1iIq^n*dcMj@X#BpjA2*u79>WkG`eXI%l9doJBRH-fgHW@$ zf>p9RLQL)Ba$<4}jIuG&7!x%;E})ilJAR8lsVM^*1G4%P{lv6S$eaKI_ypIOi;u88 zNrPGtY>e^bjx{IJ#LIF0$?Y*chA4(&KrwG)uo%~IEdzZ50bMeVj?3`L*f8M}@2`#zAe5uf~Y!BmO%k01%YLe}v`aa*RB#jw?>y8C2YiE~zy>VT9LJ=_6j>A2h{47fVu{8D@dpDZ1Y_8rxg4_^f5hAX34)-tT{I#>qjc_4xef`~;PiG!@y$82;a=p77a}yKg z(l2~I`Iqn8>ko_-@4>Abhesb?kJipKPCwU}EpFWjE7_y{vXq%gBgw6K|He_Z9^Q4& zrXRg)_C8qeWX_zQ&kj3&x+ol|naW}@*u8(xRqUPJjc#?atl$))iGuHIKK2F~HZ4sJ z%zj@AdOOinRpqm4*vFGU-$oirc({+H;%zrsOd>BL8miTtH?Wc2;kwC$i1i%E*b|Vf ztZI^HIyR?-!?w+7s(t%U|Chh`mEZrT({o>`{6GKGj}IQK{Ig%5x%nptpZ?^l-){Zc zAAWQ2oBzotq{7_UwYR?YNB`=tm%s2UU;2&T`>n-?cl64Kul>RQ{%^Y@?)-$Rt=k{uUiyXK{N(6I|8c9({EK&_xY!H{^H(O z7T*5O#+|=<-BLfuf9A8LTc}X39y`DYC5ghN4C5D;MN1K zu{fRV9Rvlrz2lW9vWnAeuJ>wH94I{4ZzE??(IPorLt>heK<=d7-QF8GS~8VLg+n}k z#qU(9T(N}gIctQZ53F~?^J+y+XY|nIkfVX)vP~O%z&OYwF%o(rok|;cAZhhSu9trC z8?SW#^v{?4fA>HBzi-@C@>8Gu#o0^$;3qQkt;n#k3aZlda zee^*l@#BAaed0%d`2NaEPc24sHg5U5zuw;Z@Yd_*xo2k7^77T?repSY zqEP7b_p4fdykF;t(0)BsNR?^ ztBGo@pmug1J=i)rY)Qx{J@UCxw_Ho4Tr4$IdA&Ac9QJaRXygr!wvSxf&X%n7|HgM7Zt@7f; zoj?EnU%urfFJv$L*4&T&oq1_tdvjs)@#6gYpS@rG+HaoA-+Jx(O6}hFeyBAXJ3nyw zgW2T;|IU2itoe^$c%}CKhxx_T;LZP&_x9ht z`r7*3*S|9T`hVCJhYuHO@7d=+>Abt!P4}arkh}2N$#C)XrPYO-fBoUxKX^YeTe+KT z3?I3<-p(5bpZ@x}oriPs?!-*^!O_F-+!&slu3vj)rj&+jeeccd-+#-PS6=??(@VPU zuKfBZg7^BfIs4AN{kuQ@QS;J;-B(|ItNQegBPZYOOmn%@VsLgQU7p)NTDfrfh3>2W z;s5^Q<}bYbg%=D>|L)e{@df?St-t%h!^@vvT{{~HTI<&13uk&s_iz8?O}#HYe|hRs z{k_-U%Ab1bOk&~erHLCKc!%G8?|$vMqaUoFF^-PP7qX}G8Gf|++IzPie*bZ~@!a{d zQ+9Qtys#$iy=VQ>=iIvoM?ZWwS>Jm-T5JE=50g*L{pkHfBk^5p`7^)p;waQ zqrCg0cW$}q`|B-n$~b>XK0La8l$@Dveb{>>o<0B6)0a=#&Z+3ece#A?-JgE{58vO( zF3%NepLq64@$|X#7md5?N!2Kh-hJb(z5Y9Ie*dkvTlxIz^zw`}|MKTvUYn>+E!}zV z-mM1@?*HIByJu!|t}v54HKFW#MLtu>-T2#Y?@e7>nQd&Jt!tB&$`)4`+ywXoTFjFU0;85qjJ8woD}^xm3%F@eSL4?n)s8q9&WY#(~I1$ zKd)sME?q9qpG}yZ=6cHd{)dn6-)#B)!{#7dxvU)OE9d63sVK3%X(k%Z{rC3F?#*|_ zQoF3nHn>kEpVQF7CR*3F;36K41JGFH2LPda_BCa3E2 zS~qlWZWx7JXZ`V`ox@zEI5R(;uKDZR*G0R(vV3`=)VqJUv$r26O6eibZQOhBM)N>f zEY6+2c5x-#-@JKG);77?sd{E6@%XhjM%9wrQMjXC5YA+B@^m(rNE+p(T27VZqn(3hPpO8zw06+! zo3oCv&ux>2m;%-uaM9UdJb?bp$3Myspiv8HWGA zwJEuY7FgxyHak6gyPd7(GI_DHFP8!bi@lU`0-5`R%v65=gH2E8N4>tF_SloE?` zYOw}DQIJKeq*eSJjeHwqY9N6Z&-sj8G>}xJPez{R4NO-+Vl__u;T>x@8uSLEfv-EZ z=j*9_wNgkV6*_-?&>TjJ?hSiNEol$zNYq7HwugrUC94NEQinNNl97~e4b)T$hb%jT zD4}bSo(eJLvD^WcaVU5q8zyx_mfX-9A_r-RrF=XRqO>d)FGxnB*TShmCKll!4_!B4 z%SgacBtVkHqzwmZ0=aQGr%{nrNyB41tUKW~852am-*t=x9J>&3J#)I?dARHU*dC4a~(NG=L+T&C?g zSju9kB35B|A=U&qNPj^lA)ZI#NuiImsWuj43CIdUx+Q+&mkk|>op=go(Njt%qy;-X z1Vyr^N!htr>=LO`C>lr}K}L{cStBee;(Z6p0B9AIC@M&KvP}+4SQH&e?#NF>Dk{1c z`Q1o8;1yXAaB4g6AlnFe(xL4l6<1Jz6Pae{2qX?;VF@1h%6d{kaw?L;IHdB6sZ#PRkB$l*&qn?n{XQ?ivap0==zs#` zMrI^dvj`eiiva{{j8qL7(~_ps3Je=ZtFWJ}xzNE93#{H!H007#f*s@s0?)=Fd5-UK zi6o`(N0Nc8bfl?h3hYYL72ZSF4tqVz4FeN1a1Is-+mKZ~m5{ZBLKtjUAlvD6M=qWK zgA-Xr;y>DhTdX0G@gZV82-F8t8DR+nEM6fr0xu)E9ZQKEyy2-Ep0prCOn?dnO;>4| z4^p&{CFmnxit`;m^c*DbN+SA)o}JSp=`e)qkadO!+mtw}$cm2DPRNACugGvOWF{l4 z7x{^_HU$HYAmBtYScZ($BOj@^zH8Hg==5M8S=9>9X|M-g!8f}|!U7PNlBr>^sKt^b ztVRnJd~HxA6^Zy*Qh?{Zz=NI{^&Qm0o8cw+pcHZB z^}D1Qex9B8ijzNI~+R5`-RgZkrsT3SiOcA1M^q0{tDbd z48#i{L?SUVM>RwzTFtiFFzy>r()&w&ki3QUhYzs>*kSGE?Jk$m~pe^Oe!2ZDxGX0Tk zNts|+SA!}@i3+5PAupXYitJ3F#}Ew11aWjIz?lZ%@|1lJ4Cq(tVJM3%jGc6eHNVta zV#hRU=q-+V5aAQO1&Z(%j1!VVkp&AHK!AFVf-wRM0zM`C(k~APo(TJ-P?q5W0K`tJ z#$W?`Bo{_w$b$Zd!9#86bGROaU=^fq7%bR_?V=VD3itHz3aeVc0elR)ld$jrAp)!c z5+aknDJD>dApj5o=m!W;K&n9_>Ka_5rx4Q7j+n+AVsV~BmfmOV+_GjNjH!Zf5bg(h&^{f?}HQ#Pvk|EcZt!1(w@k%@EyeNeaokC?g> z4NQkn1h0pYfdV9hi8JMqV*!9Dld|YVrbuy)te5W87 zE;^I&0}*)%sSj94JklF+idv9I0VE;^rw%41!>H*M698(|(;KM|3Zxgv4pOG4byawx*|TO3y>5Xm=r{ikl~%UAfX8q?T9}#VHOeVlr#=U0D2U;)xnJR(g{cf~Iv5KA8mA2$O*(g@Q5=_Mw}TA=&k5(EZkqj!ov_@D=^ zwA_nYqMi&8&O!l&UL;(6!8k ziMzNTVtZlgVKk{Q3nww(l>Kyk`gasnGuZL zix4oHF(-^I))16YN2tcakyz|S0+Fax3s97eZ&^E5NF#b&1#6~803(*r2!G7hsCg_m zYfY*nYhb*i385;tb%zz~gtTioY_ALxh;LPGR_k_e3dVmabk zrf(`Iv}0j#8}q|h2DVuJz#7XySV5aAhypbxBcn!iOMgHCS77%HE%WSSq(q8f;&&zw z-7uCJyR3!^VvY%pND)4UgEXFwio^?AGXy6*GQF~1h_N#S z@moxm**uY@Lc&Cvh&zLju^06Tb43P%%9uH-dxADBgQ!ts)(US=O7!tEYRIrq@mO>e z#Vy$f-5qOXEZQ;dW4Lik2FTFU6}?XQVx`BDGwqCNfhGYleiXj@lKvN98e6R8yx{9^ zVmimvK0-0BW_+R)0OPuo3s!ofsV9r6X57)o?LQKPp<&1vQMx^8J60oWM743{F=f`0 zy&cy*(R2)Yg7YKbOKO^)dS6PXIA_KWcVdgU^^Q6&#~yB~L)Gswb5f z!-Cr1i}2$ufA;QYc>GyfbpH=Dp-Q6rb1)yZVHkf7;9~_JBl0A=pG7;yHRg%cejH?6 z^AYuvD~9%Cz#n7b1P&J`pg#}(By&$TdE)wGjhJS}g#JEy;~2!`VuYXU!eeWsisM%d z^aMG^Z(PetKSut9p{eS+A-~Qd1i`;*SB08Fk{{Ep{$d7SAKNT9;jG-5@$%W-c)!?E^qrBP( z0=+zuN(iIG5{@3r8ThTfCoIhACZEuz7Ut$Ba%*3_HoJCpH9h~#tSZeGJAQZTf6uaq;=juVw|g{m4jTzbwAc zP{oAU8BHu3!qWMfxyj<$=VtYK0|z0TKVNN>#Dd_sL$%V#_U$Mm+}tRM!|IiaFAj6=u&U24qcY~R;PtQa~B7JV5*Dlnp{EJJDmJzl_!b9m3jUEyv zuU;xE$&A9+8YS!uFRxsP{H29Z%+!}w7i(EXn^`nUtA*UDM!8(8bOt3^UCMSizST)# zC;S6%N|*IQE=nv;Mw#cAaDWqc>4m9K&LsTg=RQB7aHUfX zYhS1>PjORcCr(XO3aOQ9EB8#=P1)LfF<%+HeS4T(y>y!EB&Foa;#yWT#AJPDI_l)+ zGioU*6@TQu~a($+nMif>f-dm zRO_e8!rppf>iO0EZ7oXAX!!{{%&$JZmP{1&x)ZzG4s^d z3mfh1%GLSl+9>tniVP_z2BpMHQX6vZ?DVY*UtW=ea35D zX{4vB&rC^64S)Gd!uo#lKmFBEOtsQ$@?@oHr5jV$=H#`-bhmTv!SinHY< zg+aBZKHu2MUs6XGUpb@iuYF#tl(sU{=HyxX{!vun%|fsDrMW*Fd`cRwt*xZ3#PN^Yggm-NR|I6Gz{(Q>Rp;iq?Ub90;b&aYkPznl_t zwY9|ZFH6SneqlSjG`l)KX*hGMmav-bMrFY()uTgUrIac^*gr44+RV(XafQZ~IvXZe z^rNZMpPtzcUb>jghqG6ox~L7)tCKjR5u1wYsqRoN=90O5y3?0KXp_rbnehVcsmqPk z=|wH`rOdFfdLfsYD(IHpsAhucHP4X!-BBUg3{RFFyaXDU_8 zoT?i$ewYd`e{%idv$Ih>ixj-djF$9nl`A*=Qy>Cfd7 ziT32>uRmuy6rALW9+5RKXo`9$ zcV=~WH&Z|3h^4*!vzL&Fc@$k~Xi~AUd~W}B>GFJ{HgS0C>SqV`rFx;R&Mn(JQn^rw zqWWA_nH=1G`f?Jf6Qh|cVI^&?m69`C?&3r(8_110Bqf-&T(WUW=az!og=KMMoLMZn z!}>F?)E#^Av@<=`%s-QEUs&mEO@AWTy!veQQu5ATYSt9fsioGfLZUbG3GZRfkT0fg z7A_b3`Kw~(Ot*dIt1mW;y0dk5I$sW+`$US@qs(Q^s%yMf?CtdVb@$@YPpT71_uBV3$YrMlfliZy;_2KBV{hwx+ zE?f#XSAL_+sp@lu#8Vl0I?*qmJFP{h≷m>9@tpIX$teU9MElpIc}?tXH}6Y`v5#q}P@rC74>JN5F}t*58)r{n^QTTxtE<*ZAGi zQ%(LKr~YQ;>+=)I(#u~>Y*g&l;(2ddn9gqOUVcFgGD{bS>V@RJ$}=5dX+rGwll5)w zH)h|_O6!ZK25N04{nXS?xi5d_Q2%U3IsJ6>0asXBj_T?jS{5@kx3I=5+`Z)aUwU}| zKRew%cj4fuk-PYX(O$+eURK^N&nOAg!J#qJ%h~Xr^NsvZOX=p-%jt8Pes;!7d^TY$ zolTh+JEN6h!s=mva{efF+T#lsw7=y))fmW&&g}ALVJ^}?{rTL} zzV%WivoL)md^&U8TWLzq>#ZucIq4sXmE~w^awxWh;@lNoYMj0_;SA4y)p?kI%21!h z8Dx`Zn&B4*f3*9RM(a#!ql)=zm@CZ`{qt+*>&~^wJtMCyEajbt(#y-8-eiKW;S95A zsy2$|>QiYuBkv~`OB0Lz(ph`vDlacS@3rPCMlijqE-pq<8kvl)bmeL;-7%(;R%OAK z7bb_hv)5Yrxt1wS3RWglHF7U%)`h%tZoyHXIX^LzOpJ<8+uBUdN}e|->gEx*%C~p@ z#dFwmIe$r6p4xE|iN?jb%51J|D2p@2>e=S@TGpDMu^;45ZC9Tbd%ML{(n>bCOsr3p;ZS|MHj4 zJK=@Z-ROmhxw(G*(`OUKfWMMb=bO80^#^W6XiqJ-TH8C~{N>?xtvH+BXyz}>%$+(I zzVu8A>_}mUf(UupVpJDog=-$zdZS`&1YdC%}1$UUT7xE z&omTi*Sk^^mgY6HHC2A`h5pUp!rAgf(zj+_KH}&9KbqeA*^=wJ_v@Ty$$h%ppLIq;VP@_< zr@QywD}LA7yVqKnU2D<4GkpJib*TlXU#9(aeRi*U*6BytZ|Za76kL-YxBY70yHd5$ zpz~4ZMr}vqpuFFXe)s1u?pX)U_XkJuy`9O;RsP=nmsz46ZDy&>$t>`R@ANc#jw|Z6u&hXxJRQ_L&$Jbf-diLS{p7mR8@93D~Xg1s?4`bK{pKOdx%VKKgZmfgSAy3rK#y~oPSojYE7X8eos3+=_8 zHF+=&pS||e=CQdx(`Pqdd@@bLN%Z?XUzua2+#Tzq+dqESE2B?-9^8yOz58|f(WjHJ zz6c&auHRS}H;?`6f1NJIhs)UA#JPRb)#A@SkN(ujmrs6SUEKTRdgt?s8t{)E7n`@y zWGDMh>+e6@(XO8A_gn4ex6Wz)ht}EO>3ci(^tZp={ptthL3Zaud-U0NuipQ-fB1`f zdc132P<61Fq_b(xo*aai^M^-ocN6bFjNU%$O`PM({z>bN z{?++aG)cX#UgXzhw3?rO(wna@qWgRCwQ=6>mamU~apxb^C#&HvUn=jv{5H6^TU;%| z)zB>8+qB=a>)mV`_C^)vbFE_Io)%(v7X|=l}ADQ19j) zzit1^|CWBW^WpQ~s;|zTutn-k=ABPAdhhs$^yB|G`CksN|NLzI*ZTKn+)_D65^(PVPAw{-H`etn#*JHh5O z()X`|;WvM3?migq8DIaGy$`)<*jnWO(S7})vG~Y%p6~9AqV)8K#ee;&?Wlivdhi!Y z`Q(lEIDdULD%DY!#sK5@68e_L<(H4>FOh6czMQQ-?*38fxV`u9Qhqx)Cjsz%YF)g4 z&s)D}_g@y-q@9c|Uqs1A`>&F5RUh6B4(!>J-1%@5KCGIH-~Qx_FnlqazJK6;oV;+F zf9aofyjPtc@&o@Uq# zq`lnv!ds;2+u)bY>%*u0hv{(V^4Vdx`1$CEByP@rJAYK?yHeit?9hFFF#Nl{Pw8cH zb$ApU4yW1KxmP(oW)yw1I;^6fjFaz_XmUgY4&$ufAKxf`?RI+JGWy`&|9A0;?tYg2 z?{DrNAD%l;`-dC%G+Dakn6@qYC3{IsJ!tyVXmg{$;WQI;L%R`bwa{MEzDrf<#KAN5=3oO~We zm!JOR!rS}SyB+U*|9|FRWzVMCG#s7nUUrTSN56kFINI6!>}<-(&7^>n)asF4l4LZNCzd1V^TbovVsYSVeVAnX(_p11( z&u%^+zJ1>JR)ch}Rn7FB;L3WiYCpMt9!w7J?atC$gJf@glkMEP*K_t_bv55PY0}T$ z{?K~4|M=VK(dCc9o$80=dh~wlz4&W=kT!1r?7_~ftL1~}<>$TM@7xIvo=jhkez9u? z_IW<3o(}Kt=KgY^{=NFq;KlfA@%gMeoBi(oLpLgyJ7225itpYTeLnfyXM5e`zqkJW z@E5jrUwxhIaz4KZ>H$X**N5lbm((c#{LDJs`>y|`<5QSDI@oJn2Rluk{^{%UpM}eB zo*ic=#nRm^%*o-rx>d%XUgt;0J-S1kKemd3BI2Wc_jdE$WReYD|9X1xiT`{sc7o-6 z)A^}i9lbU`RwhGDY4?JUuK)Qc4vv$XV1M{JoYcGRod-XZ!g9Vg=*_>kJb}o8@wQJc=I<2!8Kd67T z`|W@8@>jRdkIQTCs5R{Pevn(Es(pWHrrmD&boiB7O%DC1yL;c1t^Lj9(d*cr?&{`y zUfJK-{7~Ev^!~ovKf#E$o$!+#WtcQmuh&(FC!0TOlkmIneCi~|$Jwuc_+a(j{o`Sz z7mI0r8gw0A{)%?e*{yru_CG!JH%iCr-El_a4)iq!N%m3rY}ffy=g#bh+xISX<;3`h zc(-L2ad-Sp74_~#POARU-L0}BALeJtEEqPO#Cb38?8obyyFv8xmU3}2xJ*B|cw2Q2{9sc9w(M#1If9kC^sn=;W*}eYZ_~}pX|0YR#=O6y@&By+YNt7@y{C|CL z_y?4MG;M;qj|3>evUVQxFLRaRzp?$sVtNG8be)C>nXKBBC zzG;m*!S&Jp>KEt#$Kv+Mu=RBIGh>~(leW^{TX`P`FFM}EFpT@jrFwo@l;7NUf7~5( zt^UIc>(nw2K8|a9oYaF8x>I`2ty&+v8h)rc;bN3*F7wXnXXno@W_x>9|F-`}>%+Zz z)!Ta;d{kB+@0Pi}ZuQ4nYQ4FLKXHvB*c8d@{GMe8=|Q$`_tH1t-~Ld$->+5PLF%R6 zi9+YQ&8|tz&2N=71=&J3gqd^auOSjgvb{ z)-i4`UfX4ndi|cEzS15(usXf(yZ5~IasBpTf8`GSV*KkMu5V3xB)~{^`J1#Gn^qlW zLGK6ucGtQH<2#$@$HCyedHrZQ`|@Nqet6||?d8SEgB`zmQQU8L){E|cHTc`)0iFHQ z_4w1PMKV}?*NGndvic^mI*amyBh{az&vx%#q&vONx5-CN^ZMJ)`>o0C3uUo5*pJ6| zhut?fzICTpPHe4s>|B|O6C9w>=08op46pb9(yfnw^-quX-rxOxlkIorrR%*;PCL4@ zzsj$?7+)Up;^Wa_@TwPUyT$~-V*a`R-XFhtG7OUF@2)$}SRLP6yZ!Tv zzd3n)-lyZ{$)~Hg!?SOauiCSTKD~W6{Jrtj_4{E8SPd3^ZD0b9ZtQo zGbOKaU>{b^>+3ih4R;oA*1es?o1YKoe(65CwRq|7t<|5uAN=#5C+g0=+Z{f=>6NXI zBPX9`{jj}r{7)B8#@X@EwO`my@MUOp=;gWpd0YLR{>i_*{H%_8mE)ed^GVBpW_IHL z_Tlf`V!UU1t%J(nU8*0%7q?n}=%Gq}=dHu+;_*S!Yq?kXaa%1eg5L7#{FXj5JUh3Bcbg)* ziazWVySu-+{>kphy!G;LZtpo}QO-j`q1OIc_J`lzE;^sO6#?xo%6`}?e(qQ1LN5rKng*loY|Pr>WfEfM{_z4(Rf+T=H5GNkIL|7!JB{Oc@P9sDx; zb35Ucxu4wr&hj^-L&F%P>sfH;f$JX84=XB;Zm+-o`rzkTlD##|$%*0(hdo}#@oo)` z;`PmVlHW4_sMaUDi)pw2=hfcMZl}=aXXE+JC%=4dKKQQrd1N2IRg3W;VRn;p8Jq_5 z%f{1(uGMivWwUd%UjC&+4~X{)wvmkS7xNz)scwha%in(R zG2PIT*a}zr$iBC;S!&}>oCHs=Ki-?gk0ZKoP3{%?pTeW5{c+HlH1?%moE+57JpUva z1!-9ob^mzX&Xl%0AN*|RAODmWpLo}&j=uY2?_T`&`mX=B7#umy>F?FM_9R`$abON^ z7t5-@Yff+NT=#lLFR}_UG_Mwiw|>my<;l3(b1z4M)joHs2T>JwdiAoOHR(?E>Vy3Y z^>Cysd8#xA@73W4uWojXd!t|f!{fiGUOn6S zOKmYRj9aVeq}wf$;&%1-ySvt1>wC56X69%x(@(XVgR^FnMBbB5UAgxM0Fc+0u;LhtsdnOLv)9(CinVH`i;9mh$_y|fQ|-QVBr zuU;<(5B9EpoF7`rSC`-RPW@_k&oaj?{k`)rEHe5GzW1QpeXFGHkE+Yvy}dtv_u+x^ zT0JV&4(|-pO=J;0jxUVZ%kyDl-9L5JgX_y*-n*zfI|Fa*){6UNciOp9?yeI$k){t0 zp1w$I(;FpMaeL4U^q^-dyB~*72Y-3?=XtVoXP|w%=#P?%>}0ikn9{IqLOSjA&Fla9 z?02!=>pMqdZC>1d?T(`NFUq}CwFa~PXucSH*b0_SccYyJRz{km8EM71edSr36SpvC z17e2ui80Olr*`z52!K6n)Rjg)_?B>2=@V%@#rbG>-Ptm)`wBpx)E3zr6F~qA?yG zxNm1WKTV$nm-*x5>EfaB^1gSqU)nSCtN2%|?tWKwv!bmf*ZIg+&&Ha*`^fW$&NTl; z^lYpy?(H|qM|`tV2l zNIe=|e)90mm7ZzCC;pAH+{o-c)d8Cfb>%>vGVTa@Uc@? zgHhsL#-~qjCWHx5_-F02_+~%3p6sy47L9w8;9A=`x=zn7!9dz&Gst^!=_Y4~*K;+_ zvaIJVw3wHCv(Do6_{9EUd2h$id$Bv}Wk1Y2#px+8d7ItNZfWmhsx-ZbI-U9K?!%2; zD2;QKp7+A_YOS8Py(VDyb>PH7Y&P9E&%*I+lc{@8=KA0&B@1^n*i1F`qg&S-#Xm0V zoOi<0g3j-JPAzWp*~1u5P=*Ngal27*v})*VH^;Uk)GCbKB{4TjA6L9sl9xi}%;p zf#2*Or}gFV_@bGNt*h|Rebd@A{2{%Zyy1>g9y-^fTf4m%Hz&#A{>9UH_k8g8>(BO; zdOBmrAFii8KN%{yKRwug(;n`u=cBeyCpt<@nX5QHA6~slqxe*N9e#FLDwE9U`x}l* zsJ3>q|B!x=vuLldXQ+?ec>3&3bUhR&rWbQKjNAzUW))gLCUQ z=AmyDEpOdAuh_N$T4F!DClC_qK*@-RqC!9wqHAk$~+m-^p^rq z`+?FOc!Rcom3@?4#;r|%qH~I-aVTb z&#QB*{rK{-J&TW4ZlGnpQ??J-mcJ>x+0Nw0&FNYzl2N61R>p46D1$!nop!75QZ=A6 z%+k_!XGLf3v5%v+bPACqO*QV=AvxiFH+U*JcozPP<)~Lu#?m+IeOcu&SrICxNX5BU8^fFhxxk zKeP5nuZDwfcTc`G@41_R>MYB4Y=?#B_KeC={h<||*4L9>StPS@s_)V_*ons0${7%^ zE~+3rvR@pHzNXyEfp^tD@-tfvf_=9koHv^^Gd8W$m{-}m>6J2xwRWQWEmh4r%cNV! zMXlO9raIgt@mgu;t~Z)EMS*^6t*)zwgF;*SqoMjD>(o8FwDME!ZD+7|x z#~sBPZ<1TKSFc-6uNTxoFH$>26)HjOjLXeIMvBL8lG_ReC8E=qF6yNk(?+1CjV#CRstr}_PHM+WYvO4)JA18sZDllcp0+1Jrfe{Z#>8xf z`a0~4^jGGjo3y^Ye&9LAVtlI`(IMAY^7ByX==ym)+xWvlxUT#-u2y|-w%00MnpIna zd+IW+ioNSu&_BvIffMigBn(4u(rQ%7)Z~#ln*31j(8+o)DYJC5NRwCUu~rX^q?ox> zvkG@|D{n28VqkY3)o;5KW1aTW?sz&scjkU%>4mdynb&l79oms+wzM~HH`A=s+B#N@ zltmI&`TJgD=Y`ek`KdpvdObTIDavGdnezI0cjtK(yM@pD{=>K|JT>+LBimOSvbxvS z&}goJ}l>*!1OMovR?oNE8dy0-6l^Wt9lJm3eO-%NO6TzEwcYou#MI)fp>EQR?r8+m z4z*}FIT(+#4u15zR z)qc!;($pPnXErpxBP!hwPzq}pmFb`;oWz;Nxe>TdWt`K)yNP;k$C+ZW%*-`At{WL) zIX~KO*vR4hVCCq3-wlG;Q;SZdr3apEH<{BNZh~BMyQSgfd)g*<^^U($=SiE&Efj|7 zrL_^nw(8Anl0$<;Q(b$|T~@>n_QvhvisQUdoiu{1t!UD_TD#Q5F`PWClI5Zs(FZrr zR$6NmDT#*NHF_31SjD6lThzhH549bGPH2YaGTx2M$`4g5akM=4Ew8dhQe0v$xe9xnQ*3#5 z;N+g^Z8F?O(eX{wjao@%XPv?PI*ZwO&HTA%xW==n=#e=$utafEclPjalT|=MOX*4o#0Q`CxrsE+6vl=%T$Ma#LWO?75 zyG=NzMo`lURHmHSzD4VF_arUX@g8P|(mqKyQ<5ZUyKAe+gR;reRY|~UEi8|cOzzA- z&gcuBkJouJPX~FTg^3?wb7g8iZRNXxXZh@xXDO90+)T0i;o3CwRJXLS?P2`;o@3vv zb~7>}oi?y=tlJ^Enb5D&u2ChqOKmAJ-HJUPWRo{-CPS19_c}n>py}MJFaq4BE zsiyWCO4+y=%64deM=4W}jn-80vEn_7iA7%ArKM~z$&|U8kHU=_IqG0guN!AH(l4EX z?OBaw=CNV=x)KJZ-Ky20wRScfA}K5_ib%g!oQ)1R&vcwNSwk>cmX~I`Rw8O4DQ0S| zQide86LE^Pu8O0cUirBjk8|qWtn65`bi4FI^ulT7(>Kxh$fXt(Q}ze3rrN=7TQjN0 z+5~}RV-ix-Kho$CZVcKH2bc*$zHv174)jFEUh+2Z5h*bY^M)AXvcn&pSu^AtAJ{=iWeH8vhwuO*Y&oWaE}w& zt?tJ1SJ^n$>b&hN%T}~BL!&TjzdfA3Ew$a&W@C@rO{`aFWd~yyAGFG8HnM9eG@`p| z+Sw?ro-xyLM3lG+!?;sW*}|nHh;LSdaoNPJAWpB?Yul-3Uf^-SD=_FvTPJOsKqpo& zvfWVUxO6w@rR;--VXcLRW*L!B@w2XZaTN?&;i77{6V0Gt84eBDkrz_M%L^mRb?|&0 zDpeWIU{GH8<5>4PMPfmStXdBG6}8CNC7sr7dUnkMpr8`Bht0G=V_xX}Jh!YYPFfsa zjtxEc9g4#SJyN?t1!ix|1Uux}uD7aF%a+<Vvr5Us4#+Qj2ivQ0`6MCg#~pGGt~~8ET@W`9Sz&clt`hZb-O>Lp`xW8*q_pmz7^)aXLRF*t~ryIW`wcVlGfY> zXE>`7P>M2tk*~(VoAsdMQJl|hx8@5wjg!>KQ_g9G#*8w)u^Tm;Nt+r=Zl~{Fx-CG# zn(D_2l^g*LNv>QPtymzf<)&8TQx1!oVetHj(D!rf=(-9dtUzZ0#4l zf{I6J7CNRM58c_yO_El#WZy%lPS{*cRI5vu=MYm=1cdU9HtAMY(6QG=Oj$b6L8zG> zU#qR!!<4PwW{25}(s8+BxoWq)xT;-02$N;qNzE`-c?2NK*MgQ_`zEfV6V9(YJ6>Ql zW*+%v6(tGfx1u=Z#+6n?3Pm1WyYkN5*#>{?T25Bj*H(*)o`%_2qabkW$}8-Rp7^Tf zsn&2DUR?ry>Sbtpp<))9w^BSKHVxPE>)MZ9$J@Ly+s;m3xw3b{jh2Y}3*EGnn+r-_ zdCppksl*pXk*Cb&apHur?*v6$uAOdq6(qqRwR|Pma&pLP;2U2#be4l zDp4A)F6zA7iKrRs`+DH%ezT5=aMQdnZkjqC80d`OO?`E>)YDj-2ZaNgannIKTf3gi z`xK_`MZ9ApFHbX-Ja?!qs3=|#Wy_`0=>`_n*;0#YY=LQ~i66JkAE#mH>o%U+F+y;d zyEb~pMnF^E*DW>|RmZVfovw22__pb8>Q+yyQrDt>U1MSHnlcHsR%jSqbKzr4tI$z; z@so`Lh>l?C^t`oo$tFq(7Z!C441seGrDos%c zsO4*VkYSPHMvojLNVE-@nL=*9Qrn5E1gYr+jp0))9sI=P7IkO^nYQsF>~O2&>UNYc ze~ZMO7fNZ;m1+agT~}p8Fte1 z9spgTu4N{GI$$~`5V{KUnBxbQbE!;J4djx=L8WE^<@s{oEiDv-6JTz{cwsL>+l<^w4_efs z)bc?>#nYs4sj{LN-5$M#ty)N?$va^PRm-rH5K^SEW3QT|pD!ps*wZ$xlnQKMZbvCY zmy(Y$HbKK4I-EtUIa%InwAj+z4A7P2Mh#|jR#Yw2Id-f1_#S0oucOqoI^g)g_A_Tq zph^k3M)T%PsyeHyG@w$g659rK<=n*8{YYzedYiJgtT+Mc@yN6$%WAPWJTsd9dYH$O0Q--laxN4N4 z$7#1!*IHVL5`d#Bc#^4EP5@Y~!N!K-#L;5mI%)vSR1)NnPZc9_Ir}lS0Gn0hS;Slh zpD9J=(sY`*aD97Ox+wZ$M)g&|W$AUCiju!>wpv<24uGC& zZ2frDv_uW{sIw`-AVF#nM%O_{b=gw2Bj0H$Gol;2X%GPgEE=mNnrB#L;}jI^&5WSX zRL4l;hJBOF4P86}x%E{A_XsrlyiGXv7B+(5cy-W?!g66|8PPmWDP3@|$)>2E<9O7` zv|YcgED3S~ql)sNh)xe-vZnwb%HK%*eDshE_Br89!gQhl3t0F-Qv zZ3^;P?6~+O04WV>bz3TBlug{vbPePe-d7vjRPz>gmViJDs8t>6rQLS84twrys6$zT z-m|75Y9~N;sHUmR_1skm?SjB?$?Q3C*D!2733bO+3%exe0SBqm>9P>VRJk-XHkPC2 z>2x_rbQ@D`usRvcunI?y3}jzH4c&lB)d_Lb#xXS4H&)EarEszv25Tx&Q+Tn_1IN?@ zHI7%>xL;Qe>8rHXBM&?38IifM)sUPDg*+?qL`vg192cjuw5mqDhhMp@&`TmvUtY^+$emBcL5s!7Y3v~2g)Ca6eOdu5enChd!nhER76 zJ+?i$oq$LOFEXv=wc!W2OM?kG8376TyExMDJDXLq=V~Qu%?Z*~c8KjjhkT?9 zDB|l_>xJ&M9k!y)+~&a8rn2)~itFa2O0*#Ktm~%8IQQX_MDbVJKwmNtz+a5E;trh~ zgTzTm2oT~;DAyc>wUMqiEkJ<{O3+O9IYWvmYiZ$Z8oz}yQk&RysMYQoMx^6Q95+&C zU~?-pF7zDL#JNyh*V|Yfl4P9340%l@Y3W;ur0g$SFXl=KGzBMKCk&CcrZW&7KpQ`! z+friN;qVK#F}#^khuvFU7-M@A`2atC;drV_)T4mF42`$lS}AqLb?iRWrb@TIpg9V8 z9SW(t4Ok~^#mKttu~V}G6o3v$<|r1gc~Q{Lrckku52ImuK$@*I(mZU|VTAm6p{=M6 z$pVg0uIa$)#X+f&d(oJlIom`M_~5^6tp?OjD~}KST$}TvF{Q7!NW*wZ6p@& zl2PS6CDG*LgB6fpy>UE^n)RWL*g{LKjC3u)cUrtf@5g%MAkr1FfSXav*z`Mr#>Nxy z(KczkqerlvBWIh1nIrj_Qzzwhx8^eKO6J92^Mv~P4qKKEkpO_R(Q{rJG1f{NvvC4a z@=d=WVz+@GK}>6QPt(y9N>E3By|FB6bJ}FP7gBM5ZM&=&;NrOyxOT08ck4?vqO5-+ zh1n=OEj6P|7fPvE`N%a~J5X`*9;a~Knw3-@nA!44Zj{$i3=J_t_-TWrd$UwM;vQw? zV>T3FehGHPP=YZGvPCE=rR3$*C?$YNt&w#0siF*u<@B@$N?o~K8^QMpPgV_^=b5St za%()R^b$yIs6Hr=cPAsMaCTiP(u8-j1n-Qu2aX^#49UH^s91h z1teP2)`0Szv?X!jSm;oapY$dr+O3!jZ&mwAXq8pmhE0iIGY8@#T``hs#YV|Ov&h+^ z7(OX3ikE7hO=5tJ5`VcM+hD6DNXVhACWZKE3lvehHMDf!ArI9kF{O8X>{(=6wT?Sd zR|Y#Q_z*z4eYGZc9NU5v4IDIYj<~o#$HIEyB}zx)Do_qyDx)MYZ)m2G6cmp(TDDPX z+E8x7n0&~>q!EG|)JvxY5>D0t4NPTVG#2^9GyrDnPRqvLVcHr)u?=cigUu^bwOy*t ztF$DF165zC1h$H)=`P_c-Fg&XJXOn@n{7=^qd9=mp$xrYC-^t87LL3Q60-q3@vpL8 zul)q8zfdzAre<3eDSMqLjie4?rDHB+XD_u;3+#SIpbr0{s3c;bEIK)5DyUM}nnK0O zO2YlRL1{xMriQK_J4CjYM^$A%CMqH~oN%USQ3D1PC_>7t0T7DzQ$IbmDU8o+Ha7|Y z89TD39yIMCquQ z-e5jCec%|>sHaFhCB{JuBqs5A@{*#84@bShF+{kHA$W@Nq!{Udcdn&QDbmXB{U$;T zL!g`=5!I?|vIOjnAcQ=)u_9%q0E%3DHRb4>>6mN9C($c}j6ffN7yu!uKHyAMx>=~w z@7+iu>dwyE&1*G?d%t?`p4n^b1KhF5HxHTVxT)+*U|Cb;l7gpUS! zaor`@hLx<_BSzR*c8O3ChBb=m0e4oGQ#c&_$6h>Umv z+CbI7lfWz~*=}3q%5-V&Kw&3(dZeO4SQxy9O(E~VU6~PLgxo{Cu+ANTeA@nHm zx_TXYJdTbVWHn$Rkpva0!O)qquGO5p06AT-ZEYje&>m`Gj&zqk0XnWUWk=j4D$(Lp zFLe)*3|xxVn}JF8zd=ax8#=(IS$Z+nnA}xYUmA|)w1HAc2{Ou_u7*rkj<^sM+{cMj z>{wwo=W5Tr2518*q_TYg#Zl)lP;4ZMya&@IB%~KYrG`r763rt{NC%<(rjG5>Av23! zN+oN(2&hy37 zT0-zeOBT3fxLN}lIbG%;fLaYssY)+)NN`llQff?-Ptg28ZEP$&wR8Yg>;^N;lv)Q8 z`WQOlu(UuRybIAH+sA6NnP{>-xmBY|iuac*5L<+l65QZZ>1%^c!8vJ^Sy&q+^|ZB@ zllsC?tZY294!`+cqKUO0*^0d>!8R6Tq_c}4FUsv>Fvx@2Rg|NSuD}wuD%}pG@&+F+ODAzPhl&(Sz~c<6qZjNf6K!& zmn)zqlEbA6-kzNr0$M@@Y#$oyGYTSzSuUNFb90f4El?uT-*U$n>QeVrDlR zQel8=gNb9q0&A*!p)eRt}pW3A>WABY*xjKfv7AqKfx zQl8XDcVlgVd>EK%W}yIq9q@RC&5U$hDvRVBX^ujIAfZ=_=6IetQ^3lKjhRMRPgDHR(rhvpd^5Z%#mx7)xnPAChWy33s#y_ zZAhTd(TBxB8oC&<&ESDvP*|w$(N&0SQyn;%I63@EUmI9U^g;g*;G)Y$ZK%{_5M9n{ zaQ+wbZ|VSt0LB1rMAZFy*?20+1x~W5Ggd*JRu@4>vFT5wf{r$(UPU4A8?fC?tS)^I zK7-kOs8r@FboVDFAO^H8-`*8>GBVFDY;AJ<&4% zIUZ8dyc93vf`u6$(j9x=dlqNlF2)h$y9nm_<`H;;9PbiKcV4ZpNf7 zDqItI19$+s!UmE7!n43d5*8k!!u6v6*1Y0)vjgNOE#{HYfNGI1eH+Me8l?T`rbEyx zp*vmNIL<>&dF+Bq(^wt##=Q}G5lcfGB71WC)|x{}oHODbC+SH<_N&riBxi51#HOTg zNLM&bjkjT4(szkIXE+la8dSvv)QBMciUdm0e2Syd8>|vxyMYsM$(cLAF-8O5`X;6q zcvG>jimk&BnoOU~Q>0!Rr|=OTtdVa`f3Zq|@-)x@4BGYvhX`y#QP^3;F}ZBPi18ty zA_`jLkn!IbL{e{@ASPM2sd%nWk1-VkyI|e0UkFn+0RsmPyP&#@IbqhgMLJH=IjAx` z_R9%sbwqmsn}K~8KWQg{!B94$g1}e+J!`}BA)y4wP>GI8WRGea#?goulLhwUv;pvx z92lxCh6r4sOHeP7rL?Zm>ou-V0p0@jNg^UMkboEiTnaeA8i-%yI%tQ`Y5{po7z%NS zKj;TXuiz4tSrN*I8l8l=U)&(BlcX!^97PSgK>$Zcf=9)smOR$16yjWZ?=Tf9fGcnn zNQ^pJIyr&ZT-F?6pna0cj@jB`Ttpa8g7!fnB4l}*NI1<7kWf$OFr;m4G;7_U0qlX| zoXLFP+evw{1dO?+Mc$e>j+r#ORbUt3;PxBfJw6A<$@-xpW&lrEvuO$@(F8+rbt52hPTw$pk$>9*zk=V(wsKE+@zBk*0j=MhxRiK7XY2G9bzEO&6=1CR7miKt^i>j2w8)J~2j)#RX2o;&5M3IB>Bt;I0L%Fqy1jtF*%dmm!J_8F17NrNyw| zOfXBk@!sFN}vHA!qZVW zWD?PIVCkB~j<>|iG^H^KNTpSgG-4sg)eshwktRzdT0mKPM25cvec;-7yB`B2 zJ*()#AU$0aaY6uYOabN`$IPiDUY{a0C6)#Op?{g}!VEG0D6sS;=x!>>u@vg>KGgB+(yz3e1^f0 zPEYJ{Ily-;FOrKn#VY`r0V)`B`5r&aCOoSnYGE=w8_R0DhzAZ0)x>vT0GJ=?MToYp z0g{waMTQ7#Xc)+&>265nanh@75N$Y;kBLE?uti+KgfJzrVe7XDghc^R8dQ+Ibgsam zauN~yg}TspPnvs4J0t}!g_z-J5nb51#S2Uhz{EtQ>kJ|(76Xx`f#6z_QhZD{M81OF zwTzA`5x$e~Lf$}x7!qnz0(fc;N?>Skm~{I9G~>8HpTH->CNBV*l748omkN=QL=>;^ zsT-iPqAOwFf4?*k5&O&#rw?l})RK#;5Y8dDZKHjzon6@&6YQPYIE+{;~ z8teu0;mMG3M#4@ps(guo$ClIjiG$%lF9Zct1@K{H76Pm(H4jn6=a8;fB_Q+AgL z+btA{4SvdQDISMh@em@8wV1DIN~BFCbYqoUC0k9LbX;)ik>z&7?N@MN-kigkw&Cb$zt0>dItywQXs z6@infAfPxN5q)yA3T-**XNtn%lGP=T7ZG5x((sk^9VZXaN*oX#9(2j+Xdcb|SO`+a ztPhcdA=oueN$`8k(?J7UjVD^#hK!ac)Z78LX6r0}d->ADB;=3f2(FB^#Jv zSW+H*E(nl@vi!=x1{0$8JVuPjRrtS61RyY2$r=q7>6DBe z$v%{k>){P{MbrYr&x%nDnq7+xl70a^mJPOAkR$5^C2}B+kP(uI?c<4Jta+jYPNK)S zcDO|fO)MbB5sx4viYt+)lH>$UqaiBv6fcAcss?mV{t2WkEC|pL7H!Uxly2#*^jZ zayUUygygOjvL-5|X0<@U|EdhQ1-5Py>4a*-2)R)`eTxH=#BwB1Z$2 z#Xuo^tZ|F+K>-@xS@Zz2iMG~05L6=4gIe435nJ|)WIf4&mRU{4ToF{ZTsgx_MUnKpte z|FC#$4uURL2&qJ5kv;PA9K@tvkYbi*;Aag8={VPxm$yjt(#7%r3 zR|wHqlVnB7tx05ntw%Y8#&B7%AT}Qlwv{=tlj5TU9*R0cZSmxQBs_p1a9F|*zyShN zp&@e=`DCYrXcBZqt_?#?yeZO%CFM!@D;@+$$4HSa!tk+pPgxrTxUc~8${%uO>>j~6 zL6m}KnF(${%pWF3Q`V~3d-x?DgDda{h%KDNXh46WE%E&ljI(B#fcTSk2Qok(**g$p zu!XSVDsj(x38}@7qOWioW5L>3tJp;riFRUFM5Kmab{PHy4I5NKv=1|c{Wt|6G% z`ZK~dL0@9*BG#PJlhZqic$u$^Pak)%)Ym7zMw;PkNO1f5aC%ixe@fG40faW4A zkPoBJex<}+$d?d?PgSv!Oj;1HB=F%F_J=?NhC)F+H~8Oy4KVbaZHJH2(q7EC$St=Ce-S5`L1-aT zBYp>BO02N;4ze_nNmeUv5c1$1un|041YZasz(sHg)JDV!RZ%%HcFZ2t6Oe~ui|h-L zxkqwaGG$RD5i419P5dHI0h=Yi@*v^@VnBGorQibY+}c!BLDXKnFQUSt5j!}C_#)Rt z#SA3b5rJVcYwU_(E=iYQ=YX(aM;IhaLImKTq{ktv%nPf8%!oOAXDh@eU{^)+StXAl zB`7vPA`}E04kIw{AT)O|qDU2c)(Yne<2Q+qur#ld7{Y8JNc3+agjs? z7&#~dsWE}LzOA^i4q+iv5J)8fG<3yg!A+jd9Z*6*5ON26gkoGQreu2!vlI-)6@uCM zf~9Qv!1r4#!e$jW66P^Fih~;@nIHs~wFqNzAVPik#GGLgI9Ad?Drq%x5)H->=@j0I zU&J;EchCbmlVZF@i}_?rRRQo@|G)KT*d)oI@-@_CPy|H(3-kC%?6q994UuHNBDY%)gIu9qTrLtK zd=&#ABSB8qjoe}hm<6-vFQifYH|7kYaP5}NKnGM~OFe-^VgL|l9EVInG?2-o86w!s zP6S^_Eo21b;JxUk#G_a`f5fLFb}$y?fq-xg(#akv1ZIVzcrX^N7w&E42by9)q4_q2 zguud3>G2Nj`H8ODAX%}!kN}~9nc~$%Z-qfZI-v$0v&PeGwUIHPjEJ#(EnHy%$QK`Q zW{IVVRS~zc6>q48@82TUrU3-XGKAESi`(Q!+&uDyizMwTIUBeN^e2@AWe^U0A?|T63*}?K27M0HN>3B{6z<4WRYp;CNq_6j@*f~LLS&H zR2Hc~P*7p9pnQ&g;RnUcai>TC!=N`zUi2M%3fbTqVkTm>MLc3Bn^>U?5il zUeHBh!B)`3*$V^_5(*vI;%2#98IxHEYYFkO?PBj>0-O+|M2OwrgU2Av+%G!CmGA8DR<2}XLP>_jvY>0A1QI!{T|gm}6#WrV z5_w<(EEy^w{~|qNM}<%b`4*IjOd~WhGp^=0`JKCDYlxpAD$8O*@;taE4wTQCB#(wH zNYwT#)`3I{o43CUX;2fS3;!Z8MHnp{6JUb!2p!QC3;>2g?hr#SNRm}>l?aOv6+0=^ zfRwTT@x!tn5vZ+N2=(9*OBU}Yqy#^4GYpp*Vqzo=6<@?%_*;a5;aDeTk6@nkkE zSu{k3Vy=v{y@fA%7y&BITnI13kOzwM5^CVJkVUylM24^e=>xo$TQ9-|49Fc@`9=ce zD(+z@5mrP)GBuC`GC%^+L}7stjh|S}c9gAb1-cY5794i5W)^IRZNb@ zitfTG(K{JR1{Oy1a2_BR2)V^qZwaS}ClRYAhG{G5TM>T8K%ONJk~u<8{+0YL&lSaD zd{NykHMf*uB(bA1NkFr#k@29bEI}B_vSj%}LWm>&laW|3(jkCE(68`J#^go_Bh%u0 znZGCw>)ooOJoTLo<_ieNrGycTS_>5rO70Lf-9CvgMIU5VOo+d?^OwiUy7(hP&kaIe z!96nUmeSm|y#%Al6A^s4%`9aya-ZlT0*wn39wFHh>$67i(3T|co+4L?4B;h&ms>X@ zW@G*R#!iIR7uWR!Qp!;K=i2k;v4$Cm<*C9K>L|Y#CihhLPhlp^Av7OaN-gWW`l;C3*`- zg*QwXqP&wXKIeWWA-}$}Yw`g<%DP0Q-WgKn3o;OK;#PS)UvJ5=T{8oS1C`HZ{xS&} zOfJS12n&P)Oq|DTIRW5gKvpfh6cfz#{7UpH^x(l9!rV@Q$II``g!ye{SEkC-xQx4n zs@wJRkC1pf*1M%M0{XOFt#I?*nz@6^h2Vl1Wm;l6gidm+%z>v%q$Sgk6~BAzyT@>y z2o~R9D1 zA1F`cM)7!x74RjwC0VW$KiYze@Zz-NrfmTLSX>L+}Zr*BCshC_sr-vr9R2*gOn zmxpctfdtG*Qds;e$d{*x+4;BO{(tt~JXo^pybrs#yWc+V4VD2g!=VHaTBs$C7^TW3 z7UN>Y`N#h_<)UTLDza3xSPUQ#8gMiS2mz#s0SGCK0y~zAPAMr>$*E#wOJeMVkVR3X zKmr6Xn0@B$OTX9qa{KQ4e)IdjbGu)^nZY7TQOQ+qyuSCG?|l1q&h0mNO?a#rw`5{N z=%6R>aeh)P;UZF<@_ihE2}}+*?IhrOxC~vOk|-hI!vUNHoS_^Um zQ9q!(pmxCaQcS^~RHp$Q0jPy@D*msMs7nZCw~)XOa&v>09p_uKuI55 zP*gBo!Us~K?f@+b8Nm2dA0&%x;bH+jMs!68VI?;N@(+nZC#8l;@c;%h1C(?i7D70Y zU`#2%a8d{Z3SK!ROhzINIKYHS+XjRY4)DVZ;^LNP%YS0l*J+4o*O7y25I@k_}`A ziR1nTTux-#xX5H6l=jg1g+hX0bB>a+)Y>4Avy}XY*H|m&Ns*<^kB)k54%4!VBnX*= zZc+vgq|iusAHJl#!Gz@Dg$srvu}CWs0Z~wd2eHJT4B`_78j#=wYj}<5g>EFh#G~Xv zuM%5csSi~E^P*A)3HuPvA!SHGUL~&(fYsn&V?_1G1VTCX1rT8qnMFX5Q!$b$^urD% zA5ik}I*loCj6%CU1%`M~&JqK1##k*qNR;?p7Ev<>-B=~+sv>BBl)}M~OOg0e zuO+aEmT)WkkR41=k#}?@#em2+jx9l$2WuhpBV||xil7(~88E0q8Aj&NK1=IlH0ec> zNd{GdhzV&2D&oqnBQUo=kdQ|?Lzpw^Pont6JjtV&u{+s5T$q?S!P7J+5dE-R7{YEO zh3G|@#*rmDfIz?qOu*G1P9QTekg^J zZuBE8X93)N$VB*sh)JN3H<3y)D1$}@lv)ugM@D2BP56n0&y%FQFoF5elm_HUx{KL( zC=dyO%ZUc0AUSw~$Wqyn%t)9(&ICruuXGjz2qr>K;)GRAnX%b!s$fAN5`|?7 zC5bl^@*NHkKco*il4T2S0ueCyiD<+Rqmke6Vk1}-^CX2QUMx|FEee`=GY^u1p`b_3 zu%=`dAgl?n_{2y6Xbc$XL0p&y6UgAI6g&uxNZ>oXNGb&c=}SX?33?#mhh&R<5fjD- zIi(&+VF%LyM7*zHNJl{e2s#$WeiGbi2?zqrl#EC035G412!TYhp(V2>Vf5nT;AE9S z0*`Q~B+*!K5mpd4dNCUU5m&mEb;fJ7Os*34gPc*Ain(K@)T<1`gki~@5O^=ZzmlGm zH$)H~DA`Uj36pWIlE_j5iDN&NiVI}`;ukMY4cP#SBKeZ#14E1m!~uXTmQC^qhta@; z{ZC6%4iFv_Xu?5QWtN0Sf|-B^<*?*g)(&%{6+$LT*dj=PN3w+Yv62K6&L%drj(3SE z&=~`8%2Tm`n_$JX6Ya>al)$tMP8@;BA-D8_@CT@cw}FW9m;=q&L$t`UNH-Qt0D;H@ zu`INpCA?TCXn+@8NdgGSG%}1~xbRj#rV}_Uk=-$M5sb!Ug5eZzR*rasA!|r7$Q!tj z8<>!!j`@^Y2o{6~e8MWPf|6$fLR@)7GR80Ar&18nG78%Xjv`&zfh8lrXez{jo8UMR z$=j*GCkZ8df<1OzNl0Q2wSsmOTEIwAvA_(3u52N36q;Zl(CCItAp4m;FYr*X=B06* zLCfS5#SaAt_#q$SFAN~YB$t0m^=K^oNmxW%Qep^A2v!#A6dUGON@P4Dqd6{uu4Ka= zW(7#L(1iF-%wRI+L_pGnA*IHcPTDY$mog}GNg`>)>W=XUhgQZf%5!EbDA}Cx8oQY% zf`EAwKbo>Kf>xjiabsG6A!e)!laW@X@bR52CIm&EXrfIn+>) zlRPFUMbaD)5YUY$bT7$R1;P}JIkZy>3R2b#I3nGr{KM2^mc*M#*$`&LVoBc;uawG& z0yJh&>NUX=tcV?}It~wunlgB74&WdajyV)ZP=@2iY?z!c#1=1BcVZ7asLUEHlC~lP zu|S$lNuxzlPUu2{kE|X}NUc&7$s>+TMEsb;n2@+lzsiCVM5N*!U&Kbdm@o0hd!iKy z5z8!?R&Y9EZCMRL%}gemP1uowWnlpZBElmIK_v#|7_0n4S`d4h9zkW|a^)6Lj85En znHf&B<(ZH%SqB;*tUr;m#1b$D3gKdPj0qG{O)#72$5TQVZ=oR*(h7TqW~7kt8#!gn zgAmAKVmbvR0wGL{1UbWmc*Ye>N{vg7hvLVSB>_(lSH+0U8Jj#IpaFm+gS4hGK}rcF z8mr6;1OS2n5TbYkzk~`|0xZTvLNCalaGiKX2oluO2!dpyM@e{8E%xwH870{*22A1b zki<WOu+dPIvc;S|S19?Y4@OTzJp?n3WF z?b(woiUkUa$#SVB1&1^ub4sSTO{R=4P!E|f>TURk)YF^ZS zD*njqw#iZB$d^YH8@utSPfGR1s7GixjSe%M)gL2{xgQ~zmN#CQ5R4ZAxD9qhh*&*B zKOs1hVq=bzdr3K&-)T_tC2t?TCj7^sDd`ipj@LK=hyp7dv?*#acT*NG03MH&bq2E7B; z5t7mxpc6$|AWS@sc6)$sLn+aT5p#MwglV>ieNhJKH_sLpY@kY>!wF88pp{ z;A2+hUEIb(CYd`KOju1?>J$@@3DE-U@H!SU(Po0il30m57hI{2Ks#iJQ3(RVI77w| zfri#{sXT%%-zbr)G`8BQTU-uXXj=b;G%HGG~?jzOU<^*X(yR)!n;R@AP_miq`2XJ=au~MqA$3 zoKD+!N0m-vPam%C+qQAgR|Y-X(VAN1SUZidGa3!FL0uc}tA1VCY_<1ptg4NE?07xH z$TC;2>N+OI3?YmI|z&R*S`AFB1P(d%`a`@@dkHwrWN{ca~}TKjL+eY>|i3U)oy z*x%o6yUjgC9om*1ySB9K4Yq?pb93)zLp^BicX#5(?)r|_jJHO4$HP}Z%(pPm`kn6n zmFqX#inG(|2UV{YRJ@_0>)X4l`)_U!8F*OhG8bW_+8>%-30KppP4Rj1ckXssIFTS{NCdKGKitgmUog`HNM zMSjB!Mpiab+lIf})wTBMt*cUJ)l`~myZN!bK{)e6%~2&aUKz?8Z@R(uwcS?xV0~To zSGN|deJRKftU-`zdS$r1-#fMOUCGppgA49fZ*+OJr#WG(@PopxYMmHgH@L6#-yGFj zufKM4_SzfPNDtDg)9>L6Pp{0}YXpC?r@Xc8?SA{}R(QX*IdYxO{r8Xhsud1yZ121J z_WtEfMK!*CaKGDigX<6auSZd15apWF*XwGhe{i|k434i}D_YIL&9%E1xB6bC86cT< zcI=hj)hkvy`o`s9%iZpFRV81^uMh9b?F;L7#n(1_cI(3M1KrEUbxD3>Z{JKhZFj9x zZAj_G@VLG@YPyQsS&x*?jwfww++{1>jm`Tyb^qr5-k#*W)n43f`Z^)%L+ zgJN@_?8ie(>UphwD_p;JOfTl^qvX23Z5_O^(=gKA|63ab>4m7H6;=@FQFYO8JEOg| z?cpo0uJ3HE4wYOE0w=i7`I&8hq}W4cUH{{~PV?#|$q%=c1@r2{C>?D{_h@aSb>Dxy zthbwg)Sc~JmNz=5F6$MuX+{0{<-QgUJmpH(e$5!R_pc9v!FShA2H)?Vw31!@qvn;t zUADRNhxg_G=Hdh0^()#^-Pvz1CEqG$+}@j2W2f5g-2csk+O~Q1mDceCWk>Nk#z8i} zrv32EL8U9F%4X;0DAw%DH}!>GrN7yVD>tP=>*O1&KXhUE{`z43J1g4u*1xTWmkuhy z%(dcyoo&U7dL#Xm^-8cKN8h|IY5#A(b5-iAp?`BTob3hSh1rMJwkp=l;I;PF;ME7T zn{QnH;I&s5X0FZbZvU8aaBcA?zgK@>J(<6F&=`LG?&zC;RR5{HE7oj7y}vi(URuXr zb8dY5n0mSP%8hRQ*uS-FH;NB7zI96fj&tgI@7}eJIk)lMng*Sh^`^J5>Z)$GC)h-PG zi{_smbY`}`xfCh-w-#q_bOwo**j;yJXx}ybf3o6YZ2v){_N}+>>0eJ*H~*XNmGIsf z`@*u?_=#`dm>*nLPrdqodfL{GUXNzq?EanfKjir0rq;FPSiQOzHvYoT|Dx5e4_iCk zaPRK9{{Ts&YL31(eeBLgTFTnR)Bc5S$DbYS%KmWw!tqYGyM5oi+ZXSzY&vTP>0WQk zTe<#vX1=EDjZtx++*A8setbV`!BlVuYE_qjP z&Sm@S&V1K;HTYodk6TIRTxhq83(?IiTTN%K@6BA7YQ5&Y8?WBKrVf>1*NDFF*8aj@ zYTulxuUbyB=R`w8TEBVxVBfS~%j1iqOLs@cZev!eZ&ftkyAb{Gwl=sKtwwJSXZ4%A zcfD!*!#ApPS5EcT78b&d{VOBIdiC;rxF5Cy&A#z~S?~BuqyDv)TJP^Tn;XNwdwF!9 z8xP%HKHPjQkGAXjzSh0=W_H(gwd=&GuQV%H+@E=MA78w9>CcKE|IWpzfA5u7@O2(< zxvq6>l-`J23*E$hrDE<}3FdEhoz3FG%Qxm#x3ibl<^Gm-Z00|ZqoxrG@^XdA* z{SW+Kjr7#+&4H9(SZ^$uUvEjyX1wN)ymYJ5aPF;JaWYi522i9x#!B4&V_05Vj*tmL+bj82xa_C&YD;k)=rI~JTxZO5SZ(nfJ z>bmA!JQm!%|Icf>zkYc!z3|#Y@&53@zbAjI{XTWAvc5VrSHAmap{lKQI?>8n_f)v& zbaP32t5InB>cQrT@9(K=-Z8@;y{_FCzTTMcdR~3!{{H27*xPBWc(!|`CBLunnm00z zHUB8R=W1luyPY)N)Hk=}>UB5XZ565i`sS&<@Y>BF)dp!(GrY9-yOmLHT)(Hcf`*x@f zYx--_Rz3?OoUOh7%(t~n8SOU@tYjbX=6NEdx^=hY+Dt)UP)UV7Kqpsy8zGd6imN~p}!y$4qU5T3&-Zudn=NCoP*Vps+^+uX;_tySO>tNe^UuRWm`0X28qtSY0;Z3U-&34=ILdR0> zt&fUPwpF{d=C$6e>o=-S@AdcJ_l6{2ot^o|pI!%kqW?^YW|BrTkmgiC$~HZqE4Mv_Aa38jx{w8`tiN-m2E)__Fi{Og-1or^d}HvjzYwmV#_JfIJ= zS@+^#cH6Kos@|SEXzVQvH}>oI^lv8ql@4~hOu2N@X>A|3n%Q1_WzCrxUDvmkZ?ra+ z>ibLju;{2K;*MLd9O&)-!BBB``pz5niEYn#?QZLPL%G}QHg+`=+i#6_m9TYvkm2N^g^Au$jg~DnmfD(Xo4tBJ|I=F2Uwpa0FjTg>%kz7^+0m)3 zix2OAck7;dulJUF?^@rInrhy&(XUc_|6t@tSJYq>cbW&jGLUMkBc=I%^~z{&l(ybF z*4rwS>%F2A2iLAW5MC@4Z_ivBHRoP8=hZhf*|!diJwI3aj{jD4?^nrO`l-U+D)OPi+n&bze#cqqPNjEpa#Q(k`uN z*G4$^cII!overx5olN(;+RA$Kf$c$b(VD$tc)oi5_)zN{B)xX9ADEqio>>FKPS(}H zXb#n$ZEAf#T&q-HcZ-{a^!-@gE5eKEAT_RdOV?V*Z1={2+la31Rs6>4jA;*4V|Jr? zuM)M?$XV4AcYi0b_wB~Uyt9}1txT@#qoA_dp1r2eH|#;j40oDl;J&thda#)_X5v?C zGp&3ps5S;c5MFJ%!Oq&E*N~dJvlAM|&4I0Z*{C1&~)Hr{e``Q#MA4erWZSJ`ZLY0T^yK&-dAp6TY7*V5bIcZ(X8eX^W zG}}wvhK#1=uSc`Hde>6Y`r2~*t*EkX?DdAnR`;rzqAVOlcpP=rL7=Xqtu9_w?2S2d z+x3il_Pd!S*=;Aj!xDHwRai@*7^9w&(1Y(ahTJ#!fnFJ5A5LCT}Zo zpt+q~x?*`!dsiFHHTH*9wS7?Rtj%dX`M_L6{@g!UUjUZAyH~lf*-D13O1Xn6E)cxhC3UU)=|`Et_P!DQVFg&BO5!T z>x>5B&OtsnXz$iW>%P}Dd#+lI*S*@vz|o`GcRDvCBk8s+8_$2qpzeF^!09C3R?fNS4 z+fldDU}L4O&L4!M)|QOw2&~JoYiat%!3VBgyI0zC1E;MT%3|}<%<*gW#MU-UMcO*B zlDYa|WpUFAozb>qTAP7xjP%i{uQ$}$?S?$+)o?@$A_FEb2N(UQ*^S*Tx7EJK+UQkh zTETkObw*LV-IW*CTZ!pt+g8|W%-Ow8!w=hj*Rfjh!g^5Mvs*Je&ADLR(3)~%6lC>Y z7U(^96no~jls22G(K8}tdoYp)_L`k5mRHduBkMLRwvCUjc4t@Bq`U2^w$hO6Nwhsu zqqxw5Q4%Y?*tl8M>H|Y@9Cdh2+IO=-*RN_biaBz#`PKDmJ8dXNZ=kvE<{&PTRU__( zzTx(LTbXHWN>%ztGe4gSv9S&zaI>12S(S7R?TDmo^6<_ zW+`DdIFKW=Q$r)@_XFJ?1m3RYH7t8S%15f21-({HGabhmC4-KHqjIJU+O_T4(CN6g zH4M|O8E=Gxw(lhe{j|NOc}Bw-6jHZU^ZP@|uZQ{|s~2W8YDS%;ucl^q;A=TfpI)NW zT_Z7d&6sQV7k3-dpsTCVr5R7x6ZWFFRk}z*fLr&phfX|D3twqly%}X^sUL5fMWEPr zq798WY~cBGFLZ6}mdfH@H;<$pBh?e99+|4?+xs=Y8Tppeox!Bn4G%&S53*6-A2b(| zhFes-N}L($eo<)qsoUyjhSF0rXQcYe^}(Fw8Bwge0gk5KWY<&&>A*Bbp4ZLvieu%C zT(7zVD{HxmSsU&|UVEsSLBAKtitE(0*6~hUQOwyLJu#!YUD-A8@zXF+M|x}CZAD>X z1firE11HstL{s;3vmcFysZzIQt%jtzncDUxORcDGR?l72>8Ex->qk~+m^pZ$%*}Q% zNKAYHDK(SK$_|3WRClY`g`&1GQX;R@n453MP9Sw^TJ9g{E5nf%x(9i^Q}oq%fGjAq zSl15gsn-&4N zBf}Z_&S<9TO8wLiY_q1eBu~{1!*jLXoO_^Un%46Q&m1mzwiyY3mtZ3wS5mel@}O}7pksl#-U?dn%|PN zQQkGPerUOYA$4PXppb_~PqH0lU}9Ht{HW#2v979B+q9~&8n~X>f&)+!`7k!(p(!Ic zN|+8R@n|@ksH)kEg07y76ff@^fs%WpJao;$jHMWcLDH^@drhxq8P%A6C6W@!>Ql@I9?BdA2&L;*(!LFqGJ{6>ZKnJE84q#-imbBGo8dPuHZRtEYB2@MAZ|XMm<|1$_g#8`w&!J9g|3Dxqqp10`@&7eVv&QR)xE z+{z;Z0f|h@bkXEO#KKl`!!nG}sA{3*EsR1_$@QTZ`yJob9aFLMVdUFUdB~c^r`&#OGj%T^C z=fs&B`HCKbo12@NYkW0FmL}*Y69t48a3`cpc+F@!YgTes=&-7IMPQ#7T zXlNx#(6;^Du&p@5$7{$2JQa^J!`5)5_5$C?O#Cthr)%FWG~2O~j+?u1LY5$EI9`R8 z@9CKxxuKcIy~GZBu^+1)D^0wCIgh9nd8iHJVPq(hWh7xZ98>~pV2AU4v}5eIg+~p{ z8u}rA%ajfEC<;tB@be%Tg`EHm%uS5Y)D@?29ea?cwr}8reMJhb!m=#~+3tFdg@SRc z&`zwti_9<%{Lw5<2VpyNyJ@T%IIiM|r$qy=66s+anwbMH26i-pXR$vf*}(OzEU=N+ zuIuWakF8J5ofs{_%7%6jTQ+Lgpt{6+6#IrOYfi;9+!R|vh|C$nZwg|CJWEnV*75<8 z2{{)AI^^hR8&(u)=(BENyLezJk^#=y76Re~K|i;KMQ+(fCXWI&^Ykb{5Hl}xbhkS4 zZIk#|t{N1sJ~PbFO{~aqy8GaYxS5G^OpruonhkW>jruM+r&r7>qddqp zwFq&%$xR)ELp8PYt}EMtnMQ?@c!}p}N#rD!8AyG!Y4j5-c87UxX11N9@!%tlS8Ur)cheHMCu$8hARi^L!)L{1ncP zGRSbMnj2Mdgo*vY>B3Wi
J;mE3?oUAm5lh9Q|4@a#ChfG@yaGI=Xsq0iS-8K^( zY$H3gk?1CxpN*7~R4ImBbolX^3B$+65wSTCo)Be(IVba!d{28YA+f)KTIv zjjRaIC8h+AYni76x$Z|^;syzRg=*)S8CYRq>yhhefT9D0ZWIpOBCug+?x>lJREQnb zmJQPmu_L6J-!&_F)0cB3Zi21>j|QP^sBv}R24Nbs60}`U%G=dgkDc5brV`Gn_%v&z z=EcC6cNIU&hq)U_!yrxYiA`0}q{6@!X1Qh-t5!O%w`L2cFV#%9Un%^4=waW@ospMF zeRn1`uwzwKBgsu|-q#{SGHpASx>R_hOiG+6MFzV;qy~{az^_4uu8cTkReV|;gwuEsn}ru<_zimy_^FzPVXE3e z9vi4<+e`ByvI92HMk6n-#C`k~Izx+4Dn@QZ=Mo0y?!cQVQNJ50bM4w5ZK!m~#g51+{4`)6z3lm3AaqjR^FE#^gy>Ve$ zsq6)Tl*fZ2ag{XJBNQ>obVq59q>w^C3EUvd?O~GAuHeumGMy+!50=89u$&l@TqUpg zZsgdK>By>;mgFmuA)8abD?F2k`hEvG(mey z!YFm&>j=%?_n~~n@!Ti^BRRl+lc7Gnz_NoV8KimQIe8Vn%|a*6;j&=XGefEv)2r%P zYDbypQ6Q2yO${7UQYSP*KP-A?#lfbE-VRFmL)57nOw1sr3*I zBuj9v^uP(n7HqjQzMU1mUxE3+@#6$(YR|ez5uA@D94kU6O#(2AG8g?hGo%DNP8OtA z5KBoXPHiWwn4VV+lT@pM0LQ9Gf;%Iets-A35~}$$^&<&ep)9+OoPh*dW7o2WaV^q9 z*^4tImmba{Y8m#hAcO-@Hb@Zc6;707Ns92fR*l5wsHUKrSay~hQUptKWQ|tHjvuFi zhjyG|zp;YM4l2me#Km)0HS;xefg}h}$mGUM26+&NS!&9zU$qOjNc04o63!cF6Gjxmg`ou0!bS9*G_{K`%HzO= z3!N}`Q5Lc0O308zMn6trn-sa&IMD?PB%M>iW15%D_m0wV@QD`BAA!TP8y(y%m|IyMGsAplzNzkIH-A1 zG>2YGy#U1)ILK8w0C9|vhXp;GhiJob7GvuUQaAVV0_hP3Ikxi%ryF!*Ij}QoHgSP| zCe0df96pCZOt5E3p^JV&Stns%sCa&!M3zMEb*mZvoeY%9QWxRy@vmm8I58B7R>TQ9 zTq>EdGb1Y@Jm%&J9T3o}Qwdp-!cJI^%Em9h!z6&%2pb_h;G)*z)GDxD1(GQz$d(wM zbFhm>F>((%gnpTX$i&P~qbz`uIq3ZiJud(@WMrN_kB!W)aKvyvMSBUs+X_>3^4#^3 zB8mzltH9roiL-f_p`T(yOEa7$@uiV|hD674KXT$4o(8e~VIL2TxRx5;Y-kp7oKp1LtRH9nyc$dwf4-67rPS@68y2r;;f6(tl&_NO)W>+`<2v@Q@9HUPz$c|0$82kOiah(7*?al z;sR6P5B$RvgeH}+b4OW*r`kO7@lcc(X=LODstNNP4t6q}?@}3&%A&;23vBoma%|?L zXdd{dmlZsxXg{Oo5~n$S6JALI!jB``~?4r{G zjKCI?;%tdF3T9|XKH5EWMXQ8@$U$leX#Sv=;2!9acquxT1Qs?nAe>r|wbYDq@&)!< zG@1;Gpz*~4!c3h7Ws}NiMd*Gt=#+qc7*+ybMrTL%$Jkh)Ecy<5?2%&>QapA!( zqoQgtizXlMzyc!GqF>Y$4;!8)Py5 zwQo|%0v8WO@Ua{^l7!<4_Q*6zVG>LT5lJ~j>%x&bMPoqiAS@{kqBY6@gwvPs@mI9~ zSL6{=0TyL}gD;tL<03|5fF?OA9iJs&%RrBUuadYL;SmqHBvCR$Rs4%fKdMToVptI4 zDMtol7$4&wKLxHNq5fprObX;ZdR&N>>q{`AkdW<}43DBDU}7Z0c{w7RhZ5>rj?gLK zNt8zpAHf3%78;0)cPJywa43=*T_!<4&ZNQ%av2>Tdo^+wS&nRlJ8*O?d{2T00-Q?F zjuUW4-^39Kb&WDe(6&;jhJIT}bmBoeAg=fo0aT$TE1@h9H|UyCI-zk^C@3k8ZIO(R z263>>$ugW5z#4!Afg)7IG|LjW9orTv0*Q^H!M{4DHW6jWK`Jup*C4OCs(ZPW!hXs_7bofCONH9tTS{Dc%(iO!ZK^l&4DY^#bJ^WAo3AhDZ z8HG&;L5Fj3&PRwc#1@qg2hqWwG89#S)|??&6?~pYCgCuQ<0%pVjSt*Ghl;}{R|$}B z$bEPO3gS~Nq#hkY06|*dbU?@Bv>MZ+2=X7^Eo6{D9%vIvF2o<_R_x-)bhJwe8sJ+- z;d6>M0w$qlq!KzLsg2KGq69vq7KEBW=EF}^t|@i`305Nm&{2?RU<=z}H5`mmtH6R9 zlq{&Q@bH6w{e*Kows9y-UO#TyqWW_(#NQUV7YDCR>qG#>1J2?BuXp-iEp z5hWD~N3KC%jIfSp1|%cCss(w5otx~%l#&3(LG?V8u><3OOCje#Z-_(q;UrWZav$Y{ z-hgDJq=&N9@*ok3f>Z-F$2`hp>PiVrMjwPn;2i1*&<%-(9Ht!wbpu;5M#fO7!Y}v= zb1;M&IILnnCT$=G$%phw1AGw=0zf`MwPEMPi5CYLs(7%3t;kL4#8u>afl@+#z#{C+ zGV(@}0z?D=loLD>P!AEXLD)J~?fhL;6g}@-Yp&lv?sgIn7 z!T6ROoIgQBVMRzt1l0}1(4)2tqo88SUX$QGNQ3*hfspbEzF8J+0O`re4ENvzI@nmC zCP51Ykk{lJ6b8%#epzGK;UcmEUz?8Jh{l*yp$I%r$Vf=I50()jwQi`7Z$3i0Q&7Mj zNzbhj^_&z%jBi!KSrVMdN?ed9)V@H3W&Am03=j`s!jp(Eu&DKrr?LN2@ud>HgTRD& zg}Miw+2CB-3gJ0~AM6oz8PYLA@1jkR98C=kCO~H-LxL)igvSvolmwy;`;lqjf&sWl z^k4^36e-$IDf*Ov(4G<)fk&AjTfqezEJ^?_BzwuJlu-~27s1y6&|v`)B2JXuoCqi) zv;mwx5KRgQ^n`kdDfj^!e_4fs3MCK}BnJ5iT_2SRH-P|u%bV=P1stIb6rqyi7 z;sz|_A5;e!;)*&!hEbltIj|8p6io6DIgT{M_j4f{@DdaurWoS;9C0B}qBwyDf8fTM z1u7I5r58O@X2>20goEg?inJxq!9cQ6q&LX}4J9Md(9Q|xLkie|J<#AqI>Df&Ad28q zz?~982Zak*%H0u;rjCcc1Xn>5=!}rcIFf=7q{B8WArCR55K-Mh8+aSr9P$=t2~wO~ zNlqss6b5ufYLGXfNQ~!eBs+zJx-!2lH0%iv19(T&lM5G|Asc@D=)Ziv+Xzzf2m3sylRVFh6!73c@Cp$Z}!85du` zP3Z6u;ze$OC8R%bfH%>l z$Ut%wxI$sP*cOx{s)f8j76F5tfeE>W5|A1f+z&0-3U2Mtg43Bj&wdg0hMJ=~BTLCk zq$8YOb`qF@9RpTC4EGjl-asP-AO?BMDzUr3ol^~-0~@GBrT~aCLS|5CflJhE4Jl4P zfPx1;Z6nL@qS62sXh8!897YZ{F$5P{Vvm6c`3<$mCF~{KU@}n}2|ES22B z?j?tV028v0@|U|Iu}9mWZ4EIY*CCW-icnej63Q}!7RWy&oJ3MKVaN&r6GK=FhR_w< zNe=>%S(GuX0a217oO2*124p%X6bLYcD8Pvcyu+e+MvTZElsZI*tH?vZ*=9iCaj1$p zc3@({Za|td344a@71-=&vR*U=q5=uW035-HGy{M+Z z0=A+%5l>8oY>dE{`~gPHn(Zd{^P6dCMTkVw!&6wHH!%`{B>M@U0K^?LUM9(qKv--7 z?N)qRVPA+<<^(92MK0lsprM_PvH`*;>`4=LGU*9iXwKUZi2*sy0T7Is3Kwit!T@4K zxlGG=V@bS;l>2eXgsfn>u!s~wjtVD{7347RVOlblSujJvlOVzxU}M61;ZAx2KvvTm zLw1T7VJ5IiLv|kg#EbwYZo~&l&>uq~T;UW}f;_-_2p+^5>WT>oBo#_?OXlD}>x3!L z#1yMEfLzv5=s}(!Af*phu_(~+7hy0Hiv%MT$u3S#V3t3eSWL+vW+1o-*OEZu%!+~r z9LOHhgf3X)J7pUn^dA993;b8!1yZRWZmgAHFlIs|B9wp#OlBZhVJI{wo-BYa0*?*A zD#3AqB6Mdr2yJ*GmcgeaqY=TzbigNnmCFp}Wu_1#AQNL|N|ZE#&J;@~W6pvJ;eZE6 zS*XSaFo1;$AoUrHh!+ts1J;2r0?JoHVv7VC*;uMLPBVs(8iE<{>4z$oXz9-;k3|p$ z#ZRE{y6_mQh=s9*KoXG_8Z!YYK`y`x%d9=A!c;7hV3aH@jN~g*prps` z2>RGX#F<|BW?MoaeQChxG++y{&QO|_J}voSg*H{88Iz4UFbhE?1QWBvawA-@Vr;&% zLrOzQ9)1pI0MJV~vD9$Fm62(6>P^K15o7C!7zm}UG~_v7cu1iXAlyi|@`g#dPOErL zuxLPQrA|ygwvXkoeY8H7O2~o*$r3*pk%#$KGNdIY;YgP>LO&)a7UETMBkXcWqeF3Q z5;0>PNfCxHcOjnn2(IEf;Vdw3S>ov}mqUuN63_vnCPtJp2qN?(W5sZyYl$$BoxR$TO zz+)YgiSESZh$0{_y~U)ZIskDR3M~XZ3ld-`aYwO7Sd|_Ci?NMDIktwRmI5h3j&Nfg zDKW=5#7Rgel5$+K5nPU3g^gngAe`b}NvZofuZeY-aRpf%2)EKqtd8LXC|yn* z#^%uYonC^;J75S&r6({snk0hoU6_>1xJ@G-vOEGlI(a+Az-Y=?#+v3$fLrHxg1jC3 zqj2Ih=Eiqi#`E#$aDEHztqaq09!~>qp{1!a0VCIB9kz{$!Oc!bYn^$=Rp zF*-pfgE7jO(QONd%*IRO`EQ)yZ@YZk!co9@Hom_-quVq(lz(Jt!f6aW0ys+a4tfj3BeX{*Q|ott-zNJA z&f(;6R4OI_e7$Y0L>b>`y~KGp)M=72%@{UeatOF>G~v&K@oaoQ!f^s*CYX%@;!fA8 z_jvITI40wEG{&4TIb5aDczMEQJUDD+OfYpBV~jzE^TSced5j|NVtE>AdOVHGa9oZm zaR+PxqU(`Gp5Sr_JRD8QA>bVs-Uj-1;3<~349S3_QtmA87P2FPj#5m`riQoDkLPcX z^7boCJH5=~X~3BKd%WL)ewy3#m^e=6lfe-=hfp3JPNzwaP)sJb6HJy!>LIJckwBQ9 z^O!Evz@w8xuD7C%sgBSdq62*Am3M*C@=+Ab7;p#`Bf3t%4`uVHT$;KuI?bnFJiirq zRD*I=+-^giVs-@a7BgsVTtZVMG@P)!g}y{Pgia6z&D8V=$0<05lNk@n%LF(ro|(|f z;VMS&+PIF$K1xe7W_EZv!hT8=VKCO1-PEKUPC>>L5enhnF$nkZkOx8(fy(z}m6?{a$!&r}e@mSexQpTm`ZXdtH3*S{_crnJMiIsVOR_eb|=$F~@p0yKAWe(cCmzu498YT!2!AUd_)9I^J{beA%oA;`Z0O?)I zpWP=Eoc~*{Abst{gFi3YGJ5jQ^A8QqQ;R-wolO4*a1IlYz8k3ei|{#m@-Ola4a9W% zD#@QXPd)h){6hmVoxc8cSumv?wdKE2?LKy%dh*BkhX!IgeVx*d+VUsiJM`pF@(&Hf zbox30-U(+SuuO&@H2Nic3ogwswBuERSCt-#B&Yh^eR6TowHT*A`0h-9% zQa!%>QuQ1t&JiC_{Psz?CM}&=mS3!%6{J7JYAzt*9$)^w>SK663YsVIevIGDUmz_4 z=}|^{iPYdR3HYqw^wZ2~>1~m|@^i;OcIsn4dGcc)mQJb_=6ixkj!h*~?a#(Z^dPNO z5#f&>{|7(z=!fL)^LNa(A7M0HKKkG}yNt@|hLDPw ziI6_Y@q2OMoVb5@?rcf>Z{%lRlAmB*g&;Ue2&%rTAWl075_$c$f<$Uf33`atMCLvC z6cx{{)+{|!R?pvKJS5mh=AIr)`O3nVk)c#UpD#@+?_`pY^wp)OC`+H3%F-(3+Y^*; zPf(sbN?H0C@(md<_ZUGQqg;E0A?ypz?JDIPtq2@Yy@VX141JMu2y8$}SqunM{R}IN z)^RJCz3LgfDe0cX`wZUGX3<8xCP zT1C3O4bmMl^a0j+`Dhj%CMnWWl4`ihFSCgc^Bk9t9;e_fd`K?C_f(m0Ut4%;Dj92> zjEJ7-JrupK+#b1eCGGFYUwTPCHzkLXk>$+3n;gzX$Pr2ZwsJ(OPRV(Q?V((JhKh@g z;dB#>Cf0B+);JfR9ZNaC@LRR>=;$vYABphAg=fa)^;B6DUtM~Za`Bm|T&z(po};Yd zo`um@5y}@SoO5R=qs~x9QFfjYLFHVmQ7#_#SBuARpmR78B9I1yi(((vpu?D+fA$=2T|)n8hA`o5YZ zKO@P{@)e(2;K3dr4&a=Oqa==_xMBM-~Aw*q%`NiXpEk9Lz;iP;_ zTKXTC<lW zHvSACk1T%$*szF2eSt-x0Ogm@6E)%h;}Hi?^7vM%?7?Y~=Sbw|mrp+pF<%DN3-BTY zo}N|(RD93Nl)vive!cCvZ*mvJMdS%(){2bA*AVf%fB|Q#4~6d&ys)S>K9@0 zv#{nF==ChA^b9Tt?u&@z>Ca>Q0#tf(nbl=wE}(#<8I=9pFMsf}Km5T@De~N>Kls_% z4}NOy5pjE5+@27(bK>^2xIHUwpAxsfG~H(eT`A}>F*_q}XT`0Q_mr4DBW^z^T`A~M z!R3yIJt@|X+V_Lf{h)gOfOH(sS2>>4^h+*xw6^r_9j*N<(*13TX6;jRcQo&dBEn_r zmVquYOShD{jsyXd(tkf7+0jsbR>&?>yv*&-3m|eE(!Ru#sYqgv{m+s7Pdt+MaKpy| z==g80d_gHsKpf)@TA9TeWZ~u7`3E2W(a&|ij9mfS@Q?EC+>c7tXT`K< zUZ_4UW`Bz)PQBcHj;(tiTZhX>KYHfGnGebHcXyw|F8Iscr8DJYAsI=6EE z^ph)ejCvZQr&lJ&sAtE-&rFCvGWXO9AETaH!HEy3*i#t`$EYu^!0W8dKV<80s5||| zk{eDE&#mw=>S^Z1$0#UrzWRBhI^^|2^%((pdIg`!pKRXqTTq*s{t7dtv)S!TzjVm- zi^TLxg2$KGJ}3yQS`TyHU_uhuL9GvvPyXfcr%#;0)62ONbi(=#K4G!IUuA(fd)<2Q zc`wH;aq79_f$M)mM$^YMw;r%q=#wlIr?B@T^e=Vbs=mB@^u+bs@H?HU=}5`4|96&+ z)7M{K_8rbyzrM^TtmohLuqBR()h{fc{vu9cU;fD47nWtY#$?Ykh=;79C>uNz;S@(_ zSG+&@wfV)bA4d_1=kecX8?ec9A-sV2zVLGoR_+;=aK6# zoPK7diN}a%R*s@Q@av3LL()`>=aJtpAhpk*{tY0%fGj@!B?fiaJ&SUq#)VR>KDF{(St5VPdg5^T)5}k-)S3?;Rbfu3AT)huh0d>~ zvJiH}rrVSiXWfh$ZQ4{#eg&Ui-P}ob_Oa31l8vxqIiyE z{dP@!aP|kRF*eNG0{^H6P_<7Q}`kO2CU?Ww3 z3ofH^?a}i~UtU3?67U7^!ySgm6*lY30_T4?zw}$EeIRqH;IDpQ|G>`j;klRRW!gfH zf2#XGQ6x@3b$tFV4#~OW2+MIiQ_jn1Uzj{~oDrTLBb?>=$$9yF^>MNEp9n3xV4Ylm zawZpi2rig={P?f1-NMXjX+9SsQ{(2qU*9+1G{9XOTz-x&#V%Fe~R#2hyF=d15Y_LLVuSa3`dX0qK|U#+{HD%1-<`I}s;^_oN{nB9_md zKXmse$-ghm{gWSo`#%Zxr4FUPZ&4J;926!hgCfyqo9E{~K@7+yR_y%T+2ym++$SCa z@E2j(CqxtvmHr)88mA3xf4}yQJK@jV_vz)&RTgXX0S*cjA89uE+1RPG*pq;JWJ`L1eTEKq{LJ#_usuv|_J7k(`q!)qPA2c_B)0z46WAK%CyuE6 z(FeY=a)x%sQ@>3v`Cr&2|D3#Z>Yrm*lz)deMfqQyrxCWqh5uFTi1NSq|Jpfw*f^>n zj<3A}?}ZD#y(9kMAS)U}mo^dwf{w~E|XcgyNl)}?S42ggDnMFJrzl#&7gLPA17 zf!tBzo&qW=ciJJH!J9VuPa!p4dcg1D>@L z>Z zt{Q8jlRqiELiCvtRL~bPsoXFj$UIR92Cq{8CeXS@mC7#JdOW>7X&H?xck4Jb^#p-xJ z!ut{47Y*;He1X^Ay{7zvg}QW9p<|bqow{^Vn@$Dq6uGESK3$6s^$r)7n)1sjV7e26 zE{DnHhzGO$LI|B6dfAd)3A++l<(DI1Z!HfkY#>6c9pV84vB6OVPi!K$0ngeAa!H#b z1NG6@ho*vDACL8sQ=7@>Je?;7%!fGyLU9bmK3I;CT_;^vv=%hRCj zST(cRV;Jq1EX`Dqr{=0XVMAAAq2f4!{X%B8wDM%ZDw2v=V|0b8p-^BN!3>&PD-Skd z{!y4&r~|^(dqfbg-LQ~7DW(g7G_Z^2QF&ovP&?)W8ln?B6zFmU|8fA$=2y*zE#fRk zGJF1~0kVEsf9c3|IYHm=cn7?6*7SbSk|9nIrPQub+hNPwFF8#KYjy_Bp^f#J70W3O&kX%-QRQO)LM7AA*>R80Gs*X?J;ey-V1P|#E}TK#5)R=)|p zw3~^f++nxJQdMYvEG-6iN`Ok{!e;rr&kMTqY%Vg$g}KI@Ii=_#hh3O!a&xg~9A_?N z)#d-(m0K^L(=D9k4fPQZs3{*V;|@#fn~D zOF=&+h#P1TO`kZ{b!pZ3J&65vZ+}N>c1^{hWc1ZZT^BKpZ-c0b3>&?cwtK#J_+>lx zkLjhaPU@`0>1clhJyY(uJ>O~fz)7u1jX|ij95-xfur~#g6)ElZ!vZtDPrc(EzAIqt z!svq~OdYf450VtdC^RNFGEmq}6}}>c1YxT1!+`Fh?P0R3nOWb^pxot{M9HpUN%f9u0*K@Ah7SJ()c!w; z)f~P%a307edm`%d!OJ-DuVAYs4{qTRr@f_Z_ZFcbF@5oJ89zQ)`RxO~km>u^4qWe{ z^Xg*Mq_V$5#m^{Rqx=LbY*9&vX=rRb`m?$1?|ZmSdIj;>0%F<(O^R5}#VU8P$~DC$ z;YO9~SGj(bYcI!^`ZlZFR+ZbTa_!5aQs3vQ+$&Y?l`40s%3Z2*?Nhpv=4EmJ0W=^@ AL;wH) literal 0 HcmV?d00001 From ceadf7a6c80760a9b5003a8d554e5d4e60292daa Mon Sep 17 00:00:00 2001 From: Eknous-P Date: Mon, 24 Jul 2023 21:16:59 +0400 Subject: [PATCH 142/163] revert text changes --- src/gui/sysConf.cpp | 2 +- src/gui/sysPartNumber.cpp | 11 +++++------ 2 files changed, 6 insertions(+), 7 deletions(-) diff --git a/src/gui/sysConf.cpp b/src/gui/sysConf.cpp index 18d0dadf..0a746e61 100644 --- a/src/gui/sysConf.cpp +++ b/src/gui/sysConf.cpp @@ -882,7 +882,7 @@ bool FurnaceGUI::drawSysConf(int chan, DivSystem type, DivConfig& flags, bool mo speakerType=1; altered=true; } - if (ImGui::RadioButton("Moving iron",speakerType==2)) { + if (ImGui::RadioButton("Piezo",speakerType==2)) { speakerType=2; altered=true; } diff --git a/src/gui/sysPartNumber.cpp b/src/gui/sysPartNumber.cpp index 402622c1..94dc822b 100644 --- a/src/gui/sysPartNumber.cpp +++ b/src/gui/sysPartNumber.cpp @@ -77,7 +77,6 @@ const char* FurnaceGUI::getSystemPartNumber(DivSystem sys, DivConfig& flags) { } break; } - //Amiga -> Paula? case DIV_SYSTEM_YM2151: return "YM2151"; break; @@ -180,8 +179,8 @@ const char* FurnaceGUI::getSystemPartNumber(DivSystem sys, DivConfig& flags) { return "YM2414"; break; case DIV_SYSTEM_SEGAPCM: - case DIV_SYSTEM_SEGAPCM_COMPAT:// the closest thing to a part number i found is "315-5218", - return "SegaPCM";// which is a sega part number. not sure if i shold change it. + case DIV_SYSTEM_SEGAPCM_COMPAT: + return "SegaPCM"; break; case DIV_SYSTEM_VRC7: return "VRC7"; @@ -196,7 +195,7 @@ const char* FurnaceGUI::getSystemPartNumber(DivSystem sys, DivConfig& flags) { return "ZXS Beeper"; break; case DIV_SYSTEM_SCC: - return "2212P003"; + return "SCC"; break; case DIV_SYSTEM_YM2610: case DIV_SYSTEM_YM2610_CSM: @@ -210,7 +209,7 @@ const char* FurnaceGUI::getSystemPartNumber(DivSystem sys, DivConfig& flags) { return "YM2413"; break; case DIV_SYSTEM_QSOUND: - return "DSP16A"; + return "QSound"; break; case DIV_SYSTEM_X1_010: return "X1-010"; @@ -222,7 +221,7 @@ const char* FurnaceGUI::getSystemPartNumber(DivSystem sys, DivConfig& flags) { return "ES5506"; break; case DIV_SYSTEM_SCC_PLUS: - return "2312P001"; + return "SCC+"; break; case DIV_SYSTEM_SOUND_UNIT: return "TSU"; From 0fe1a9d4c86111122005260ceefc88dcdbcf7e97 Mon Sep 17 00:00:00 2001 From: Electric Keet Date: Mon, 24 Jul 2023 11:52:54 -0700 Subject: [PATCH 143/163] General doc cleanup. Marked items only available in advanced mode, fixed up images with accidental green borders, other nitpicks. --- doc/2-interface/menu-bar.md | 40 ++++++++++++++++++----------------- doc/2-interface/song-info.md | 14 ++++++------ doc/3-pattern/README.md | 8 +++++-- doc/8-advanced/clock.png | Bin 56318 -> 56099 bytes doc/8-advanced/piano.png | Bin 113993 -> 113735 bytes 5 files changed, 35 insertions(+), 27 deletions(-) diff --git a/doc/2-interface/menu-bar.md b/doc/2-interface/menu-bar.md index b53aa5f7..9a5c2d17 100644 --- a/doc/2-interface/menu-bar.md +++ b/doc/2-interface/menu-bar.md @@ -2,6 +2,8 @@ the menu bar allows you to select from five menus: file, edit, settings, window and help. +items in _italics_ don't appear in basic mode and are only available in advanced mode. + # file - **new...**: create a new song. @@ -38,12 +40,12 @@ the menu bar allows you to select from five menus: file, edit, settings, window - **export command stream...**: export song data to a command stream file. see next section for more details. - this option is for developers. -- **add chip...**: add a chip to the current song. -- **configure chip...**: set a chip's parameters. +- _**add chip...**:_ add a chip to the current song. +- _**configure chip...**:_ set a chip's parameters. - for a list of parameters, see [7-systems](../7-systems/README.md). -- **change chip...**: change a chip to another. +- _**change chip...**:_ change a chip to another. - **Preserve channel positions**: enable this option to make sure Furnace does not auto-arrange/delete channels to compensate for differing channel counts. this can be useful for doing ports, e.g. from Genesis to PC-98. -- **remove chip...**: remove a chip. +- _**remove chip...**_: remove a chip. - **Preserve channel positions**: same thing as above. - **restore backup**: restore a previously saved backup. @@ -99,10 +101,10 @@ the following settings exist: - some chips will not be available, either because VGM doesn't support these yet, or because you selected an old format version. - **add pattern change hints**: this option adds a "hint" when a pattern change occurs. only useful if you're a developer. - the format of the "hint" data block that gets written is: `67 66 FE ll ll ll ll 01 oo rr pp pp pp ...` - - ll: length, a 32-bit little-endian number - - oo: order - - rr: initial row (a 0Dxx effect is able to select a different row) - - pp: pattern index (one per channel) + - `ll`: length, a 32-bit little-endian number + - `oo`: order + - `rr`: initial row (a 0Dxx effect is able to select a different row) + - `pp`: pattern index (one per channel) - **direct stream mode**: this option allows DualPCM to work. don't use this for other chips. - may or may not play well with hardware VGM players. @@ -140,7 +142,7 @@ it's not really useful, unless you're a developer and want to use a command stre - **cut**: moves the current selection in the pattern view to clipboard. - **copy**: copies the current selection in the pattern view to clipboard. - **paste**: inserts the clipboard's contents in the cursor position. -- **paste special...**: variants of the paste feature. +- _**paste special...**:_ variants of the paste feature. - **paste mix**: inserts the clipboard's contents in the cursor position, but does not erase the occupied region. - **paste mix (background)**: does the same thing as paste mix, but doesn't alter content which is already there. - **paste with ins (foreground)**: same thing as paste mix, but changes the instrument. @@ -154,8 +156,8 @@ it's not really useful, unless you're a developer and want to use a command stre - if a column is already selected, it will select the entire channel. - if a channel is already selected, it will select the entire pattern. -- **operation mask**: toggles which columns will be affected by the listed operations. [more information here.](../8-advanced/opmask.md) -- **input latch**: determines which data are placed along with a note. [more information here.](../8-advanced/inputlatch.md) +- _**operation mask**:_ toggles which columns will be affected by the listed operations. [more information here.](../8-advanced/opmask.md) +- _**input latch**:_ determines which data are placed along with a note. [more information here.](../8-advanced/inputlatch.md) - **note/octave up/down**: transposes notes in the current selection. @@ -188,7 +190,7 @@ it's not really useful, unless you're a developer and want to use a command stre - **expand song**: same as expand, but affects the entire song. - it also changes speeds and pattern length to compensate. -- **find/replace**: shows [the Find/Replace window](../8-advanced/find-replace.md). +- _**find/replace**:_ shows [the Find/Replace window](../8-advanced/find-replace.md). - **clear**: allows you to mass-delete things like songs, instruments and the like. @@ -213,12 +215,12 @@ all these menu items show or hide their associated windows. - [samples](../6-sample/README.md) - [orders](order-list.md) - [pattern](../3-pattern/README.md) -- [mixer](mixer.md) -- [grooves](grooves.md) -- [channels](channels.md) -- [pattern manager](pat-manager.md) -- [chip manager](chip-manager.md) -- [compatibility flags](compat-flags.md) +- _[mixer](mixer.md)_ +- _[grooves](grooves.md)_ +- _[channels](channels.md)_ +- _[pattern manager](pat-manager.md)_ +- _[chip manager](chip-manager.md)_ +- _[compatibility flags](compat-flags.md)_ - [song comments](comments.md) - [piano](piano.md) @@ -246,5 +248,5 @@ at the end of the menu bar, more information may be shown: - volume in decimal, hex, and percentage. - effect type and description. - during playback, the current values of the following will be listed:\ - speed/groove @ tick rate (BPM) | order | row | elapsed time. + > speed/groove @ tick rate (BPM) | order | row | elapsed time. - if any changes or edits have been made but not yet saved, "modified" will appear. diff --git a/doc/2-interface/song-info.md b/doc/2-interface/song-info.md index 7919e7be..03d69857 100644 --- a/doc/2-interface/song-info.md +++ b/doc/2-interface/song-info.md @@ -1,13 +1,13 @@ # song info - **Name**: The track's title. -- **Author**: List of contributors to a song. If the song is a cover of someone else's track, it's customary to list their name first, followed by `[cv. YourName]`. +- **Author**: List of contributors to a song. If the song is a cover of someone else's work, it's customary to list their name first, followed by `[cv. YourName]`. - **Album**: The associated album name, the name of the game the song is from, or whatever. -- **System**: The game console or computer the track is designed for. This is automatically set when creating a new tune, but it can be changed to anything one wants. The **Auto** button will provide a guess based on the chips in use. +- **System**: The game console or computer the track is designed for. This is automatically set when creating a new tune, but in advanced mode, it can be changed to anything one wants. The **Auto** button will provide a guess based on the chips in use. All of this metadata will be included in a VGM export. This isn't the case for a WAV export, however. -**Tuning (A-4)**: Set tuning based on the note A-4, which should be 440 in most cases. Opening an Amiga MOD will set it to 436 for hardware compatibility. +- _**Tuning (A-4)**:_ Set tuning based on the note A-4, which should be 440 in most cases. Opening an Amiga MOD will set it to 436 for hardware compatibility. Available only in advanced mode. # subsongs @@ -23,6 +23,8 @@ This window allows one to create **subsongs** - multiple individual songs within There are multiple ways to set the tempo of a song. +Items in _italics_ don't appear in basic mode and are only available in advanced mode. + **Tick Rate**: The frequency of ticks per second, thus the rate at which notes and effects are processed. - All values are allowed for all chips, though most chips have hardware limitations that mean they should stay at either 60 (approximately NTSC) or 50 (exactly PAL). - Clicking the Tick Rate button switches to a more traditional **Base Tempo** BPM setting. @@ -30,14 +32,14 @@ There are multiple ways to set the tempo of a song. **Speed**: The number of ticks per row. - Clicking the "Speed" button changes to more complex modes covered in the [grooves] page. -**Virtual Tempo**: Simulates any arbitrary tempo without altering the tick rate. It does this by adding or skipping ticks to approximate the tempo. The two numbers represent a ratio applied to the actual tick rate. Example: +_**Virtual Tempo**:_ Simulates any arbitrary tempo without altering the tick rate. It does this by adding or skipping ticks to approximate the tempo. The two numbers represent a ratio applied to the actual tick rate. Example: - Set tick rate to 150 BPM (60 Hz) and speed to 6. - Set the first virtual tempo number (numerator) to 200. - Set the second virtual tempo number (denominator) to 150. - The track will play at 200 BPM. - The ratio doesn't have to match BPM numbers. Set the numerator to 4 and the denominator to 5, and the virtual BPM becomes 150 × 4/5 = 120. -**Divider**: Changes the effective tick rate. A tick rate of 60Hz and a divisor of 6 will result in ticks lasting a tenth of a second each! +_**Divider**:_ Changes the effective tick rate. A tick rate of 60Hz and a divisor of 6 will result in ticks lasting a tenth of a second each! **Highlight**: Sets the pattern row highlights: - The first value represents the number of rows per beat. @@ -46,4 +48,4 @@ There are multiple ways to set the tempo of a song. **Pattern Length**: The length of each pattern in rows. This affects all patterns in the song, and every pattern must be the same length. (Individual patterns can be cut short by `0Bxx`, `0Dxx`, and `FFxx` commands.) -**Song Length**: How many orders are in the order list. Decreasing it will hide the orders at the bottom. Increasing it will restore those orders; increasing it further will add new orders of all `00` patterns. +_**Song Length**:_ How many orders are in the order list. Decreasing it will hide the orders at the bottom. Increasing it will restore those orders; increasing it further will add new orders of all `00` patterns. diff --git a/doc/3-pattern/README.md b/doc/3-pattern/README.md index d3e0b86f..8cbf66f8 100644 --- a/doc/3-pattern/README.md +++ b/doc/3-pattern/README.md @@ -74,7 +74,7 @@ Space | toggle note input (edit) ![keyboard](keyboard.png) - pressing any of the respective keys will insert a note at the cursor's location, and then advance it by the Edit Step. -- note off turns off the last played note in that channel (key off on FM; note cut otherwise). +- note off turns off the last played note in that channel (key off for FM; note cut otherwise). - note release triggers macro release (and in FM channels it also triggers key off). - macro release does the same as above, but does not trigger key off in FM channels. @@ -91,4 +91,8 @@ if the effect value is not present, it is treated as `00`. most effects run until canceled using an effect of the same type with effect value `00`, with some exceptions. -for a list of effects [click here](effects.md). +here's [a list of effects](effects.md). + +# pop-up menu + +right-clicking within the pattern view brings up a pop-up menu with everything in the [edit menu](../2-interface/menu-bar.md) that makes sense for entering data or altering a selected area. diff --git a/doc/8-advanced/clock.png b/doc/8-advanced/clock.png index c051c5fd1ae0f585a51c520772ab3531478c671c..47ca4fd60c11c965b899f5768d85593e8eb69332 100644 GIT binary patch literal 56099 zcmYJZbwE_z_dPsxgCGskNOv~~f`WvAbV^8fcMHgvcNe2<`JH2}KYH<^lwQu0(_eRw}Vzd_kb4qt6mz$}T!5 ztCJCwpD`sjk+;pGT%i7-oxcY8!U`$XM2v-(*)EsccuQ#%)|=P%d<@NbjT{K`etr~L zt~auJrSuluuOKzHQWE`BZ+W@Bx!vT2Uxfzs$g+7fZ@s4g5i91rO&^kh8eb_<< zB%^nI&E!jc;<+SeaUBJ|Eq}#D9N4meB7ikaJWrrDfp37yy7gfk6G7zG?e%mE4^q{D zhBZ{k(Uwc27Uc-`XvyZSgiT&&oH4O|Aw)B)4Q1TSHi7TL%92#dvGo6%W4T{3nI+j$ z2|G&0>2LovM=TtkixAjbN)wvXe*%?a>nj(b+J8IlX#h(pVgpYbu=KpX$Y!;}S^&N% zaMyugnkXENf>^jyaw-ti#DPR|pZwb22Ne=djDr_1=h_Au$Iwdw*xiZ190_qWYtpq4 z2iIqhl@kh1&9~On2TbZf}R5=L_L=>keu$U-3 z*HR!g@dpmX1WA#HYDSfCrAz1PxTSOq?q1iu-V{M@^LM1!5!aGlY38EGi zh0nPT$e^|WU?JvQ!$1&0R!A7d_7G5<0F*N(Z=x{WAY@ePApkjv=1WrEOrU1KkCHJi z{3B<^BtVA)ag+>#wP^CY8HBLxc~k&SGU<$oS?v{wYsn=WLB_}UjpTk8)_)sT!kWNq zhK-5uAwVhzAn>6U-9yN^eiQXhLR9hqs0Ts7+d|+5QFthV?4QO89BS#La#@4{ldgyZ zS^prI!7J_U!_xo9Tlj=Id^SPA2nOy+mZZ5t4yGF<0{L}~9s<{r1rULR5eiZ&WJV}x zcEkZhAxPyaVe!*{{-dP=@>RJkHww8dKSDHsCyWHWE-U}Hg+OT`0Vr=6p>R+BU67I4 zL*oGHfMk3|D!TmM!5U6u0t`XIsee$b1Oh@Weu4x{5i@~L7yah?i@FnXmsu?s$mAa{ z0F6L#QwYo2;XhOmzycecgZ>3JmuYB9tC(E~0(rQJx%lKVBzp8r2po3O=)|CkEZLKJFu z@tc$`Q{W2V;{R2}_>Ei^w2Tj-Y8qm$fZ(_??eqOu<=NR z)PEfd(J(}UhF))?!~jXDL#sg>da?3jKqdR20)i9eK%`N4*LxrcYY0(z!bSUN)<}iD z7q73GFwm}KkN}h~zi-B+IFi^!Al(e;dd9?A^uP#d0@9r*P7oxbz{Z^ZxF-om00Th# z0FlZzF(7JSS`cEmh5?fQ68;__aqdDY2U6=vSNwF<9Hf7x9l zdD_t3I2PlAr47$$YPKZAGB`h2qjfkV0;y&54m@Q^9@|3WAhvONRKgBC0XFkb-DH3~ zQ^fFp0m}TZz0`W0ND8nw4;l@rq7q6eg{K>(Wn zRv-#cA-l1kyHJOgad9{F0=x|3>H#_ssWUEgAt@^WCHb$GE=Q}J|7U!V&sSt&5Ya!0=Z&fd~MEvdtd9cmF`a8bY(baWlV%D8(6I3}TLu z+x*g2w2?qe-3Z`0T3P}TG3?tx4i<$SrM>C zC=Aiw8q>8>j%Ue;JftWPZ9v2~_@oB?|Dw*L`cZfOU({}kf3)n5{)b}!2a-NoCZsA_ zYpDO+*z1VU>->!#5`YbYT-v6-w^ItLmyR(0~aV5V9YA+h#JrFYB zKb*r0jOqlgu}EW#na34?AN5U0pKi3O|muVU;qcuKtDky3-t3hc$Fbd(bJ{jCFx% zMEs0t0dhL_Xx+AH^z2_k`msg)O!tI`T?A-C!cin;)r8LNeGNdhBWr-f{}u4+_Nq&$z+n&P`w7$Pwk%`z#Ibw1m4Dy z|MTn%z1!8q?`4}x;FFUbF7<8|CNV*g&=sc5ZNB;u-Law(CJLSSJ;~ZWQfFfWOu#b7 z5_|~JFcj9Su^*qSVd*o&8#70+l7DjY74g40+E zXuQrc!B3Z_t!~_u5s#iBr@De_)?Mh(?1ez{+j8-X4DXSiUxwaY=||`?>8DyFG3w*_ z-n$H}Zr=H(d8F6()93seJ2$r=WeGbnf*5)D-ql{m^E2tI$M&QveWvTg#I$Y+~O7eBPnX!>kUoA{mwRT)@}Oq@RJ_I(jAVL`&U%W z@24%>Rl#f9*A;vBLat;P-W5vwn3_+ui_bIQSM$r4ivw03LIZ1Ki|T>r?h}DB?}X`= zRCoxl_RzBd1P_SZ-VH=`bES_Aq)+$8G(pz&htS?v}55mrmgNuQbln zot1ziHi~;zSab1@@43g1Kd7)gJoA8Cnsl#``_Ow&H=X{BQ(CcSa&ePtI-qxuWRKI| zxe_h!;~sYk*MuCMj~uSb!f<17r3|McAU&T$3V$LiL^RC8BD_mjl%1TZ!s<*g_QdNb z?A<8VzIDf$DppPL{AjQ?;2CF)r$L%2yFqN@_TLP;8TF75O{CtQT1`;`H9lN|t4Nh|88RbThXr1Qtf;c~{WqK~)XPyULC`^tpC z2#_vwkgnufFiNTl9&!AzzAhLq_0tkp%;T)<8UIZ~L<>=Oky))=PR_lj_1`M?`)9fY zxpkE1L8*ORBP2*C^zHQ{dj6ph;fpB!LUA*dZcPN67F28Nzv1k5#JsKtx zmKqYI_|<~T!#mHU$k4{Tj$3nH+fIf4)BZu{;D4Sdmz6?x6J*&uQrZCiKDHbG`|5em zVvWZpHc!N5c+$0oyXqraZKf!(O9k4Y!KHr1?N>kWJbzn@>4cPLj|I>fTpBDl7B5eR zB=z7{u4}Cl4`;vUQ7JTrka2$aT5o7TtW_ivswb6GTySxlKY{fiIb_%*|67bL+b%Yr>lyKM)$Xw*)cwD2OdIL zdk)^Ke^rVe#0e74x8sg(W>dB#{DC7U0hDu{l+{iN%m^=+6askc+End(JH5-tNuJ^R zNAioRNa{5KUHi(v(UX)zBj*Q)FE51Wgmp8&7u{m+maQGi<4)DUa%1FNi3B%9Ejg z-I8`!O`Q4JGcL142&X_7Z5q$NgC!R@6w{dW0Yk0DLvCXv=SX$&IvfwRXs-gNj!Sc^ z-n38O6~BIj9YTopAn~N?5Xq2UKlWy^1DlC+Me7#2l}Wy?9FD)4YDo>E{sMxWXt3EL z8Pr**%baL#AsZ#*KNgio>-z6pJ-K{Rs8OXPz5713U&r!Zh~y5)-(L3}rW!2)o9<&xt?E5|BG z`$HEqMa-BM|H947Quv619H-=iRZFWt9Ve|BhpYUqxa>E!iJMYUu>{r!E4x&!_8K{7 z+3)R5=Sc25&jf=!*QY{DB6HWJ&*gWT4AfwDj!=1p#!T@?oy`StU zjjV+gMX)~XgvJ~xpFNXT8$ZY>WUu$F;NsodE19-6sU@z^!v%367k*PMna$tF#m2>1 zpTIf3vUB*TUiur_r==vcC3NTP>#U5suA#|lTAGv+^J_wyO?@uwxE8DV4r9h-=V!jY0Z4P%q?ZJ|o?j5*=S)paKGA>19V{cV=H?ld&)0{L(j? zbz>Iv3AM=+KVVITPsv1_0VB^9U}c592e!KOQ5$Rg=&IAFkc(G?iiLN~6~0wYf;Y`> zSvLm)F`&bt2V%fs2FASk{m_Z4WxMhu8glh*71#&igdVYS3?^=p>YF(dZNnnj_;Js3 zy@*?Ps)VcZ)cYShtSumr!o9+que66e0eTFM?I9FxZ?=%Nc*cssP&Wx6+MMh#6=se( zYDaUVi{;>?nEi04ko^axO0llGSavIRI1q?7m^O|!3$`cxrNShXLoJv>sDcdP1T?e? zGUAMz3!qExG%o=vHr6fCjo)Q=t7S`c(~SQ|+%q=|-;V zN$F;q0XLdx(=zRUxPMGw`5ZK`F^{j8W7@gC!^c%u)7mOjsswF>F~VU7;!rl;_kRIt zmUr7mEOLT&ty0A@rG3~_V41{(XuhL4H71wetdNPlc?(u#VUdlL9v}RH4h<58`l$bn z`z(Nrr|`FZm!koBRR!oD_bfkToq4N#7OaNuMNc%eaxrJ4#(xE3pTld`$=Qp5-R+1Xi$$<9l@9lyf zOYIgJRs}3o?BjWrS2tH&u?wZ4PnGWS>_`FV&je$N~`lf33AkX__u!wuD93=I7yi- z9&{mzXLxTO(j+yD$;l%>BcQxj@Utr6Ubk*VTND%niq~sBp4Ka;oY%p)e9+|f1404R zkcsGOQAI8^6BLux2){Ywc-od$)WNL)$=TUlLuRCix2fX_Nj?ZjBw#U+%JhBvijdcM zabs`n`;Ms`?*?=Rud^dR|Kl+*`U*+D80+_;TEAf9fAj zO9y<$({BKsn*U4t{c1R01H5~uA1{mBUM~T*-(tpcS%t3r_;)8;O3G!c@Qz<|*ZxVi z1_(|$wJ!zhES4*_dTU4W5g9Lejk!D7!4u$Z1ShL?KXo*pyP&BXnq|)VHPajy$$;JI z)4Ueq8dD!7WJA%q|5Uxj*U(w*tK-8kLQrjggK0wDfO#NeYB$hc5|qKL%8#B_JVLD{j_CLmlY%~ z5ud6sQK5f99L`OUd{EfawM$p4&u_+&MkEgs>NM}zS`dpjm#+`|P1#<`xQ<0u$A_Xa zTNWI)C)`q7I_6pm-K!MhX;N*xx|MJ4jhqHOUSdHiFgKA8%L6GK>^Q6WxL-JW( zs900;Ci*B_fwy#)re4|iQd8OUAh>wBmw39tc(SzW97Z_{Du^ zB;r|$w%=$@43Cu8Eof1up-`okY~AClEgMJQ>@k7N+_~NyCN5Uv_r8+wBcaZri|564 z$uGK2e0;Ja0|n^kT!m6nc%P?Iy%ndX@cOMHSL-vAdq-!Dy8d)2YkvCj`S@jtIRE>^ zaLkOG#&Ml1xQr6VF1%fyx}f9xwY00e&amte8ll?J`8Tly5a3I3lRmL|?J-J_icn<2 zbw%3uuF^Evj+J#JEuy%ZdUzI3Y<24neqo~;IoB3Qt#)7@PU2AwX%p#aKjiV^?NEbRc&E^w(+41=8I)ks-TKQOVgp)aj(^Gk!WHNHH z2R<*%TT4#A7-5ZQSB{9|t15bq9&i?^`$?90(nDHJ8)!9lCMem1rqgyJX2OGbjN}fR z!=V_oOg09x(==w|zlziA@(C%-)Y?WvQBgc0BI8{Spw*+XDWz$`2Xg9ql6nNn&{Rf6>_6+Hs=?Tmz-Z=PW~ z^8^kqTfPY=qy3w>ik3!`LTdE9C3wx`#U?4aSLq?Q<%H-fNj=B)rLGrOaC1dOI>!?! z3!_GpD~}L9tHI6D%-`_Mwu2PaAEozuE`mxzqUW{Qi4%8{m@6~ewfAGTr*zq1v1=tL zQSJX49K#ez0pT6XpykU`31xw|{`)MZW*@rkquVcgWCy&AOw8{I<^Sx$3YDs45gU_> z&2kaa@pSlnx!}$Vy6)@PIXn7LAKs?>+Nq5C#(fFV(;B-c+^f4+k|#-4$xDwL?LS}S zOHc55N>7cte3!8l`b^u)l%Mn`&fIQKOv(V~mnOyxa@I#VD`Ael(G@|y$V5t3&Vfv? z#JD(Y92}10;6iQt#T!hAKM7`d??}zBTxx>8l!0vB;&|^#0Olo^{vdsq zl>p*(wy=`#*Fod|Grb&WE@BjsC93+#)7a#41Ey<)bEYLo{ezW<`s#dtqx$;%qYBHr zF%#Qe`scr;JDcaK@#+Za(We|%T}|uEW9pbv2$qx|{NJOW4k}}$apM}x@!^kI(pTB0 z#=06_C&}hO`!o-k03+C8gc;JOns*fo_Day%Fxfkfo2Th}hfG}I`siCd9pU#dEzY?& zOUlk*rA#Iwfl8uLyYKm*?_1pSEm|>=P|$NfcJCUFC8tfquIK-nn=Z8PJ1|_lzxK`m zp7r9I9;#0#SkW`n9ZolCyYm~B7;n^K+T`B#t<5Q>*}}!{Wr6j%!;wK|^f`bTQ5DQ! zf$&6Vb=N{xGi=N+-ZC99*%O-!ucbP2B%(4Iz&q$e$Jtk;U78uOjQ8F4(Ec>eUu=J+ zty>8(o2IJG&vvKTdP%X=I=)RhrEyd2RmM*(qezrBfg43#= z4~sT7o9k|s3MCFY0^DW`^7E9$^oA<&s1QxYHfrhQ&%@JW1UN-@H++?k$F0CG%~g;a;oiVv)yXnqSDS`WKt94$o?V{ z<@nV}PG>P9*+KX=8h&&Cu0rUdOYl%-PsrVMaV<-3||p4%s5IHB(` zij)MBtdd{X5~>NtL+tlWN6JD&CDLi-t#ltYm*3v?j)kn(6zk4=YmW~Q-t>L1o@1TX zYH~9;8;Yc>@y=FSMyI+ghBmy$P2-NZTeJD-S}<41D!&MBF4JX>SktOX%&b)}qN`dmK z6{B%5<@|P1|J?B$J-}FX8`_h;#6`pB{Wt05M(75kss%ZX+p|B}X-Vzx8sb!Yb>%E; zcx!D^!r;7e?jq;F-aA)pSwZaRAIeX`0H z!N~OnUN4eMY7_O7p_X45r44a}Xdm^bK|W|qaGwa4Mv>I^;qIx`oyFoqJIHM#WJK{& zb#XQdoE}+i$yqzu%(w|Ewj<-a2%r&2)@5++LN_NMh}?-?HZjS$jje_Sae1IWNA|WArX0SO+;lX{TCeX3 zJ`^OaOsSjm*)67(sqp8@zr&q`1Y|^AG354lJ32hBiJrH@ny-_6{~UruL*&oLMxfpR?!dC=HJ z!p3sKoyuaVv*ZziPOUr6f4Ap`M}arfr6CDIiN*u3+r6W)EpxKNowNmHHg?ZDUclFp zPMjNg&n{bVE%_-8srh7fcj743m1$2OT}fvxG_i@S-dS-f(KH3ht7LXCI?4l{?|rdQ73|9$Yt7?G@)^+JALpD1(G>T?C$&#lVTzN>^u(;jY<9J+&KN*Pdf-6VGrr-lD2r^h6u z;>d1r-Pq^KeuU&L=HKfk4ZR>z<%DZv%r^2~$bZAiFM@qt*X^oR$-&>j=g33}`Nq}W z-$VL4J>OQ(u+TK+lYDaL^+67ZMwBLMGO6Q^HvO^4osV z&xuxF_sOQ*8ERMd9005#yQz2g21r5tUIGdP(l5PP$sw8fh(SowOFJjlr0n zPEFaFCZ68?z2x?KJxz4lF39wb2d9r8cw}$^{hs2tR&ouq>%mvJd+yctl2g{{O(f#+ zx=No+3IW7n*ONmbT=qTTg2jCwT5Gzl@6s__RFsqq1geF>{5Bdz(I!d)TZ|Q_C@L0+ z<)awz9Y4@dOW_6%^s&zD6RmTxYN1IHh4QyuTkp508C=I{H8t{f5f;rav22G!US)O8 zKfI1LU(YpJS}#6S=(P2P6E&Dj{=Pk)XHlypqo=25XRkIMP1&+lnf(3n`RS?I;qZfV z0<(6r2iVRF2?L`-wL&NRLtubqoPhILXJ_Zj^P_x*pzC6_(eYeaD#wqpU`$GW=QFKV z7u(CE;r{*tujhx=X2(=c)2S3Lb71G$njiAs-rhRCve7zyaCc?ggiSLEGs^F-hns7s zs!cT|nJm-if&ap~c8a71h1v+_8bP1Y$fbe0vqm%UR7?y->0#4;hJg2rVC$B;LWxG*5pV}%DV_CND+~g<$8lN1P#j$><#)yvcMI0mUO@w<0uoIMngy!0YwGqfrnN8CqsU(?G04kRQb zw3;0Tzq?fHb@`DXxP{{Fu(p>cbNpb3dQ%R!+w&mm{pr)dvUSJk)SHIN*nLUMT8gq6 zWvMLr!DtTE1Ros$aC|xxJB0cKqBeFD9U4leWk&Psz0u`n$C5ACd-R(1Cu?o)>#qCn zqT!$xf!cGLtPw$@-JL8>m#T9l?~TspetzqBL+WuMHkQWMn=@52F5F^1&&)_Wx6cZ^ z7`E=PoGp&8Y+#+bFvWCEG+oomlS%9|x*4R&?7XW_jVT~Mj?0P^*;HVKRGq$ z%G4H?@2kTwx;u9{rCTjy!>9kX;O+X(j$tXGT-mz|X0N1q9d}tn=`ziRpoL#J4DVAv zdp(}=V2mlUruX;t;nFEe@J>HJ-jNErY#godtb4q*AD|2!HOSU?qf9#-OBV>(O#iUM zF)j#mKUe&1xV^qZs|lAy=Zni49qVL~``uZS8=MDuiE70jGI!FiWmOISy@F$9w{`xC zyu``cT}g(~v#J19T~D0t#;M>_!`GK*Ub6^dK4?QcX07|YL>)KqZX%%62mNVThO0$Ce1Pt_R{h=? z&!CEqj{bfZ&%Mp!wX@6n?}<9}e)llNbsZo>JCvl*{ryf~m{#b%gb)N73fWZdT^t)j z@YU`vr*2Y)Q-dO*OH=ZJY9KO>+ua$H=2|j#a?Q*_m4WL#@}Ft0jN~dIpi>nUv4R+` zXwBx!h4eao=)V;ses*ZGUT@zqT<&tXmYAuVL~@eX8j+tbx@mXRkzvU(&6FCqe>~HBcPL)Dw~Ci$6IqlzSW<1tBnf&o0rGoAQ=kv9AqSr*`H{MRoOSPs3X)!Fi!te+v!Urs^ z?~@!4CbI3qF7DR7f2j1BpnQfsoXpzHGUFU6=OXoL?w!_p{N9D>PU%?iq=h6GZ zF0YM-h6Z=An}CAHTxszEz4oc@DUO*~%TVK5dFC&h4slPnMeK{+ckb?|x5`dBGz+e* zdj)x;+>m}{;&B^CG4xl14vBAU*i9hdFc!UjKlX8iZ)a>M-0^tMa=pE+lB|1;N&t&8 z(e&kNcUU4_?8_!!RfedgM3d3kN|Qo`#C37|>&s)gb_B=EKi{p-mlG+Ono3V_0+TYgh8uw_Oj~&jg9Ww>*(+9S0!=#`8(WT4 zzC`JjCm>Nv|Bm zoi*8R2dyCbBUgkheh9^7+F^ty(Oa&!>{jl0d0=?C0z7oEc2q*fMM=o*ChGXl>qQTS zuE%AdO<7hJ*~s&iL?DA&k!?uV-bgZs^aD#i3lU@GqLhZ4HKAp?D&k8fVfy^A3E@GV z17o&9e?;8d=!*)pXw$39(TbopO8y13GsB^G%hyUZUgBk z?gj-SQohb=H8yW=ZDD8K>EL6yhghuibINn!>x<2oYa5tRd4E=};F{pp?oeZ#ZXw3bW!(yy8LOQ^lROJMh(l`wn=S}6&)3|RIQ5Q`TMkbd2IH&B=ZwS zx>CQA8m^J0o|~)Qq9yWm8&7`7OlCAu;a3A;vJuop>!jk8T^Qm#TzMd8_(0JMU?2CD()yaeI$;bia$I(;k^` zNQ!H;+wT8E6v4BrGX(YCY^9K0et<6gnSNNl(LnX-A+lrdPKuRKDl&b&N+4s>CKT%l z_$=vSmPbx_EM-;(=W3AVU6gAbYN=48w+!>Ao-v^U1KhKxvlX08q{{%UIg>`u{fudb zN1jqcFCT+-aRJU9UDr3fmv&p>+V0~MaOK-)HQMcVvC|xrbZ4o58g~5ljP?>m^!}*o zdj!ar-ms^@yD`0!$@+qPtGGi!FZpNnckn?hF4FmwPwtvC4(1jq8Ac1-@5swvB z+Z5vZlI+bVwg$B#I~Y%^_4d^T0i>T8FBE?zamsrgJ~3BEIIhtW8hrKPTCq4u?sf2+ zXzWKwP>h-3ju)|h;_mh<*X@wgCmy0;o5ef}W(MY>X!3Xl@PbjI+If!0P0~gLxtH84 zEdTX%ixkL$(`%@|vb6F&Gp~hS)Fi9>i<1}X+&K>Bo4AN!fKJ-+Sr;r-d0uk*g+{*y zDSxkb&kurk&}Y6ds+=rJA5MOGeNYV4=m}r%;peoNY{f+^Cyv5N(G_I*@FMTsAzdcE zua>RJu+tXN{)r=&88Z}>5&d{#gFKM|AxY%4@$0Fl44$JKix;onUfe~vW`ul7`z-M> zxa7&=3(Nz$203H!WweyVebPd>W_#85(*0KyFy&ntzl4h%#DX-f94HuNoj5lYo3t*i z@e@o!DbSw*$4}9ke$zWsv;v*i<<}{MYNnYY6}J&bM4M>U>rF~Rf_^{5jL9fz7_H$A zmyB4xElm@x`rg{Cxdl1d;7jC#W@!}S@X>rlX6rb!DH1RU+L(KlXf&;e1X>w)n9n~R zVQ<~dt~sN5abaFOZ+7cEb=Il3Zby_+l94kP-mHAv_q|0cfv4p>r=9r4;2%#>LqTP2 z%jtqoLdQ?PVE97aC6+S%1~n4d=R*nnsQVvcf~9s}1K1Qk{~yBgc%HtkBFmf>D+hm{ zey45JvPj;MoBHp`{!!kXC}%^BbJar$PGr+oi)H6s>HY5W<>h_DdBpG^&1qnG0h|Q+ z&#)}_>NWJelHg@>r5P={%c5~OwnpBQ;4Q+s%QjjMiKVz$x$>qm<89cNAf3+2N>(Gs zD5jE6*xY=*mpF(#aJS!EqbowT*W*Ksim9rt1G#V*)mSMgx~nwu8XCr^wKYGh;L11i z!#|E@tf7fIqqssXqRM|3FDjDO5ny^J4s={Wfj!91B9o(y;fAFY=~di8`BVN6!ZSHl zw_C)8b|c<`Bn`VmjbA7HOZ7M0B)1@CbS}8pY7%|UK;J=ldo;CeYBKOBSp|d!sZh_Hy zImn5Wko?^mR^?t&`fz)Ug4Zn+xjV>E(V5dtOpQ05eMS{Qw`mkzt5B}h+2nR!mfM2N`D5V5^zf1+poBGnFopyl)w5zbb?_C*z|T6Us?Z=3dYI%we~A` zH9Le2hAx(;hy_CBboNc-C4lQucwO*ex@k|7t<>%S`_)U|8?`|kUgY~gB@d|zA*aTGB9`nMc z;9jC+gCa2+Rqh~R`ghL1q=KW_82g|myWKtPVPAYMGOxq_<8Y#HUw?=psVXTceq=Uq z;UN93s?isT#BK7jm1mB+I;eyf)~*Gn8?0gx<>O?@dHO?F^<@hCe$AWJs(YtycNj;v z-OxsKdg#8q+Nd%uHNJ5g|iIRX5i{F~QyT305U(mX4=HVg8gez|J zr>x0uq&?SBnh(A>Sag`6Dz^uVZ}@@X!lKQo+G5u&T99183F(}Hoqfu-ohn0%juV4I z{QARFW*diZ#P6Xsm-9tYhnY)a#9v`VEn~r1i0oNG-&Pc~zJBep?SjIAvqd0yJ|5ui zY4r2+DLhG)GJGq6tBwWjVu%DgV+$+Z9rGvmwZrQpUgA-1(ai_`%~j>4y*!;Z)$>_C zue&+t4iq0-zW7Tn#Er_S%^YJ!ofz=!Q}+A(am3(G&Wu;1gi%cEmb`DPH`CWw=n=#m zk7d!*cc;UN2cxHdsi<>KmBpQ0^|NqBU%3p(7Uo_5Xi3o&y_a@yI;@sGk8s@?BeAp? zlSZc!({Bm$b$!HW*0Q3B<2B&I7w_6_Z58KP;m5|<&#YLrb~}7heQ-Mo>EzoheoMjiE;3O^KlG^iH(Xj8_n6F-@A=O1)!D2k3vwPRV z=d0eU@HJk@(h(fU8J5|IpJ}%ImvpzS(g$e!mC(TKG4h5w?Y=BWqWY>FvnoC{n_X=B zp3i#gT{lAK7A&lukz+hfpS2NEiO&#WfnhOAg`+a_LA$$?Q=tARNcf) zX`dlcQ5}!x9`b~sO+aTQ3mqLF9~U1r)5mv%bVZ*B>1vyW)^3yeI#&#AY(6d={g@Xr z5Lc1&mm#6XZ8>j%p1>o^7`AhP&LkP)V>q8NrBD0h#|~e-9M$Bnqr*}+shG4J{n?Hx z?;$C7S(f$Q_opO_dV;0*_YFSy7!wjFSuZj29Gi1raz*nE(~CjpR&-rO_O~Q#Zn($q z;cQ5}sd|K^K?rxQHzM;6US69qXgB(MTGQLT9#2;+^^{wm-1hp?_R2Cl5w_!@5zaZw z&p7d4|6n2*XxdI`)Dg{;j1Qa&cvai8_#cL(eF?myj?@8{qt5rcKc%ji^pqxXI={&1I5>YQa=rikwOHk9 z`|D>Zd^Y7^cs*J5>HQ4%@(+V8El#K1q*~Hvf4#W4J4JtziPuuf$k1S@j#Zx;XOxZwBHau|- zG`7JKL+8F;($4Ly3cnB?W>FTd&-N+3U#e?!cR~t8)S7M_Th2z&5(k4G-7v<-MNn%E z$rR|Wm17;)BiJU+sZkY`wUk|SGov+^Iemi+v8;89`Gh()D&NJi?$nL<$=?6M=X4H_ zDU~S;+8n>wyu1hXu4uy|2EAxms-9Im!?*s(#hC2;1r-6tid5SvbMClYZ6?LZ1k?JXmnsGImq~@k*9uA&ITn4kAKe8XwoA&m_h$hoh(B3WtP5)F-1Ub52R>@- zPR(J-DXX1SSO(Hxxhv`#>^@P{wQtq;r)CM;%4X<^$2kl#9|-+ z-Aj~YX=jHlUdeu?bN^?jEoz*wx=aW9ns@~KDJr$xSkh-n#j0@PRM=)?B20aK%~Psn zBUTFmlc=+KN7W{9W%bd{>BVkr@dsgeICJr6Uwl)N7D*$^)F<|N>q4k3kzzMaE4Jew zA#s6N2Lur*CN`lIl-4HGGp=X{bw?hJ(p67XahOt(+wmp&Vvz)bE*CVJ9=~-|B#dT3 z6XNN9173c!^ph)w&#nPGp(wxXzZnP}qR(?iqj4Bl+<-v_MS8pJVuo40y}GOzuM5ux zB#eKJWyjHU%IFFai5;If&szOSoPCtLDroSljT*07BbpDrqmWv%YU64Nqx6DDInvpa ztC_jv8wKlg2)qcgdWBOOg9*Af^~pjF41OztGL*vqgpa4R5Q?&J(1j3en=eFpGZ~H& zAlI5Pwjc@oPVDO4-;~}k&{4++BYE`4H4qz662u+D^f$dT(Ck@35e_mOza~3fyC$L{ z!c8N9U**$3>iV5d&U?>pe&!5vDjP-30%5*Fw+8cLJ@S&}ME z;?Y*~N~@SRZ9eyjnO6b3m}wK^!g4IpgDA)-;Tsg0*^x(gv?b?Homk7tt3mD%9@7sx zwv06zTxfs!K2{)3QSN+rZ-TQ5eK5k-G?wnXM(4^B72Fp|dLCSm?l`9oJbL*!sstTT zkl|(qJW-E0GMyWsNT{>LyN@I@y^pH=-P+>0MwK~_aNDc+J!E(ZDkV$$`w4V6|8Qz< z?!AFb`xyKMTeHMbg@2HTD!;A3iSm{8(GeRiZ1MRVfn@}68yZ4i-lvA|I6;43!$dXsakRft?vp3QJe@Wee=Nnh)ViPt7!U*(+2vKz`s!BMdR|QK zN4HGG^XaD%OBX*wG3Xf=<&1@fv^fxUe4C9Gc8wBk3l7^jyionQ)0(?P^Aqh;&LFoM zezolHQFR!%@V$2-a_Nb5mA0*Rzn2Umh2~W7`AQ`MKmW?(WVB;8tQ#_U7C3L^a5`DI z&x4IRPOkKV4i*1f85Y;i^$u6-7vX#VAxUfzQtv0*PO;kv#2E@Ix-ZcLC*LvUI2zBZ zqnmS`a7VGJYdJng*#@(0)-jQ9?R;@}S&Li>+wH2<(?!}67vrE=E;%;ja;wHyBNhv< zYzz_)cdx1`!|ty;QR;kKxo^SikDg~i)vohBAsdI}UD$hyvrSzJ@V9i;dB_ zC5PGnAh=9ID}vibzIL}4?24ZF&gZb2fES@q9h2W@aE;NjT2(Dv(K{sm;B|YU&_3eW zLt8Fws95#H-dhwaR zPQJ&Qvqqz)+F5MZIiWG@nCv+qA!3uYvl;xotIyy;1CF7l3GI7bS;qT8O0 zdrfs=7p(Hupep@uj^d;R!;heLcQK4L!faJeH=FuEN$X^kkA|c0$awe_#bGOR204%W zn(wX1CwgYHWuPxCIa337FVOJMfb`8U{7qGfn{xb&{J_f8xL8}p zmvt9ws5*CYe2IEEtf?-CNS(5nz^4&xD#A z^(A+gYKCL8F7?}Q){T~((gYF)H9q&T6wrE~k*Hg5GiI^Eh`@+@kkN3%!f5bpz9T&_ zcE?&;6phi;KR+B-#7!ee^x;k@Nq@$XFY1=`^JQ7nf^UG|ml)$vQ>K$T{ zdmja&5wsh~>PE8?c%)DJ@z(%+0#oJkw;(l^rFHY+`^856o}h?#0%wag`#Z>J zpX?>W*Pe)d*B3gKUy=Slp58e=j_+?D-q^OS#dwrwYkZQE+lSdG!JandG@ZQFY0 z^Syts=f9nq-JLmS&z^aoOC#PNkiy3Jl^H1?lC7Fl>OMD>de}{?uDhJ8Lz{I;(`xw} z>iFa@0mzm5Q@`wNoY&{L%QB~GNP0=lYP~s2Iid{RW^E;hbcQ}^{qVc^HI z%O7ppFb^q-oS%m5kP&LCs>#t3qZ`Gwc~F^WZdM9)9k)2w zzqPfwa}`7qb*ohj$LkS$h{&|F^Np0F+AHSL3+9)p7Fu;ueSh^Hsu=b&Fh#T^Y^~P7 z!*f%?YtQqgqfgPy>#+ibgoaO3JmeuD#}ObGsWdL|{v zw*yM}?Mj@=5v&fuR=6pgO^Nm!pQ)`ksk1jjHXJ}QOFSFSCMl|lko4@IfvXHJ(Jro> zxW27oSg@4_Q82`{1*CRz6NjIVAJ;orISLT>eHOPltxJE8f+%X6Jqiu>43v7M7LyEU zU5#t1&eNsnL@DAPrms*i*msAfa%AcgvE5bo6r_tk7zBHS{8Kd_WT;H;S(&T7$6XxB6pp{FgUz*hqJ7aFUyyR%NKp}Q%iGZo#9kI)1R(h7D|A#dPo> zIY&1&q{c*!$A+SSk)W=-L{xH6C6j(6AuW!>B(GI2XrgG&YNA`4f=#noiVgR@(s+MF zzqtwPnhHls@w_R>`+JqA6Yamh245%`-}!P`Cwo^~6u$$Lbh9WG*0#??<`?sc493y| z;c%7s2)7x*HefcGS85gR2k1CvPK;=7tz20D!3ZSAv7Boe#C+jEp_q6}Lx${jC86g% z;O6y3!Y9)-vwuH|{{E(EKZ3w9iLnuBCRImYIp^Vzck_cQS?2iZV8|W^bvq zU2~OeX=nAnJ$1;LR|0N@Ofps2Q98OtL{+x0wA@W#I0Gbw3#5Fn&X>rRYMF{tflET0 zVb+>0#_a@rXE?{Mx0PpW_Y=z=GXgtK+&}c@?wI8*jIKJ9Y?=;+OSD2?eHYIiEPUwt z#2)@oZ3%>OnH`9=vudzCbWTfq`x4bTHks~g?zxLR+}tZBi5NWt(~aieIUl0pMZWZF z_O1C$qX0U+%2M^>CFt*g=7V{>ZiLb1ds*P*6h~2%Z$5|lGe|)M zi_BZP+3uR$JouGA_+4mN%g$kfFI32Z~=Xq|^WPj&F zx_4P+Im{V^u%Oh=rLzE@(Rl<$5tvOzo#n6%0`9`c^{PI%Mvrp26a(AP7tLx8A zX5SyZ%?C9?Cx{#hO7(8|FiKHl3u9C31Yny|x&QueMy$>1`?9h(mKykSOoMk#1klq{ zy}-}jgm?sSUc|kT6kv$TS6iVd14NxiC9(ZoAT`W=`k{ir_xnYvbXZe#xS@ySYbYFj z=YKj@%akDHFf0|K=3@WXhkp0m@kAwo>oCIbdDZW}c+u;w6O5BO*s$UOLexdU_KS^X zqvPZHnDJr_DHW2DGDxC*WS?2&tC4-4TH?+rNUw*&7fFOWC^UYp@+LXjP;}qVwkA8O zEzSrFP)b)#EjViazV>?llv1f?wFM@ijnBq}b*J`8#mPjD3??n}Ac4q)Djx)kC%%EYw5vzaO4pY{qDLWi5x z@(nOPm&Q1T9lT%9+t3UtlR?~Y+Y{AwohR)*?N0;Y2o~4s-3<396nV+dLDQw`0SvUX zxI%vI6sqF2e+CLlE;Q~6us+Dj@Ezr_Lo9Uu)#@G2QV(PRH4OvYm%@ZVOjl=b^a65{82ou>S`Z1JbwqB^BfVN3Qcuo5fIpse}SlyfKK zt{$zK>VeTWk*m}ZA_5kfZg#9c7bx*->>4KUmnis^|%~X?v5)8`OpWICCjXn zCE=k3@vPTGCa)+s9xv7bS=i51G*UP@mvf{yk(Q`86z_o-p8KKa5 z-*S6kxw)}2_f>qf$kRxe8BQ!oHAJc zTh?^aWO(3Eg@|MuSpF?`+w+sz>NnUP*QGW4c=PH06&%W^OK)G7v+vtDdfc^p-=Ytv0KZ+v!#**yB3(}2&Jfk~4X)syu@@kVH%i(f}QQmcVenh}#zy+2c zDaq(f6tL{y9_*vU?ZH0KuU>$~q*aPNSafqkG{ep3jNqew&HNXiwyR+rBMFj%LzV5O z8(z_Mqvx39)iT*s&01ZJeAFKFt1Fk5Qy%YMYnjZBzI9;}(6*nJ`zX{oD8t%IJt)X9 zr<7FRy#<9b`7K4|qFb_y+uD!HS0ic-KMtx||4brJM#8@2BEuwm;Qo<<)K#tKHs6oK z6|~z*F^PPji#ow*U1L9$j}U{&(mF zMX#+4?HAksL;2uPoghxNAaeOUzJ%c|p`lypSI0rKQHXk5N77JHrNh8D{74tK=(zu< zM_=w%!=M0T39O>BiDSwR!r(B1`kH!VQ>m2)zouh3BBdeTA!6{4A~n$QgbrfB+ma2f z%2xWHR8?f@0fHi`^2_2l=rrfw^c>C` z_^cNDKO|D@BOPVuO8-bvgaah7;Rtjsb8*_7&#T>VA?Jpf%F?~K$ldMjKZYcP@18`^ z{+v!x(Z^IxC>~rlH^@RySA76iRsY+TMNw7sSMZG{g@BI5^`9DoZ{5CEvzJv>oFLrv zcq-6^1;k5AP&)V#{d%E!tA8JkQdWD|)%@!xoT+c{8Lvbr*3_*4A#BjGdfhHl;l(gH zZY7~!lYJ`K(Ra~`?Rqgvnlw_(qpv`2h1c7{ADrI!391*(1e+!#MvLJy`c;m7DZP7| zRT+w2`5WtOqS+oYTc){gL^dQmw!L+)O%KX~{euJW^5O)Ru^Zc(k`n9S-zh1`W_v&- z-pEUMa_Nm$e4cdaK+Rs{)a<%He$y_(qwe~H&7{q0roe8o&O^c$HD;@Qf`BG+0WI(^ z_46+w5@&(v7U8YASaIr=GlaYsw~1a7MeRx!XSPNMDAfFG(SW#Ll>V5zHJe+(lJacl z>d~D)PZ5A=0VA=lhqOmG{v9ej(DAwbeSy^T^|4~Z0t^8jUPsA~vJpRBY6WuE%3)3C zzz&l9E>HPbOx`;ca#6>bGDTaErE{v+9w~A2<2~|d0;?Ta3jqV%4Vuoiegwurq^!DnfrkneUl4ysvIQl;>Z{P&mp<{}4ccCOL&W-AnT{1M5n z5lf8~K%uD2Cqj26VJ+e#KmWFyD6Cm97R~MeiT$gg7j$xsgGor;=e+g=Ig8)Sgt>P?QF}@*#Zv8wB=ab!u{8 zQ!{>$nWovAG{Oz|pigRw8c&v8)AT(d5`xs^WKnYFnhTcCTj<|Rx-Cb~hlLeP z_U8CS4Egg`kUQ0#DxOH=LSMuwyTP02R$^Ezd^T`a!xlx!Yf`%7)<{=HI+C?C7fh5I zY}7=3ol=6OV`AamJl_+I*++-Jo`#y{a@LZ#qanZ+xxPK<1!vF0W`qc$EFnXrHNvH4 z_P+jFE7=iqCaC15YK4ZfpqHB=y>SR?F4-v=mgoN9G~24GC}$lbt?>fiUt}MgJV(}O zDZGu|0Po;)|MtD%O4&vhZqr3r^{;J&C>){$v)L@0miRX01w_bK>IPTo>6sDR2Hbx6 zD=P8!b(1CO>4bGdUcV<&o~*yBz-Q)ps2sl`90<*K->2rYGuf0MiT|~%TgvK*y-yqi z=@59iFh?R2fp(?bnNPNFy;BIsq>6sDx1+wRU3E4#6Z)~jaWUL?-mfM!G|UfV@{1I>T{(bt4fSz(q}w&*=f;sbTPGh~Mx zzIw2pe^o7hRdrI@zFYmlSH~(5WK}Ic*`f}N9|gyw;H4Ezxn#F#mPo6oRWb?+5@ZB= z;iDV;LbBQbuu*dPU@AAAGH?&t1RDQc@NrG8FTmgQwrpd-AX>!AES!zaeas|?+sPg* z0Q`*TAITRqX4R7Uw6tq)21UCB?*wr@Ne;-K=`sp<|6$4DVafilP@8RFV2m^{imFcw z#uZ6%M~LF2*gdoN5D&OC($r0GVeGxw{3y*65>cWHgvBR3ceRr@hJ9Qk~dw^-5Q8$OGL&LR4&|SHDirL7fu@rN3 zeqMZU7z{SZHJL#k;SnvPL{w|x@zHd5fEq83#L5Ews8#WM`Lvx#{TLS-cx)1IOlc{exGfR%8-*CQ);(Xe!CmP6HtlWF?#XA99Ft9aPviJ&DdqqDnLwR}2S z%6!pSATs(HOjVG{xNvoXR#xO?ASK204TcjFB6`7@Jx3Q&bI?wom+&WqkSkw|NRVn| zUz%h;it}h}gA1joSWk{~2JO9Gxg8I&0zcmx#pUqSi?kcFJ$``Hk7{T)dSq=hem&Lk z#F%h|LKaYA{M0>szFh7Jn(ZKFA458$83AwjhIb(9=+ml8^H*)|UKaXJ{P;70RF}E7 zKMJSnnpFSsulQ4=x%Q=i(mei{wK*oCf+NUi!6di=m!|#c8kguye;KxP;460{+GpJS zby0O9y|=s{^Z|qwjFt8D^k6+6_k9&lov8tjev6!l(=eDTr!xs+`vs>a1VSgbX~UN7 z(j0Fw&Y~N2#Y>7DBG1@5EWrK4IuKGr7iI}7d^9^V64YmuS z9Ju9E>UZ1Xv|J02@4wv8cMZ+r#r2U8mb65AdWl3A9e$nKg9#*IgHS1@)rI6%o2Gc^ zyBxm2oswHXYW}xD2&DYxm}rzeXd<>$MCTo@ff^3sYffFl9>eP@ip(iVTIdnM6hIX& zm4C@1J!=22T%}0snb`3AaouD$951$J@cwAwD9CqLO-bZlpma!pIRvwnn0E#Z% zqiJ1PV{?|+dH^r6+i08{1#HqB93t{=0klGb{VQWeZf}Opr|>r~cXWAF39y!GRs;&v zQdZ)?H&)_OGzyjkG^vOW72Thfix=5$K`U*46@-y;~|hb-t!=$q{jVmg>uG`Zi^{^_=LRMGpZdwx#TUBc;|II=PYW;FT} zqm%4{;pRK>oeO~X%=rY-mc|OIin%-fRVctE-vRZtHpl&({uHuTtBcf?@qk+-&WA}t ze~BlZW-~qQ7D;3xLlKedcLSV$V~^_acb$-+nu-55eP*oVMe5~2N$3%0Z&zzmB+`ea zq_d~!pfbq(!b3-aQZitJ4cN-F82aZEY?#R+459jtkzy1lI3>4;+F1F$eqK zcdnH;>Vb>9{O>g#*v$Hph{w18-X$W(v*duEL3M2=PCfwr137r3Kn_Ir%K^WO3h-2l zI4MyDr3D>cG%ksR|93IKzC%a*BC(=HD$r91Q9)N@u-t#Iw-7wNFBEU$BL3f-1k(W@ ztVW zU$4bY6XY*W&*U6XaI^jIwEutmmF!FMdjDU4AP%d{EK)IiZvMaK?oR#x=WyxH<~JC? z`(HOa-MrmBId6WvzC3(f>l|3QZ?LcAGi|f^HF^n=s(e?$?0Y5r%K0@JQx#EVO5?Zw zr#NL4Ec!7xeGqn7u&FIoOb&TWB^J55iLMN7GJ;I82yQY~>+;VaneXJCAb9#L+mM)) zTTA9 z_K40N9!LlXu`KAs#Jw#o9G0d50R_F_pi0OfPcL-|5mzzV?5i7hibxQgx^?NXvb-|X z)#IbE+kt|kqocVwrNghQt1Dp54;VIXuXm+Ym2`A;3=HzqX+9nv9%5qPn}QAw4pLIk zn-a>)%O^6KQ_qtrkLRn@($mu!cJlM`dVRnF+fyoy5|d#!kD%aJ5Rkt$d+}iegG78*Vor%{G?13KJI)5($b?q zTY%$}0D4_#*z*EZ+k=rPsYqZVr~iC{JlkkDWktAtC#W2V6CgQojH$SN3AASfYhbc6 z8s|pwU;-(9{d#=w`vU(E)_}7Qz<*`XPEJlu5Ie3p6}`Pe!oo&(OHnnowR6~%l$3x( zL}aPuOcA6G-fw}2gt(ctUhyVooL^r%{?b!@)mOL~5KmJZ!j*$;nd<H3A|f<3HQR~(07f5hi+nFH9~mBwrg;Uxu%5ur0CV#_ez^m7c6JB|h_Zsk zdZWNm*VNS1nF2wI`>Q3;GNLEbwE#$-ycuixV~C<*cX!v#%}pTltr@MrSw=yDGB_y- z^XnVEwFBOC)WvoOa%=Plr&l$4Y-A6Q@S>FUZ=b74SB>rS#RI-JZ42?1}yJM%xR zkfw%)fdQ_pnr@qbge36x;H#CD727W|E{0jaYQ>ndi-V2*!7@Oi>Epv2$oJp)ENN(H zXlu)SG=>C0p9P&vuwDG|AqhfEOl!a`e@u!+cPXjxN4r$`-o+P8Z|~mMcyeg5vNgcuXwCof@&fpv6u<#r z5)2A4B?w3zYG){gA`OlS@9HSBGRNBlbC5HFYlVao@$~c*5dmcz*LRCKY}o6~=p373 zWyjys0g`P7ZcmjZ{T8n3+0+bLCMN8OlgG==!=OL}l=zdAllyy*=5w%jZ;<+c((ft> z#qVYzvD^fc8aQ~k;P`31=ryASxe1gGuCRe z@+mEyonH*|VpHiQDdO3HUxxD=@6WM%NM*RNJh?q}KJ*M32qN1|u z$@`QX92{JsRCoaSGB>B9p;r5LN=Rc%eROUW3{r*zonPdmpjVcVL&y?nJvI~ckYCh&$NnN9$Eo7nK_msas` z5Xe2F&K28|H1gLF8H#YnvWbBzqa&4sf=u6_lDX9QAvX#ycPS$+A`(MBECz!h2NxBd zp`4oJ_n9w$;Pp72A*&;HGi9P}Wp()Q?V9&c=rOM(aSelrsBZW0(({e_^G>dZZGS?b zKi=DQYj!L&Qn5~_&*|LPXq3^gR;zsFcKCKZRZ9u?+YJM6VB2jQl+oEFsRyx^*Zrx$ zFB&cPI_K-Rt!*`ZeorEuHj_a8w{-VMDL{JiL;Xv}Wx?ms#$C&JVXUBsrnw^B@pK+? zm2Q>wGR+0E>JxFyY@ySpheUn%SdGN$KA{3NC6>*7ePhKmD+jevxtSnWVQ-4jm3%() zK=+SR{9h&E25w3Px|67uTKa40{ZkK2P8wFOG3cWT`V^L~{SpS4>OnR|96Ag!aj@&! z@6S8qC8Y!?ghFGTrSWO$9oZD>A465m?Ya7G0m2AF4LSY_G-r0xFU;xFL`20jzY9Mf zZY)Sz_{BnHt0re^u9*(-nP*d3s`D)v@DKPW0-5?_CZzA=4A|{ET z%+r0o@2`(P-k&FH3#XQHG_^P1?qgVqe!!>Iz*50Sk^JrbGVAlU=Rpl>(G@g3I_h79 zjq0cb0Z!$dw_cBO0}?E+g)9DF$XV_55%(i#YX# z$7SPo^+Ll`7YolevYO(X#;3Tw+>MZTRn9wqi>Zf4MsDZ`qNhe3_7xbD?#jcXdjQ$l z7DzB73E)I^8YA~+3XQa9At<2`u+#d8d0ioi=xAS~sp#}$R3HdJ7hqGbTwD+lL3A-l zDUok`{AAg;mO02vU?jrXOK}Ls=ZoM-XNIlqv4|Y+)L>SiWGs$LB){0qDKAzsma0S? zj(S_rrW==Qyp0#OOd@!i*>NB zY~-5wN?nEFemJ`@Nzsm8oZ+nGdd57ewD^`*>(>#m`Ydbkh5`@nN~d*>b4wQZA|JKX z?h6bfrsDziN6{EF&oTsS-IV)T?j^nm=2$ABe(r6VzsYd6K`9kJsk}iS)H+8HC`WUI zklmVl*P|pT`lqmj^nrqYPYuK36A2-^JVWne_e@09xkIW0?UfJ|BGF^6J{Sz9DSRlM z`P@B%BL=tU;CP`t!8p`&0@nPs2X9`HoE*^yzm9G&Qu#vr$1MrHDHaWoyHlt7De|E3 zo8%r&w6A=d<`#+?ntkVMS~lxX$1FI61EqAOSuR&B*4c3!#BZ;jlH_3GEY&onSE*Us zd=!}P4mplNMQ*<7l$Nss>1ZpNKEqEv+eo4hhUFM)6jSecHL^)mI!OMZwPsHnL+DEz zcrbUs)T`JQPCU*dkeX{*3^Nsa455xXZM#SD!#~+2A3OU^$ZpsKU$XUFBuVSr!sj$|21Vv1Z?KuCQxZ^!R{5*Tb_P2*0+2TCgH?eZXAUYJQ$&XIEnVH`@`Yj}dZwU#M zrJOstLQHKJoOn!sUp>1wsa#Jm@C&A{yRlbs$jN}h4DtWe_jc3j%ES)rmX$P`z1s{6 z-C40w>hY=F{orctEwBEo3wepF5xY8~4pgTRd@`_%`)8 zjaX#nNIPX=cF|Ggkn!5Ga~jaUmFpcLV0{VWmeTA?bhV(lL##YAO>u4p9JvFWAD1TgSf_CztszaGeiOe3!wSFOsVP5T$g9RduaLbP9k?wW4P#I<3cGPJ>A>IL+#mEn zL{1;CH9jnP>5a<<-M}CZhfL3bb>0_nIUM+!i2cK0GWb|8@;ZnkT5mc&O}DU=oZv1b z=C`SJQc7ET!|WIIUeeEuaQ-N%Lr|Vf*Xi zq)+LHV>{)th-`dK=<7pQu) zAei$(g7>%hp}#ktlIN)V@It^vSj{c8&9R}&v{*?_&ZR6%v~}FsjALOQg5ThHuKY(C z@oZPZ26;ar<4Vt=m%%&V{UH=4v|%dyw?GMs*=`fa%tGP7XbDvsc3FWq(%((`-^uU} z|Nga+x{D?h{J`nKK_~YmW&i%V%9*_<@?1=TqtMq#8(E-zxCC^Q$*gU{(cM=&9)8ea}{3u-|>poqaSBiMfvRvgIhgNqx~dy_#vH03{;a|8$K1I>OimpA**Sa6ZiQ+ z>>w86bu&<$M#}BFcz_MTAmN8$%y68uPZtV*0;6fvtE~6)3a|@6StEo{yPy6;T9*wJ z+gdUTc&4J|kD-D_eNqn}aM*akeGA^#WJn^==9iRVQ%1a_6IYs^4`C6x)v!Z)xvtps zhOr+)OvV@)HgMdq6o3=8M>nfD%Zj$6BK)3nNLENI5N}yKYBodtt!rHrue(f5Fv)`6 z3-im`&FJ|q!p65qN2>kG)7j(+fuA1|XMJpxKh%3>o^Lb9^e3`jVwupFE0TP^+xm0W z5h1V5vya+M?N|tVL(Hff{==3DAiHSF=+bn{&FG-MBM!1$jyWg)+@<;OX^GQu}H6&CVHh~Ba?<<>>lKeC*He15h zoesex*2Pj;oUuC(VT0&krnz@{MUDQuf3@XII<05D0Bx#}{@3ldg5$aI z7#zk1ug}IW%*X<62jJgheZJR}Pw71hYt^E(YmVF%okPicmJB|D*5Gq+)MAB@EN!E! zB z4oK0ENQBl1a->;GlyW$100~z(0uI+lPWevI0HBBbRa}NPb&3kj^#(wInl;+NG;+5V zCcDgfKkb3s3Sfm9KblJ}8KZpIAN~}+PRaZTgijb*^Di4QfK@wyu|f<7r4*Y?vsgir z#!ui8;~gL#qVY`r?g8`YVYl5@>I$?%UL`W=K1N)`kV55H-X=AX$s|_8s;7`7?pP&Q zj_3&YG5i(6e(3jg7?hB8K~CZ30xTh=CHCPHqg?sus5qEDzGym!Uk1#q7r<@0Vf(H2 z-eO+ZASc19J9xF*Dw)xV?2(90w+tK)8Nvz?2-|ez!3i<-xXhCHEKN1<-DMLj(K>tl z(EO(I3A-ryfhO?%Nbog56-mVKn5OIFYPUWb4z3Mzrdi};^|<6_=D~5!bB9D)iCrd+ zZcwz%E>nD|!-HeSX!7=l&TEd?x$LQ)4it;og?P8c|O zE*$Y^@8`DTTaX8izeer9Ew{s+U(3ZOC8*V7vX@|MzwRbQd^mel7wK<_6AOzRyd+zk z-iGavVf^v82WyO!J?&+RNl6UZQrRIaE;cDP@r%+Olb!V%;$=#pT zGbccpwUV6vF{WSi3}|_C#_$qZ{}Y!2dJnA9kmnsSadF^A@t68*PL}NJ00Q&d({3V= z)5B>*SilO1nPt!bb-@!L-GVqQfPH+Jm$N)^T5h7Et%#z+5YmP#I0*c%Xtjsb@Le>F zK+79bgmUPjU*DlD0z&^ud1pQ@SH7LKQ=*SIjPP zi|~CWuS@oNEz)9{;>$P|)`66$VXOQ^;key%YO`l);69DvQrG8`+rmo-cw=q3Zh{k; z&DG1R@Hy`QDz82T83zU{DpNkZ1akkPi^wutcp6$BuNB5DHbWqU3m?T`i@de+9SEM1 zxrm1~1U_b}lAC2}1ku+r0-~s6xozLf6q;q5sMBnj4HqtNVb09Gbl}0Eq(HAi_yAk8&T>pQZM{_p#IMxxYSlp+ zucO~=0#8^POQFhRO;TcrCl=(R;jL*2qbTlYQ?SO}03G4CAIJ$x*!4||Uue~=0C((+ zv=iDOTnb9KVC1YF^IGQN0>o(wvOIY27PkDwTmrfA0fPwe#QvlKTG${^gbFJq=M`M| z(m9!D40I=07a7!8o#mC~`B%Y+!PFmvVX4AMIHXEH5VMUI3nKIQJiyc;>7_Q!jj?8_ zMqcPeK7X#d#=a3fD2sB=ZDM_xw@5)5A@st3*IQyc9@C4-=%;mB>13wN^5yNXy5lnY za+UGb_&~iA9YQSEuu5&j0aAK$@vK?VSC^%nk~2XFG{>7o(~=)466gbIRExrI_f^U_ zv}MIpj5Y^-lU4<_?d(Ar9$A80T(BY#*B$=5bxi6hGcC7zkldBSL>mmtA90R9hZ?|X8QmaiiiUBPKRP1585AILagji$Ql|l-tn_49Mxa!9Z~ZhTvB2c$8Q!*?W2CTVGdALr>*t?Cm2heZN&%-L-IByxzYum$ zA;$h^I+ra+Qi`*zI)jaBCQmF1V$#kqnL;gU>+)UjDDBuOMiu~xf_Fif6w~P8d<%Q{ zqmuJcH7`w5-duFVf;j=^k3TN0RWa?n`ljBADSBS~;ZAqEv>Jj%e#T6%c?mu{k-%p1 zhrT)ah2=V>K9Y(R56`hUh<7Myd2Nx73i>t!cEMiRx}Kg#c_LU|A>G^qnT3g|QIGnW zA*lBQ9JJ&H9Z36k82vXH*!Xg!olH>ESr!zSY9c=Hl#Z$R#)zjpFcY)5LIIzqv)Ok* zUq-$_;MBeSxK~+X0D#YTr;8|^ycux2XyCA1Q#qWw3=F7vvkYqbl_rPN`OTicgV=`h zYt^e#-kbsH2%tY3H#zf>XUV0r-mpG^On2S+>Z2&Og$CP?kOvQ~c#T|ma|>@lGBk%o zMQ8ny9C?}YZg2noKx&RS+s$J3npN3Ny4EbR3PK)_^91$Wuo*|ifJM;$vvAc6Dvya5 z1Mw{m=E46~?A=}*E(hT|_r2}4LStptEWJ-S1>Tk~zKI*WLtLTXxl1~YxfW}#P>Yu} zmJac1uOA$mK(-P6LG0NsYkejZU6d&+o2|BXi7PrAXQv1<+>+E4fjTlVDaJu3t&I)= ztqQ##!tO7Ekyi}s^$G4xA9U(vYxzNiOdPj4d}RW{ zx={RQcXLn4Cv896PEX0@WAL}i9QlJgf|As&zIpvADHcV(3Gt=;DX8}sw#P=PHh92P zN*)l|g)|2xz(_a<1PF%QR`p)fLb@o|?Ckfblu9x8K9y|3K}$udi@OYH_yN_IN#W~* z0R&t@Xl+>vNt685yqc25c@h#KkFv?9_}@dg3-=J$3~g{W<*)NneyAZ!>7&FRpnD<+ znXhO+NpnxkBwRGcvW4dnr@j!{kDwydL=xAU)5a-&@dWD*>zEu7n(gNIQ_0Of3qKAJ zx@bb2bCs56$XTy)i?kIg>4)EBu0#siz;KV1Z7Ba)#ied$k6)b9kw_ZHa3{FEJW}`^ zgyxP!Mp5}>J9(AB8xCXV6Zr>q991DpgprC;TlCh@!(fhgJlSQXI%v;S@}>hXl!>NX z5$ikVZqD~@&wdi%trEzJ=Bk{J>A!9YQDAc_c!@#hbG0 zRfGQ*BV!$O=ja@K`Mmc2CrJ)`?L(dwTzU&?*>`020hOsa8=){L#)o#e*{FBB6o)=J zg3qh6)9O{Zd)F;gSb84O46TjHX^C2$E%$SYV=MxVvdW;0lRIJr4U>tDUDUo4va`*P z5w6wkZ2UrVj{F&Hc)SWxAo2?&uWGhk)Q?C6GNpPsek;`>XM*V(dN1(51dXK@SW~RC ziv_j2Yp!NvUqjbtr<`(L<&QXEkO^hfL;-(ih`CXhr^CA}5GFij$K2rcM}6&Vxp~v? z_UqdT?XX4k7F*!k)AbQc(>Ph)73981qXcT_6lsYu#W;6lS+|SsTHEP*vB(S)vx`NM zPJgN225%bIdZ1?q+oSk&F#SM}4@NR~&ra?o^4B-xeZ6zLFLb~4r%66o7ZVJm|2Zrr z4yNjPIhL{Yy)VD@-~M=+uht`~LyX^|c!vBctj9?GcS%@VgU(Glvf_k=E77GlshOt2 zvLWW|+uK{U97Jj;cQf|ZGb(=E%#k$>w@s0!c8XxJ6Iau$73=Z{y8XsDM#=Yb3X-F< zo5ixSGSKoz@qUeAk8T0h^X(yy;{gO&!#OXfyo)QUeoJO9${FdqTbPy*w%^O$F~HL4 zS#PAcYjex~BH7G7u6QJcF!v=47*49goo=f;jolU~@0oCRl(;>%wvUo044WQ)FT8|Y zI(DgfzG#5Py^L-eL)*~vT6*6FU39SdLqhdW8QKlKT)KKL%x4v%ZCLfw1g4}%bI^?ZN&v8GitE( z*t+02cRLqlv#Q`BhahdX9$I+|;+Q-=!%KM0g-@)erHqye5K>uy$nwaW4IHK-Cv03y?{KCVQwdmi|N@ zIIMk}lJjyIG(wgT()loXC)!co=`R>6S22n~T@T(m?%NS8@u|6y`rWj@8akTr>2~(C zN$eKz-fDHp=o|@Ge@Mn7J2Woxt#{co%DLdl9+2|guq)x5?$o%QU$5c^IixOp6vF1FOr;d(JP`J?TMDx(VCl?A~ z!7j~O!$djbYb0Xfgr1E=E?w2ffq1k7UN(K37}t}xm>S+x=vHKcw#cM>FxB&}idN;5 zIU1-3&yXWWxQ=Vsf1tIRr-_oUN(R*asZ>x2z&#Wh%46u^aj1QP^_jh^K6`srZp$+V z75-XDH?E1N2#66Va_uwSt6X}7+;CYrq7 zeZIf0162imv0e@TXD8uvrD}Y@;f&F|*$3%Jw|Z86%p4=N!|n)`Y&0C<@3}WOn#<*h z7{xgs9&6ap7f#=1Sqq&_>kr@I;?fK&h}^Wr&0#F;uLC_;o%CMG zU>4E=X~s4-I^@poJmZ&|_^DL|R061~AySmn0aNZhdz|x!GyFrYE7LI`%#q-2S#=<-F%D6SV*yt7*bD&2nz+ zEGq0AEk}E5#wTy*%jMRD4=e#3Vl+Bajq=b5kSiLQG1kw{H>}lX0FDYH5phoWiojzo z03}!R?M4=(yp12yvx$b98Wrka_;JT?88>K(EUGgZ4m-hutxt$ou0MZW1-yR$wh*a2 z!_9?WNjdumU6>v=qgXGkI2Vsh=P->!%QO-xp=}l_^BEJJ?_*KmVTOoKqmy8tgMXYB zIyX>fVsM3k5GDDGWGJ+PVhkdYiWmK5r5PrWmcHgT!w+z}D9gDXPBZpycy0Ov;@-7x zpXO)6tuPVfWV{Wq)>+}ZS@9;Kuy%DI7*TpF=G)VDB%Pw=)|Mf45e4{nn9IC0dgf@r zLG*C8jB!rY)1hk`&FDY$ZKr$ONIsnblNb%^Nv1G3Q&?_|esZt+TzJ?RyF`n8_KwJB7< z>-*Uh*v*c2qElKBZLq6+I_;jjl&#c@do(tf$eo{JI{35Gp;|(%-P-I@^JNy|k-YH7 zRdJybz`mDNXgTB@ZQDGjwbkzI);BPyE<(jHnHANLV!WCc!GWtFMI0I7aCO0Na z8S9HW>4zOOn7_R}!;8{ZMCh#6(g9p3)wh$g^)BuNN48;?Br5D>>fZ?KBi0Z&EH7ef zSg0n^J5a-eG!rW=ccKus26-?Vh$meOX}?P8S+V0fx-)pbsFJ@M69yRaW|j zu%uS?d(7K^vAF@G$rQDKy*P~2u+7n+X6~Rm#-jvqG_aAfVC4J&vX#T4fP7rcI%25d z-(?LhqJ)}`X*=MQESZ2$)_2vRZLF~kP!x(AS?v627!tcZAd_yuPI5n3o4N#tQ8Tf= z|3Zb${Q9e#5#8tpU{O6U`Gp_$bSOSRwDc&!w}f4gy-%=s@?xm*rn2{^WC?VN5g2Sgn>@m?-_vPw1Qs!d z!1hRRPvM;*O54f9?2L8is&p&{*^#i~#W*#m8A>n>BRXWWF09MB`g8})Nsw9Nwyjcq}4ZkAMe)Vg#xBJRGl>!Jvg0%t~%Fd;kv0|S|MnSGX!iTdf;qJU>odDn}oxvev2MBxdMSgNex zV(SSA&}eDk=SKiW0s(g&;8ng!1vGK5#4{2l1WN5*H30NRXa!@K76qTD><%gE ze`1Vvhy)Px61lNp<2j$+x0{K$_*$wA+{xP)2sb|)774#M4FQ>PL!*PQPEP1(JEKH@ z+b?oec|X69lh9?gyM)H1opRS4Z1vu^vuZ^~sD~1uLH+ZZf@+9NyD^O)-3x`JW4fO0 z+O+4Nj7K~(tkN2(N1o;fhG-URgYo!*)n6{19p=t>QdrmRnl^=jBUTEd!N3w3s29Ih z!|b9-)EnNX_o#4oepeTW79{vA0iBCttCk9hR0;cP0qsqkEgc`sIq&$hUxAu0qI5r=}rj& z3F%fkq`Q$uK)O3bB&55$ySux)-p&1c{_n?g;9NVd*)cO~*7Ce2q=KGHpfiU!8rn3d z?xa|l&DFCsCfrGcYq)wQ@$@{G=4Uv6U60Z;QAO@;&BZQ2EPDn&C`Tg3WQiFe323D zv#O}D$Fr@L(%p7-Ig7d?MHV&B`J`!?MA{8=d}mKjjro)eArKajY<;%}YJ)s*-<9b9 zg5Y3VhIRt*vXaER6d*0Iy}Ipw(iAkM?d8{&?nmCu0oY}}ueH~PO#Z&EUCc7PZA;~M z1=RLqbo#fqXveC1sMAE#4prL$ed$GbSH}1OQzXZ7rj)dWtR++Mk zWaV~b`*yZRV19Q#R&+d2^Thc%q@^P$&8|6P1E3c8b09?2Z zRHr9rN#s_S=`<{Kaqd$I@mq8XYCt9anXktSRQRq__lEZIB3l7;pNl>Zd_zxx5 zTKoEbsFrSLx;;T{n}603Jzuq?T}Ue^cB}>#h%@thn4&a zEFNaWv~ZnD5Mt4*lD(dtXtHP4p0CJkG+V`AXKAo9RiRs~nn0!q@q*Q`vk=yMU|uio zX<&YP(DjR2HeR1EVeSHAq9_@`p8F7!j*&$6D)I4e%`y~x3O#~}{cKtJcb|f-9Z)3> ztBT!1a9dEWQtTH-ODUn$8W>{&M3Cgs9hH-bV=u?$xI~56jy~Vf zvdMH(v&bit@_Wbx4UqCM|D9J@u64B$|C&1pz1^ZH%B@!Ku{AvZVW5`>I=HSke$Wv* zH`SmaQNhX6dlq%HMMrBfJf+e4p@h=Val@wBbFkp{uNlxo=(-cY=W@fu*&qA2oYym1 zd;7=dUC|NSN9?Ph(Q`>7uA)F65t5Dw0mtdYJ1OPn`;Fbba0s{g$=AInt+HVbgdN#`kD3POYBJ-8`%M&iK`@WLK&i!*8@cJ3b zX{{a|1EFnHIYd$YrL)#$0ZsHJBtifi6PH<=nGjcJTH>RU=oZlvr^nq-5`A^nt=6m< zIcBoF)$sGU?h_hnIK-Rc_D7k|hB|W3E~t+2MsNLl*TQW>_N-FXgfG&cjANNSy?d%z zcYT_*c9Pe<9)>Bq{r7sGLB)5WjkJJj^Sgv|Gz*5_Us|h^g-`Le)BcO~UJT4_iJv~^ zfchk2Z2j(T+3*^ptJ(7cxujqDsJ?-y#7f$L$FH@NbZNjvHYF+wA_P`)6o@3q;W-1w zc_6uf2n!L4)4Cl8DE=N){rBw67aYO7u4S7+3weT+E)*ax!aaD#N$CCAkwi-Wl zBH_q5Lm6e!e>J|>-&pCo&(&-QDKqlKp! zW?|2JW6r!@Z&9z{eV>BDh`UhN`6%)22sk=AERm=oq>kn>#&PLLNu@Ag(BZBG{3^Ds z+Dx)%fAp)#ewF)lxsA0x^wYrO+%G3^~We##AT=$`Pa>v>@SUZmOL z7JQmV8CJ73UA-Y?GTh0mSB0(G#!bBEB;e#bPL8K?a@>rT7xhJ%hlvf^bEtIAQmn4e z_$#rjdiiM`8+rzx^YB-!Ve9r|h=hVFrq(u~#6n)!+Q^lGr0ELCddY86E{o`;T($xj zb29;yKT+Z8Rp@|4he$z?W~0K7_;lVZ(?++puiH+R>7h}N!-kX%vAe7TcefAmJe|#b z!)VJ8C)w9#@?5=c__hzxF<_0Krqeo?@?y~TY`jY5!#%TB`I20EjG*RH)y+;WY`$N3 z8wnEWFWc8qbvj+_6s1{fvNqo*MYZ3420l0Tk3W|VxwEu1J2KW1=m`xxT;iD&Ctg+bf zFna8BqWDF4)5Uxz)uKME1D2}zErmfev7V81f0?tlZ55wyP$lB_x1<%gx2vhSw(uQgX!}GK!bKo#em^^^51qTUr$?U+NH?l%l2jLM#-|Ev> ze1rSsw5J3$;d4Pe(lXletwGupZ8_7UVtNBfVEor+^0SzGoUPgv)kDOL_7@Kb0ka+j zO?km(hH5@X^G}J5er6poc+q-&GG7`o-{TNs}g6qg1nw*YyD9_}+9@-8ezt{RzRUmmZ z*RP>49Yzf(@OLK)&^_(}_a;`$iL-@Yn1kWJ^l_NRfwrN+c|7%MOTt3@oj3pvCKt*4 zZq-*1yj}^A>_7;|=*v#kU}!j>%`(3}*S9r4N!Fh`I01_5AQ&>PL*kAwx|_mjC1YPU z1XykXe}h@Gy+wA&hXba)X?Hge$uqPd_94Jb8u;42Ulpi5fz8{IW49OnVTzx1MdY#Y zi^X@*c2z~3+g~ct(Vv?`xZWvzbRTkxJ3U`@gwRXp|NIWMwpLm~!W+`GRf#t}pE=W2 zu&L}zYjPvD{8L*lRQ2}o8;v6IEG6obffzm+m6RZewO)*Se6K1sW zfF6_7g=Vn*>@EDR(S$((yAye{4j?TJX?^#34of`HpB_!F=EX{N8bmuJ(sHu1o7P}d zqKm5FsA;sZ{b8d87}QA9C&ZQML^yhm*Ox|T17L`6!{tXMN2jJn*?0Fp1_ZRS`BvyE^K%@n!s0murNJHBl<(aDnYg z~wKiEO;Ldx{ z=T`18WW!O%^X<*pX8wmG{7+EPJPeR%EEDnFShCNNO^mZ~DF}X~?#w6Kj2QTlY3G0VwYbf$H=CIn! z%CDI?`*e>i3zoXDeU8UJ{WdwVZFtK}n`R#GpPyVB{G>M|g%ZhrI&NuHoezwJ57|8a zrTbTsWkzC`<=Xf*2oeTCYANU^AB@DJt<@ko9loWgF~W@l0!fiCIk)~o{C_Z3`1 zPveifae0>cM>r``%BBc*k)-Uq#NOYwXE>esTx?d!yq~G9W8;j;x{XomDG%VtlX70z zE{WNMrrXwZ)m`7PUC{0zT7KrTo;j_U3z+r(;K##<=M;vP(`#0TuJj3gLlD}uvLuVJ za>{)EL|krD8`BqUcZ$=r<`ZS`2U3~m=b(%fn2eY0oD#X*zSg^H1-@k{C3XTgls`#b zXlqL+uBG&-=)_rdk*@D5?v(64mvA`4+DrJc=}r{7sxsG%&iA~7%$gWHA}P?Kmx)6> zIG&bBeE(p+Y8NMx) z6ERUy@cWR0e~$GVnH_2IcP^_hJP0d}=?5>DsJ&}P%*FU_!9Q1rU@JB62aV#N#CcS0 zd>U<6>PnQRJ`N>ngzrhSg5*WmE^8tlN+t9-i(A$xh4-?0;H14fbMTi?w4UgcSo3P>(to27ijDMg z`JDP78h$A}HKQEKe3_VR-z~G%$g;h+KZ`i(L_i#$O;fZ*RO&qWw2H6hSwTMo^9HGA zQ%DM4_wx7H#15z#ew|kM&Ps~Nb~v?6&6k^;Q^j|tZF!C@+%SD7LaF%C_nL4PpC^WL z3n>-nt>q0SR|z}j@}*J4=HB1UUlc;7j^ayvq<@;l2po6k6Jr)MD$0|R-(1h6Hk^G= zbI&eC&1_|W|L3cx-zT{Mw#5BhsXqt|DZYWBHUg(|YwU2Dhii%72PP9Mj-b>EFGh>G zok!R>TR3#Dc-CaEVZEG1b5Mj2SN;WH`M~?F5xs-V!9=EvPFUYnnU&qLfMZGzWp@%h zM*SodB6*@Je*|3s^Jc-6{>%=C<36>L+wz+oe6cb9DMtb8d|6T&>VCrSIQFo`luP1o zIxa565g**CuZ2xW{s8_sUS?D)LMj$|{+lwYp$YaYqLHJgI*Ir(ee8y`DD|8DlxWDjOm zp6(a;&SBDwNt1Ty{t7)(D?EP9lCjc*7jGP#NzeQBOM5a_xM2wEA5WU9M!o>5f;wHF zV(w_sX-2lFig}#oK92eCEk3I`YteVrnCsuXca-bapw%mWj>=rj2UWdT#FIA_r>d9V z3Fx5qBJ$i|4HXFgEFU_S-l1|zuI4Vft_!65WUSqCM?h1GCjnRg!}1#?z5LcrLJG~7 z{F2fL&{Zd020K1SyvwL?5o)WfP02s>;rEd{%utmEBe|ggI54b)~cC+mkfPdbR9O4DSefX>XID`lBAXgd}b@BBMr8a#Ys_h=*_0O5dGA3(UfZLu6>pk?p=qA!z7 zO#GlHe?9nv_Fx!owieb;vL@Iq%8@H97CdqE=4b z2$P5dsc?d#4=9`-OZ;xZF@y!d1X@GGFC=jO|36u4vnamBNtix`WSY&A6{h=XTO&C^ zBhG7XC$uR{=DaY8nrbDT{#Ygm>7zPWZ_|chQyM*4eN+}4Je-^vU(WpOvAZ1@!*EPZ((?i_=^Z zJCNc#m}xz*4Bb|qWD+|k!NHHGTPBerh0&RA*lx$b!yXNob!yq}wE9$_hJ>;uoHGTYGgR;QEwB+)tJM@2f=1 z|9*cBejiEATPiz?^*Tlm7yD#t^202ztAt4|_z9NTJ*;Z+9a~?d5mPf%G6VFhKir(Yfq_LLtJE22>htO)ypC6B z#blzAh+Rm0C#3KyJP=vM)yUzQidP8szbAPmj6P3McbugzYAdEe^{hg6=Aa!QIOw-HNfNCe*S9>`taY7^x^4i4$c60o9 zj6+sW3#WN)RYjg%mRz&4_7Ew1 z3J&k^UZ@Ed?+~N94YnxNCSI67#fcQG;q4$~mD|&84%tNUJ2Z&kX`M8^XK^mp*Vhs} z+>(`Anv&4_7oqkLtKDQGcz6Q}H~Ydlreg#vcsh)ZLl|P+yrLNl+<|n=l|N^TqXH)6 zE;!Gs5LJ99=LDXZ96C1C_7R5nB7kezmL`jlywpiPrn-sGe~@4`6%GLBs$d)V;%c!@G=ESHi`gZY5uhV`d0>A-of| zh(ng(IcH zXW_-Q^~&6*pBi6nCwSOmcL>wa3Qe8W5jy+g$p+^TYm^#cq4Ug{!PZws47@RGTlnCu z(s^Vj^|FibX{Q$D5EBm6ri8YcY}rw%v`JKiuB)QljWYe7Xai#Loe!6~a1HW;=4cN- zTRW433jeb{v)z!Ym8h!Ua5rBcyuZxa-d)B-Hs7CL*fc+{Ki*uF5@%n)eKl#20`n*% zRw}D*j5s#DetP&QbeIO8h@(3H!$#pS=`BMj)IT5c&z%x5RCPZ3>c8k#8R$f`zv6a5 z1aFe}*Z)$*pz=rhB`yI=MbE?}gNIFsLp>DrRrKX#;!0~I&pf}Oz?~O$WiG#FUw&yn zIep%9SirIQVyf_S4j@K@hC^|=08E+QFHa%ZwAUvqE%rOZszoZF)i_~53DIk=yux_s z`EJC!`Fh?0{Ot|9u^gz?C!`0(AeNTJNKhqp+sSaKMEuXU8!zDBUd1iH_C{SFEdX5F z5F#R?!S36&-MP$=D2xgU0@qX;b!A1pl5xfzakNNI}WQG2~ei zd@!E`IS>``^=T~|opM77C8b~AJOIoch)*I%Aq)RB8BR_5^())bWiwhTo=GFS9nxzK zWKpm8rv^HXYyry!P+C0?0-DI`>5EZ-^1)^ze+V!){{1H=DcSwXv1m3<^(8$iDM@7f z(asPtS{UotT)RrKjmkd3N^&`^O8ySIe7NCp{1L`54gVul9ERH%)~u6;hM(*L0-De&fiMIPuRX^qPK3p-Hbq&xJ#U%io>vsU;sN=lk$iPQ%57^>ZSkQ(;EmN5fRDH8R zr3GM+_Zix`95eX5mEnF|x0B($d~nqE?X@U_33#}O7Fegzdr%Cj_2cs6YGW}&N%ng!*vn(1IU;mcZs9~^`^+1ZKlcruAesI#J7QVbpBRfBRY^qrrPQCa7A6ck_i@E^rX_5aPHZ-;f-4AZ4s zuw)?wt2ZD>%)FY@Bm$x29?Kz=+Pt%l%y5R5?<<}+%s_;>=ugReDImw~0HlC%&sv{- z(WwZG&t56<473VzJ>GgSGkDN_&hG4wVe?2_l_WPrz^SK9PP7hFYQ-~wqZ z0*iqZ>w4*L@YY>I&$nXVf^KhJ(%&|MB(I3`5)3@_Lv^yyhP2$NwSot8#;#es#U1U- zLit4|&p*$e=e&WJl(;0B^7&Sc^O0Af6Hu+VdfR_C0>`BXptcK`VrlHDw=UZPwu z!fC^kJAl0r7@jy&_b^LygU0X3z|5GKs)BR~^Glf?tbCVU*m}oh%@-oK3e-hYfa1g} zC%jAYAokn@JIKJ2kMp`3&3Gq2K}p~mvHVF6MK58! zITXZ?`7TvMOMrCzE6`;xY=`8X1dGCvX`>#g*<>Ug*e1SwX*)cgW(_O4laZ7*A{M07}qyGg5l43x~*{xC(!*hBfW~DAoO5kC)>AOv7ky(SyQBtgYXV0LGW{x zz8^sQ(y&i_{gohu2_fW}Js-hRyv}PTG)SkD^Mp~J2HH7h|6KXN{@gRv@lS?qvm7q0 zggnv8ZPD|uF(+r!X9R8(7=zx4JN=D8f}RCwl?*9gY zn1#>WM(T#|R!T;K?DqGf7sN{26`PQBUK5X+#e%^{;;zv7_KgAJwfR&+Gzs^rx9xhLWaU?@X;qn3WFpg{0$9p4!>oOWB zn9tkO9_g!g{F0_Un02{zC{;pmXX<<-TIwK*PVjjdQGi5nY)?*J zURs{Mm64tY){6`q`#^*cBOW93e|=Zq`7s(c)(tJnOwE70>JL(?lZYhqjgjVt8G@b; zxOm7PqCccpS$!mJ)H5leEA@U@Sn0)78-uW<0w%()gQ32F==B-W2Mg+u_Ys#VnPUqH zRN1OvLVVs3b_Dx|-S&JU{;eK=i!*o(~Uvk-T&DXOev@i`&WIE!{|X5{2wEj8CpkbzS8^tbO% za3dAI>KzKH#XGggeuMI4{KmHFX`b^$)DQ2XZeeQ90*4qwhh%-z60ICK$vQb0fMo-N z;Qn`{zf-tpyO>FbpIvqdsL-}{mp8df8hEtpW>xiT_p12rL^*7;$Lq#*XQSl6D~1^ ztoAfs$M-mkt645*>rBM@j`{RSiXRzZph|yq1^a1|edOmaPLn{hKpD2g2n88cG$M}R zut6?d%6K>hj!-4R)z`k;h?_@!<^)oHfDE2r|DH+d1ARPd`#gUsZzGNBZ*3*Q1=v(8 zt(7meivM`l0*OPX{^~%^>9AT0cwA1dQPRetc53f85Ock3vXNB4ko_eqekrs%&`ga$ zHTrtvEamFiY`$Z{C@>WomF%syQIsd6`%RwTV-v?@x$>?6HjInWJ4+Auq&w~azx%GP zY_bwrP05BxByo@vimmIvHQc~8g9&FuU|!f9rXS9(y9IY!1Q??ttt5pCR@?nZ zB{V7*3L7VwtvcZ*6-ZpCLpg>jOnWIlxr6D65V{SYk|FdY9S8k9RwBqcusSQ95s`G8 z^v_pP<=%R(Xyi{STc!;oUBi}suw7~TP`!htKJ7#!si`M1jOXkh*~7|ajTm$2`$`7Y z@#N%@UTA6?Bg0bKl%`Q{!A;#Q((9N7R!iZP_>*BxE~{-`Q%|pNh){jMV|z>)*2&|6 zCGdBy{J^W+E_YdfB9Fy`=cj1uhx|I*Q6Q~VFwVyDSHaBMyykCA#;z1IQ`=)c>)mLU zSmB;)JG5jZ>8DXX>VmuQA?Q?gnA_d7ET@y@zkZ10YJGE)eW}*%h$KH(gOz+03`Qq{ zpY#(PVK_&AwYF~`!8{$=Mn;g1wNVVR_eSz7OcJl$Ki~SX6Vu+fQCS3TA-i{+#+?RtmP1n5@6NEK?eL!oazfEyjdjqp)*>O{p(`mre0W`Ijqb`H?A^}75qWnlo0 zwZx!l?_uNQt_#${F)Fk;>Zs>}o*zIE5!`kzNI68&&-n}9Pec~G>GQ=oQn9mlkW`Xc z@}v)d7pwzEe2s*2X5z-lKKHnbc@$@}`f<}~i#QxM@T3RYMJP307U`RBny?)MtYI~# zDC@V|wVqF0OE}zF1ukPUyOeManpNo5^Oe$Da5dnj08oj)-Gcy3p#Wxiee;U;t+>K3 z1f0Vk+EYcU%uNxIqyj``6a@UD3JY{E2m%$__085o3U2q*^(uRm_iY*p*!Q8hq0W1g zqfZfO83Hy#7f<ymrse{?m?vcC~BYdJYW3Nw{wp0%`=0r;S7>+><_J zS_P?ff6{ikALQXHFo}<}T&Ae8uLei))iHbAMT3yx@tGFEZv{*CiBw7_-pOD4vzrdcb(xY_!bAD6G|y>Zm0HfY}yeJ=c@WM zV@b^KVO^Teyh5<_$*gsz`JsXGoy{}^Ya@r}eQ{ipw*SC4dF{%}1CfrM<}=Nj9$wC| zB)6TPS3UCg+O9s5{a2-iOpE~&CZF23l{`At{DX$fKrKjRUsEWK{MZ2EKGz%H48dT_ z|97H;tI4-phWAL$p*ZyI?&;WWGFX5#PW7t~+Na&K`UrPWUDWb?Z&6}y0Ft5R$4bKu zwi}Yvz1CaG)s`B+!kmugC6WU6(rJ5x^V>n8ma+(39%d!yMA88uKtB(c4uC-0@NZuo zE>){Bj4Yr{BTr?|x&ZaHFw2mv1vQ8zP%EDI=D)VB?YbiFwxJB3^7c?Ftsz zCEF#@WN_U$b4JyC|Bgi=T&K}8r_282Zr*&Rer&yRZ_$$p9Z5-<^}DgswVB^Q*o$F; zg2wq+zFl1Xk6E5`b)gtTw1q&H4ZGQ82D%L9&kG!r}hgRGDp$LeSIgI zJweQ2Dl9}fc=_nW!JMrYz2a%0QJ={XrtMSl<7bNp^EOtA!`?XHWby0PpgCM=$uP~q zVDimucOajZx)o#9spn7_e!~xiu0s>|>l6RGGWqLRIHK0`rSswFZkXWr>?A0g^xK1a zeD=fU>Z}1gc&C`hBdbNi#*)0YVv74xWM)d+tTX$KcU{lyEqB)i1YYRL8ghcFs-jSv@1{W3EC7gwgZL4przt+{h+n^j*o?uyC@!Fh@ zFdEe04$dFemSs}V)!2ar9x8DE5SmO8X>4Yyo5o%3jo%@9o{o@sW%idk`dbN^8<<5F zJxJ0KMDaBOlwbrgSKy87sq4h(D!6#-{`)Fzx*m@3R9U%8@gaCX5fg>Gg3o}Rhw<&i z7K>`$$e+A2ZhCsyY)d=5OS@S6cqLaxiJvK#m?wxKA!Wvi_Ds1vG~Jmq81@7gc|t7D zwtEzsF2KTL;o>2WsimQ?m+S=bx6^yyLo}zQ%m3WX>Y$BhT9_=7u(JQM6*?0`hK@PF z`H8IC=J>tsbt5Y_Rw`l$c}QvdVhd|Y(WaMu|4=PML*lNSp`nL1)WzU|gR)z~+N^=aQJ@ZQ7OO@! z9sKuPo${Qjc)|CUlMiLCCQ5dq*|t`4wuu3{U3KgK*27Pt7}GzT$fk`7&E`)NV+(HG zKI*+Xn3ht|FrVXvsb7x@wdzj>I**;>Je-eRVGP`@LTTtEYo*QiMSC)$#`$>hq3T=E zFe-0AScVJ3Z63M>2o;Fn?!8I};%VM*KqcQQ-C^K*Gr_B<@I0d=I|xrRYj?kEC6|SN zunvk9OS>x@h?5Vh3lO#!^0?gGdMmoKzT`kG)o_#rsa8S&wR;I>{U3&N>)Q2f#`Y)c zX?&E18xnaLmeyp*1I*&3{P}ZwkB=yPsi(cs6ARTR4S8-F6AK;vYrSI=YNEbrUuDH{ zVO-6tqqEh0W7ps#&lKT*XOlSOjxVeXC$XCVRZp2y6A~)C{14uKRwxILV@I|b99v!P zfUkrQmn4=|&kKZ#n8+P#(5(i}SypHqC^9>~;2a>R#vqyUSd*m<9q31q*$$2wnJ_63 z5Clx@aATs85(jC){njNb)oOscZ_-IG^_aN&fkd4|dBAxn??BVB9kBz)0ACir3O25l z>oj|2$2nd0N!X7Y4z{9yPiD)FKs{gc?K*Or zD^OeuV=;EMAkeD)ZU8p4%Qk@A1`;u{GEoob+A&vdo0sKvpAa414WXvU!52g4olaZm z}{d z=5MubQtCct&(Tt(h`cHI+BK4G%*+-tHp2MPP@K|2CF)rSOn*#Wn{U@olOGf z<1YP=N#~ZAXLsB*I?c*LU1az3%|5`tm*kbuuZO^7#ty1?sG_@Vq`p*{PYHq$A;1dn z`*#{XALzXxwPi@JBILXE2+3$3O#L-QE77R2Kf2dR_rT?}({G(^JNG`EnWU?p4|ZJs zX{x#d%Q8?sfV(&C`nqA(G6{`^UqvT6LEi5Cnm1AW+>b5<(%o?XR*s_b~kz(bMv;LExjNmU&&K5;^9>UwqSH?h4TZ>Q+x%0-M%WND8IFz=<*?HOP}MC`u} z9HSCuqjEm~cop#!o6~Yu7)^Su{YS(S0Aq8Sj{0PaZLx!}*WaEmAl4q4FUpD$%czDn z(MUa}v<26D3NBm|eArLGZ(Vd;tY`2R(cin!+=#c6?t{IZ;49V^lp0b{-X|1YH{rpE zz)}21t&;eEP4}OwVjvm#@6`9y2t#LrcvmtdSen^fQ704v8ou|(J!Ia+=Wk$8i8%v; z3-a=QYx^tT2Xc$+A(TCSA0+z)*V zZ*y~G)bUi!vfr6#(y$xiN@&Jc^(!II22N=CFmhJHdyk;L`nAXCk84M*H4s@d_QV-p z_hw}F9}8_OYX{9v$eNI?>yv)jmOMf!@Q`}l8W zcmd%*2={BcL}vl(>)ys+*pLED+?s5`TA)1Dei%u@gGJN5c6!Yov?A*YY3^oe zIaus!A^9S`i;OQ*|4_1zobZTFQ&0ipglf0SqR>v!o6@%P#?d0d`}+5G)5EZU!)@+4 z_G`z1*#zkJpT@z{$_4y-0AfxyK;J&LBUymkz((+TgjQdCMz6+d0WazI5zaSI2uCF2 z35fX6i`_PRqx<#1q16oH=`>kE4uThh?3e?qSp!^)-=R-Hjrb+TZSOhU1uyJxOF$Hy zB*g_%0g8hDl`eieSJAHl+XVUYMU{#CPn4RvWSxkTIT|E}3__xGX$xf7e8i$c=b!C8 zMrEx8^{V)e4kcDNgnruSRN3|6hUvLfpDg0)9V(8&VfKRlPv1R4nlVvp`mv3Pd0%BZ zT#6%2{Wbwe@-kjJ8z3De_Zp=P;2x)Ag0uGGb6fwl1KkHS)FI|R?Dxa{K2q6T*U5q< zNb6E}+3YM=KWy6`TB29Z|6UfEmQ`4s2(*$;+`f;3ohX)zik6mDw{f<8d+$`D%PDxy z!>+ugP*DUThcWeG%0>~&&&@}%+&k0AQ+ulG^?y$74R^XpI|i25m+;Poeh zoZW`^Uu&>bo!<3BI|%Fc<>amvh7y!HozIUC*g;ecI4{};1tET%C+4yqyhbkncz7t9 zaA(g*e5z<`G?*c@Qi(;loZ|hsK^A;7YcqNa{PO-LNa78oJL`}t;N2iQ2X|fccdd3w z#hn!$XelY$O51wjMfpw|M-Z_2#f5lVF0UcztZpT-?_4dpIjdyzDOqZ#4x4q$JuS1kHE2EVYlT%|0g;+mJWQ!yc* zCOCkY6N47&D0n|JV3<~8as4?L>eNOpi2ReT-L+$<DR2#_g5w@{eYYS% zzDTD!AYCHa6N4*Ee%G;V&_5Fp;UO~Xy|nYy84#EOq9b30ZikuKHb@dVo?>s$U_gcc z7Yah{m9QScvvs~vUSJUOWv(gB{CL*$RBr#S{l~9}rF%!lGbNgHzO2ZQh>$Ra;7A4a z>$CXbrJiQKx`gAVz46~~OF{gtVeQgd1#F_W-^6N2vDHfFj^$*!W63-NbpKHcR~B7B zJs4F+Y3+2`QAWLg1eiLdki?k3gi|C$3Cvoe&*8IyY58#fg5k2Z19BdVjwUM|_B%t%mo(P#S-A+~Y{yTB7 zaP$ysqh4XXqj$j1ZgHG&X50G+bTDWvaDs(tz%@_Z8b*Y%V@81ed1TngO~H-#@(-ZB zye%5il;-=s3J!rFb4{ojRTyYSd4Qt3VweT@Ss8GL6-|hv;6`{LL>@Czw z6Y5C>yQ)M>e{ZkLbTE2Lf>Qu|w z4nRI~uv7Zae@5Af?>lIj7lZoEm;c6P%yxI>D-#L|+Fy_Edenxy2O@0eBf(-1Xu<5N z1Lp?q7a`k`Wg}83Rr*=syn>xKZRZP4Bt8>D_a9@Xnayj=KTDa7s@_o#a1JLn?GIG( zy&IxDqvcMcdm?aYmqB`U-VYk<$BQpScO_&;3G2^Dhl%Ea5N5O(x?_irE=bm6S!;V< zO?|(~vDP03r(?xA@|#7)ET}JbUO#}ok!qnLBsy0@#2^XE1k_KQaOr+l#nenuSeJ27 zKsH}tGE$`arybe(Xdd<`e_!Pe?${ShlX7pbgyEc!QgmrG@ii}dXe)Z&8i=8ub=%)L}vkk?RZRgRqqdos~& zbRjiI^L65lQAWZ!KO;IZ`=FhnUikg<7PQkL|7>GdlxzZv=_dYyD5wIiZHOr{DTRwXGn5j_vNV^F6P!PyIR@Q|WFE2< zJa%h&1{49ywVZ+cq$FV{fiV@f^xG7Auq%j|!rz^B=h9QAerwGsh^Cmtu;J!m9C(ON zy!uN&Mr8?`TR5~$&aVx8g)ye)8_{a~`F&9>Y3U}CG-?f5a!S-YY=4!RpAKt8t%lNumkWU&5Yg0iw9#LewK zZ!p}!4F%+h>ysc^H|REm(Ly&;G2A-Lu+6Pr!+vZFyOzr(b5d^tKxYJn5YA;(UZ}RP zB*~Bv0*3gCi?Y>_n{?kF^y=WbhhuAIAh=3o)v$SamDrj_ux)gUWO8=01!5_-_IfyL@* z`UIyG-<|GW@HO0UDSc5Xp_tmD-fD?S&wj0N32Tw+MoIZp)f4tU z-F3u$BtizBWmmI1|G*hG)(WACX)VgA{A%gGS{$1DA+SHV!UPG^c723P`|qs)m1#J{^ly46awf%HSOZ-`Y>o!hL4 zQ?Bj|rIox2yWbFbYE9t-kUv6^r(|G1JmOghK>=Q%fm!xzm7-y6Hw{tc(F*ZY$_)6~ zN7-bl)LmCSXU#pIG+So}y|kczs96cr;+vHML}+Z-@RIi;=+Ld(_A+E+M9EtPPEXwW z@KdgwHsO+>nF)!-#OKL5LsS4qY4OV#oOpX-&usKkIBc@hA_E5b_Sc(SZOV8c`lEm0 z>cxfM9oZ?)R)RSr=D1uH-ju^Dq-{n26AWqID&-?X*-V5WaL0ksG`Q@<6bz^&b0h}RL@<`+mpIA_u!=4~AHFY>sm2L*t8sCyG+xh#{2(2zbp6JT^u(n)X=FVx^uaRM1W_@K zOHw(F_VQnVlZjx&f%2kSOxu%9_}g`hQh{YE2u^R5h4Y#qixvuupv@z;3_aZ~8lfrI znfKS>Z1a#)t{C9u$;llX6~U0mQ#-)Mm&ThYZwulM6soCkebcT%A zUqm&k{P`C2?{K|u-(;cY^QWwQ{|4vgyKO-EbH8%4ym6_2LA!C~!YlY?qXYK}viRj| z-iX5YNLaTdvcum2s48G4MxSRWHAOuBj(1-2rkbCB;CVc(Q26os!_B`^3uooYP3#O5 zMID2a7TF(kEmp0GYU9hfEHj~AEzd%tTYfWUHqzty&crC<8AM%HNKjk1^*ryp{wy5b zs!vI=_FTkuxA&I?EG%)9eA8y{HYErBowfJnNQ>M%$j`qXdpV1Ixw^=BIq!Akf=D5= zZhj1^@#Ys)tcXYoE^L|ZIdw6MM3{1&Wrj4WL=JjgRJJ6XI)r?<<5F@@KHyI92+~#0 zyE%H+$H=YQ83IrDeH-ruWj3Hy$tp}?FWIJQK4&_&4%a>){cnCZncf9mYOv{^?iG*; zdAeP!1-&%Z;X|Z&yFWNI%9(q_j+e(r7ed7_TsEL@Z)W;KH9GfwN&idJ+{ zbn!ay+Ks{p#M zd;ELa?~BwUBTE8zYR;COGuImr>T!JL7PYh>3&I8|M^f*{skJOW@dxA#&VFOL&i z3tYOc&wI2l0)k9eIF{5kOXE{zd!^I&oL1=n?FVbZj-(4iSiM*j>pxA%89PqbZwS2S znu6a3o^>4)Uj;3DS+I)_CMY;R?Df3xdp&k9*tFbirwF;-EZy{2Kh>6d+2=u&E-&&o z)DbE)d-0t&M83RVhFu^%m6%j>KWjy|6r@P*F;LxsPs*?$Jb?@!!w>@HEi>j9$UPU* zyR-^{pH!y@y{bO?_&abH1stAaa@;q-TLf%6$r=Xc> z&Yzci|1;Q=wzTEbp8Mv=*DQq}sUIPC&SBlATnmROWj)*`Vq|vXtkAIU&1deilAZ61 z&#tx>E0V|-scw>fJe1Jy$U5o0oHliPb(_w4UwpI@eEK|VY1b;JIbF6!1-&KAwA zk@zTG2Qx&|j~;Fn(5r*IStb`d-|tDrO>8n8?@3NZ=seQVsQ#_9X50<6usQ6W7kZ^m zUMtT0bz6G3m3!VWvv-h+G&t|^B>pAU^5OvR-axSrH_CU&e^f{={+-En0>heCJqAo3uflll(*9a zt8m298FHh&TF6%#iQvPI9~DfAJ-yN%0h%h}-w@J|Wk|k_V~6HkX#M{Vuz`TfzD_Oq zjFlnHjFwb~ElEvpL8T)h{9o##FIak>S4m&1)#`>v56{#|t~fFF#iH$CG;}F4vY_D3@4JeqW@yRkWOw@Z_&h5QNs7nhG&Q5>9LQj*r_99 zU;b^z1v(Y4$e-qK&>UL(7?&UuM|+XSzt65HjAU&$T)T;tJy)g^^};gp@PrB`(YrZ& zuWUgO7PqNVWRqzqxV`h4|GC>;v+bN;d7f`$ex}-WR36U9K2G_$VywhvVS{|YU3y(P zjG7*QQUFR2-EytOpu(Vw4WH+RxmZczf@?27sb6sE+>^<^T=_HoJMy%SG4cMD^`0a< ztyVS;Tv79Z`XRs>W&Yv7s$-L85(l)ivt*>F5PUvx50aZYt=P5Tl ze!&XC7nXEQX@>aR#dew=^RlZ+Uj40T=C`~qTUY}z5{`jZc$m^q&}BO6;Glx7dVeIWPf+MX~PTe;1v;FNWM|p5eOzRpJoss=^nbvX$R zmHk_sp)+Kq(PM!5E2Pk`cBMylB!}u{B=9+_vt5+6ZSDF~rliRo_r~uP+3k~r*N$8L zNO{&nO{EYFD_PRgtv3x*4}Ux0G4(~4&hS1(Tb<+MIxFF^AHEXoz&oBd;DwTjDQsqD zLy=uvBvCXVyMDY``ayoQVBJp4>PC~;p+^I6M^a|iOD`AW=8CoIGQ+r9mFALzzO{Xk zQ=D&Z3H=F3p<}T-PZkk`eqk#=D8K(7x#(uK#G@zwtygi+>hVylVQZAbF25Rav@@NH zVeT&}k}4hg7~nne=x@QOfq6_KS3^rRSsh?G4zc_!rskK)PS+mZQ}oBoGh;(DKZ9I) z)j@j3LP%~E-KgkG+;sBp=X|2+6?>;|*F2?RV$(g8&0?0XQnlE+1j3N-O3D3%^|7aJ zsxIBkIvi^tT`A4D{?2aAQB!eh(g|nkQ#s#x>~eQpYuNB6SGW4poe71Z38{bWE6^X> z4Oj9#1=Zr|W8<}We`im~6!1^p(TT{@LRX&@EG zadUD1=twWGO*ANf(EaFe3L9U#xzhgR^tm`(@A;$8tjB}n6-bxAcoUL)FtX=+)sirV znvKPKMA9i@LSyNyke#49^WKN3TaNCiXJ+P>btRoi8J(H-+FKs5W3bys{|P03=b5J| ztRKvrcxs>VXpu>#{R}o|C1=_2bLCibQPy&IUZ}5k^X)PjevKKcrSD8sMg2bo>!s_7neSQ21y{66&9 zU?2B%r;F!;W#v`rrFF55gL~^FmVZpz%>|%^HcPS5%p&Hpf;g@QZ_J#ygE;yMm`t61UMrq*8#?s$(^Y4aZmbh&2Op$%hA(0oci zdj99Gn3E2kf#`On_OBkomAp`mAx9GpUZ{1UY_+!%SqW?>NO{t5it?&IJ;v9Q6u_0{ zR^+A!zSOqylP_5*5FM4UV+63_btPlD`%`y`88g#19j~}G((?*-ccn|Txrm3WiM)<; zpeumONSqOECG!|>1}uUxNfb2fK?FkuqA6%b`V|}lRbj0gSMCP~>deG(Rkkotx^XQ~ zgt?$N=3?&tcUgtCaa+?9+P5a%T1KW;2N;Wd0fGXnun8~pB8~^`p)ayr``HW> z(n*PQMU&P!CZhGr;zw6G>9e#cCmAw$IW}=3ImseO1 z9Xky-b-iEqu=8+f;Nm_VeL^!Qnx60h&$*v%!ravs`Vg0PFu_J>(YQ1>JsRiDO+X}J z30~3iNFAzUfx<>59z%#s+qRZO5xYb)($(bEfFO4xz%9Usa2vVEEd{*_u~m2ZiwBYZ zXgINPTligV)sGP|H4PULQn?r-F~@?&VTpSb6}|lt8eL2@wl{XRJWYy!8Eu6MbfznK z15dhL$@oi?H#X^&auup$2&e$~W4Zfc1BiXdJz!R4%iQAN2(M7k%Bz6S&bGl}0MoGL zZH}m)-GQMK=n6qtsI#0mxrT8qy0vrpkQgo{`{_po6? z2F2a}byP!EZI|@{>Rmk9I1`7Tiu_>m!q2e4V4N7yq$g(JP3~623 z@d^o*r_a4jA|~f;E~fqU6w3=;wsa3^(ard5$QkhSE6Y7i5I!V;##v|7N$3$YVf0#5 zK|nx1KakN?pJXm4E5WAt{ee{ASSZFcTnE5C_?t^~l-UN_8<$FiMJPq=(JL8e*7(yr z2a=*xK?uM8Ngf1xrUNSjES7d$$*|1>&vL=HvVn+%5KQZU)zGB4KK!-lxE}%LL|?)r zNW^IY-;Z43xW7H5%)tRE?>neRzJOQE{jk?W1ICwUvET1!TU*nS(YTn^M1d;E>k-*y zVJ#qX@QV0SKR`AV@2d)^<}j8DDYP7_4A2kqAPj+U8c_k*E~4@V0c9CS)QD2et(L2?R}0jv^Mo6Dh|@4`CAsox9qX z{H^~9VEA5m4e`J;)k~d)wgd+i4sPily_QShPsRW0)i0 zfDuWGh)m7Exgh}*L|~4nM5GrgFw-FS0}D8&s(bI^fXM2oM^>7l$J@-%jetSsYXZ?; z9>pPO5IM1=6^YiAcFce$xJMdSAQ! s1^ocFX~KDkNbzBiQ>$aQU(+w;d-Rg_>c~1B+v9IsgCw literal 56318 zcmYg$bzBwQ_w}V)q*Fi;kdl;638g{08!lba9TEc4-60_$DV@^Y-Q9WVeCO)({e9m5 zxHEIkjHs0p;yA!Y6fig$)B6&z1EyU=gfnt4^A z5H{Q!?>G9qlNNSKMM^b;Fo_DGWA>(;JJq;x3Wb~>*X_b(uv0A5mc#cxsDJo>T+B#P=!0N7-;}g=1HY?J#^`L@wb7 z&bmJAEQtVO4A@F9Id3~|{TbpRib-(Pt%z{YV3^SKoq|koPV9X7k5EB+^0;3Whj2JO2AGEIjh0KDZa12<9 z_Q(<7HHQ9S8;PV4YSnrKTm6F`M?lnVJ0ktxCsx|A+px2U0tW$iVTdNdB!i=(?6c@Y z6zZ_X-Y=s>B5D$cEfMc2Apsc5<$kEpeXkmWk=TTWR*#7){7^X+M1VMnv&~RaM27So z|GEQx2w4Egq&~`jhHO4WNbI%)c8pQheA6+M6>@)z2}|r|69xXzfT$coM9T3#948$lv^6LZhO$;P|&crOlH%f&~Y1F9ikQu?QG( z&G!{FUvt8j;~y~pIn3C;+vJPRsqh{jZ~=^H0EZ`C=kQ?2!DW2&ck7=YeSdh7M%xJ>aZ!2Mph=Fait_5W6nGHVV>m zIB8#E*@&8jmw@do)0h9y4hwfyH8G`4&oxB4i+_H3=x&jS9uJ^)PfMF%oB z0#M;U)&XGr3)Cu$jTiH;DKaR);=HzSDn8-EqQX$nKXxLJA4Hn6n0Wt3gGn%ehA=yF zGCANgv9%vD#(%#v;hbMaT}l8EKv@ttSO!?42=EOD$W}-MFYOCu_P~<%iPkrF#MhN-s8EJ-Y(bzcGxWR|eWZ>y?c+ldaVc5DS1bLln}Z=<~vtC4YCt zP!1$xUPiBkFB}6rp9KE}A29P85&-9{kgEc3OmPupokb+pX-y7X`Dgc4N3iM37qcSU zNbgg82mccDFJ2hRKx(YAV1XL6Ya3P|1-Skf&J#j!BWYha=b-ifC7Um31RDTmunY#+ z23qgg!HmFmNQe6WOE*wE`c(X>h=1_}?wg?i#ZhGr-9Rb#NceB;EVw<}S~EcYi^iZA zpc~3>yTnohmC7jO|Oi-BaK>qwP=4vX4DbqN}%3pzO?3|s3xP}l$L z_#{H9FKQ1+SuHd@P|J~Dk@x{I5w))ygc2U8hh>~Yj(|B%NXIK+wGfmIR2Pge(7*qs z#&6U`C>)jW>k3+j zJ*Pm8z&ZaD<>KKL7|I?!r|sBxe;XOJ%xNh2$^#K*ozZLN zACBizL=s-w<`a+yGM_u#ddTkmZFSIQiYq* zzK5r52^7-1JAX8ovVGWlvDsD_U$Za2`)(7ZrS-wI(lePH>V7rfd-o`67FU3-dmq&M z9^J?&AXQqh793U%78k38PZCkCP>LQ5mL)Fo>C-~*!LnK9v>^4Ias~ESBEBkTAZWI! zINAyVW({Dh@I7256pTDs0A>#skCA*pXDv8j=KQF&U&N1K1X<5(!cyWB2vPf-#hIS0 z4gD7`e;9S=P|s=6Lr?>MX41$`IXu$g`QsJoFxRgQ)+b8h74Q`nAaj7&L*V`_rC8?u{C6lM0 zte`t%O^b-dPrAM>t@T57mWB>gd`)dQhlQTYjAyqKxsZTq-jQub?8~jOSB4WDT<@;$ zu@`Iw)NP?nULn`b71EA#=sx%GC?GiE$#Y_Gv2lK))k?Ol#UYen;-;4sheYghx?x)ZYH?kMv<2;yZD<`u!w=CJiRI zdrj$pz94pdz7fI4&wawnnVINC**bn-NFM&c5sO1ro(XeJia2B((cv=z0d^`@D8myXl>LY?uO_H9j}u>GE$*1kOx?{aAEE<7(TxCRC~y z`gmZetmk+q_!=tIEWD9&`yK$5j`D_hlgjAzgc>ut%ci|NZWWla&$2QNQu(_l&u$+q zTWnkDtWrlKqgs}kdbkXmSlRBmlRJtu^>Xg|4u@3bm?1_ek01ZC<)`8Y+u7|8sjZ*4 z*mqDK@A{P7;;APye-u$u2p;7OatF;*#YE1QI~0w0m0ruGSv$qx#;Xu#d4Oh*=a zuV0V=ZJ5#Ek#$xnv}OOkphM{S;Xu#lXd3;OSH_T9d|9hT{7sYFd#^`*`|ES@9TMzJ z+1GN2BR=7`{`xb7G(`02L=_9R+j+AI#LuBG1bk z`ZJv5k%QKI#uX)_^hB!79t0Mm+m-@{PRLB3-lD-rFV)y#OdPH=*0Pb_>|-8X9?1i$ zm1=LXOS7$u4e@01S^i0IQn78^K@UFApV%F#87xzo=d}etD|>r6aN3g9^_^=8P3$%a z#5*zavjwBA+|qrv#4M`G=sF*0v^TtxE+zM{>DRo|=6&>xd5XuJZHH3aD(mh%r=vH5 z(Lipyi!(EGh<}H^bx~hWkJqEwWeD2>b95MI*Yg$A++B!H+6FZ%R>p0lBd?QEY|sXY z$%84I2P8ItD?_$py9*-!6*`sUyXQh8NkRL~g;%)>lQAy*}MS z%H)3Rn-P~gfsV$rSrVdXm!&`(2D1rdz84xP;S}1e*WNi)Q*AHv+3h@;Nnk1TsojPB z*+52z^Hyu%L34d2l=9lLl=&p{XT>mgGs!?X7eY0>0P2>wdbWp49a(yCq~DR zOZt3Gaz(-4&UYVC_GXgx`XAI`!-sRj?p|b{L{W$A>>wFbiJec-H$OFm2FOnzXyfjh z@R${M+Da>bC94^2!wFhte9r&;yQPWU*nIy2YlY1f2a7rR)^A7@8rYi)t0yZ51Bm#+ zfXDmn%#pd7!~?gP4@65vZe9bUn4%^JXdCf}u^$*TzL(_*_WP(g@!H=_u{_o-Q{>s6 z0RvcLHgw*7>CTy%9Oml1KN4%@Z2-yCWoXwPyN_>Cdt?^UzI}D$NrfNnDS&oQA@+Ff z>0kKPMRJq&r*7iQhl+_6x;#KQk$c03JG^)UpZu!e;|x-}y!5DU9@vtEZu{$d${=^Q zoT=_h+Rc#2b`zz#rLFQK_0D zmQghQQCUwixLE7s9rJfm2~NwVN>n-z{IW6F&gaTdTw=%BPE5BK2ZkoL3Ch{fLAbEE z$ha?XadC-pDR5~@DG?QVlNMoz5va6Smlk%*5%1+>x~+)8-ZYi)sttZlWLe=fBGL*Z zXt($jQ0QY0qbbIc7jw|_5~7irWFB7;DvFHvz&@llCTNm0o+x+}Emt+I2?r|S#^uHp#1+GpG7Q0IUq%+> z7O1noCiUr@c3We#%i}ROsjr0}Y8dKJOIW z#G!A=k$_g4vlViDbIP&*RNNe^w@a4IYIN}QSP@ff!~Dov#E45A1NDPrsK6+~7Yl?5 zJLHqd^-Wip=22=2;4++LSCR1NjPt zDq}7eS)2a>VhVYix`h4=8?i9aP?usgJcXv!1@UGdt`eI0kN`i!rbZ1xjnRT>?wyP2 zmHu3}jzSuqQrWRe@RZyFdHmuu(h@q-_2csEA=!)ij3~e>Z!=xz&b^UC^P9{ZrN6&Z=y-$Xj*n(CsKa?YDzI*s;!-T z_%m;d`B@Uyr zoS9&#e$>sut>5P4a~+crTZ_D|3ja!OYxFIfSuuv5U$w7TCk)XD@k?kL_ip@)(qdON z;~=nR>vZ7jva93C1OZ%4j)$I3;D_fKPrgmmMC^y>zCWRHSz5(I*QxsAobn3ligss6 z6Q&h7Dr2c`Bmw}@d>v}7|K=bzi@GqYD?-vN;x<_+4Gp{bIJ_}6b?a!y=$E!0PIDxk zuT2570q1K;x!C1h{3=_gi=FKxpyI$j1Npi3dh$3+HBb-|qU>pkK4Yd2rzJc|2Km zQFf?YW1}qV?B)?ES>qI#g!is6iYc%d%)-R@DM2!GC$`wTjGvo`|JC%G)%?CO2_^bs%Zjj)CAfx z$%Guq)^A4}k5zl5MSUdwbfkT{T$nFeF;bBUpgM)Vs!%5_%(Q0Qfs#NQjoKqnRjBXJ zWdU8!1bm!jtww_$5!LXxY7cgyhl_h9)(o=aDv4_oRM6@2Tf6zB-#vTvHt2hh(FagB z8*JQ|emXPJ8$6&6wdTr}6?{m@C1Jd0*&(WF|SD?1LSvlpuB#KJOis+&R_d zwwBkftQ8_Hb9^xU*}LP?<|0gWT3}RI`@IFNQF)YT;cAO*nv7mdC~f zlRaE|y<|5gT;|#PFm=F{X!8)G0W#nD!dew?(IQwm{6*jiUn%Gf&yZ`ed>3klmNU8e zxSR4x1zKT*>9ryxrJ2q2-Y<7Ko<8dCm(qIZuaR1dslpd~XRlV01l_OpBWuY*v>TZW zPaDyut*FryHuKu!84|cu4Rhb!1n7DRGEq6UW&`N6S|S0`&|z)WTgtZqvCR?o%RIVXWT&f*|lYM__b`AY3u6(`e^-0ows>kRV6 z(D0bI;AH$3?lZAn=>uUh!K&lHoeG?SMIwb%aWx)l|vx$FRW?QqvF* zjX4K0=3qTLU*={4eEjVl0+s>fVnyrQaIEUC1Pg*!8RidG6L&JDAba;XZpZ$NdqW;I zi?VhrVlBUIs8+qrmHb{r-NwdzRT3vOpJ`@Z5jF;R-h%Oc<`X8fho$$e1v*ubZp^~N9|rqyArrYWLusF1aP1^)B*`lI z3q$^6k5qO7h`ZL-Mt(u}lDBiBNBqE<#UrYCC|8iZ`sU-1uqo-jPRHPDu9lK@ow0I_ zm4yRu=2ydDdkB8BN1WsJWl<+%z*X-RQS0fSElWo=i3y2?@>O3+Q4f|WxPpk}WF_Yy z$6_g~MG?+f81)^$Z-1xdBIDoi`d+IJCe7ATAF%Q^oyG#vxA&;tM;JJ-Pqc>Lz%@E$ zUCgVy+_LMvBj+k-7;-S|4t*^4$hT_3LP5DG5I>B7A5Kmija|w2@9xNQ>|QmVOJeXz zYs8ff+l;%|8{j0s#lPB{ta)`*slskIk32i!VPtJdx3$Fq_D+(`h4r29hfeK%uK{`N zf}2`aD4$*<_dHGo2I2BMJf%X zpH4>v6z?^<{2?ow&Uf>&)?NM%C)E4VW<@fdNtC(9I-kJLxL~eaf3ZKA>WkN|_!SvX z{myCRuV3#RSI8*z@+DTQvC3<&gCM~~A>9z)%92}Pq6S18&>m&?YWSohad`m)wGE6N zALb;s&WspxubNE!T%<2g;NiCxZzV0~_$TgvaE?jS#5O*=cZZYd!c!(eA6 zoIdk>@fW+sKwHk=j=4Y=Mr!W&xN@ssy1VWM^AC)*(GkP`uF`|b<3#4K)^K)SWO6fyEjs&Xy4qe6ylHD)Y}u+`Vt99~=jb}|faam<#o`2> zxcmM2St!cMUc%J*?0a&x&}ET(w%Utdd>4J5d*0guX5trf(iKjk0SPiB?%pHcO37Os zy|4Sbl!7LIVtuM*ya8LcYpCHiIlV5eWU~1C%r|M_Ms(&YQMUGNe5x? zI=daFXpgL6gM*@GVl+86*x930X+cVN%fyG@WapsPtND#Z;_|dE+o{;EC1>94{qQlb z4*{@0Z*NCM=Ch@9i*jnnn;tw!*?VfrzB^kjgl|6Z7~M}?kLq=j(b>&kys}+1H(K_f z`gGs_XF8OpPkN$1!Y-nou^pw#JnOay&zFt3AG|NWn@#P@PEb}Evl%==K!w;UEs>Za z^5m^TAN!Stfp2COcL6WeWg-kEO3{1zoT*Ap3<*iui+?nc^w~bNkLDsuv}a^2tYLQ& z14B--^`l#p>)CjO-Z=2QQSjX|3m(Wyx<~vZLT_NXe~O()M9n+2<&B;@FerLhcmo0n za5v1~RA7G^8)WtZ6=i2LsUYz~U#3LI_<#fXvwceFK{;pzzd4ERZGqYob*1mSPY~2h z*t%n#mR|jYQ=zM4!jCbOA+TW8pOJo{b+CUngb5c>Vx?EJFIibDPJ5mR!G+U#sCcrn zF2?%;<}U80hjE5Jof6gbFQbTR+?+`;tgD}d5-xkOmy7!SHvbT0$i&{RF;d+Z?GpSR z8t~u%;`KY~vbZAJRFEk}_&Rf?oifCpA=)@nuz0Ml6-Q>iO*cp>Jz%fQi z8ib*$=Tq??EJKY^&5j7-)O_w_gc0YTWl9ZRK3cCeS4LTA@Sr~`@)Y@N`&I+C-{X|m z(QP}i+Pq$N;@zTBr~yg!n2naBIE#jXCMKt4!_ZZWy^(tbG_yhQoAbQV^m3_u5qeF>qpsS zmT-Lq;w5$tYM?+^nxRw%eiPPhojEd+%X~zjJt7AF@1`D_;Y8{|1~bzqdbl#Kdvc!4 z1&+%o%*@zt&|NQQ)F6a4Ftz4nrPy|c(X)SIo!D7_EB`8j}T zHlyF7mt-*t|Ae(qCPFdb|C=$q8HrSuY&{BTijB{us*^L` z;Je+YzJAc)oOZ_lC1K&S25b?pJzR$AvGi3vG!e=}e4W1{RQa8^p5wJmT;$K$B&ka`O&v3W(S^+P{h5yGK$12Gz1|z(A4{& zvk4s*iIJ3!q)0clTW(5z+n)3oF3%RRDS+v%nhK+Gyu!p7Y$g+TkC1zAfmblNJr9d z`&2|NfYyyq<&(<2YZ?7gTl`ULu8{JLd{kraE9K!vCo=15K}FsX1)RK#Pwzr@f)8FY z4NO9=lz%1M&MEPn{Dpt$Q^F{{UOAklwL|qci{E4|0`WWSLg))w5&2oP5UVOgrUy@% z9TV@L@K)(PyG{NXhbDgvCKIpdg}=KjPA>9BE^tWfxK!Du7d%1lz6tK&6t9G*=$LV# z^SlCp2p^`Ew+2X>pXHKD<02&a<9)nv(7M<2fT&X{B9sGkyDAqO*DB*~6g^5@bhR^x z$-oB@+T#Ra5?K-XD-OYr9h~M)zGJtfZ_drDK2uvAEa%%NoUsR6k4h+w#yTo_nKL77 zu4JAq?lmoN>RNU~Qd8No=SP!@e)$ap zTfzJTxFOCg$1qUX_je#RvPg6wd>nDVLS-){C9*#(ldYxI6D}7v=A8^j`Ns{9mYw_i^d52_epXid{x~}6 z1fa(mbmWMK(a2|{MMaG^xj`HR9)4R)IdGHO+uMJkegEF+_I&FhBqG9kB41WPAw}q-b|iB2w>zrM?w2*z3oRGp(h~*p zdR3-Fi}j937{s{v_}#d(1dN5xeOp6h=myEz3~LW!yv*>)C$iti0<#I;iG=!@k-vi} zNB)jvo(#Iq{TK=RM>si=S?@~fM|O60DwpjqwX%$ZVhv!=B-Rdw-_@2gHW#~NtgNi@ z3~J5=0sVu6o{%A~TH6&}1%=fzI@iOBo+SSkulokLW1pwno#AvowNlOagoK35Oxp^7 zBr@04$s(m?1cvb0+(^N@jYuKQLA@VR@zGQtUyutnc|YFa(G!khpnKTZ949bo<;$hJ z?~PMyon45NdW~U5q|V&9E8!Sv>L{s$mbD@rxFSC7T-rV~`{2eJall;sp}xT!0>)#s zsA&ozh?Vs>MjjrwHeZyzv9&q?zmg7+nI>AXR<3@Sf2-CDs+q;_Ir@PvOJ!ZBlR z!kAmQT~Al5%_l%ZgHl9Q=Qy--o64O{Gfj^lqcMos-Utdl0eQtAlhN1Dnt^HV!iKQS0)caS&zkGi=7*j_%v4B{QPvURcoU%)>JQWF)G^Vav~=wd6uUu?CkE| z5VY-OAl#wT=o~B{yz`CRk0jDu zl&nMsLpK(_PY&%{qd7qr%DTE_pD{$ukz5G`1_zj1wHu@|J&t)^Y8}o0R$=Y4ZGGJC z(m~CETprBKlxR$_bPNHcS!&q&b!b`E9K@YBSm*YJ!^#$YMHldgcsZ)L<)q~v)WW1$ zU0!y0@~bi7oerviZ5=QPMUZeU%EB-hU+zzNwtL@*DEJ5S<^19M(=G=j%FrR4CWd4U z`@;4i2&=*6;_UoNo zE1pU@QufE4ukjRrCpcX0s|0Rve>|UIc>DvoJgQxpt~eBWyuh((Aw^5$aSU2%`U+>W zSZ6h^|eWL+JkM$Ox5Ci<68r^vmw3A05RG zRV05-!>#|GBy+ShT&&hN@Au$Q3OYJTl@C>PY)GHWTAz3wOFO#0L~yd#(8^~tIc%Z& zGd#QnYMRHeGlWJqr7(H#ytu68n|zHmtZK}rUd$&MZXpqfYL(ka=O&>ns98O`v_8;c z>Sw8X1*~>)N8;Q1DO`n_9dSf4tvb7GDV-I^5dj9ZUvKDNq*wGNz@YkpN5?hSM}(di zb3bBoVrE&CU7(E_viFQa37$^XG;^JyM8bZO>rx7>vckW=hTZt|0mIlVWBNWF=p^G z-`s!93*D4BZ7QvYCuLByEvBn78$ldO?S1ibXO*v*rp9K8mzQ^5%4u|&o9|C_G5G1> z7E(SeEW>;i27x!E`bh`b89}aer(A15i(351!o{aY1bpAy?F<4JVO_1p6#W52~?W&>~i zr8L+>&9nP$&gG>iO_1-X=P!4uHpX!Ime~S@6`qKzTAYtG?^LWnwYXT zuFbNczBw%8I&(3sk|*y_I#oR}%3|5Mf$-;Y;>kK@ccevhOiZCKyDt@OxI;!C^oB50 zt}BdszW6S?kdmEatJFn;Gr%mWsJeA_ex=b3t|7W*_0R50+?pb0Kfc5DFfzi$?gd$@ zDMhiH7#ph>p><(>c$LmlqL?e;-Hz$}2iXvi?yTDn4C;D+y$ibynyq3;6h6dy4`Whw zNx>eHaeX+Ko8NS^9!B#KrZ+b`%8AXU*ZhLB<$!`1bJwTPUDn*FOL?2ba=L`*CnkA% z)ULlAWpu5#8g9SCCCeJnBgMg+xzS=cQ`P25c#a!7`rg5~4DhPD1Cf~3m;0xE+vOi3 zU^h<~zCHK*S#R19vqlexg?`ZmHQS+3z-T$3*cCSP(ieiI-Ux{G{`PU^$tPFPjECa8&fR47}rYdzWaoaMOgs%cAW zVBL0Mfm$nj8`4Md6rLg+PHZehb4%oSOhUtkNf1>bvc3ru`u%c$*4N&okENeX)64Vy9j3;Qtm z6J8vByU=HFVWwZkjz8^?v=h+5>eMVQN|(aP_BxrsXQhE(7Hh0ion5d|$uGngBP>3{ zUp5gNzuIfU=jhIXDQO>?PuGZ!j#k*vC0G9-S$Y3C{q5+2I^7M>EnX4^mw&Qyl2WG9 z;r6&<6#Xf2e#XG8p1)e={pqOlCd4LPYYL53ka)fY!aWi+6kMt{TAP?F&m5c(RddVI zrJ^n8G$bkt8frj49t_>+c!d~sw?9Qf^5o^Z{Kxv?ZR*%3o%$1nZMuWBmAm+kN&5A5 zTdk2c)iz7;gnp3tz$yxxZmnS<;@8+lm}M40K9kSY38zPWKNmhl z?Sx)Md?Auy%>Q`*E?rY$^z`U4E}Uhvwm;+0+li>~x+#X)DK3ek^UT#Vu2 z+w56OqT5$^bM$nAAEZB#UPafyo~ZvFLJa>l$g@&>W;i&O9qezWN93pCbIlM1+3i%q5|^>0aHHQcJTom2GY`HjHF z4ONLKL*BdyC*(7?c9#1Uk1E_je}njB@t$|iM#q~iiQ>?$>K^ibLRakKXpfNH{HW%Y zMg}^vbrm;p@QX}hKKr9v@^_l;Fm@xy<2!@9YRvHY9hM0tW?LeIN5ZvjhPG$BL1h#( zMJedh468~M=8nV}?P~-4X!r#qdT}-}kp15zm;RO&r`7qI@E2A>lX92eZ8FnyUGeGQ zlaG-GgtZc$hnja~Ihbk2-J%yms7mg-RkPVaKD@G?bd$ln2u<`=-Cw}*r;tu^QhwL+ z^fCV4__t}il3o>5aF+x)IJvQnb|!e=|ImnQ7i8s?B3x27DYk~LucU{VV%t5CIE598N3{Udy_Xy|^%***;@EU zil$EgAX=u3oP19AGSGtMFcYuGXE_X;_BzNR{!T2o!jV9~$PxbSoQGf0?Rwu&rR3u6 zPbKO1)PrMDlZHPaN%ZaW2^m|YsH~w%biTTS9xt;-zuVmS+NPIx8j9ec_j3)szt*PD zONX1Ch{`VBf3bQFyijOc(ft_!*Vg`=uCdl~5my+Yay!4ob+rk}om}PVOc!I_I?!k{ zUt0?%zkdd(R;7Cm^bH%h8&B(nGR;n&BPPSv|X6u8^A9)N>QY;L~n21 z8vI>zuD{!GnMss1UMb{#xk)wPic`gpI)+(P7O6`4^KlRD)!NH89bdMN7T;|;6aG@9 zO1CTWUe3wxawL|{3uO_)>PMv3=5%*kb*lpP1cUDqwKLe**xCcyydyZ++Od~K)Fz~Z z25+s7sTjuH90zSZaDDH)_giq@hrra-1%xUmClB?8+0 zQ645q!pbb?CGL6E$zGot6zLX*axA>x|2?7+Ir{9Y^Rg%;0me zdco_W78ns|sTVa6u-^^sC)MYYne(|r>+QMBO3+aN@&OcE!_pzo35rU_v@Lkm7?lR>4TsD4hm=t%1 z<$ZNUfWKJ=U_-x>cKH=SGNsd1_H1^--&XvyQegO~9)-flOFrlAIW87V&xdkW%)D>D zFTPQ}K&8@0*c&H}rt#+WWeLF86KDL=nT_%pjwaR)2sW3_gPwPW9sGN;UuZ0GKo(Y8` zIcrW93)m8M-Ri9sGBYtJ4_DuIRhuv?-5d9MASb!t$UqP+b~`Z0HxtpJ;TQ>est}2+z`_62 zIQA}ZXe$M-uR=_SR1t4Nv=ycBIDCWFCI3*Zm;L>R1#!;>jwtgK2 zv17x}`7hA$EXLgEofJwER=*JI9jc_qz4;ab=UzAxMLlWPJxefNyD^oIifvN!)}WYX zI?&os0N?R=u|98`eFU*6FekCpq1_*W(t@(#gEsRTK22pp zZ=IeXLI%jUk}$=V^JSn#71awq*aUj`e(qP%;Tr{Qb=60o_wE#bN&9@Y;F}FhK(-x*nzqHboQ`G zAt=Po=~KOwPFI9<*Tio!mGTPK?B41_9{phu=bt}|&#u>y=Z2o4-xVO90=WMjO%F7_~-;OV>`<-x5=S;D{eXV?_iAWX96oA`4|#eEv~4 zSdyl%u~T2iP_(AzOK4=06tW+r$_P?ZPZ>DAti}y&ZAZ4L zI8!9GCkK^AC#DYA?$~S`Oc&{v4gZ!f?62)+=7TS+q*=}si%_0x= zsCVYoq2PIk#@;un+Q&IdlI1qNZAZx%6B%#}+g|ypeo*_#YUS+lbY`cSwKBF##cz8m z)UHiFwLXPz(Izsfmu{Ml#t=JCn4o?y_`CBl@9{)ET*P;sCx?@!k(eWAX~EX?A|yK< zqggwG5vrx<&m_o@N`$pFbQDeB8Bx7d4YgIxQ5HD&4IDx~Vmrs}wBI_J&$OCY3Te4Y z7mOTr+GLj+tN9%xbS8s?%J=`$MiND%2Cd4!l=ui-@4pa=Hh*_occxKl^M1hkgY`&>b?Y z9Mg~iW8<(E3InH9=(nwjGuxwadI>AZMN+z&Dv9W!Ll_k6Cpq9<7DraJJaP$HW`A~~ycLK`9hUnq!NdEN)$W$LXY7Lf8K&>i7)zE-q~4$ClABNP@L zkJ=riWryJUHI*bs|G>j_bI$ql-1_jkPRrlnsq%eyRmjJR;*k%BCFWXtJI+0B{Ptj- z-Rxa-3bS*xw>NWgX3kf8;?glHUqw5~IW0s?r_GHx3>3Iq=F59J>-8##1!iH7TZmE5 zx8~y>r+%@31oXTr*y6k3`BF4|@RVp!#IFF8jlLQ2~JM=w8^q;BrcOnT}7o_&FzR{F}8@|g7KX( zr(hC~6zyI^Y<6ZVaLzT_wq67{=h%_v^;YFI?C^XVHCk^v^Hk5Ca3#tK8ChfCcK5@5 zVOt=%kt(QDF8kYz8|3Nxgt_R`*d9dbCy<+fyi35i>>u2+11ZIrj?j;r3s0T;{&H8v zC|BUpiKASVkj2==N>KrfAYdu=1P2Aw}pjVLi?EDJ;!LLx*57g2o zrzd%U-x@^`^4xfRoNDZ%j`Ze)?_4wpVW(nA#kaRvMj5-ZbHVFJUn4V%1^;8f1rrzt zj2+pW*i&7Iq2%t7c5G9PwbNyJ7(Ak!cXZBzH7_l#));$bd?=RL0b35I+u;Y(1q)wl zQT;iVPThx%i*GYnd_Z<6QZBgH&b%I1yixqk7)rn9dffyH6hDm1$dT z=b)O&z~7bjH-1&em90@khY;orA24!hGDcb7gtGdPV*iM?FX}#2g&x@I(N~%b;D7Lw z1qb{r)@k@MS8a~tBZ9iKg#R*}B*H5G%?527*H6-N9>?vZpN0l{vT58E{2!NYJOfcu z3Sq}3Nr}JpC5hT>n4Vh~>n$o*(SMw$9914`a!T`Jc@)CGNBx7cUYYko$l8~{{=MiZ zl0eYu?O7>BOdXfio$ApG-fFNk$ara8R-EI{TcX%#fm!Nh*D@{`=@4u7U72V+TpND0 zne5-zKV5&@coBDl7U;)3L*+ZgNH~2gb0$F3Lmch}IMWe*qlQ! z67gDAW`(4x;0-Hq?VJ$X^5ss0lgdc-tTLVn=OQs(uysHE>vcG?x1jIIpP6#Y&UvbAHUrrH$L4jE)#sZ*|69EjXSS zXDiiA1h0--)>kxrG4%1FhJxd2PisAyRo|Ajh12Sui*=Sp#d0x4>L`E4m+nv$GiTZS zjQ^xbCM1z8{~K<`E1qTmH?Tfalfy|D&ON<=4S70J2ls#+UITHD*+_<#N+O(Mgjd5R z{O}!f(<$s!eoSm^ZZm?yz6jo$wTQ96MO-=XwQJ}kwiH_clU$ILO3J#^6+B&%{K-|% zMqFIk)YzOz+adLNNI4vPu16Yl!0Ekf9!4<#dtO_msos+?xJc@t+>#1@pXUtZFV8kKhM=kN2!SIDQ&#F5C>u(l|c8LE6I z7qYQ zh5HKQo^fr}f%07A&!TE_Ia!63{9UF1WDTdD0jRjuMmky9@mDi+S^OFazx{s&6mr}l zO1}RVX*(<|5Bk;4{Y?NE`bUJIx}CzyJa44_olHQA=MfnErRYNUKkZZ~6q%l`w$n4_ zt12%wI8g~=6&)u_BV2$M9asFDJ+3p1VkmR`rtoKvX3hT}Rp%U@N3=%!*o|#FjnTNV zZQHhOG-zzIv2ELCW29hb3Jw+Bc-<*WlwFZWOH}?ts7mG$faaLRf#5YfoU7u}t?RU%Kqlx1!k`~CrKyzsX z{SUWfu^O}3yi}f2XN>gZ%%Ad1)`lsmAw~Ga192ri9 z<@dDH>NUnXc{EfM6xn2XrBXfXH-K-G63oC)dJu+Z$HasgkkiSTkbSt@7iYfmR;g0XV!z$_cGV$oy9qe5!bp4%3-SWG z3Zt|(XW>$cNNlt{&7&s!FI^V2lvH2$B`mDTM_Te=#s;3o;1g(As_#Voi92Kh5Vd?z z)|C7OiKyqn9WlAq4X4Z4ynaWZoaK@KdIz3e_C6Gj)t88h8Bz?*AVE7>@4mg064ooF zhOmQfA|Yb;%reb$gkG}QPa{{2gWVwAWLNnk64cOmGLF(eoz9jjv2Ha5t2@af%Nam; z6E4MV^C!{L`N%5u=;Il?pZi0Ouo$!!0XG>Qeo7h!&k`m1k&GS(hiYQlzduft=9ioo zN2~I(0G!zui(3>AToSQRucD>jrSm7*ES;)TVvHQCS+$8bntM3{Z|%L)P5tdCY_FNB zM(5dZfqu91RWd_9b!GOl*{KCx_v^wn_bOsK4u=^Am>$)Q(KT94RxjWWFsj9Xn+sY< zf}4b=@^{O9hk}x_LK|63gnrx3b&}7!e@ z^wg$T`d3St;9*Q<`aT{<#vg0j_6lfe2_oqpTyLY77XfxNfODsu{D@bCTk?Gmd}u`_WV;S=rKhlXdV>rD|n~S*t(r@`lyg z-R~dd=32aNYZ&bWo&I>fk5odTs>VbKv{KEE7-=Gq_?)>ej0K99*?@lV&ga1~-r8)D zhog9TR?ZcjI$Bk+$$?{e3-YddHEb|GQTEW$^c2bh$=f zp3fhya6AlfWgm0Auk!sW{-CTk+g2XQ4DRUI@jd`K1QtkZF)}6>AcMfz^Io4o+TeP= z?ECh}=XRk{PJR7cvlwz~sD5^6IuVS-U)C(L%qMSi3)pa~L4J02c7PMR-EgFhmLg4MDKa#?uiezTWbv## z!2PL}JPga3ACebAq7YFhhScYVDQM3vQS2%nSS4%Dh&?1pSw%%u40-~3t6WlJ3>bB- z^Gpb>B&>8Bc)7&xUw$#(ZzJa^2ZmK_QN!UFt;_4d=ze#jn(0 zZV?^#lCbWy^dxGjf8zI-RVx*fV)?i@m_#&$=MkZb;Kt$?iD)KIRy=2LmREAr`d&a5 zLRVW|IFd-ahIgdo3IzXh&RwaFhwzPz`eyrY>_>|p}t8*t=qs3l>z?X@MQ^9Tgm^$=h zL0EEGTyP`FlLhxC&p9m^R}r#x6Er)_hduNIhH(R8r5fi%2lSBGf0^>8-4G!yHHul# zOU5rsbnxq&dwPb07TcN_IPfTDKVwpN4csmz+%7<}0(6HA6CLFF zWW9-QGe3jfI>;TgB$-x~7Q_1^mUCO7Tm<|<5Pg+y}LnrAP6E+-+ z2fn~y=6A7B8pjQvUrc&#%5^tN7FTQ5B#3=_Oa=xO>6Xo68l{yU&30eZy4PqCo-#Rl z_gGXc_FFXh_ej@mi0A+|?_#OAGzIL_43`d0yde&MLBuxmZMLbEaW3K0N`>YUsb-!1 z3^cuEp&w?%LSDKX0DREaV0mj}3$U)G>0$p8g}afKhLkbf?5VoizrXO$SSd z6j`SBBi6E9?ib_|wnT-#@Zkm%ec=XU8UnD!r~&Q5l+EV!j-UyyD1fHk5)MP4sr$WJ zXAC-JH8Gk%W<1EZQzDC}z!I^UXD9p^Kx|jXGvf-_*_8}yK5F2d!F)#~&1_2%p`{abO8x*JxsD3dBaf+7u^L%@5`jTrIU} ztF4B|TX|47A=6u}b^G$HXhHC;esg|YC!l##;qKef_wO9+4gvS${SDbvtll$bkP(qO zmW1)4>{jgGW|zADF*b>%DJf$j>pd1IzO)c*-b+cW zH6FqF4pageB}7E$!Ozs*+a&QrvIJjEW3O_%!8PyRaI3eh@)@n(2Q%9SQyctA?8!0Y zQi+h35}Gl(n!=nUDeT{f{?joRQBAcLlJyy#^_U~_kt4AviOJK-<^MDb>ocD98H1?^I@574NS|I6tVAqp}g|^ z(>xH4Hno#C#H9Kp#XZ*NZ%UDOoiaL{bgcy`JU#3`yoC2;ws_d6gYxwTF}$IO_NVS{+vDX=2ifQ^D8wT#D_3Ysh;h6wBb}!+ z`l^5S4fsCS%SkxPKIR~!IT-1w)2_juMKVgPoM8qEX9C8o=o%WJQgDCao#%1}i(u+t+in%J zu8Pd(@(E%W05iWtB{gn^FCcx=qL3hGiQ;~|CDi!W+w>XGvBL5K@igh{P20@_cds&H zr>jQu^0UvO@x@r}M>DhRM6~a3eexIAidUQ#>)Y3H3S`T6?#y;;!}q{c{$Q z{GX>%%KV(A59OqPH_#S_WU}nS6Xd%xi4GbLY=0qY{K#)uC};*Vy72LNAiJnkRTUVI zOrVhrda`t*pkxJ%F4}WtGf;I;DGbEY)A8a`&Y${e9_-R`9xjPfPgNH(k;yNVc7_mpn8oCtkdrYyyDSU1pHJ$BjFJgzn- zPHT6=#2PyZ#{8Op59Q8(*`>mp4)zrtBNTjD5Xt^@V$7ZWZGbzK(eYz5yPMS_$#7zs z&Lu3)|1JI9=j1mwlj(Vp_y(c;X5>%JSZxHoHXE)qJ=dicYj3<<)NKJ50#4&c+{R1C z5y-om%<>x;#~FE-=btESN~0~3{FysY3fM4n3!LX7DKdJNXB}#-kAd%XDwrC|$Ht*H zg*2R6lf8VzgU1CvFdw=>;^1z?*I)GR11tz@-Xl=Rw*5ck>n7plQ_kraSma`%kgB8cu^DlF(FH)C}(;9xaep=+97$Qfff$5m<1A+qS;?P ztBX%IuO>{A*TjBAoP8`)f!*B_TbR85T0&|j(xkP$5V&Do&m)V*Fg2RUQoIa)yw?^B zuu<&!NJ-Dl)h|ILDYp&Z2p%-e9<|)`xk)`&s&Y5CUL|h4L{|g#7v>W8P;%@4!IcF{ zqv3E4dg!qqtYH9IQX`x{mkFmu;|najT({}hd4MiHU(Qk&8Fx2*U88aE|(oHf2j9Mm9cFm+edU^}b*gv^lho!iaPST*|_*VVUEeHh< zIgnLdiX0LQyq;lJ;XE&N^>cfU42}K?`nIWbR1={ix7(_2@M<$jf{5X!7`bky zyqX~@-3-HajWKMZP>toKU@W#6q?YfzT3M!0GPcL@*^O|r!}(e;q~HNlAG@6RPaE^m z9KBd;fYtUnN;l7Q5adQ$28BYj!HmFJB#io71(Bb~Spo!+A@2ImpuR$!gT(6ssbspE zP9fdZ8>fH*Zgv4OWc|h1dfHVa6CBcAjCk2OHB@D&hVIpp(}hwr7&;}rFvfV>yv;JQ z=(Ad_apFK?>c*g|-e^zW^9ND&%B3}%-S^(0 z{@Hfq)8}xJW%23G%T*d}42+Ce5s_H$rMdD+ZV61JK381r;L3ed0X<<)i)NvJ&eV?k zC9=ovFu!gKWl>ay%0rZc<1cqkS+{-r7B7PxUL5xD;@E)}LtUUji$5cR_Z!R#ysr@9 z^?-foT{P1ouW$oABkpO?Go35MlWh6tRNyh;Roq$hHpN6U-}`gg_rF9bA((1BJ`bJ2 zrvg;uD*WzZ3Rdgie`|7qls5Pp9<+bA1Q3en{-I1w!!GV69=^FW$ihonEDG#$n@1w_ zb-mWesbqAY2tCK`=lFFoAF0`hU@P#aCj5SQxZl=la%7#^sMtJ@L4rTT7@&;d%M(C? z1al3}Dn*aW7X$PPJu6$1rAfq}djrAu7JlM{<;~ND3bH|W5TOLAbJ?Iae24qY4prZf z4kf3gOe81N4i(h+2ugdp+IowP=ktDyNP6Fc55ci`kmrB-7x}%NLi2)mr-M2XiFDkySJJl43*t9;)bZg%W5{lUk`Nkw*#E~mgh z#AnD~EDj0{$_tr=^)aV#8!_7V@ac1Ji&=&%i1-c$a!Yeb3hDsWK0Y%+mOv5DZQy~= zDWT3o_W(;|^?0hB1-H%z()%3MN12f1%RO#X^6hZ}a-FN%D%fplufPiYmWAKPA6Mw) zt@2NYd@er)sIQPvaY$5h0rs;^A@TXL{BeyrcbnIPeqNviI>^K*mnjg4!tx$(2>Jfn zk60ghegRkNAt^z)l=|9jE@Ya8|EINmm7LU=SMMXbxr%Fac6jX|8Sk;S%7=o=ppnZS zOg|Lrp`g-uOWm>`8&Ji&FNmS@5M~Q0H~v%EbIY4v@HF?JDoy8{$H$+<%4244cX^9P zF@{uj_d}9t38sWlkMH*A@O!qsc(&!S3Cg3G2NDIh?#9QDPxwDW+ftxKXopH3dvX0Qk^4k(@+y=!qP0p<1cnYcJ7YvR+Bjf_Slic$0eWUvacUQVSrez+$^qYBo=w1s z&SQw9XN*)x1p9j*?BY`Uo)3=93LWYi_&whd@a@Sv=#gV2QPjRi57ozTh`5N8u=EQe zN(bYQ2<6BY$a3L$VxSxM?jie5Kzs-E*bhJ*{I^K%!~~+`xq8E+M~A@%pbwsqX*qEx z2D|E!aW5E6-)t#_aIO*rd3VsDd4HzS$JA$V6oK%qrSa_?8b zFZD1e2kK{$@q?0Z;zuZaW1n(BoMyH5Ag_ZVq^Gt&rlVih9$$2kisU`9z|6$-e(4D6 z&yg9ACE`C*nu%yQ{I2JwIHy5-L-`KIdwGb=Oodu?4kyuPX$he?=7QZb%s7o&E;&+w zk-SF9l)?FOT}ZCgXfapK7#SdXS!Kn-Nsu@0l`*V_)hC$i@T-)vOJUtDJF;t)Gg>RJ&js3W%f@W_VHA z)RChHT|ri%qS?Owc_UM*Z86u~bGLMB z?&`NWel9W{+r! z;gunuETMuFK@{G@nOGG5``<4lL8)F%G22Gob12&Xe3Eh1(!Fvzt~QX?CJ*)B<~Kpv zZk+$ylSd!or5(c1|Mm);>hCEelz$t=qh^^7-kL%DJ4+f+a~x*wxPRwFOp&)0`$Yw; zOA8D{>=2sRjBigEDR_MZ z8IXjm6vUSduwi-b_h5ojf*p8xWgMjchQ`1}@82K)8JA9j@c-{buVVi@Mj+VPBRNtr z(`5f0%l};9oJG`Wkbgrr+ye9#`}3{hDQ;%tl5TqV#OL2;H&ff`BPz)zhVPSTE09G5 z>?jVf(Oa{21C)_c`@~zrtp>syUu9V5=OW+W`ULLVO|-$-m}|It%D^gRZ+C;&CM`}2pu zqQriu8!*G(hbD)ju_ngHM-9^Kw%R?tfHmRp#6)FDNy!Btpac{k8DOiyA{?hk$iTqE z-6-gZiCockYe>2wg-_q}F43H9VGZAxhdjTcP_V)H7f_k;iHF`BNY*GB!5! zf!F;C{}f{88URF^etgw!AI+o&xHYTbvluFBYKx6#+vjqIirMb~_>sfw$#PNf@bKV% zy{(wp$Ar&4lYehgIZ#kI~zX;c;MjRMA&Pl^1cVl zXl& zfAkj}6tbR1z(<}tIQ6Q(5}_DZ&aVJNhG_^OK#h*S#Z*CC{Q&qVIwAw`3wixN@IQ6| zNf|I4{rW>-{rvn2to;G4oq~b_fX!?JC*B_~H%5LCko`r+!fMHD2jHt5fMR+GwgorA zj?n4%134j4jfL^=$6UR;yMsm|G|<1JGOHaRVPcAh*xcGe@kYbKTFIZ%3==-a;ZLdi z?q2!L#n1PhO~RAqXNqE4hMq)>tdu_VBZbAl!^PS?V6oBe+?2>>{&N(-fOXK{J_MJ4 zlpo+m6`@}NMz)$7X8r!C3n(or_(=k*IS>*A4#ZddJ}I?SE;}kRvaURT|N1&NAz`k~ z*}NDeaaAzydZR@i;fMeG^R53>UV~b-PUQCKb{9{V`Cfl$I1*9V7cl~)FwRwGA9^w} zBJLVY^)LO>c-v0B6Bd;Jj*ED{5U9M#YhBOPPDEo-!glew;v_)~Hvx$9Cjw@89+qpx z+!zsw&(N98)J?G4NRIBDLq9GIxu3JP?oPLF2avibA`wDPvS{fYKLhk#W(50M<7W*q z8V?*{J{%+|qw6Z*!f1tKdDjv$ti;9VVV zDV=1PvbZMF7Z#TZ1qJ2n*RSK!gX067jOhdjZS$gxEMVus!NC;5cE3t$_ZrnaPsUO- zIz8%6)MlopM1%at><iOJi;r_ zMLIhW3Nl9*m%)sHrHTPWV-5C+6o!AZGcGP}NEI>3#nm-7DoP0Ib$(t+w?oU3XFjq5 zqKh2^bAUF`5IVhU0H+L}pyMYWaFG%5xp(j<)0mA1lYXeGs(LAN_ZP-pW=hFS0PZa> zFK;}q5=$gGxuvXZ?2=q^znhZHH;MslAQ1^bvR$2>NoTb+#1s^vY=J-dClF+^IqkRO z2?4~9B<$PXy*Nl5YWRYk!A&e4`tII+Bz!szLyxwa-_zrkRXv2=cO%QgVIKF&XhyaD zVGc#uA6*?}!R%i}k&{&4gW#JKD!(`ALUYH#I#AtM|F*t4$o;xg(A>M}V zOX#H%C>H8@y6&p?;!1wX-@g=8@ibT2yO3cT}N0NIyUf`TU$g8bENg=IcP3ohX34&7R z+(xu@jK*Y6h)2R2nw=Ix2^|P7$9+zBh{)a^#snNn^Q)^w!xp!_)6@^|PH?>ab21=7ga zuSxRe=JPA0!nX#$5NrzYXYad<2prITi60T0#fzr+J3a*OvMRNUMYBjHRxTwZ!LX2aHjIN zx{5jAn+@~$Rhe%YY7>CWv#_88>O}5F6kk((ks1%><5H#iFsxTZ_RWpM(tb&1CLZsJ z_z!#%V{8@^7}(8rS9lK8H4=nHABDV;@B&^(UF^=%sjYfGPq&AazKP$?#E~NIAA1)= z9(iH+-W{5Xq9gbLQS%twNbEN)Qw=xD3vE~UcxbQ3qmGuRq3LU)?TcV1Cr_*#oM<5nitp~Ft*i%=lTH$;AGI7iu%JJ583j!sQ8A5PKDA1G$H|TPBB8JB zy%iQ`R28fkZI3T5^%{ti=T*`Qta^!y^TP%?+>AJp$csJnZgysgMq zdU}69zlf-|rc~=pBs%~hJci^RqsQ7~>hMxKwVhcQnK(aGpmf0&& zgoM8kyb_wuS-j+4f_6i z-|T*o9v238JUuH3N`OA3uJkfuv?dXL%9+tq^I{@vfnxQOZv@zxmps8R-OH++I7dt$+qE?NTUi_c=FssvOWk({^|dx}Zm1dy+oSJ@ zgUNS3EfX(Cv{So5>!ZU|(%|Bj{G+hUCnt2+IfwA7d{TOQ$+n&F>FI z1Gg#c_s^0{yH|=S1UDIa6iZ;mHc;0(k;+)wDjJO$i_J_*LsJf5sp_1rKpd-5b{cpe zJz{H+k}>(LidXhWgF_=~R0~H&;mrQN31;_xbvayIS=nAX8xm{zBYDR1we4IZaq8aW zGs%;pcqc;=UJ&BlAGIFA>oj)fz4`i*0eT|F-y(PYCgqq)_ODfNOUh#V7L511^2|dTR1$N7hL=vH<-!(G4SFH8ZPi9G?znAEX*=xU zt9O8UH8KO%ZlxnaO7*IvxRF$$wl_t-4!PEMZ3~pkc`k;7F9-m3&2FQmt4eVlf@#>N zYFX|34Z7bmZG@YuX%GUgyhkgVp$}#njz36JcO+4(MP8L#lk?qb#^(>z=}d)0>lvZv z^V3sH*Zq8fhvWC(CB7C;PE!R2?5^iI(>|(RF}Ryv zofn>#*!1_AKOhXB#Ny(*F!O5oq_X3rU{+kKz`fjp+PCb2*1{ueV;4%q;t0RwAck$p zXK{hfA`z7Ez3;<74!mdzha(OZ!Ezyi?gI@Q$RmzF4^lLMN(K|Gjx6>GiPd~MW|j^n zgUM=RU#%hQ+(u4d zwzEJk&3}3CHxAfUE~PW}F%s2J*;$55)-s1?Ab_>1E&&#DGp9tMgZ zj6Ke2;w$9!nT_(-%Uy})4?_m$w|9!1(BAnTdGVgO;9~~OZrwS5$(OpsPnoQGC%YrD z2Z0xl4(Vtw-K&u{eXS}E*1+#~MK0s9ob*~WdCP;_uuq0k*<97yv8MIT>T-&HdvotW zh3x*)mv%_=0cSX>auM!*nMiCw7)H+?Ov4uFtR}&h5Z_a5uuXH`@7lU8C(JF&{gMQm|q_oJ+u}(vv3+6&w4YD7vy&5X?BczpYlmA^?EyUH#E^) zcj9Iz%b7%GQ?1d+79QSos^awCF}ARhCGgZRsEWkzAm6h+Cib-ity13C+C0wHgZI|X zJ&1zVm7rkDi;qL{C$%fT5X!>TEn1CG98QO`ol0651dMjU3s9y3P)zvEpmWwG5LN;j zmG}W1KeP&-pQGSjC_EObf|Bq(?JWog?=|%5q!ju1%>*qSoi$L70_6%665(WJ*uON` z@hHJ9e21hiHefkaaEiN$L+<#jP*Ht4+_5dpnH^ItojN4yAcoU%EQ4C`IG3P4u zn4xyL(_)w=z&b`5n`)b^d(^0$3i}B}ZRlgWQ77YA4aeK}g-xNz!Q?^0EZEMnnH;Z)tLYrHBRRvpta3l&c&8A%oi)keXJ#it+j?_w8fSPMTd_8_x%gwpU`HJGd zzB(lQ@#wY`3g0_>^HQMxR>UdOkqj(Bp2Sltds{b^!;Q$8DuQ1pK&Oj(nr)1bOT3Tm8H5z6pf zh+s3cRm0O1sn1t_`)m9Vx@x))dzHXS>q-dBkH7cfohSv<;-J2|7R$Ovs8dLIn3&=} zBbYrsJpp1NV};~>|9R@)ya1xs1ajNrM7|IAGXg{`CKAs>C^*hQeT`mcHkARi#}WI= zi?VlFo;cZ4dQgz-)cy*o52^?r*jdrKf;Z;k}gD*ixj#u?la(~d>yi9yNU%vH1B;O8l zM#S0gwq>Di5-LXVxgF45gW{`#EPngOOq^F*tecyLAWaBCLxK_!I$l^MRz*GZTlvZ* ze-n}ao(!7}slB*f)LGz+w>9>H8YNj?f$sW|e0Ir&D>-}sANx^J4AOzxA&=#WOhcQH8!`E+37Ohk{@Tkh6a)KmX zYoU?t8nN)FHy3QMXWec_SqW72_|;D8lRGqYjI2EOc~jLY>7(IOH5x`H{IGZJISeo$ zHq@PoKLHg}HmUn1s6Yvmy#%W;ST1amEKvuYE%3UwE`9ssXHR7FR}Wj_qaJv^f=j~2 z3eDbEA3Dk~3CFvd*qQhrIa(UWB;J(P8H zIsxTil?;$nKu^Ekc$UcLZda<;Fvay3vsfkJ`P|nU9f(gi($dp!0)Ph4XUU;jSw|4s zUrY>&YFDco$8xjv_Vv#>ki0QV4&VWLro5upve3?g^qTaK9g_q?6$#62gE~d#I*2bH z`Qp;yLYP6f23!u-u;WUQGAi^ks0@K3)N}Y&h;D<3rj_5rI4ZtdKow%Cs$`YA7{Ez@ zWzWk>6u|uMmJR@k<$O;S^mD1hsmGN;8V_l+MzheS21W906~d6TN{Kw5(!OIew-Wrp z=-%k++j-%gzavaRk@j=^REZ7m2F4@xevX95TBwz(hK78AIu7@q9~>gA5Y|N{cItP> zZXue76)TsJUb`+{-(A!_?|@1CSChRmw;=bnvfE|vG**rd{-7EDts~m(llIS*>CeID zX(OEpwas3)E0Lny)L*MKZ&LL5#)@T?G&yf_hM{tI_Seo^>riW}yN7hY$&PLhEUl@7 zsw*|CzVaJcjH&i}ZBlGMk7hU+%MlYBh#{iT^C0WU(&jVdcxwZSw% zjd(7qI$u3SprU&2ucC!-#w(I3K2*$ zUZD(7L=xm?xD!A@`pO8Xm5_GZ08vw>QbpqE0rdqpDKQa@OQ8zvhVB9H(_zBs6;Afl3E{s;oQ9RO2uhn&pe^9H0ho4uyRrr8!C3MwV@aPKnj zs;Q}&;zh35w+7V@l(6IznviJppbjWlruw-LRIEui7|($1C_$R4FGh!BOATId;NxTQ zEFD*Q0&0tX5ZBd;g#SQxXZK;Avs<>i=u!~7FT=spLKFI?XTYhsdyZ$~UK2?^CC)NC z*>EUu4|>gy4QT7`qC})ke?;la zc(Rwnq%+B7t~K1$?2WQHEu@LdE-L2gmem6~)9zhU>H%bEC0VPbG+|lxh1m9laXtbG zu42>az|0~_NH&G|O=|1$sSyaNYGL@?u*wl@woSvjG3jpph=Jg@%j7Z@l|KL3&{11d z^9edblRg@C_gLrnbeg*vz6$Rgb-g;oDC7_dWWpd(iq0t-*Xoxtrv$ z&f?PnN%%DF`Kx|Oed7wera<}<4;6v#3Rui#eer<1zE86bf-ta+c0o6z$|%R=!>$lGJ`8^9^7=ctel*h z{hgN~pgH}C;UmIGJ3(E{$S)FXBbJ{K4R^M`Lb;RoKsZ8$^9yY3VBS%t7>eK|uov4#61k5u;(6l)k)Ptdb~xv1nktPJ8490o$bC zISnSyQu5plBdbHKDPC7}UH_DzZ&q2C)j(TxI#X%$I*uG!r`PU6`n#O>N`VhPs~+q_ zOn;uO`Gg9-pIBo-jvyNJ@;*tXq39XdDT6vpa|07a64S)R2)8f(-Eb@ziM&E?NB&8l zJzase1>A=wC{M7T`w$9sfzbdq;mzNi)p3vSKptdscx3b`c z`;L!ZDe8H>)^LoG&NPY7#Es%aEf*GbJy!^QaX(vUP2AT|m#lLpyNwE9&V5Ry|5A3b zf01N!c|sQI78xVqTJdAh`kColV!=Y$UHWCGE-Ma&soDY*&U866!M4%aQ&W)eb=aM6 z`&jm95Q2`9-%&;T*bRPG3ux*mdi@FC1CdDKog|*bw!)EW+Y-lzcCUPjOd^OUP}I<& zb;p!Cb?}dlN&mY_jiV&cNE89ZZwC(USVe+&7W~(^SDY3f0Xj{*HUh<^osEqMVZnHk zDZ=}auzCwEuZ)%b>6=;3)aTIbn&$IGU;#CP+hV5=&@7>-&hY|J&@XbKU9?JzvtR~{I+B((Z zg;|26zd%>6374l0`<{I3NEW`6?~9`=B8LszH$Gv&L%E>re5Iz)bwJ^-Q+E21AQZR4 z6>rp$J-z#swgTy_+9a}C9op{&MFpXGaZ*svI1gORbirRJ`+2!1Nv?(Ewl})fj+Jhi zq}HP}CXqQUrq8=>q$v1_1LI92&6g1q5|YXbQDb71a;YqJc1;v9bY-(#Wpph;Iuk=F z4|E!W+I^E5t>fLCcEQ3&eyY8(U@>yM3MZ4D5Hp1q| z2%HzbrhwSCXVEG|?zGC=-jEhNu`;wsG;TOKp21uo zj&%dFyp|-uRvdI;t@7lYO3>?VR%vs(xW;Umc9EnD7!M=dB%C;vk@EVr&CC1*;g!>i z26rkyYA#q(T!MkPwESX{w%DK;ECq@hpjVlbkx?-*9>QNDb>lUc}}Q^n&btCGz~9V#d) zDZw684ZhlzZsKyLclD~LhZZ2UP{}IUx$6Hufs|EUI2JJDIGZ{VV>U78X-9LLkS;c< zt*-P-qYjA6jxD(5HAI)b>$k=kea<==85@(4lCm)=w!2uWSP;)Y7x1h;MqGx3h0SND zKww>mc1#%QKvP80tMBt~>HGg}0_2+b)OV-ybh89XasjmnGED7WXh>v6tY3zI;qbRN zu`a;K@E2*!TR6$x{`{!3m6d5<*lVKMFdB(GZ4{Xa6jr|I_BmW$&UVxvyqM@7<)1Qd zXkAJH@vgvuf*4j5MPz)1+D%c@Vfn;|I615tRNfy5=w%XMmQJnSk4MtFKi&G3!s~Pq z#4lt&hwT`eydtGar%q3^mS@?9`l=;cAFIszMbRy<7IF6elp-&DsfuaQ*NEE>@dh`3 zN^;pnz0pF^jw9L=>tct1$L&|d5kUE`cX~RbO=YIgtl3$Oy~Emo+cco%JfJMhTMSM- z+2YB4&@j$*CgdT-1r4emOj5y@Y|s`1G+7lerP7n_U>S z7WOy3fty*)uwI1hjj@a%jRlzd3LkAQFHe+vWMd{GA3o_(1fNFqW@f5U2iDhiElq$NV~}~OG=QC){NPsX#1Yj; z3auTmL~r+pnoyhDU#oduNmnr1%!aP&>EjrUvH@S(h(yzAO-L|gdtz9YwT)M<=nP?} z_Am5r(H~zd!-nOxD1~8AML7r~H+cpzlMzy12b~2yXB#vU9d5lQ6LpK+NKNJYhpfeT zB?mS*VtwlrRdHl7TtpZDf{u+nJrQupvJ~#_QsIWHRcZc}Jm`hT7|&JYQE=qXT>9H| z=^wtB$G+|L*g9-sU%6# zx3LR!+|M9~ST~5OiFvkDP7Yd9)@h7{TuSRu;Is4h!|@}rqw8aq)=%#1zoyMu14Q-a z%&yyP*ubwd@#KG#_bmtF;je_V(e?Tqbs-`3{FjK;(cL^dcwYwrVh{i*=rQd!n!ltI z)Ni~3*UR0+NP3tl%v#4tT;7-=PjJ1_Dk{CP#+j0MRnQSd8VXU9>3-phTexB*M`sjj zB`UXsMa3>Z#kZHf;G~U~>#7ZhG~r5xYMVOTrQDd9vXv@n<*l3bmhvvEtvdYF%rG=> zC_0OT9R7}yI3F zw}-lyIwtJT4VWSFhN6d0eP6Luqxn5(hFNcQS)xn)vXii_<{EQKFWYD)ky8@aqgAlEOmLw zq11`>M7gJ(ul+yp0z^vE!J*bmtJ~*AEEe~2twFpSl8dCkhQec|6y^0FB`E@aP^{!~ z=xd5WtCGi)5E$GUBd-o2g>;tnK=<04>vK02Pa=Gy*J-iJhBh@3VS+a&6Xc;)B*C-^ zVog~WEjk4|9{%i6dB>756|;Rq?QB8Fo=b-!({;exduUie{|lX!0yGDm=5Kg85+OJJ zAI5OL17XM~AH7Z&zKWHYYedQaS&F4k#w}th!<))m^y;);BiMB*xT&&3(xDxAe-}zWTsaaf> z(u1q&c0Deil#9l-l4o`O3kUpM9bNp{YC;ny6QdMtYvFh_U1i|awMRk2{REn_>$W#A zclzE*b}}vTIBbwXeSr-5Hqi7`vfNe0vA@56FcIj*+o3Dc+&DZqD2Qyo(Oi{ythx*a z4&LE%N?HJ#zNm6Io=hgHGL^xe;PpT~L&x}abYMKPnuiEyvKSa?Od2JT$*!dicl)F9 zj-60TGY>tuDeZ?Qu3z})oCLmw&c?FAom$B(=6>(0gdG7Zu$@xo3LED zoJ6@=C4|2ETEleGC7?7B5}{oj{1=ufVW*Lf_3n=%n~)$~dGVQ4--ckv!X3J)C-qBI zP$UIs*j~*_v)!tTVH@m_)oY`SA%D+&koE*wR0$q^Jl@QFlCT}G=-E=I*lfPfxPaA- zCsoJsal0C+SE-e%;O6!I?AW5{fLa4& zHqbc(qMVPX859db-WA>+PVa$UxzQE|K%k+zve9bk>}GEu5|!4p)cg87>Lsk1;wP$ zaa~?}gkOj&tjPw6=IR{ba(kzsdiY>2f(Q{&9I^U#cY|JV!&KtkY56nPbgArX<{y~- zMay#tJ*fm&UUEifKpDk5pO2mo$K1UXVEMkgPs-$kOUGWh(ccmElRMQM(~i&a}B;I#UcA zJE}PXch4gRmwnuJ2*}_+oh?bzr*kTT`W6Fs@Jb_>;;(R&gV}?G+T$E=L{N`92$snT)k>WeT7^6iJ8%vBa-vOgmG6!Q zn~y`*;Xo(sU8%@OgIiAy`|XQAcNu0GqMy1Mu7w3#zQBrLZ}f;!{Tx|Syx!jE9{iO< z`KOqz)*sN)_LKSqX|-w_$I6hTaqTVrL?UoPNEu%U>X$2SkDARo@XZ##;vW(o23c}1 zd2fh0DDwKYL_&JBIJ_(6!r(Q1L2n@G?RD7cqK=RQ0~K_5UyBh2U%r1jU@ZF=f-2O+ z;^g&VM87)j(k6v5Ua_A4F;gBh0W(?3W^Ss~2x1&Wh~j1bfIrUePaEnOY+opKOSpi1 zGjTFE14-dku8?EAj3!2wVN|15E}^EU3H3XoMBk*VD9^S0X0<{c`VDFpPds<4?7n0w zo%I+X(~ZVj9e=Neo)(M)xgKZ)Y~qx`k&zmmws}`^8w1FPXbJ!}19IZ-fQ_L8(GZ#Y z=W^o-pfZ8!h}kmmc)k+H2lBQ3?c?nlh|DphELLf|1L8N(3BG#aoA2{pcnq9NH3o|S z#0AM}d-r#vGa$&nxUCf*3fB@I&=pNy{iY zJ#HC|)>znTp_pBCMR%}Vj~t@Ua7MXknyNNG7% zL8V)|ySuwXKsuy*gEXAQbKY}(-yeQ#_Py`bYtAv}9K)4cT_ENJDFZ?<(Gqh@d-RUb zGfEJ@nv6Ir?c(m)Z=Z6Kugd*Aj@ygDTo3JbzT%!ebe-;|HvnblbDc31g{xrkr$?DY zItIE=7aqvy=zPqol8`&2gcEL`&DM|HAF0_!?yiT@&m-zoOoddllz%pV_a`ULVpe;T zJD#~*uiLTu!6*Ok>gOEwpVnJ{WMyP!y$;J7oJv?Mrr+aJfp}za1$4h!@_Q(`fNQ^% zu0Vvt?gxI4%UI0O6iyogrLR>%e*DD3QSN{@03=|B$>xgJNjQf3{|bCdOpKN?@BW6x zYgxb(_R3ku&TxvwC(dhu5sDR_?puT>*|X50@BMMZ+o3pGm9WIfW3S683ATWE`xEC3 zDNsdGqV61jzXQ18uqZJ^LY{Ynh1VUXaxAD)G^9=DNZbXu`bl&e&MUo)G=|qa z3*M5up9lDQRUNMX%5*ZogMyLJVn9MKdBrqTXcCa(6fMy;lxtzNyxLv#K?AB`vr`~r z67vr2*jP1B>t`_}XYGv)F4H2Vd(?6hcJ1o5?18+*`L*K0Z$0JM{ zdTSYH?KY69x^9sCEjE@cL@o%1k1=7sNF}tl@Bm4g&0tR?7};cY5M*IOUH%Bl2FZ>! zv{x~c0bm|J{J`z*>3Lh}MMn>R^w*MYXw&w~ zjO;-x=D|pTv4|Q>$Ze{(X?!#zRdG#E_Qpap#mU*!*MbS%x$d)gx&-Urwa8)W)HrMo z2Xt{7hBcF=k~4sP?X*((JK}!WD>r;L`gxKZ6PtMh$&~^+HJV=*y5qM4>g-#gXqF{& z%?=jrcP9M>It3CXwtO8Yyf*sx_Xl~WJxzElu4=FQTW!pUGM#=INyD$T%MlZs!JnUc z-*06O>UVyOMaVqNVnX+( zVcQwDVxuR9OhNhuPxZyIef8MMj{{sw3e_7p6B3NZyi}cW7}c*2DSWvNhlfLpTrM7= zKeoO7T7)I9DJ9#YYWr$}(c@(2Ky7%l`_-b>H^wD}jBg!|;m%4#d{?H?s_%@ynT{>y zN_yQLF6+<9Y`XdrD65{nMG+v(n#1xmRJWsE{kk#|i^;k>@f>gx+dLCr&!OP(FKq$Q zO+O%-~wxgjNS8i?gK0i7P27^&|IsD?^mYM)Y8Rwk?JL=~M*cOLyS;Es4|qqj-{MnG)ao%C9u@erbZ4q0+s+$_6S?>9zlb}^cOFZ0oz zvWQk!N{-dUXrA6-OGa|CtxGj_ml1uW2SyLYJ+a@}KdxWgU8Uv*yz41JIiRcNSo3~X zsZsxu(1$wx83}&Vp5O5gHGhFLADfXJ{HYy8LAH5mqi$&F%fMkjIz26g(e&i^$cw)y zXDPxHf_OB-sMH9RLE%!aDM2v{7Mj9-RG~{aUQK`ZJg<+f-{vFODK@;LHg?q>H)5Um zQ8q$In8NFPZw&c^Nw zW18aY(Yz6KSnm8;rYHHiG9v4Vq}%;|*ZcbECVp$_x0CbkfruBSYi_x{YXJpv2jrO| zxN@@QcFgRBduH18YaEti@<#lNi9B`$lSBes8yi42LrPtk1i=NNae1blEGOpe2lxQw zQO{fL$zf3u5wdHUV#|oPdW###w0nRd_@WMkgVb7FY~s8SAuS85a-|Zf^hB;1kijhNK@o6*$E0ho1d1_h9T7JXB~0&-v_OW<1IP+`hkm+g2-PmC z_3C@h?6|bJiuu5sSK4ppP?Bf5W}ebj8s9Of0L;1v)^3D6p@TE z%N}4X?5{3jP6jub=+(DVHt-!~sQi6+t0(guoF?9Pq{}r^%r7cM<_&?`C)7)yKkN%5 zxlra=N=vhy1=E<09VobX<{)Kq6erH(Zx2#H3;eeI2n%;B(rrf2$4Ww9{AsgVK&(qxmj6^+aGu7lZ1nH!!ajp&Lyf8zwC#TT~}g zj~fo9d>3C`a(WDO%31`47>|U=NyL3mw8O@n{#dKM$TmwywW$F=0T-1yViCbQgPB?T zlbkZ#iUc0>Np;}aD5rR3&0IU@?&oFp*w8sP-onpjdjJh2jub(=lD>XQMs0Rj5=(-u;KO$2ff2=-0o_#&JQt^Gn*i0D*YbvwhbOW_j z&`lsl-B=890_XUUgwa|3@y&`1+~{r(siGHr$)4L+(<>GD4SP8i!>Q8Gz8~4L^^C1j z7{_7qZ{Zbx-O$J2P9$|)P(_R1e!s9H;Iep|l#yxnOGKeEtn_JO*SBFQ{bQcxJNOLN zWmF%`zauybr{g;KNH%wHNlC|R9aggmyuBXPtD_QMJB+ku=DGW-kAC~8j^agcVUgMI zTQJUyCh;tZpRTn)o{v6{ecKYlaCwgUDHVb#!L+>5?Oef!VAmBq5A7C!qg{K18S6)g zg+OA+;b6q2Keu}_?!m`s#&1M1`#1A_&B5K*tAi59CbZT({7V<^#xA<8m5o_8*s4j{kDpv54_f3hm^C=WzT?KXzA;kKLB7A{M%TxrjLE!TS=oLSXzYwpdGl{T;~DBv0_L10d2xSOjPpS22bxQe6A8;GjdR~I&a@3`N%YFO*Yz$ZN2MHC-!w!31Kk6NgvJo{Em)GSX0SN`VUa-l}1ChO7&s$j+_ z@^eel(Uv>1gjLDX>kXUN@Rl%jUwrvi7QUhjD0<{D3I!%Lz)L z*_{7s`4q zz=F>EtU&yc;~HJ97>q2X)rzJVsz2A`Vrz?W)@t2#Y0o}%aQP%pCVk`+m9=ZYCy^XE z0VMhO)crO!MMs{xPNq(As0y(>V+98E0IFtBuV~H6sBoQbbtn&ecpc%-(blqp3+&x1 zea-n%%9YbFd1&7CeN9c@%yOi#CF7{`NugQ`d1)*sOblD^f&zwfo8OiMPIXY<%`onp z!of9U^G$W2A9KRqk@lFL8stU>SM6y9NW8HX#nlmJ5=S!bLoLRmo}8fOA$L}tLF#Sz zct*cDeicVL{TI509Mxk*XXq|XKb`GN+u8v3uphMiSD80}B z5Hl3wJ4C%Q-Z7ee%redLjn#!bcb&#d5t@GSBhD}+SM!)K-mmt(!!JcFf0V-T6bZ+K zC#}w}o3MVk+*Iz+=Gxx7g|a3(7dlrxu{7_66r_js^1Ywuag}T%QZsG66?SNi7-^bqfndqkDF0y}iZQiwIk?MtDDOv{hH;IT zC6)&}g@wVDi0Wi9=opu^K2$!bUg@kDQHLx&&OPDD+ofFzA(veY$RMcGg?-Hxfq^uU z$0vb#=V#+I5_jzQyql7lPgCv?jBxb3k>}p$#z-w~2ZZ%Y{C*du4B|>?bS=glLVo_!91pdz5 z;u8`PLMNbn|CFk4)YPXhcC&TF5!$X^Lwg0@~^Z z4wP^gj&Bh}H|yjqnxK(F&AHS55^^SCR#dXJVs9D6f~qv2rYszvXcY>!s;vz{H|Tmz z`)c0&a)m(7>IUlN6*^fw6A7{{zLzf_C`02Ook8Px=h*#A2Q|=L;{n9wQ;HEXHLy@G zjV>IYakXL}d#M!9g>4o3v{p97E(4{QE+O5CqWbcipt60=Jr`DWcc0MvYs0~VVmg9i z`daD%U-=Xu>YcHjyNx_}cvckifjm6z*D&`#2|V2O==(l!o@_jfSMbEVd~vUXBgGV{ zB8$ zREj#2fZnYU$khaC2#d8xX$*+A=2ngTU2_oJb@lmQAADc>pqOCqv&#kP2_P zQKqfkwkW1I7=*q|Lrv?~ge;zz>QD&%5}$a`!2_BybTP!upYAjbjA+i$#=|hDa<{+g z?m0A$UY2wXY#cv$9*nTO^g`_I{5l+6t5Cct5{>7_4u}SB6*(j`GLA(6PA^M@mZ@yx z<@xb7LCPo`NhF#+hm*3Y+K`ub@pi=d!L<;(6;w-sY1YZHNbr04)b~8DGS{89E8sKw zYLRNXM!kC>yNu9c&ux0gzkn54X-&;a(M5P>#XO*5Ofr7@@cBnu9LrBEFqZR=A$Bv8NNt7Vp8nF#PXhr|l6)HQEn4s^vKH*l3qtgmIXZ&DRB=(68`Tzd$<3oo72PErLYCv;SUQ5s#G**Ft+p@$#O7hj;%Q8ht*>#_mKc zI|whXv%6S=NDg zg^oS3SxXGU(&mT^3ygss-L$Xf+%4KCIS9ajNPs0Arl}Paonk>U=RS992^zaK=02?j z&3Xro;(}x5Q#f8SUJ+;0?{6QSuoYJWD{`369;W%Zawc6RUBa1U?p6-_PGt-$43~mm zNIDkFL#aOe_o=EQm`1)u27em;)>rR-;~G{OXhd7pY86$Zl}}wb)xc(7CXqB=EzVaO zn039LH!mDt!`xF~lOYq2N@^!vd#zbHlll`&F?|3E(z@aVwx$||B^20;3b1%*7qms~j zb`%#kf8@E_7LzqC=qWO6sH&hqVpE@_+g~p~RK0&19+p%L`CIdfW-0CPGa>CS5ipeQ zV4Jkfw8m9FC|<#+tiQIQ>r3B>99IrFXnom+IL0ktTHfVjD7LL5D0d&#p1^D3VIF0v@lJ z1H$~^;Q9ijF@p_+Px26PF)X0z5D_`wym^Jz{fVbA4k`foot%)!kH_a~&-CYA+4}cO zKOq7=&7M2=rlwqwo7T1D>(&CGl#DoibgmR2+iW^4Zvh&idGXeW zrRs+OVO#~p`am%Fx(`o34HQFx@X+1$slt0kMn)zkd6ZX7?KhjCwBaQVwD$aTtz*}A z1pox6<3Cr$CFRwN)`nx_I(*k2kwmGaKc{Twstb1HJ7P3et)PKiqLbcTW0+IB8@XPj zt)z-(!47*BtjN*SsbYXwcM$M)d$s_sa1z{Tw@~>?oCDC6Z4Ja&bqRTR+<^vZR2hAO zl<#Kx3Q$mpMoP1rPpLVf{ehc%vGwp9@5xKaJ1)|h ziRa}WtNpqVbHf0LDXzI~p*`6H30Dh{kh(Y{*}Mv?kAqpZ=upW%ozKv7#{wA6*9`7 z<{yoA9yWwQd>!ZzYXjzt)1Idt=+f7=*I-;N{eKzugvcZ^wQc{9L0&G|)z3jYKR>ru zpYDgN)?;K@_Bbs2U^?nI=E>tMR(%n}cQFVAM-CwW)~i8WuCw~AZeEYV_Zf&Jnty`! z^*CY8K#6Di{O6uD0B)l<99wI58O$%BRJNh{YAlgD?yAilsybH(wv z(3dAGgi_(%I}|a%x@~*>w*+|k1D+cY<^|0sv(kB8^}Mgr#> z5br$(1^7oUo_ilfyNU!v5+w5~F4ElHL&z{UwTFV=EQBwFNNN%n!fpyt2I=McP@$(v zO73p_MHjdsQ_T~S>H#rt-oWzhtLgFBAvmkg@m94Xj2Gj(<1+vC4S|E$!7!FU@ zz7I!3$nd4qgDoEHQ^Vp|UQ~DVnKL?ZmKnT?w6Ivz`@UDFRI>rHy^?bXV35m*< zPvXO_x7_xYL$X z?sF5hQkW8f=fNy(4ad^%ak6RmsY#UNT`G2s7CLfo)sIkIDFWWj=L;VmTck@sx$YBrVihFDgeX7WmWn0-_H~`$QB~eft-w5V+f)bnU)Z za~dE9Bh4hJT1)=MX?5RNMfp^|Gx>qM;KQz$z|~mH_eGXfQ`h&@1sLeqL6nF<79H$- z<2}m6^&)Bp9klf!Fz9+t-FNM@VItazUfqKv18;e;SI?Qx4-(O{g-Sg~ycX~!1PQWq zS`z&|i{1x=Mf@zP1eM*WRN?5!PI`~H2V7%AW9I|GGe&j&)Uk1{3&i0ro=^0r;TT*| zZxYsbE0Y4qE~eeV85}%`l1ja8sM~lp;rmnr!mf1w6kMm&xA;H>G$r_xNVG}x^)lys z^wkPB4YrEsn*qTy%z2|%gOcLkh%6p|(vjN?+5Jclu{`_1G(oGN>Gw~ zcQhnhiW{AT#a|xNB>iE#UDe*M6)I6==JkWSv!K~FH##n}qX!(hp@XYuvouLj7AXYi($9ZgztN)-}C&4U3_1Vdok?!j(idyQ3fLnY?PNX15Rn*R8H#`K~~GpXQ~gi zXxVJa(PaH+o3!#2bhSK|7|~;BT+4o|;*pr^a9{&-q{CI-a060(GIegmPlLTBU5hnl zJ*PQi2MED9WrjuHu6&UrYXJ>X@pr&J{`)>ohPf>+MLS*9&^?^Fc!$fav`cr6ZlF#R z4VL85*q!jgL$07B4L)8@#OFswD8JOV-uJ86m?RX_?uL+GZjeBfG8^teNQQ6~RfIE(lX(s-zdQWA{yIyA6ZIf%$O(INz=S9@VX z;6CcT6WIyt&F!ROf*;+wuxE}Zn>daSxS~Z^NfLMfs}OsVl1FBMTlmEy2(T;n`7cW* z-a!feybQ67?)-5{C1ty(v683qGs5k=dP#XMvz8GdZ6)@3@muoYag_hs*O{Gv!%(b{ zhuftJ9URz*cHsYbe|`AYRZv(wlcBWur}_%KT5N{KG2E|iw?A2%lOo~3zL>EQ{2U8{=A=T;iaqt3*^9{Ec9Qj&5c4J;y4+p!{a2@=PdS+4{mP1YUtX;=*hbTr~Y6C^*2YQpAivo7L8A zyaDtEwVgun1WE!{s143MF428b-7+6&aaAqFit?|biSUqH#Ip(g4l;TP*kEzFgsmym zUTwLjSBiwqwXL*pSWUHln=?`?8VUGxxXY|vknCsIM$p(cPoH9j>Y0tb%Z468icYwU znQfg>?Y;Yp%dAXUp$z&&;OvPLhhEoU^=hl@%N8~B3^nd6qKA-#x~md4Qs1sk=NZ#- z9`^%lEDQy)hVrTiMn+$lNvG#}({d_zZy4h!y6!*|zm23-j%z2pfU!{bzU*vZ_)Hnxf;E&|xge$C;e&d6DqTODAY?5z;pMfOn&>Oh;(-F|QEaA3mVk zF7G*-a~&;H_o(l+*l|{rbKwu&h*0hbYO;g{-qQ|lRP|V4dz|Mt;w>PhHr(`F^}v8e zA^l2Z^LhvSE={KKVwfI=`tl7k0h0HupM1#Qj+d?uM}TL{&>Il)`M+v+eB1u<)J}jU{gFg zR%_sM!C+mbWNlIK)usqVmUzGwIx?kt4NrJ(Dn3u1B6Eg?aGtagG`%CDdvT2H?P{sM zct>OBr*;U88eL)wUwlb@^?o;>9!sHa138L(%$>}wJH%104_>Sg|5s?KsfeB} zlgezE%#+VX_)jycw!5jQ2KA$r`=5U{BV-7kW{=fM;#7*bRa}nvwtpWV3ECUaLLhjI zLwqhrZzNn47*u%Ng`Qu-knRl<#@j<^433*uQZ!glIqlZZv8fo7Sv^1fZr7jfa4Se9 zME5P$X=}ECkAK}vW{C7Iof#7Yfo^CLB^R|rHkl1JKz@%_N>*BWIvd8x{2gh?*kHYsxoQAau+(;M-~+EuE>b=T9lo!Gzew0d^d>GEa0;G9pxh)wtwrw&X}#C^!>iO+KGIIL5n|*(di*rbzi0t zh@NVcYGTUU;U~w(2iGa#CkVw#@?ex;K24r>Jo}tAib<|#7dUk8*J7*(F6!#xpnXW7 z^TgTCzhilX*|5@PR@ z%w8xffz8hvRt`0$0S1uYrJcChSSi~_duvLfsGAFUm);;I`9DW<-`$Y4#D zsgOccYERU6doZx{A(07#Mud^!SV?UK5e~-DGZC;Q0@0aC#R;BMJ_3T)e}Alij?(Ku z?xLm3naOMvbVVi#$;e>ED)$5-mzF?V#WNY9Pz}*93@tssYK&1*IbQ3@@HNiIop-lL z`0`>7I4=x%K(@x0xf-FX@>-eayuPic|i!%AZ;yovMBu+WmA(jD>ZC#Ho{3ZECMiNWI}a zxo*LjqOaCrn{v_-KJ&Nw?-E}PY#Aa(n3EovK>WL=@46zhSFL0*B;6DPA4lsdbCU}c zGEXMNx*~AsNxUzWkny{Fc0jtihyoKwHAqDT7E)-6K5V;Un1ZDJdn!umM8HicB`sgI zNOS=8V=6rSNY;>EjgF&9)l%892sU+v;7GhOGNClM>uY&#u5!3__>gXV&-b{bq~19P zL$Qyi_oQAu26Zk8m)s7fOv@R9I30!5tk=Wjs|w9EqO8%^S8w@bLUk{rfYE zx~K@Qq-dsgHM@2$64@lXQKer8X9$NaIn1giZo{1f5_&lU7F@G_ptfyeHL~V}jFt37 zYMcA{G~?5B>$`2heU67^&BINI(DdCwF66lZ4i1;ipP;6vl8SZ$Wo=)elT|gTOo}(z zn>dW{q&cOaQkw8Fj?OkCwtZ#v4&M~{`UBih{&(Z zJL6`DDL9_8k#`F5t zv&izsA!kea*JO1zSi}}ml#hu%m-_j89E~hKYWi)Q~d0LbV!$jT0a+H;AOOv+N`VPym~qd*)-bMWnv zfW`0}ul1y8HlYc+>#OHZZG_yPVdCw4ev^8GZWmdW(3!$=u z?<*BGYttKR5)MWGxagmqyEgUD;a;3}Lzb(x_3d11N@kC%Syiz9ZZe3y1 z6{wl-rZ7mjO$|>_B4_>r6}U2#YPg$)tY7o8L(@Qh12zOLGanVFt! zB@V8~zP_-NO89*~;!>l8dd(=Wc*(t2>7gl}TAY>it9>hIap{_^{$qsP-nx8WXs{F& zt2Tpucg7iuvpC!ODGw^kmR`qZ?B>FA+6kb*+#uJd5s|QJ5nuqif62qGW@9Oz z@M_kP`+;neVvVUBN2C2lcR_}SH2r&6kCiemXAFby`S3`hf9pi#VdcX(SPpC|$CM~W z0}2NE+}k*v|6B4$hghmNDU{~MN%1W()mivpDpo(ycP z9LR#_i1uFFhUdG*lY=^uXL1ZoH|%p_8g}Ncb4SgWu+ND6+kQ<82kaKAD+U${MD?VB z+=7OXr`M56VNL?@M1?_Z zt+|qi;1c$g@>4=e))@}LpmqDxofRL`3a0kI?1BOUVHDKABZ>OWPDOu2ky|0-yspa* z5>pGUotxq&CWs`qySLzIhm*tJ;}z|c{dI=OF2l% z@7%#03k@BU`SZ@WuJ_E{EL|HXaGY!*JM=#^!iX?_3}NiWcM}>u>i}wnok;w7v6k!i zrycV~*Z_+1*G9Pq-Ypt2&znQkvO)~}Wm8b@gc+7+kc2#Svl(w2l>~3rVNka-E3%}<{;YL^08oja@K{WbZpp5awh>-m9hm0b3a~}%>&#BrQ zNQf?PNo+S&ZI*do;3QDnQlgBEb2j>P>dVa7vQmzqiSKo{Ul4+|tz+AimrIGFg*g=m zB~p;rIfSJ=7VY3?1BN>be%gHF|aW4WJGOEiyDtA6z_1rRF#IxIL5{Q>N~QmqdWuQ@ti2yy4? z9RUrUM~EI-p?VoXwtHYso;rSPNG6LR^s;=+!`)%Uvh#AY_IZH8$Zv078zOZcTJGSy zI<82f2BgZ3;Ml%7`(Xkz91-SKtSLBPav{B-*+Y~c12scPU(fM3!x2EO0ASi9=uX4~ z<~B2T;8q9C3xMR>Re@OmUBfsBiOo1J@8C*n$<&-BG}mqfy#YaV;kMeBw9?YjRNH2Y z`+vva%fK4)1~x3yXP!l3a~JRCm6O4VYC@y{gtz7AWw!pz7=^REs%;ArLL{CCm`$a8@QBcrPp-n+@% z!_|FYHW*6|;uZiFv@=AL0wu22EY+8g-vPZdVG%k?Xtt}ZZ$I1zBA|K1=x7N9?tF8x%ir|1XKTX-=^1{!g$-l<7SrI0jzu_`F!c*+j7(`m z2rg^kbmPuj`T~llTgro@FH6TGuhK3PQU23?vGG7`Kd(&g@q@w>g+7; z!P;YVx30$VS{nplCc**w9Er=wR_V^;rBp?1%9*KJAA!q+ zSW3oZJEy_>?^v)DVX40Tpng31;Ydz}z75(sgRJ)HXJ5>md_`6~(Tfc@?M{9PJw(|G zd|7rSWeNZ>9f8ZOO|~n?8_-F>0|k^@NF9wRNNL^3Y8FPe*auX;hbN(w7@)>$8*iOE z%2t^jAZULoaA|zE6;Q7>*fhOxYmOpEVRe=+MHxe0cD$yP6%}X}v75^4fsoG3~UCsW@!&KhR#)&cN z{%5jIyMJGnWFo&H&Zlt^BWW|}g1(y0^gL+-%y2$Wx4VdpFKpPJWT8F&?^Et1QQzv+ znj1<&D2C1?3|0VX7+{C;B>jT#Cri4zzf!Ub#Kq|C6ZJ^4U@js^{|=D)mVQFhU?tRa$@%*5)SZ&im;C4}^vw-?#+EP&43yD8!RXlXQI&nIphg3e%N8uddoaIG3KC+g~d?So`Gc-j_=_X zr62{R!iJsy^ll_``vQ>$ilNr&K7TljkSeW>ALZWAEjIN;>64|z%;B_5X_SCJQQO$J zHj5G$(FEVLUydG=`D(8#0Fcy{4QI7^7q)eGY6m+#WA z1*=Gn_{nDyr>-pAzd{6}!QZa6Kr;C{Int-9 z2alAsEZF2w^k@Q(Y;uQlBgl@WvrJ_!R}6Zx^Ft7q*@Q0JguIzU{M643*N<4o-+I>+ z%o?n@jL(0_ma*VJU)(b;q4QtebBh_xE+nwmg#bJIzhDH$$3Rof#<{u#3`8dfg$j)d z5-C?*#a2{Ne+ZCWR=Fy)(#Xb^bU;0h1(9eJejQzC;W9e)c*||DF&_4EV!Y&Y1?ls} z3%4DFj3Tb%H-INWiz<{8JWi51oMZ+`@QW&b*sbx~kuF<8Uutx(C{W_&-i>sK7%{5* zk<+k*ZR5flajVn(&2;3C>%wmD3upv|&whiZ4$YYw$nLAFYYZ%`T*#)1)@B~$#WRH- zuy59<&=Lj2Hm|()re-LFK>T{cgh|(F>Jz&R&o=bt5rAwgK8bMQ)!M(J<<|cNXz(?3 z9pDITnNrz5M5&-~g7T7JmLl`72C3nsxb0w+jI}_^F_`2O! z00}dOveW&lh5B(}bIayIS38IdfO|Kp5&zesQQfpf-czE)<=HKoV=`xbeUoR|MVnRb z_su{X%HUPy5F8_F7+)&a?4V|VonwxpRx0CseBhez`e6H`gl2omqE*XS#t)BItdOHQ zD;FSVsinyx#)UsDyQ=rh~&W(lVU8&GGp}Y`IEuZnZ&Ga7ddEV%S8De4o zR8byryQ+wymdf09x`@{j%wqe~NNKh8Mddl$S$X)B;e^{^@04~yKD#<$1Z87b5nMX{ zVK)DH4!#7orWZSvLS$iA%dIKEwmquUqZi}cLX%jVCWRhUH(vPTlo*Jq4fw~F z-ZFdcRY|5WnPQw>wpBt3D{s{0tQ^#*ClxgUlY(Mkr7UVRzO=%zSljh1IFMp;B~F~UnYw`NIpy39 z$NnFjQ2sK{_YtwgCFz z&KD0V=?1EA&3V6TEH*z`JOxvxML%?9NSmaBKc0IgNG7)W=!go;NPgB&R8sB+0h&~K!R&Q*jdl{=?B?mbC3*25_lsIfPle;?W2Qch z3)RuA1c+@6RYa5wzcfHgTG3PwL%_IY`}pv*O}vtrz6;A)gznr4 zH51I89uq+(EmB(}_^;d6S?@r5?n1u~w-fah1ld2FPkUl&Xsq#j-Bj|@_*wg4Pt@aS z;C;tcPawsfXAX7vUerc-WY09`j-P|+;Yk;hL`n27;Sc_&=YxZxKm-I_522Zzcw72Q z1uD7JTSl(ky6>xKE{gU3V00P^E}XPPwsUAIxKHSZ)-R)N!fw{Pa>}79Z(%C;pFJGn z#i~sIDse06IQ(>$*g=RfvBo4U6avG*`k^VNiFz)<;TeR7HLyJV#z@07LP$Z&nxIvd zOqF}2R(%r1`DGN-uQmJYtNj0)Xs*-Zaj}2T743Hr1X8aB;@5s-g7;5DYwb_wo(^rx zzcOQ%AVzn{_JQdGY~A=}YA=J?;#Pe}xYVE|OWseql}xSBe!G+U?EUO5kIw1Kq8C}P zG-B!L@Tm!EB&PK878#rm6IfY1=!Avf*(2lD0k8FAVDeVTLsNZOQiY|1_@4yA9}_@q!AK{^_j(1r znG~&aN8kGn+z4a;s#EklwqklOx`!p9Ynk{``@g(BEbp+i&VmMuuD2I%+DTxCl#8Pw z<|)SaAx8gUGf~iS1w0>GQsH|suCs(vyyy09ccfy`{2yLY7+9?XY$CKLqc4kbP`|&s zJT(bzpJK-+5QL&HY9<68w}0xp+(TF1nkjU6KNPO%NrPXY8QC6iRAqU#bFPHlI+5vu zr^E2j5*dg7Dw&D1l`3^v%*!cv)hn3@$cP1ey+vB$nmY@GyFs^9rESNE&$u*Wez-~HPH9X zBDs=n4A-wao*ObBk522A9=m-!I%jf79?q_|#<*hEJZwCN;_~IHZ^H+6S0KTX`o;m2 zm0-(SL(Sc8KcM)%Y`YSM0tG5kS~6K{yZ!rPyXPwf-@A(IRnLdiwTBtNZG3Tat^nNI zbU=){&!NX)?c?^Zhkl~sY3H_~0&T6G`{8$?q} zh21kiDGyIuAy0&Mt+%V5q}DB0OVL*=!O*Q9&R0hV2VkmPb$qV(GaDuX%64C2{x$!B z_9k_L1p9AYzyTj$LKSz^)XPSkil)nmZujEg<|uw}Q!EwS?8)?+wLy0g9PYaO<8}84 zk^%LdpCMOn**K8P z`UH7pG(WQ1->MffVBeRlHP?--*KBq^w<>1J4XxCu`q-ad7_Lh??yfvd5D7F%|& zL5s5?1KUy;Z_kxEPgei6xD@_{=jX(nyYyXgSMP_-y0mZGy`R)^8{;p~L!-hX-b7LN zfB4*b1C*s;#rk^btMxQuj?Sh2{#=pB4ZQ7tc=%UZSigJ7dAi76sRM0I5+q>M5wqg? z(?=CDB+;MvP;^1qd$JuFn4W`|v(}DVwx-<7m6z+t?-Hq7G$bPMNa(7zSsA>{RQ~*D ztElm*WagHWd5*|hBln|i12LO2BXx-v4+qEXV!j+VhcC0WY6!+IjEvtwD}Vfd7r6cU zXD+SH$aB1( z-OuRz{XX;a$NS9t-p_Sk*L6S7GxMA0eb<7{KX!w_?oGOMiJM7Tj*i$7*zN&Kn&Dr%NyUGO{x)*!TkZY*%8En! z|BYao*!(FHapzvV&&(70B=0CY`F$?$n8T@jr=&AN&lG-M*pk)fhHM8%4v9p58BbGx zG2l(A+YR$RoQQfD@vCczVZvaIc1~ zc9Y&2-THn@BRi0l8F#s-Uqxxft02Q}p24amN`c?EOHAC)6!JP;^IFXdeT`W!MRVFC zRrJckG1!%JDh66RcHjJS?7;WInsJ|colozq*3I1s&A=}& z2~XL=|4)p1YEDYS^ZP#v9!>1L!yj+=DsvUqk*m8lSIXlFF#YU$ls7oJ)0TgxPTJ$b z-`mHXnr7nmf8L~j;LoPn6bqJ>r@r~b50=5WLPCE+_U2SuqnHrO>6y0!T{P*wu?!uv zC|DC(l^Z-yX*oQ8ORH|-a&o84CtcpeyBp;tXYFZKmI?9y?I&L>~BfVPaEa78s9v{ z&{e#VS*GM*>S~$V{zt&-T)|f7mv=A3563FvS|5KOGC1|X4cGo{{zI9&{V zEg)5{kk*;nYnv;ih6i3qfYmKsuaV9q%Rk(ai$aZ9IuGj|Rd0yD*0yHX;Xj?v899cs zy+Su$HVB($4~K4`+ItMu5UgDWnnCEsdSU^L~+ z<-!Bg-%o~U$K5C;xhS?dJGNNeP?C_DDbHXmsP65*{=so(L{spjfmbQ3b)DzJR9Edd zUXfB)TQlM-Qid31qnW8@lb2kc^&5V#Jdi18elAWF`q8{3fA&on7hgFrSWo`eS5Nle znYVJs_{~cc;Usxn%3x`28Z2X;J1nHsg>sxS1=j5%b6UCTOnvn42`$nt8XX!(KMv^Z zGuEiu*YCNnWyblS!iufNL8QL3CROW?XMcS>ZpLbQ&@!GkRpPVq3;jq5#rX`Sak;S* zm!ZLG8rt?Kmeg~Hpb`=ueD<}S-CTYC+!Gn}VdSb=jr-b9wu0-V^z?DMPi|gP*xY}z z&Uya!X{U6zzHxjZ*}$Sz-GbHhh##Wrxzp08`pcf#4yDl2_Q2qzz^Ju`tQFPn)$U3T zFY6wNNDBV>d5_=398o(jS{@tTydnHExAd>##JBtqG`fhM+|joh^AMX=^iA}lNaG}t2ZPqlpg1+ zQ8^7FPb&S$*}fFG*f9%a#=a`%Q6NY&u5u`}FwQsMT!qIRmDhZ!9Rlx$# zcF@nH%_VG@=YspYo9w}17?>MUt)h=cN9igyT7>D5B0B{F<)@<=%n_2ys!EqT%5O0t zn%4}d5!TA^MA}#{H=OO&AJ5*G7n{tTmt<88L}jZiXH(<@UwPwP3$BwSGj$(%;tF$x zM4peb<6xln?%Z(|Hf3+;v@6U$_-r4+ke_#l;OHB|FbAuY^;x_&v7p;Bn?=(pe)R-5 z087IGdBgKpb&v50_bw76;8o$Okgl(-YVZ^$TMjlv(*c73F?n?TsjsJSSENXlWDi!w zqF;Q)Z4ksP$~t*h!dlNX)BNF%dV(rd0xn8E(^4U&S@5`Q7d3(;Ns_dnryN=W&edh_y2vjJa$UU5o3?>OuL9Wzs|cNqY@}n8|;8_!*L>` zi5gXJ=4qYR16qp`i6$%wr7U|4HFgd@@j&!a)EOzVVRIGe@Dl*V6{Twx9c8VIb;EF% zEM3I3dy_1JP<=PYN1N&{>40H2R}eny?=agomQo|1>+H&sK*7^iojKeus_v?G7e1A9 zEeOwDgt5$mK5dh+WN9tO6gCGnK-v*_8|WkAE%NZx*VDLIM5zBVHU%Cti8%I_bi;8O z#mRUZQaV5}{7d0bHuQYJgcY&wo9z|EnliK5w;YkUj3&<1WI$RetLdI-nhSDpuw*_V zz872x<3e~9OTqyIG5qCX8#kj=w?8dgV543uUL_^;O0{Pr?w(>Fw>j6_875vLx=Rc&5F))>@ zq}^*VE|yJU7yI{#+YmLRbdDL7hO?ks&_}A}@fa>l;Sr?WV4I&Ew7oC#K_)>j8V-KM zoIe*8`b5IDplmg=4q{R0d5;3_g_n>%s;VP$dUP5NDWXwzUlA4M9+S3Ix8A1R zi;&oqzOjqve)s}{mB$d(`IoJg<9nGr03rFY=MpTzShS=a@x6-s1{QIVB)Qm*Ck7nG z>08LgfE0O{XtL?)39;T#wSTC~E~>a}%~?ngz+$-FGWa-n3?hkp7~=pWD!1i$AXU8D*te;!_fNa zS$SylYO{(q*g}#dTUE;E`kd+oyV+ml;;FS7Rh6%jT$pWn&0zXi;%m(r8_f<5gNghG z5bO#X6j3@Ovd!D;$RxFg|093PNF*8uNRbk?^I&2~DnLtItdOYeVlRQcASs)I{y{fM z2f^5D@z{Z`LnHmM#Rd|P1Ti}Ct_4oSqSbC7S3R8nQwo(DRE%D2z)>b(MHGa2plSgP zpu)inuyC0KM@q1oR8eH8gD%3td88GLB(;rlY>GzJZhxZ|JqjHLcj9~F=gaJ0S`~uc zFlHd$J&;SjzC^La#)6Z?6%#~0gl|8);F=q8weWhgh|0yXRAEw7gzWOq(u%Nc8D(L5 z26T4;!Tutt(rY#m)j$MYZS3yv`gvN$+z&w^BF6U2|h$is3 zQ=oP%O5A|Xq7^alHd-4eA*UyDi;m_IeK#p(A-QsdXndWvc`2^V46R6_jXe)8M$o?RR*EMjyK{M()HH^nh9h&ZLuH2pk% z7}Ywon(sA5Ar0^WHLz_SrKCPd!-*#YF%BG%g0d6mSF@E644+E9W@)%02C>2$?gg+i zxEL=$4dPj`i@@k!JOFQ8(d*FKJ+ugmPf*-~?EjUe3gLaxmlY1CMztm;Qj!Yk(o}In zB~S==4Zy)HGi-<~Wym_>pVIWoZ8@Zis0t#j7r#A(;516JBqSB$dzbD4?}_cuMc_DI z6i>i_5h@L3&G?-J-2d~>X*r+gk#*j<4}hpg%7A4RNel|wW{*LYw|){4OvBAwvsQ-h zP>Qes2px+-p~Ew9AU=dyaI5K}wpRN$$p%p2E(|~)!UhAT7Hlpzg?$+R(;i{98ASO5 z!sW5BU%m+_7F!-<4xlDuf1X`IIJp{@D&rHn2wo+qn5Un5zudvzG%%8-stQHM_+9*s x9^6YIFboZ!mBmR-uwGxp&2fAXJ#6?xF81@a(O)UO!xHdkzRz;+Q&ZZ-{{knm(iZ># diff --git a/doc/8-advanced/piano.png b/doc/8-advanced/piano.png index 9bf53cf6098eb9b0cd1ea2d647a8cb918e96c1ed..ee04dd4425e3a9a10453663f4ee81c8083cce3e4 100644 GIT binary patch delta 62107 zcmYg%Wmr{R7cJclN4k+N0g-N`JEThlDMmIe6o zD3#T!Fg{w^{$8E3cwA{ll!XW;8JZH|wYd|lmD9oNv0uNWCf^7d;$5#X z`{28*K0JG#_`|)q!<1CH$p(KUo8;}0XRt(kW_!kb6&SyOiLY1A{W>r{eN+`dd@h&< zTlG0mrGiy-9`#5Mz}49XR)0DTHMpcDF@azmFxoKE)8um`=LNWzd_N&CyO{5J-{zSg z>0F8N#~&&Kr*a~m`)msE7I2HEz!(?8;|W#`yum2)Z(se;Q%&fJKm_KY{+{9+sPhn5 z3)VQ}MjQ}6r}@TM@)Su}o(?#*Ut^XBb;I{oT-*K;_H<%%Nr810FWMuHLQ&09rw1uB ziZHIRR$lKJu;==wsz-$&QGoun&y`u6Fiz#ys|ezJ12U3U((o^>2r0LVoz!{{jRf;q z^hRt&m2oYCiXL=(-Q1i)$F|#V@Z*{DDay2n0t6~zmq!Ft-`F{^HJqXJB4ILZ0WQvX zqD7gQ)$g7T*xyvBLPOd*>zi(;Cj2EAe=$|-;4``?l;?^%O>1j`m|a(-Ec)4t+S;h? zTod(%%p`Tw30eQ<9!u3klx5V2HhO7E{y3B<6qM+4{6p;o+ql>#wu@R5@Q}579t?>?@KLP{dr3nXFUu{9_w&91@Mj%tfQ<;j4H6!N zIr;?9a4(UlM#JPnLKa~(O2TOR5Pl5*d{Pnf+D;9 z?xxrYVi0*IpI$;`92@d#72%}a8U!trkH-cBWPK2gKveL*;*7UCm0M0P4^6yd0n>r( zq_AJar}4LLq$Milg0)S8no%Hu!L_Xx@*@ciu zJH*MP7@hGLK${S+sOL3$k*O1#)arY1pz3-=cBR~lxjnUG$B*|Ml2ON}AqDf=&uwcv6H zN$5dmIglU!XtoWpw|JBnnPUsS=mqLOsNQ}1SGXbyNWA~wVU3q~K=yf5gnxDTUzE0S z&*kbJOdHCKmeTNO`w)S=01@DH+YT{e45pWGAnfR^_*YPl+^N9-sQlu4w5mk_1&G_} zOx$HBBkusE8oqo)CiBMLOgC2Am!t-LvKF6PU1@&JN0$wtLkn}}e zFBD=fxOl0ckGceAk(ZT|DC0jKhL8N`*Dv(>hu=)t-x4jUNI)u4NCARq?4=iIc>nt! z+oYmZv3YZ00-Rpl6JprwfAQuip$AR86yZOlfQUBx`*uj!l+Uq$ne-1R%f2WKNO;nc z1nues02S!P{Qd<#<3&jdfjlaN5u@nERQ_M+mM_+y=kx!g0C77=KMcvgA&36kiw*zh zJo|s$QpTS@1Q22D3;KUaeUg5u4^PSTKeu_Yggm!SNGx<9M2dfMjzZyDgeiLAG&&lj z*EB4uP?Tp36aI?%5($JAR$MP$92*<*qfplWtBI=dBXTYbEku@?ZZj`u)clAb#pNmS zQb)s9wFfRPm@dXXtUm1QHs6RlKwkJy%4qvN8-MizQ*;{Q!sCb9yt|X3Bv1!}Nbm)s z(uiaDkRO3Tl%QO(2lC1nTSak^Y$+}tG{t#EKNS3Nq#e?yzFhe)*LX;1n@XGFMRPY` z;cl4N!QA3scGF(!KoB@r48jKxbb!+^gk`ze??f2Cji#>53xUj*9{xnS-2j+BGu73z z80ogX9@7{JxQjoBiD|M&@(?Tw zpMct7c$9{0gs1VNe`fUmBgQFq3_^QjwN|Iu+BfH%k(+jc-5I6RB( z1dMaLKGUV~z0=cd)uH0=S4v%Z__IF8*CH`^9ir2|^WYQWv@R-HYZ?62wva)QWcVqV zDhMC$QSFPbHR+q-TI6>weFDJl_H@lRPy-e1mb0)o{NOH0}S(&Xf0fApdK z5>7c$JT>YrD^5UZ;;^{}OrFO|1;PDhkM%==5nkL-VnwAmriU_tPrsXvOl0>KvGxU> z-?_Zb(e2>gw^5ykI#7z#qp$of4U>aG61B41X(sCRX7PfWPw_{c+4a)MrwRc2!jL-m zt7>fD@9KC1kX6U2N{ECrTWd?$SzoVD)GF_yNf&SV0YwzaBl)v45-L&+z8uyXE7Rt0FDq%MY z8%_^x5<^Pi^f9Q}U~rEts@g(D0v={Q8xL9jd1uQ&8icD3#hdOFdj@cPV__4-hh$<{ePnTox}Nl8 zjCzaN&-;3KKYNv3(!U_7uo#Mw%R)KJ3X;rARqdKyvQYn$s+`9H3NnVhh<@j-m-WL^ z4xXU8qC&*xp&V#04Y1KZvVC?xnI=qT3)dZ}v)-=D=~tYmxa{a(2=L7iUEmG`FKY|S zr?;I;hF+xJ2rU9@CziNA7%QohOzi2Axjxh%#hbB5e|LeY#O3K zO|kT9U&w@A1D7(i+Wd+RPpK~YM#;Ts1Ea1)0%X{Ij|3>aJ#7S%S!oppI=GHkE_~H+ zv2h-Kf67HN1-coZZAFw6pc2=ZeeQg#%)a%bYRmNFfwjU~a2FL%u5-vQ7sA@LeLaEC zD9X_4pOmvi;d4bLH7eXJ{!2b0f?;< z%N=7VeCDw{ieY!*D2vbOZv!qr4>nL(6@03mp0~wlIrz0Io$ler4R{xahbEOllOhVOh_38?tIr>GH}5{fHHSIxNgrbxt#o)y{>= zn9_8WVKR5$${MPJ>*Ic?NEAzQhr}$aIXB0-5j>`kf9^bb`|kU^<&;2l;1~%@b>>6@ zFI4dj3(6Z*IbF?^B?nlfs9eDEJ*%!=`8R-r^j#9x{f-ULeh7foqcRLlb-vQ;eJR_7 zb%Ag4%`wOrSK{7txEU!atHJ|%YJQr1i<)e{nAJWbsX3)c+iEdZyADvG7In`25?ueg zWN4vo(@g{W>)M*IqeBD;z)J;{UH0SYz9X>i&Swq=qjx99rFOm2%kAto^T~cvkj7w; ztU^*TZt&CyfJ^N-F|*;M;RuV9?^nB-ywGP64z(SxW7d9#XEN05dc1WfTyu~`s z|AyOrhzF4irgS0F{b9u0`Riwzg-N@s0=KrM=UoajwMyxgA6(P*R%*6; zoEIv!Jd&c7GrL)4j9Ohnn7ZBF{fBh}Tr8u?qMoA0q8U=O(0^!5NG`RS$y&_|Z3&c< zfA7K} z+9}_#k2DLh_eQr=f9{Byzk@eFIhhTE?@;i@{Hb(`x5)vo=8`VJX*wr=DRF+aLH;}9 zq;WWTL-ebvR-Hq$mJ74US`#x7jBdi!@sURnOzzTD9;wBtL0q?yt+5di z;Bt`!lyN99Lm=&`xEFX$6q@Enb>xIH{Q4A5B`}9};L(nK^^+_y_n?5WN{Al{#YH!z zyfhjM2L(oMKr*Pkn8- zvx%y#yE_c_Xt8I(_18p>O6V(lC^O^^WfGUxww|6X8eyiogCptDBRU!y@0Th>{>-{IXX{dWCkm)9Oa5eKjC>PUkLF@67iYTC1%mmcCx^`e%{;F z(+AjP}bhDY$F+zB&* zRiN#4G$s6wSkS?1^{KObF-CQkCx9$%q4A-?dDo+lDCp)5%zM+-B&rNH`{Rcc z>p}RnwXNV9%Q@i?oP1Q|*XG~w>drnhHC+-o`(?t?V<{dk6jA=vXHBQCvp^(X%?#BPl$62z7s_btusZ`x^X!TE(Vgm{T5J0RkIME=>k6DmPQA{CUfa7gex0KI~m`D|iF^@q*3imEnEt83G z%DYmgKiN^ILVFWd0o6!^lkd4Y9;?lv842KXuw~4Inp-aM1mI56tSDRSci+g{Jk$6qDOvQX?rL!`8`u+j5su`<<9okJ>TKv(UcM4t;v9h}^_tw8*vc0(VPADPP<)@Y< zwJ)mmp*9P;7v0d9FuvDgbnNNbrx$r=9m0({e!yea=&q~PC!KJ-Z zJT9G_QFWHeU1k0@{nu3ud)aZ`uzUi%YkJ%a9LcNDE1GerT%rs7&0+j0iRPaSIc-av zXCz+d6Ddu{#2@;I07!T6cOr2-&g{`5&p{Fb#pq3jU$<@Vp3pO6d_AmW+0>y_bQqz; zBx;IVVa@iQ1}bCiWoKEp5c#9S<xG!9vxtC6J>dzx*X4;yTombU{pfIyj(gYRnvDSp z%BiR|fPB%TAzZTmm#KU&BhcX6V4|AQa?h_5$pTGL)_^g6n+1JwC{ZC&wDtR-a)D*Yj z>QO;zF?{Q>ntL^HMf}aT5*w#GBIq2R@@SN5;X-?c_f-i$cT_byML~doj_e+ri7>~#nw_lnsoE&CyBpTC_1*Oz1odwE=oe(QWB&KTPm} z@F=RYDv%bCC=`#fRGRgCTOpuH3xg^~*O4Lfb@+}KEeqj|@%?*RcZAe6L&@nca+j&L z0LIVV!}pY~wU)?n3@RYLfezWspB!dN((BtCOKaP%%3QK!{Q_>ClgP+}^l$ydyr({~ z;Jnu??(eV{%wJ%rF*#!wahbOkyp&slcJ8rJqvZVp4OhG?OJk3SJ=0y`ZoR}&OGCL- ztkWs1FR7=b4yycbc4mW7{|#vJ0^l%!turufH7<@0Wt#+P0oL|Vy`7klJyqnbMlJH- z#ZXskF0y)eKxY#{CfeaUCf3Xt&W8k*QB<8ZqPO@WIVt6ugg##@mXXarly93^cN@VV zRWe}Z$w!MUx00tzB#Bc*6O?3tLpNVxaWkiErs$XIxHsZv#31N}!+lx)0?=T;qQDUT z5qyIFh!*8M4gt(A>HNxA`4nD2S`@gsd#8+Q&pbJjFp zZJiB)|4uai2O|~A$O5!aQ5Hoh*<@D+HelJj(rlV=CQZr5ll1-BV;bl-S_I4p2>vEHG&ND|$4EBuKT4xaur$qgT{ z4gM4oS4qKSF024xA!{bglSdP<9EF}=jBR{Sa$=ev9VlVNcKLp&&Ip6#8Ev+4xcD>g zz@nYb%@BDq0ug_Je9z4O+aGsR9(#_+qLind*eW}GZ771YnBQs0l)0;`0|D%%nf4i} zf~>fVP_)97lw}nIQcQeR>$prAse!LxjDjj0|2*R%^kcOEM@jQ|7$jUQxZt0SOIb$0 z;Dx?Kvb1@*JkWb%k0rC{_MG>2cVWrIB{0jD>dU1YW%P$Ez)yU93s;f+M4EsiXALhh zt<-9{9Z1~+OQvouR7dIS&9;lT{QmiX+vfcP@<%cnkZ@NwLj%Du$o}Mjx8kxMcuY}n z*Bay$xomm|OmC5H_Z*n0cY%bFg3rC55QpcQ>{~8d1C4)=qR-FKLI3I$7goXYBW;_H zr2o3tFZcfZRd(W9H@*gA(zlr>Q3*=O^5(*Yq1tveL|O1)E{h<`&&u}D7T`_*il(AZ zmpf0jt#%Cxnf9H{{KJ|@d$gJ0p?T|KGPhxE%~%@H4(+bU&Y57tbgQDJ z7RHRWlTxzcxT!iz-n{kmAVyi(v??^26<{UkD!uQ(?0ic~;k%BM#~sp=9fwc1%b47u zED{AMTAxjlQq?6+fEG^`PTjo=xL`~@m7%38D1AaDe>t0};zf;(jj5${Ku7!itk{S= znmksbocC{9LjEq#O{}Hv(M!3nMj9i*T*opcaruf%qUrS?zAO3In!};~kUU~yac`Fz zUmZsIEmjuSa3UE6qC~ZGBI)CTAbVPcFEY)5rl1aebOMWrouVXb(|U~&ei$b&X_+gjPQQ03bJhJ`SnZ6E4opp+YKUD zIimgupdVa?4Hb}St4VqOR4!$4mS`_Ljr_QmaWd6Vaw-EWCAPIY`;FAqZ@L8Ysc0#n zSjE?BdQCU#OU(8z+wf7u_Rg!eBc#(2a#{FQ9GKII^YUD5;x`guNc+fZxD>w@P80Hm ztbcC7QbRrCSq#gGVWv{WLmA@RnGE5tLKcdm!_OvF{1Q-brI2;$ty_e1#rBt@rABN# zLXmT6yzz`Cq!v~1rFjYYICv5hxo!~wC}lD^TKvH$!MgS!%}N0A^G!jfzz7awf6APO z7{*jJ;oC>HkSt1!;8D!NAY(%gCQn!5K6SLfAHlye@eqU8bBtR>J?7mo4b+;2E&J0k zYmn1N;#MB6EGKR%wN2^J+3%B9O!^EMUZ7FdKmZY`|6Q8ol6VWj$qNp+j@7RH6*9a9 z!x~FhsTERuo_7XB>nx>{e9h*LhXX5CjS}l^GSO2&)oRD`aok-84t<++jPfUWtih8B zH(iOX7GCL>%E!Vyst6YmVj`^lRXlo?xDmu;W$j-iuaV|3sIa$d{fQd46;Y;Kk{t?{ z{tV0#UdF;9`Uis)g`5}&jN)^aqQ;n8(|pU7S$2_}L(io)H9qu#P5K^2G{*>y$X9LT3ja${Un-NbzF4 zkKkxg!Sst2^INUKeN=IBbsB0>qffe2Nl)|E$a+DHRM9BU3W`7g=F0E$Urf)hCE`K? zqo`Io&w3axT}?SGv`-GAW~;PYUya0v( z|E@poFOUR41|ykUD8Zf*!IrzWZ?B@3HtW_*_tmgM8XG3_dhqF=;U^kKUxA9vk55)w zB&(hl@ZFF0jZQHYfM9n-OdH+jLpYw%nEj{3>_uh7hcd z>f1#$>&&%1p1aEOKDsed;0BrS^q+cP?zl8QQD6t7JTER? zKOPINa(-h?C==X{9}jO%pvP5Jwo6dY^_TSDBhgmTEK(sV$q^mexuR9v3w$qv;8SZA z+w@)k+xYw?(B-M9pxv0+fD$a{ivk&ZslBfGzhf`)W?_A!TXLL7fS;7-^`mqCo5WI| z1`tnKuK&mqY3*V~^6Z|@6+t(JE?)95)*xx{bykq~Tp;1BA09QUZeMx(;}uUS6QPBi zf9XA+_Tl+xz+yt^ou=GKe{L#cC0%#m3JYfGIaWYwcU10oDCo^m+}rwyLUk(K(Z-Tt z+U=;+fb5vhjpk#T090gHPSvE;t>2ayI;E5%he~bI!0%=-^RFB#%Sw}pPd9_AhSZcf zQ0~Vr-Yr zhz5QY#3cDfN98L-8!rI-?IQo}c8U9jph%n9T>%sX+>bIyw?l8g=b2gK+KXcM!s7FO z$6UnwrE!fa3677bd59fCz*jret2{jPH7=87)x1LLkI3lT7W$qH8F!PdEZhs%sc2$_ za8B@!i(oz9(zkQoeg#fIY~6_`6w_q|QyNr7KQ!F$l z#amzzd@Lxem8|RDJFnXlAHtV-LvH4-7`RLdxAI;!stvz|O%F=`8V=OOmLX9AS^tUM z);XAM3q$>^)#YEAs3Tyb^Mo;0gjG)wm5y;*j$!yO(@$0ZO8wVn3z0T4!Xy8DfsZaK zCOLz*R*8-emdy%JapVTl0bF^GsdOkkgq$yncOHJ{Jlm1u{J%joYg9BA#Zbpe2|0m> zJ!hPFzu`(kV(at3tOAd=A)(v$J9N#=)OMFtjY_FJwHuqHq+e_)6t5fV_dhf{cGu9v z+F#rTPpJpTYO!)}jkofO!sm?X)gI1Os=bvhnZmsM+~%@h#{PzVACMa~3p|}@>6XOC zK5%YR^#Mbc8jr#)to(_^q_edc7~~*p&uMB1DZU_{R6bgIo(?b?i9?%Mw4Y3{o~KuR z;0}U7Tq*`V)cM6AW|TAgO@<}g>slj!sN+pKMb@#UiL(d(%79dew6CPWExNRBmC5&`K$tk9e{b z{`VndD87|^^U4|_ppy-Os!@=M!&&wbu-$zhn_tfRnD`M`uK_=CI?pt&R~1OH>WOQ) zloVMjdoNj|tyXVrra_RRBoYwZ8|{^IhLPo&N~=J&{K~c`cwQuIH_CwM@s*lNKIG z$p{zUGXYVHnrkygpJxx)rxWRJSAPH#vS?tE=Mzt*&EExOLQc1zc`{9=tJ4mq+pPCE zPs+6u)htB(G3aLh&ca`St8?QWEd2=inpA@$JX!l%d+Kg#sjiX4d?~4Y?jjcY-27u8 zXS6U^{znS4IcknHM-zTq{;=7`(-GFLSLH3|h5};CYCGBQpX{Z0V2xXx z0v}*sKNWvaLdquCNZWhcGLkZ_lKphkmn`|(TEQN5+`K5%{5tGe4+}>f%~L?IGz&PZ zm@^<=6fDb*se7yrX0uvFJ1Wg7>f!?c2R#dsc2C7^A^tk@w|sl*i>)$*}S1bV?z)- z;n2{P$ZQSp5F0-AYGSB!@CK@JUJJe5-Yi-&u1HhZMf=A2}W6i35IOPrUWx=Lll zF?d2*QmLCEKHQx(BmPAb?>%U_6TFWtGYlTv6T8%GeAtrNpY~w~QGbmo4 z%*24$A^VI*31EvS`cm@?VH5!QDlIZMY~Sd)FASWynTB535z6{%#4UUEBd4#+E2u6h z!A0$_Cv;KXZdpwjO~zQ3I@|`1!HH0@@56 z!i+gFq>6Dzp~6)cat=VurxknPng6C|0*#as-c zs0i!xtzE)Zx=o(?G^a;xxjilwmZ2-`G zUJu+YA_Jpx(5Xp=CYGM>%XONi9GIkNYgsaY95d?X)@7hXKLZzoj$H%tb(-B z?w~}=4aY2VMQQId zu=U`Vi8g!!+3$%*4-j%CP9cdyGL9;%RC%RUOO)|xP_p^ZyQb{|jF))e(4ySwD73M>H z+{4rN+A97Trrh)$S=S0G$Nu10bHCS|meEV|ZjKt!0T?Z?Wj>s%%9ZC$F8vGj5ybDB z65Nfd%`VFg1cSTJZ^avA{L|`5z;ig?b8W3S1(<$ydyE<*P+6!1NhEoRScw4oAWEs| zlGu~bNF|=HeC;ED#@hL9(@LSChz+5*>26P+252B(d00dY@(ob?k`i=iUdJ$Pw4X|f z;ZVllKB|#K&|bbi?r>$)E=f4Pp>h-Zrg#i+lRaLglcxqBdo5Wjo(l7Q6wLttz|l*7 zxU6=BNv}WHN-lGh%YAK~Qt}j^^=xTl)Q5s9&{)`Cc{pa&si=nj57ze&i;P843o8H(WO#p4) z6;(0qm#yQX<7h7|lkiwTNKHg9YIqTQr3aVE%wSLK(ayp1 zF5`P)H=VIrbeuL=y`n9z9D45{bCN<8y}Ln0v+hAGLywA@dtk>q`Ym!B!xX2nB7Wy5 zFFF(?r&nH zbVQSBslP)bolMCO`ES`P*Cs&&ctYj$bU4&9{*rAsHovVIHs zLQKXtJMHN~+eQW}%LMV+#l8L>7%-10@ExizlNCbYpC(oFcVYe8EOL`00(h zWQyr+IKP!|dwQND%4vwpuMg6VwfCmt)5IDb!34BHsfijA*#Obph62-3r2VZGm)J_gMT^=4C=2 zbT>CKvFx!!-Soz%AAC>z&gAc07f?=jR~kQkz#4N;JV-m(_DC<6G|7u zTbjNJFPJ2ObQk$Ofrn*6x2kJz&=3wXa}$;0!%Xdi?>N#you~vxbhTr>{fFQC?e5zc ztog_(62QcE&K+uft~0@F37gfk*Qg?X@Qgibk>@bINc#tdB+XHjoAi~|+uZ52yQbOi zEoQ!Kr5|}10g%lKM~<1{Z+m*IjWzuW7O+oDox*`*^@pcasc-TU+vcG9?@R6<-5jp# zEpM2U2uTzRoJxh(#su>~<2u1R%D^(phEnP;SanW%bz<%>#PwTIwP!oD z;!Bki(XrADnSajqij<-z^}?5@&5{+u{z?kA_Rb)`hIdQ3DQE*x!DN;gxiP z`&VXq2JF?>T{UI|V@Vh7e!&OZN%*98`@{A4hlcOj&2TG%TvG3nlbHy3*tjGhQ_dwzwcv~~xjy@%8N_YrLW{`%Wlw;v{*=oaM zWE%4O6eNuLzJ8d7<9HM*Sc>mZnEd$jGQym}DPnMD6u%7Ka5e_+W0vwc2(~ zy8%yeP^s4G1PgOw$1fd>LEsKz)ClY_w{_^vFcn$Ya_(T0uWli?2=7OYvMR49Q z_^Vd&xN&+;Rg0^4K@D9iu;_JJVA>>vkYK-W`@8*dI@0s@X>11n?#vf$OB;z|@|oy2 z=k@QJ4tw4`GF=rJgC1f!*{l+<8ZQ;`d;Moio5G-mG2Ssku zO%a!N^5a3Q~b@Xg# zYR)*#GN62t+6%7&GH057%{Yf)C(m-{jZm(w*@*_U8mpLr%gz*HR*sM%G#MqebK>is=Pq-`^W!AU;5lsQaS7Y#a z6@_ank4K@RUQhTIWPvU6yT5`lSYQ{d=lbV^FrY(VJ6#i5)1Gzr*oi6ZcsRQ1clS5!otI zs^CrEo~EVr%zI6iGP3E4oEFW+ndsthKO61kky1gsGvFqK%U0*Kx;6BTnHUp7UHr}` z<$#PGarasTHpKQ}(i`D1sXm^a5b3*ibHIIe&DA-KzZ1%X?fUKP%57nQ3&5 z7bEd1Vc#wpLlUR=-Vd)&NdGSBxe$BxKC13V!uGDPBaVDqQ5Q?_EL>=mJBuCs0Sw5)`*Kjp{ZU*W z=EH8*g2%-U=}2#FpKG8y-ee(stC2#Xf)~;J?+nj#)C>>3C}#tY4{&oWegx6lPFJAW z=XU@#vj}Wov!nCI3|;X#>#vep5>_cwK0&wh)Q8#|zTg8M`1i?W2#$Gd+u+>%BKknk zK-8XZWuKkLF9dZ>^De#^Wo^PF-X&>5Q+H@XKEhQD^8r<$hun@6Nmt6#~#-9>aeEx9Qf8xgS;MKApxp7dZE{NQ|Y z9r?kQnNTtWgt=wt5omZlG%0{yZ7*Yt0XAe1sCScB@tR>^yh_Y&Qdg@qb33pqcrOmX z$korb+Ha0}Z#8(HP1=q<5n813ATZ2W^bdz}ga->wn_EM%dS=sWpck7Ji&@93Qu;zL09Z*15{cu0iC6FU5y?CnzEKZoRX6X#LfKCp zAt8^-k%$ZGQal||(~(0LI}2WBim3wLOzN#|F6&ew>Xh$UD#MJ+;)ge)B6(Ea>bh;Y zsUL}lqY)V?rMhob-UyfQ{~XhQYMk1|!o?nLWPZjRtT7;ERmx~QZFU4i>nGm3?l`0_ zK!tpAV45d*SO9G84fnx1Z$k!B1Roik;sX0JJ|QF|?{2RAw4*to|8;T{R2d3TE>A%L za8^4tJrU}8g%ad*3Qih-9dd10I( z=aZ%Pp5{9o(~Gt?q$f^xe}MaxM7EQ{TSCUk{*S3$HVjo17sK(CYVy}voA7;1DROEo zWyAJrO#Esb#NTL}*Oej^$v8Jvc@SajQu0)KDna&Ru&pDC=TC^peK$$!5>~TJWk2S>lW+Z= zY<2v7`c2rC))EKJXZcC@n7Bx~&qf<6CFw3hHPltnrT{-7%+=$_puS5!a!V_=Q1_h7 zHMT*(oi7m3)!QCLCqQ7v2RBxfiA6JBFrO9_L5~O+yvoEFy@)oeSNtVLxy)jh$56N- z30HGU=zpWh{xuw<*iBdQz|z!*tuWgEXHI1(Y?s_|Xx%%$^ihE5;8hdnB*$iIa`+W3 z-Pz7(ysL^bO2U537Vh_Q%!D%pZEcN&gnJVL3Vq8LT*2K}!$I7(>G`frqv5 zP%PGRfnhM0Y}gckWKLA?r}z|RcctEk2xyo#S0^M5nDP#QU4A64_zwNRc^WA#9z+^r zcBHqBH)<&s0x<%~8pNx?Ojf!yf1=tjJWE7R(Z=2S$TE9t*7r)5vJFw7RO3Q)zDQL;;4pip{c@W&Pi6` zG#3e(7&d#7GZoVdm^(96XyGs~*H(U9H)M}SAja(-)wBXoUny6xzbVEB{~xaYIxed3 z`vXRY5(JeHLZE(PEZ%zdH zaBjwV{*;-|dA)CD5y4~>vWNQJ`C$#br+MOm;}(%JiXiN;VG6N~a3Eg%R$x+i@NY_Q z?Jo92n9uQRP3(@OgUY$lS1?i2;7Fly+o8)XnoU-Dz$P+~C$s*E#HbM?#QSfrM)BY? zBw#jV`^Dv>;;Wh>^~YioC3)MyO%8;Ib%sqg)OyP$S>X2DQ?y(WZQGCmzev#1eBh=k z@$@^fx3gZNGzjtb2RT!7a`I8B$nr8)AJRVzIIh1iCZe%Ay>2F zqWP9yz{R0ISxj!b#2R!lp+Ml)Az2^WV+gKASh=S{h6+rHRcsyi^-`eH`F$Cit`OiK zDAu4G5iw@uQWYbRzqj)>oAIyIzO{XJ>!0t{cf4|_Yr^`De3uU2jRa<6%kSLkW6c=k zUfNO74}X$D_IK(Xx0Q09Eu)>i)Iy&3{d; zx-(dBKEC3W#oMo>>cLTXhJ?|pc58r2%&MHfKG`1(_5rpJZBp4)nccW;_vDcJwGf#I zTg93ccz)m#v;@^wZuE)oZ&G~$`;?{?VT~fXdtmsM)nT?jhipE(l!}Bry((wTwIzT@ zr+e|D$Gs!@%F1XW&n=<}1bDEoDO`=$tN?Tr6s7No>F_IlUWGW>6EZIOc- ze(L7E8UcLIa<{J>1u`=GC!HYig+L)lJEr*tRgeJaj~0~X)8cJ{C2Z})MeHfGo1naK(Gc=(4GXxg{u5r7&2KHNs<+uA3xc+udUA}+ab-_b3$l*PaKC#bpAaM;C_s)fuK(%R z8ROxA^$bc2EQ9yvo(`*_imTPo-))z{6M`Vj73uvEegEhog%0e%Homtf_V;8!QD)WD z>ZP9W~Gf-zr8Vl09GGp{o3MlkemP{CWG$_Yyf zcbs{^=!#CP+-Y%Er|Akk20aB!NbYFnZc}_VVe@>auE6V=l80jL(m3Rge=A6lTPAO; z4Ui+;eljRw6IK7YFQ^51dMS4WGns@A!_Ra&kVTZ-*ulkA)~wL!10ADk2Ch{ib_X*gdVZ1?d-x@6Br$Xfmq?e2?nV2oz1I zZ256!u(69b!P`vP6-DGvMsW4hnwM!8+d&hrdCBDmcp9%QSxEtYk5Tfa zp5|63CfT#5a(M~Sl(R2|zg2FgcXO|s=i_^O3mRy7@w35aKXuQp zT!h!*vDGJnLw@||f{j&o>PuGDmOzC*_Sd75o`g%fWLskcZ6fka*kLX%SStk}yP6uf zjx-B**@q{bg)U%uV6pT!e_yy41N13NjBi@-3%g(BS&_}vJIFqG?hsNTq9$XzA~R|t zr!B~ndL}nhe|lP50|nsTr-MSuL1US_=1+JFq8(r?oylO(02U^WwKt**GM6slIyRq0 zu(J&z(@WeC6f0tg15cud9Q+5KNR2QU5V5h@jmSM>##?)2D>|sA8W0 z(T-Qfx?~C0%bm!@Dm&>os>+e%yYX8nL;0|=7rdTmL9H0FBVYRhB^|DC1^uXu35;)r z#Z;7F-F$A!8#IHMy*b-Kf1({z{`Z{W^9oj+1F+cS3hM1n&k)!k`yvKoY4qyk?=z_1 zQV9&OpEI2JlePtV$Qf6Vt+2=7t1?s#mR{g`T7_U^9F0oLd$mZ$h9LYh?9&I@nBSDm zxcbE0{-WxThbT;_uBI}RC4&$Gr1Svvxz-LBef_C666kClb>3w3POc+N34vB;$*QWxR z=b;0FS{N-1GAiQpT^z*X9*Rgt2hd5-v)yyz*2jxBA-rBNz_huiaiH?MPyG-{pNCBC z&_a*tY-?OLlz3|7q0u7GSSA{Dkbdxh(-=Z*A#C*YK8+srXHf861c-xw?+YLXL9S^i zxZe5j!NZ!%Bka0$k6OHv^F9tM`^u5(OOw5VlKOZBaaWqN~A-qp-ZreKYA# zerd2fXp=SQt3yt$D_En*UAryOQwTak_K;<|{I22KI|7#(xMU|mw5$PC&y?fh6LXnI zx+_of5z4zs-pExIbKwyQyAMY2R4_&+~mng7&Rm%TA)k7uQX|=Q}=+X;tvo;Ct}f>bG7{Z^lUOnrlppul4w-{UqKIVZ07E{i4i zxwKdDoJB>^SLG4{j93r|xvus{`4}zP;Z7FTJZ4jt8h-w9oiXh>fzkMiQVY^1w^JD3 z*k(a>0T;2%EbtW|FZlegYh3dgkU7)UPvSR@_3Oh=&NE6rd?sD_{+|AxMr2MOgSfYHqIb)$BpB z#xhV*GU; zHiR^Kdbz&TEb(G2I+?F}FZ#BVkCn2CqCp%+Ii|C8^k-1-U-|@SW|nJa5JD_Al1vBx zKy1RhY!aEz0$dsAtX8B(9BQP=Ug%#Q6y8b?OD|DN7<>$6qrOv0L6B9n!4ORaq zN<*C*T@nE}PxLLgcg6AiE4UqjiVWU3apRQ@u1oygP2O=TwB@`=#+pyszhWb&cV-Di zwd=W8IDgTKoKm9*x*N4Y$e+H!P?0VmhpZ>*>Ccc3>__D6KwDP|ONyFTfVole(}sUz zo4p4woq>fy7RA*15CF1qLW~*g@8(bb{LR9Ukn!RGzMVQG2+MTJZ^2PKVx&zuG9!g> zVP?JK#h;E_5CelY4R6!Y7rNi5|2GtJJ&+{z8%HipJ;gpRso7t-FEr^dDA>@ai71}x z^$pbOyP68#N6u)hS#&+^H8VjSfc#F+fU#s|@4Wu6_;Y`$ThwtHl1wNA@~(P0wl}YBp#8uu8)B%3y?;3XU?GO8`UEjt+ga$G! zgN~#o_BQ3?ujHtK7fdfGT9U4C)-wWqdAdP^9mjRf>M5H(e3wqY)2#a%onRWC`Egx| zj$I-jc-;M3QDsp5_*d+_GLUS4s5HNjrL_=Jo)H{={n=RkSJJxg$1 zVGj+dzq$^kH+_3=vEOEKVV$|J!+7DP+06|7(an#N(g22r=vxbCfA0|%gr$Xc7#zee zypAm^2%!0%LimC8eKSsJ>hNEPe$=}Xx3$#lMmg0CFcL3-yMS^r4e$MMS~py`dZ@N} zb1Jc^%6PLZiR)p;bd)Ozb&e6g`(eeP(nAr^P^`MEQ=4mRGehbE20IKPb0g@heyYr7 z1{RhvfWp&di*KMlLMV{<10!^wc*UX*)wvJdEjlyu8RFeqPu~W8=`Mk?eDqaRgkIl+ z2hk6lISo{JTCtz|9WBIs!vFF}*kR`<&ANbZYvH(G>?vI&ML?cvS832t|RN zmma7-(_0%S^T_LUqZ|^%3{kZN18~70Y@l1>zpB?7jpPNc%w< zDJuzjdoinm8n3I9dM(#JRhQcxD=jX|*0vRPT<2yZyKU6&v`%((l)mzG&UBQBoE$!u zNM9QnZfDD9FA}sgCvDuua z&yO;ufw2|GI669BV+Xe{hMl-x=?Y22J4sp9tS|GJ9%Vdy@h$P;pF6$0?VY@*Z1^AE zs=znzzfw(o7GcKBG{i$9zHtIeD^lDkX@AJ1OMt#M#v6cOK^y@+D(PyMkbapRzEloG z*Xt7C@cfqryal@{hG5~E;x^^E+4!1_^FfP8u|jemDOX&ppUDqfhL7}GMbv~y($ zuF6%gD*GC*@BpGf-w;+glj+g(fmDHvF(Nc#{k-T;duSjS%m>Rh4K!*zqG@D_W0H+o zSMo(2!?-h}rfN0l04Bx%%jr@O1_U(Fvs?7YvVW0V1%Gldh44Y-weUT_W$lEQ#%~!m zH1SOJRcDisL648ajthHwUu#GY+Pi>dWFXJlw6F1qf4LYBnUc~EUVb3;JrSzW3QjH3 zT|n|>cr8wD8i$pR7sVst>qG21^(|km1@~6JtPUo}3;H(v6M{uNAZ7XTsN8gK*qunQ zOur(=5H$d}+EBijK5P;5$`P=GyanE5llm*Opk57z?O_t}(f-Q$o#G(+9nF`9~QgWR&{X1K{?z5*g^JB3l z|)6mI%!CM3m`qOQyrU1n|<)T_?Ek1@3{RePw(MUtp?5V z?I+l?H$m!8rkT{2s-Os|#Q3F_HU7i=JN}t=A0t#n%Q4~VJ;Nru(CSCe)^xR9U=%-F zVrDm#1@}FXEezQ&iaUqy`#?0c z$A=>sd;3h^fKTOPH^%GdC;D*zCH(wVD_b^V*-y}h^Piq1XpUKxa0w_Jvmc)FJ|>Nl zQJsVq&b~y_wSkqjGz0iqz_@Sq)dI?Lx$_Qnm#_%1%0deeerORfU8Y2cF|vC-h^~xh zvYLgi9>mm6CX>hMjPX}~;~L2F|03V=wD1kLKOc3V0_0n>-MV(FLF~i4htI&QHQ4U? z<;4DV?FI?51E*vl<~$OJb9#?5#CW7j1;#*huF81(Lk9UT!1YRMLQ@JYVEQLAYryR& zIwMyacxu&fhTB0{7!<=#60HmkL`e@bN zr;J1Y6`7VG`HJ^L!iRw^AD1dfNq3iAqMvAMV2M7prygjvIn`k;q+F0L!E*O?jg`GC zt7d5U@{=%udWAo4kU$c2OEc z{+OF6Kf@$yfa|H{UhzqK5MupKGWTF&q>?ApbrkLzzUJp2=kd_n?#--7KX&e}7xC22 zaNA`*%Yzr1vfVrBQX;GahK|R`@h$9+x@MAvzjeG3W9h#qzOk!*AxUb<@N)txRkV1F zK=k9h+cMa7H?JSz;NmRlLEjmI^D`zP>e$a_Ir|+i zIS&r{M4U$%CQ{u`q!HtD^BB;JOvc9RP3rSB?be^lA_kT|)LyyyxfwvsQyJ{W>r2tFf!?n#7@dpT%M1i0LNqWfdgz z8(2btM_vd&i4&~@;iVq)a8-=H)uT`7stqBc{)(;8eV#%Rij@p4jLCh@g;L$< z=6-KKBZ`_0RXIZwm7T*4ju_s0mHb#XpQ#T{E#$?*TVWkE67#ws`^xHLk4QZ1Nx>90 zLofYLq1bc7A4oKjVjzYr?vb|%cMca6|a2 z9S5hJ&zKs|6uf@B^`3Lqye<6SQstp?dD=+gFALdwoD60z@enn+x0-yA#=&!u-y}nJ z<5RowV$H6=opNTr#YcY(E3>t*ad2h(QChEBg!SW7%Ee`|g(OhP>0WHb-eqo3>s%J{ zfbvMV%Kdu`pP|;skXcpv{{OuHx3;!g<$4$i>M{xMr^lS;gXj&|30<>2F2^hO?2nn9 zKPM3{j#;MOPE=Ow_Hq^{!~XwTAqrfu=~|Z#GtHD|Fub3!Zh4ts+zX0mw z*s;ygpTDDhFxBe2DfkaG1}WS()}rXdLd}TS)AX~wz|n}eppYUf$!0X5z>-b<{w3mq zZszxJI6+`GIuP zI6SR4z;iiC2tLoFi3O1`;oD>%1vFP!B6lIth9;2fB>JtH$W@wL(6-U^UsEdMn z-ZtoUhL^Z=*UE-P%yi%d#R~1|@O9KsrOK-&+bZK7TtGYC^58wByZIi@Dnz+Iw_t`! zL{TXjzPbi(oIoqQ!D@rckXdvvCYQM4%(7n=B)LyQe@$)i03ly8uL}=;fw&AO>%^;V zJdzP#^BV)V0@o5&@LaNn^fbed^fbXJJMb<9LjSoO=<#vao>lsB2UXnoCmPEIQz}ZF z*?(jaYb-I)_^>s6Y)$`9+!L`|Gu{0t}b5GoTF9tN8w1PRTa42X! znF@q|8XXO;t;!3|e#$2%i3^bc6Wi`4T@jaM{W1GH(p#G!_{~s#63E*H4o-;}@D-gY zrIQFi7~I=O<_uU_afhO4q~7Y)K5w`H^$CS=An#Y3=d@MOf|&WJE6e5^SM30SCLk#q zj#@f4sM)2V#b}ux768OsaX8uFkpLzLdl9vhD9i%lzd$B;ic*bua0|T&CQ&R2dQ*i{qC7fc-@9#eUTD`gG>8(92Hp^E@C3q{hfQ6M+M=A*XbW>lEQzn@|_JR*X7( z(9+I}u;(kU2jM+O@OMHIa`c#fym_PIN_O?}IqdD=32!55!j*d@a7~`t6m6VS4y*vo zFMs(RLbd;3x!k*^Lf&TmWxX3wdP!)Ym0@K~=>zo*&fKZg`mrZ~r z7}UR(ZD9qlIx+f!Q3Yy`Nm&OU&476*;aY9P`<;*e5c=z)4Lo{fdPGM6-gn&?O8?*l zfheKMF#5880^TZ*X)$UXZ22UZ2x39ltZ=~ALQAj<>_HbBm+-x>xu*Ai!RXh) z8^{V^>=n-YPY!e?2Cn6A1xRCie22H1p|1Lf8DONkHgDqxW70WrpX%hID9@ zn2)ML?0t$dzXyL_DE%}_7Xy!LpiQU4wY@+;v36418e7j?#-g_9<@>1!6?wgbkxM#Q zDy-gTWmiOi2c_CSqp7m@+s$^`P{p2jmT!aSu6E+Bt74$d+V))GThK^>YSa}mpT4k= z>z1Y(xyjS?={VMkiVEI8cal`<1yBB-i+k1^nOe@L_#RfeXw)0b*ZfFSna&uED;S-= z((K!NGV31aM!uP+eFEDZ3q{#47=5vILBX$@vjmNNFFKaxq(ko_5phxT(1tm`ym1+O~J6X2k}p5gC-(T%#>-^v^@f$j12BE>N?fO1C$OQ@lOI=}&UJ5s!Py)dLt@W#{0%tj z`uWS9_}fWuEvL?2xmJSuOM+NYyP18!cmJc5Pkf5P!O$Dy#e3jI(AuAP0n}*n`IBkj z7EAX1@Yk?!`Ja`GxL=yHcQdoJ>*Bgnc;*fsO*pI0saV}V+2`rGB^{?tgBE%n zHx(xQ1Xla;%W9jx$08Mf`1MNS4~77eA-5psbkyk4*%Q^flK9WASIA{?^g%WMYFTAmaM%W0>b| z?Wt`-ho+H_cEz0UMKZfIO2N@>bgEH2&qBW~)P>SVfpj+mkXG3KR%u6*D_`ZY5|~Q) znxxnXpud*rcL*OSO$_>@qN;&CcePKy!4{a~*=cw^pJ7J*3%*{8VLZy1Ey)EVh4ivu zyXk>teKzr5<8%7YeE^Ho)47y2T3;C2a~@H@duD@H@3719dIUC?(4c)44qAJi)4O5% zvLs#SFR*QhH6xyd00uU38)mdxv}iG9EFXsILn&)dhTuong5;y|?Jngmy0Z)i)a{{MG{QCr5P(3|+U>#^fy6-Ta_VN5z1z_121T#Ev+xFnvVf^>nIxCTfgH7bi@xbB{KGKu-VN`pqm1$8G> zh$Th?xKD?A8_mQR!x+eSyB1#L%7$r&@w z2NiGsO+y#C+2k0!KfM6<_IJ2UtF9==h(o0SxlXMzQdf{jJ z*z6^tBjNjH)^pw;R}6z`?&<8la7M3d1B#aDFFI?dTa#lW1p8gHvqm<_8QvFc!Hn!e zi^G=}7m2M8x1Y*ZR*=)6W#v_~Uz1zr6()Y~wMk&nljVg~xU+jaeZK|(h7RY9KrkCe z9+%}kqkmd!z_n~7DEG@#-wwVz7|juY0XR)d70=(ddD#3#teF1cME3M&i;K%rCNat~ zzg!0upU04}FV1VQ$req9We_uF{pb_I{poqN*C>eRv;B4G5RC73|Hsi$21To~8>jZD z9Rv=rg_YA2k_sfrJ0`TUZ2>vVzLjj$J<3VvJdVhV@h;n~cwWrw`H2aG={J4M(AN1x z{yfUR^osnhg=@Y%X?lZ%P@@?@>FBc_IOYqNq89XR`0fWy%jvc2U&O_=U_)Q*y@<*s zNjhF{PamN8p1jUxib(60cO+3;o2v+oOxqsKxm$aOdg6eKus;m=@Mw;j&Su{CZ}fyZ z9bI?c`@nkgSZfcdC5LUjh!%tSd%Q=&ogz%h{GmJ%JSBd`5KN+h_>;U`mpe)U>D6=E zLI6$oX((tVA#HCZXIDHh#*=-!}rwd%eikZZ(gx0Lw?Qy>)SpYdP{ zFU&^H%)Tl~U>^#91=u+$<9{~|4?T5qPp(&W6psCRJJ5z+l1YmM*L?TIAICR?GpPty zgIj6>LD~^#Y-Q?cV;xMw-e}Xt6a|LOvoxV4vt6-u1Ax%(Zswap-$USkEN~C+f>?%R z1ks?Rn$*O38EK7t(jCV%kE_#~s58C!Tiqm_n>jui@bBsmmu1QvNhrRCbos1_2N;n^ z{U}u8phPQP8v%`sF~BNsedTEf8JN2^57!zcat0N zQjs)oK>c<0z=j54$71HiH7W`raGr*~zk>Fm*5$tTR}I2dNMzJ$0|wu5bGe`WyZWxc zu#HRh+BYqajT6Zp{E0D&DdHEeTDJAZL>AjBh1SXI#|76^Fhano(N)uEtSd0{jltsl zr7~%`a2>q@Q#40-Hgcs+_HPkTMUG9EO@AKWDoM)1&0;n63F>V*b;W0Z5A{H`zz*Wb zldnnroQAWW5s8=m6UF-t61UV{i4ijfa2^omj{WNmdUi5Q#Pq$2JaGOQOe!W{ZoQf> zKT`Ee6m!ke-@_c%3in;;E7F_2QET+*m2v+Q2@r}4J0B!w3=#&~z^X?sn$FCcj$7$d zxt^gwB01gkFIU9~`fI-QXRIMZmUSOr%CR?!LMPnMU0G;{L>j)};n)4S8L>(Ja?q z%^bi`)s?gCM^n7cg6;!uuBzJx!?lW@W}g%O-BIY_ybA48IPZRSLpY<)=(ITN4;qi$!2yKbL%s&^Zofv#6@V6WL=Rpp&P;K6WBRYI!FF%CDiy`NI$Ci@x0BY<7YUnx zcSBeoQ%UO_UF>vFIvvXQFDxAJ>74>JD)z&gBzTPKG(G2OK7O%OnBo-z@nE?azruyy z!H&k1y;|PeMzOguINstf%_aszPO9G8CIL@TzkV|HWbVyY`SzMApXoIX!d8x%M2DfXz#Fl$m2c=L|exZYqcPks0r5T4^DJrAO;M@c+i560EI>+oLz(Pd7M8xqbBwBCbWV$MM-_ypv@Pc#U<-k8{kZ^68IDCH~U$!cRuyBBXKsg zp$*E(#*qwP+BT(j>ncBLmv(1jZvRVx<+3+%k!N{&z&qmlPjp~oB8BQEDG|6{>FoRE za)cE!8r&~@dR}#9ZQceCKaJ$4a+yA%nRMRpCJd6pUGr=D)ulOBtSGWep2>;5F_-1) z_3k^cP!;+Vm@bhPenEJx5Rxr@a@U0eIqz#>pKl7oAL%T^>a|f&<}0U(N`}r24N(W(lRuAn-Nxr zKx$$U6A62+nEb&nQQh=FA!qT^9OmtrX}XUy&wo>-)v zNpAP|Xl4DrfA4Q_fQ*_AZL`b>r5Q0o>+jKs%zD(f%RV}mzHlpeZ=CaW<>d})ohB$()s;8DeTovd zcIwyCE-TljEZGsJLuY3IRw^b^!wiK!NJb&y!-e2#yEpc|6qMaq;Hy5cgL$>VS`1I) zjlPuK^r`78#W%KZn1t4ifGG;%+CKXEwq(?dvuJE_Z}73OU%pn#_yw4RJeQBSb1lMK zlo36bgiONipNmFpKeR=HTaAhK(auSS<$hf(Q07&Nl}@t?U~dLydi!X7ZH-c6d6C5z zK702QzPC6VIW^yD+*tZc=S752ucwuKe_?qx+d9uWGLD?#n)oxa%y4fotnfLO89H=H zY9Ok#_agkNo|00OnC>trQgIe)&i25w>2Oj?Wf* zO~xMpFb3KR3FFYfkvuaeESk@2kW#T0PM`ViIZ{FCRAg83E|)~@Bu^A1;^8X$9UZ3% z{o1zp`Nb!|z~kb>3u=RaBTG%PqS*Xoy7{^rfzrF~bR_AFE-?f75lI1$DTac7O+ z_wKe0MBZ_YHQh{1^*Wyc=gZegz+AOYI1o(DB{2=(<9inlPQ)4JKa)0XWEYplrD~3w zLlPj6SU_?;Q9)s>6Jqy)#K}l69m9CMRw$Q0F8+(1ax1@ zf6CJN%xl~|u5(_%%VNHxxMpAFn7j*<`Tk-KTW4R1H1#uneXjQdKMI!rUd4PpT5ljq z7P|UGHecOI#BZy?nKhX6dm;Zc;+{H};hl1wakmv_A%;kp%>?QOn)kCtTU%pEU^w2- zL_lSTbZncp6H_mafen82Nz3Okn_us2{k(CR#6%8uEpk50jy2BHib%gBySM;Fl@=P& zV9Rm~QR_C9M;XYYNM;Z-yI3fo_qrqWDw0M+%3DzAv9tS{wc64?8OG)OI8`B&3i zF?f%T*XPecFS9qA*d-rOjy>-){&1 zrYDiLuu10ghU9B~=S|M^e^ZYzqF0u1u6w;0l0gX8S$~mM6E{SUMtl`{mn-1uci70I zTn`2pEwg;BKkg3w)0gH(C+MWw;LcQ22K;pBNB__uzvJIwMTGh&eRHyh%l<5M%_U}w z7^oCRLVw4tbHn-E&*c=yRw)zaTzmZ=X>>a8V7lnfu=&v{Y2iVqb)EI6vlcbe><|5O z3d#qK(8I+EuNLj2(uGyOURR_L{;88F3`I=$d)!KNiKIoluRZnl9ykOI%KfJ2o)kBs z*BYaAnh;)Q9e>gjM$ItqtLjmn;RE2vW?sU`Ca8DJyr}mgl{rzPTg!0VB#N)CQ=~L! z&2g;T#!B>>0e$os=Epa1EiTK-$|Jsyrn=o%bBWKgbjqHz>E_{XJQM>4z@s=!#2&OK zo94Q{v90DdfTx!-Uyp6R3;bt!@Vl0Fas|(-TJDX|kY*s`h|v?L@@C@!b7}+}XXG#V zOm0^ARO7z@#qdSt2pQx$NUP$(sno8CVUpVxUCM|oq2Q6GMcYv7R51!Q9gDA!b)EPu zYVOcNGBE>$u>?>WukXLPeKSHrhNAoK(20@yJ6Stv{7ME2jgTYN(-;6xbVP?-1z&LcKsh&rG{SeP%+cByVFRTdp9#3JFjIw`!{?as2-%)ghso2w<+Y ze`S?;koZefjJ)lVi7Vh?NAH;ox3KQYd%I8J>4^+(Irz2pv;$eC@aw(2S)nvT^><7^ z|D=EM^OE9|yEneaUl0lfa|)z5BZ!oV0P$IQyGOLC4F|nwtJLYth65Y;m&opw>V*+n z77fp?JT{I+;xUeniYu*CcZ6O<$Mm)uVTax5Mj)FZ$~^kjJ}$`qYyS4L&em-1+FJZ`V6d?aTIwR)DY1oejfr)X!#72fprZ+bibBC z#3T4syIpDKkQPN6Gextk=S|@4$kU-wQ5l4H)o4z#16w{vZu;>4s@XP?B0kz>iD52*#8LmcO@f@>pU!4Z{`JS zn|a6jAM>ttc|*g?W%J@O#BwTloN@P;)3IO*d&idRCGD8icgn{SMZe?olDQ#GBuz9; zZ0bDMjv(RewSl?Yq-nHKME_OYCh-wGc~Hv(om}se4e-$M72y}PSljzuT)?0@QA4jmzq6-T6=ylo$JORKiLC?hoq%e*QC`ku<147Iw028{}S9N8q%bM z2<`BoE{v90P%){0LF4vYW!V|Sod zcTxR^Yoc++g~CBQ=BYdbY~N^)+y8rm1_fosccKAD&VVhuOQa>T8_8_pzIG!oeRc>G zG~tv*XwVEKBYki@dw~0UtQa&aIBETdenlw!YhV40sAdaB&E4j`^Bng?=oV4G940<1 z@2WTCMye~7vIj4{p%EaYQX-b|5Ad#B~@`pu-x38Iw9N!rD zCu^e;R#tgSD8YvCBRqP^1=nTse#3rz(%S<@QbAuQ0k)O?Ed88!B(;hM0UQd87u)r> zcGjGlKHKrie6C|#&k~FfHo@FF56zqUP_oo!SDL#`eXaTTs$R)~($jFV-14bapg&@J z+_lFUL8u^^R``TX^G1!C2+Xf2Til$Y!3?-gYuHDcGPu{HF&Q!A2xWz7jxkYF_+N^; zCK=@eeg;>N6lwj z3)AG&cQ60B3y$Nfvb4(;6_FF)rS)F--{kQrcN)ES4L^p9XVvoN-346D&|N36fbb0& z#=orjo5mU#SJYK-+N`@#Jf_CVjsAdfz>!YD^y6KPUf_Y~`W?_Jj>H`0Gsm~rh|FbR z|5}frdjSaip_ren^Ky>MxCAF8dl5j2?^)ZDJFzHg9*Sy zjj)Xf4E{3~Ceq2NZ1M_yv&F5(L{!DZ93i7_`}>nx)~7bp8L~1MR5*v=nel5uMk?{A zfXR-5;PDL<{QpFP1L>X40J9zvig;rSL3Qk;rR45Uwd8~FXVdDjayl*1e}!2}Qu)q{ zAE;t2tl^Qhf1jayJ@WsxVGaWN`;rdzC=`JGzQjPdHKval$X>r^q$9_wMu?WO^^EoX z_#giJ$J<^R8QG)?Htd}kxcdkIMaDI1jbDHT?R(%BTSp{k!ctMFxA|@(vLY&JtRBmd za+;}5S57MwP}P7Kg_Sf66|hPnZ#a``=4ua?WAVw28^1mXLKG#Zvw^wctw z^cdVX7IT!Cq|lK)m(6Y9Bx1Iw6F5m{eHH0<+*tD%*cpylIT<$Eoi1OMn47#$UdPg( z(w{EYh=GTM(Nywz4i2QHZz722e>+c~JV}Z~6wmj8-x43i@<#Fp@C5M`939z(_A8Oz zEZ;+EDnD9~P%n;3_~`r(ci2k4<6gVSYB&6LdB*etv-DGZR<(61Y!ZN(F@WlkxuH3F zoqYYL=2SmLo)*P5JWT58WdHvHf9)>U^Kg9>80r)msIr-TU2qcuk?{VlpIRb{Y6cn+ zlj3EB5+33u+MR$^KGhf811o z>@5+|7bSB-M=4k;rnFJ!g=0$dYnQc9k;7mezy^zIU3!DV84us*21H(j!vdpMTS>)>@KD1?Lzo= zZaXDf5ezs}``tij9ZoiVcdn3+nvZ3!=QVFkYP~{2r_diZlo4IF#~1dVr6TjYi8rf9K_Zr_*cAXsW272n(B=WSl1M8x= z&9#xNJRSx~&g+3g3Z!&e_2jq9E6BYl5?Wu_L+9Y+vEmU@S*6|JZ~kkMF$IRj6{ZM) ztkXE<^cmr)F;OgzB-}0MRXLKc4U{=%-ptNr!w0LaID_f8?!@vYQa|v?Aa+#>{s-VB z-6-5bg}4Ny6QlTPGVeL?wbY-fkn5j(_JGHcChzD{CMKz$G~`AxxF$;dl`~C$%E%MZ zrh-8uuRoZDZKJ4=Xcr`kRUyGurx=ui&cr^UNr1RnKQT4?@CmW&i>P|{OAU60 zFL@-d^Duw=)N305T{NNkB_w8Ji`in1Ad9TQYK4b3<173ZXp5FA_CLfnWich`O}7iV zZV6;ev8c?{S|xq!R2spP4_AClYPrI|z9Qsp3b!{pX`EBCFBge;?=rw zGKVHrlMxC%ioF~(`d(13)ED7jBeOY$eZ@P?YT^_}R)SW7e)m`*gVTJfLcy3%URO&o z^>wbVp~=2N+-9>Oh)cx1P~ePC?8kf@an$BeUB@pCN_3M20)w=rCE)(IWbDY#vz^oF zvA2Gmor3zDhDn^y8|tekrW!Sv4le$n8&I=dwxgaYw$`i5P6jeGFwg^c&7B?B=>_qE zavu2c;2?$U>>}7vw0vpi!jzp#CekVMD6C5icYy*OdYZ~>>hr2#OoqSG=+q)7Bkb9K zB?!jNskuNP2SGPgZRIyu7(iphqd&mPGprW!k0o4dbj?pp*st>AmEb%rE05sume1u7 zH3r)yj8G`LSI!ipcN$r8t~%*`<~;!Bz7rF!ItT)(9;Hw9eG{JJ!(F%yqCURr99#KA z=Xr2&a4wtQHV=F9fBH39#gsh~vZlu(&Oj2ULJ4ZVNSSKBX5Di~?NwX&2xWv~Z&CFR zx^l|WnICW7Q)rFma!FsBd$a=03=qa8r}H3SkOTtIod4&5{hMEt!C?(7t)>%1dz}CJ{mtT!xwX-!=%LrJuA-nw_5|62)k2HfQzbSBP`&IR7YPWPF{(QN| z)>YjohXD_?bY&@iNdVFipN}qtH0bkBD969JhQkXOu%?k8fleOTP2KcsR+J(mjq=@B z^!aV%^mqpIa~p#E_QFWVy`H$0zogdoGRW5Mato4g)laDhDZV&#?=P$ z)&`1zP{_;G!+eNqFo33yDu`w|LJaecS`QMak)O4W=mGr9jO(8&H6=+zIav;CW<_2i zf$hqFrHBz(H6}r{@`WSxzsWFK<*Z|R*-yinQO?TlP;Z7L|H90|Tr&cH4KX}9lz<-} z5CnbwE^B-fvq4c0zvU;-su(CC?t&t_vHBERn#t-H0!CGXp!0IK?bJJ+MnqzuZD0jY z8bZfIEP%UEi-f;SyFR;u`C!WSA05>|-Ukk%a;9ok`$cxa&ymmFtfrlI3MFHi4uwH; z>{)OxIz!-KPK^-HVdvusI;Wr#b7Lud=?GtL+!cS?s)FatIPP))p1)rjjg`T#7gkt4 zB_%$is-4OekxdY|aH)q$7MS?UM8I1PF_i*6%T$+j#rY06cn;T9IMfj#_Nlh3A2oXd zZ5T5sesHQmPsan+gdm}u0tp8v_%5>R+-nEZubtg!U;14}Q)9MQtjil_7hgKA8CMrm zg~FlU8)wPdmWJD)(ZD)u_{L60gME|8Ty47f6>x#sK!8*HkH1jk4SxyNUa|U0=9=7~ ziIt$2pGQv?v#Yji5F$QHPw`^oj2cddPRYAAkwUo!Y47ET_XI6xN^~rY#=HOKI_3~! z?s?eE58h7Z4>CbbJ0}*fuoAprm`?zmk)Oji+LMV;>R#_gQj*AJg#aNK%;l<*yivp* zkC+4SOoXhU;Fp*qKG%Bx|4{YTVNpd*!1&T7ol2K{eFm5>tY5QL?q z6a=Isq(o4V5D*0E?v90J@9*mSzQ6DLo_!wvVE5cRGiT29%z-?4+#yJjz>mLgLjfdn z4OZIu()^1$uHvNE*T`prldLt@7nm7a5F-nmRKxGgUj0M&L9=u95*h=_bIi8Mbx>8e{(Do`ETehE~82~H91(eCmZKp z@~lXr@H`4HgZj+dC*|cU-0|TO#vGaObT0j4P^QN6;|l=fdU}1n_o&%RKtO;~467yR zXt^hbh*rp^J@CNhdajvjJkfd!oe(z@;e5vmjl|Z*t{=CX3=;I zn2X8jz@4tK5_Kt*_iR(O^GLx;e{wcQya`Fyk`KRSz2nN)q(9$8e3!rU|M~ig@sW{H zPZTBuE&Cakr2i&aEXXMH>~wGTVm|bM8v8jEQGt%_%YuZ%NU^*N9i~9{?DOg}{g#!{ zBT~<2ZNU9@n{s1r;dejQPcFC#BTsG!k%sR9@z7Q9?MWGKS$)En7CP_{99s?$yG8*G zj*O`yYoBKymy`?;0f(nC3<*I#hl`5CO4k<$2Me8GFRA>Sw6x;qU^up9;QpU7-Mki@ z++J`XmEFAwYOil}PiB$}@*+wmdT;gV>1d(i@P;W7Jhm?AO=2v}>of8R8c$YSJPT+b zfJGm;+ibapl-LdEtk;&jeTYVxJ_zi2B(ClI*_M?#b8-~o58c)5`{@~Syv#lQBSo?*e^2=i*P@t0m~SFc!T z^5no`ca#*$Pr5Y>DN@PYwf+Oh`|-pN@gQ7wsS{TSebI$Q>hZfiro6+d#iuA>=U3@N zxT2uf6Dep54wrhRP=UMCsoB1)dzerMypRK5pF5^8?CZizWB3(hTuAwxX7stS3!!A0 zO=1DTxy5wwQR!WI30Hn3k7(x8gjXn(t(l>i^A;{!?s?Z{X|x483wXrQ-V5nf`0~%E zXe#EnYo=63U0%(}boU~A0cfi=`CuaV@5j3gq_Cm`FJ~KE$E!^FY_=n(a127xcqpuh zS0oDY<8LK5JKpL=4qHRl{rP)0CeMb8C-dZ%765#> z&?n`c28Z%tA)Aje@*3o%UM)+w`*G`xV?W2oAt;BQoV?Y}j^kde$l}ms$dUvR(g6u3 zMDY9aAl5J#a^eN}LoJsO)u70ydlWWtTjstzZrV#Pd5`o z0JA<>%hy#qpQxtqBuK>F%{I3U&-}BzysXg%lkNWe{{4H)k54dY@!tpMiPL~k&FE#a zrmHTQY%%U_HUn?S#gV7MSIES8bAj}G`gFi)DCb^j0IYyqaNno0rbZCp=H47F!a{x< z8R24^N2ZBFI&lfnNs-DDJ%NO(*;K8`O;u()@o46`d8*l(i?C)=5_pua%0q3lmuqcJ zd8kxn-Wo7a5%$@$6B9F3(Xnt&6mPjgcBlsqH>W{;L^9n|~}p}c_CTLX{T;GQ-rLY^vt7?CF` zp-=^lzr_zK!q9-*t_FwNSa8D< z<>&qy22H6Tzx|#omF+{iQ0(_MvO8~&u-+wZDpg?$`z$KxUD66#U7}7#5)%{USBTpyll9D?GV&S7nTku@zSx~kTqi+POp7E*TgJ0=SXuR$U0YMRVVJ~&uD4E}_ z+~*QH0cbsmKT#pVbZzEQlXjjNkGs=`3}Tf$Oy4HVsX;-4zHBx7r-zH(NE@^F7WU0D zJMCU`tw=#nD-Bbak&zKo*kN~cbhOat%g6KW!Gl=>v$giU#j1&yN2%=UA?Lfn7f@O3 zcZ}V+w!qKXnSADHgH@*Q+7Utm0;<)pC0l$?;0|0N74OHENC@x5#~j3l%z}CENoj055OCt5^F|9~L0>@~6_63$g@mt}jleX^@s}&jf;R%Z2!dg~_@y zvY=uMSg`|C!&OXfdxA3zLO$UHa$A-*sJ+P0{muLwGG#$117btA8TgSjBjXYGGUu1= zg`lQ`=&`+lA0|fkR+S_gAQ}WuVHuUT1H6mGjcOg%mwTf4gzWftv zgjRJ4vOExc`Ul|60ie%|O-4;E_H5U}W>sE7BAXTknL&%MVCW{ljp4c007x~{3ERO) zJq(4XoG+jYX-p7|Qa_dY2brKYCQ4Zn(_PPF}{#$)kV>2&HH zxRW&tgdQH=CXD&SGMh50gqV(O=z08oDY5u)YfmX4Pc~Sjk`mr+*Rq!NC@yY89;#n_ z0YtoLz%1+}!eBv70pFDNVOs_nLZT=g|5Uiukal0NRyJWjN1 z_R77#{`Q-k)T3i^*5(#>y%!@1BS|BpTt}bJ`$x>Gug&VrrrPAg*0$$nxMT42ou`iv zxzh>&Z-kQKIgjSzU)FzR^uw`l<&o!=5dHH<_-(PZxEwA;)98umI|e^U?P;O`F~P1**po>h7A95HRccQss^KPpJhQ^#R?T4 zVei*sGK0AsezwL-vsd1hh&qfpT>IMw4eJ5*2~Q%)jIKKs$ccVzu{9Z)0Ntzb7uHXV zeW$5oliC*kW^67-(q|5(PF{DOmybvyZO?kn2+dbwY)4F8c`WFhF??uY3*yaLv?*>! zP-f*Y=D$y#{2^h+4ym`te5#Yg1o%@CuZd%S^n41OocX^JIG(dLwxAPWCL;)Ng@0%D?x^iQYTYv zc{)U9(n7& zMQ|ZJ<13X68N!a2JdAxMgErcE^oNWT0iIvza;o(5kt=Ao?3$B!30E$WcUL%`rQLnY zBT8)&M|{woKuqztx3_jXlGBJYhzy(FOcqy3iDiN&m)A8{lz^%K$jXa7cJMOfN!m;! z*EeuOB)^X9$S#0p<1*snN)b)j1bfVdH~Tr^9EtSUAeB#?-;%#h+QYddI?3Jugnrsq zb=m>H(aTUx5PZ4w4S(_Dn4*&?zBr!{t+L`edr)#%h<2DrKLZtAc0?eZowusJpuTXk z2)MgA)B2XE8C% zc3a<95UzMI03=4#PiQ{en*sou?DStx@9%&pj=^hd(0TN_A7T`4^bQZoiT5>y@Mz{k z#*}}M1n-=F8&Xy0bpGZY8xCLkAoxZo?~jZ2S7iT$?%qy_vv)dQ7@3zHvBV9H!Xn@H zj@92RR0=ZN`hN5{r3m$pB3JYsmIl~ee9S-m&?~6GG(vSVHQ?wa-0hjClBC?Htk;Cn zSgg5zz3_jfX?M}1f8u5jjCVR`P4_Xh%VWM|dKvJ~6q0z@%Lp;~^~j;_^th8tMvxnM}u5w!WdQ1Gd53 z=}tb-5hzM!PhxVj>d>c!HNXV_)@AX&Kj+jHM#|TLxLN}yqH(KJNrc+C3mu1JaB!WTy5<%XoD=7KErthO9xf4w?i}u? z)$C_3ls1zbJow1BA-KXk8|HoZ+g6JCA(jwO(CiiPi|ZCNDL<;ai@NuVy^t54RUZ;< zk#55raeDMpggOjqdjf8&P0d^+_Y_~-bD?sVzQ%O)Xx9K~jEsyA9a{F2#b3=JetUFp zpoj$EamfT$JO3!SgTkwpZTl#C8G2ngRC<#1?cfHPJvmT@2?{B%$d~d&hdR(-mJoH(o;B+;p@B z9^C%_*F4+~TN*t;L<@nvy~EAa-y$Zd#en)xMJmJ;BGmWGwcGiPRk2x0ebsn@t+0=6 z*MHPuGkesD5MTU5j~3U``QBMflw}4=$SctrzD)&x&tsAPK*K`ISFn^vjGXF%$dT}1 zbdTxUUiRWZUSmA#e~5zKqmOKdY&$}>i0~5ALHkEmK{{`vKqs7^Ksy&mDh7mOXy3@6 zOv_W9yRpHJ$l$*3Fuc6wtt~VpB$NqZg?~d}3P%UHH?Kl!5XdyvD#0E@(S6&@C@}s; z!u)5c4vzn8d^o?fObxn&f9FBy`E)r>m#H_81s;t7~e!J>^=yjblXq zV@67Jb@TD*>E>GE#%G<{LdiXZNfxTv1t@y^>;=^M z^()=KS;aH3^fd_lZfi2otl@q5uJvk|V;f&lF`odJl}>lSdtwBI?}F(#0q4cSHW_8c zNpp!SjB`VYun>NxU{K^FxWtTf#P0kezd;UAiRQvEGGw0=0~gOOnl>gnI@(6|AC$Mf zvu%4K@YA|YZ*tGs?CkvwgWae+-RZ^Kg`JD@(3>bebDNGZeH3;u)xE7@8esVBMIx=>gTRezX6Xz6 z?>L8pTuhf=!WTMywmv;JW)VKXCzoJx6f6kAd_KYZEE<{`r)B3HEIrNKe)YILZsJwu zMwE>=_x1G++UI&)%6?>`|0n0OYX-H;$ zDG%Y6gop#X&Z&dBh*{lEbJ{Md_S54`(my9>MIQ^NVfdT6Q~rwPVb4u|oJ`Q-TNK<~ zc0hL_0F&PF+JHV2CD%{mvVFT6az;Pzz#B2frTBByP>+qfW|GJR4`1K z2vaKPTE`o~2;=#1{G$$IMR-|YJ-_Yw#0GoS2r*tUxjAMS2r1|xmHq9DUgMSUvQxdo z#ZWm_u`nrq++@T*NCH=QY}Imk;ZM^=uWtp;0_C3%yF)+Mq4{BKACPl(@i2b<$)rRx zUe)6Xc~fLMVMT`U&Fj49Ec*7k)>@+Y+B^W?d6fOy=lwo6CgYDBUG)H0MU$Da;>(5> z9r@DPAj@R8e0f-E$t2q}_uULI^}G7$vC)EoALf(k*gF;ak{Qpl=8YyubB0q1xz=hd z0e3%ak#TG`95SwSg>bCCw?ukh?9w^Zk)rB$DS;(Je)#4m-Gr2eRn(o z)K_TI=v&^0Yvt^wC2;xLCj}I%mEX_$!sxC7-TJjh+it(`6`3X#vX~`7CyGiYT>+tD z)X|ey-4iVlGdP8oux=Vtw9%r*sJaatINDH}AR%+|EQv@X*xqbpPh34C#Hj$MRxx65 zDSC+;f6{$ZNi8)|$;UBxH*`V^-b*H0tQlYUV?qNPr?L-T$<zwKgy>0!=7aT&pMpBE?|m z@H$xP^gTN_3_^_U%Y#x{7P_;?!KdE38b!~+LxiJy5eMrrCCsc~<~y1K_BA-c$N1Za zDzlR@; zGGlb1U$8wV2>YtD_xmE#2(7lk^##~gEgE2DCSTRLN-BD4V60dOFMS7lPo&_fi(+0L z%{|5BzztNIBfWQ2Vm9d_xq&5?U<#+3A}e`FN^Ff^Jh2Sr)FZ2i7@lCrW(#0nPEuYh z+$?!lf5%biGCS#J0FIT}ly-bE^)qV-3PP}htHJ^_O_bOQUdSmVbBD`C$~HchQZ&Ka zhqajw@&aGPpM(h7_qEkXkfQzW-l%PWdp-|w@Gx=-!dr{8g6Rga2(mgTodQ^M=SU6F zdfuSW6D}gawnZ1X2@5z&I+0;7C?1x3Xi*8 z(7!3~4&imxeatr;F{AfgyEQkg!MsLw@_ z8ZQ^Sc#xzO7I`QOK&I8=?g;vDu~&Z2zX~%^CJ>)*M_1M6S_J%NtjqO`lZt?4WE^w& zR6goHccCnCBlz9gcf|O3;&5_SpSNt+VJ6ZP{d*^U|HY42ap3y>t$4RUXuN@w73|>z z@L?mGdV=3z_(cbS5PU}Z@Zd0IvjMPsh~)7QQDRa!HaNkBM~8TQ1rI8S$A(q9J-9Ut zvrGdIeAv3)ZtcOCzWf_aUp~9WR3EBbUz}!e-o>Zd+ z*K~(u6HYQ$Gi6gQ*&hiWey^+>BImOJ>h-?P;^5yPG;M&ATAgSARyt>Bq!*=5#Gpon?B>gxOneeXEfEqlbR&){WVp**GQ1toLlS(?|6{@l)YLD6PX|{`?0fckx3Q zr;_NNJj>VzWdqFIvnNjNc8cK%t8Gf`mRfcbLRO4&qZ&9m3MKap3XKB{_F1tngkDl( z%ATh??_ZymIF(mb?H`(DaW)PCZfw+a&5Q|zN-FGp&vVnefks(Z!X8|32~l2Jg)Y_G za9v6$$6jBq%(K8*KgKT&!DjuKMhiY7Kucs-sI1kMMe@MNRxsoKc67P$aIiJ&_?W5z zSh`pSlMfu!3i*5ZSzcF0n-ZwpMVE-1T2?q~IIg88=(*q;$p4PfHV0xc3y_!jh&C|R z{4H={5$NsRA~2Zd_C$<0;f55&Zpu}DrHH9t!oe7{PUP$tw86tlapW&c4I@j}*cx8;V9l%3edy&=|Qdr3+20!AdZRk#0;Q|@Uh0PH9xpz4MPBj>iK%*ZGj$_ z3vOTJp+UnBcMp<8qVeIi&{fX5WQQcj6FXh9WfaZ4*rhq?ztq!pBUsUGG(3x_n|ba2Q|_VEBq+Zuu5#D)QaSV@q*` zR)p2_HSI4_`S`wF@^%iE3HX+5sxVFi4DP{hJA*8zNoyk%r9n;E z3{tX$i1kJJin(ixH|JNL<@rH0K_;1@NdEDJ?Xvvy^JA)Kq$gL36xCYvaiCf^nDnER zi5r=uhZj8d4);!Z=TDl4yO4Jj2DrsqRGW;DzrGT(5;(M&ncLHyv*OmfA0zMV@FTLZpGwM*9)MJ>^oPw$`@?H1!4ebI5 zFmpPyX@|<{m`gHf&p)G&D4lL&jA1 zjfTvGjYOrNbDD=nf~%G5t8_r?N(x(Lg1^u`kx6oWuBRJhW8)h2)>w)KPf7qJ@}!7} zI0MARLkkEP##af5QTt=uV!fNcK!X4BZRf+g>fa3rk}Pmm!*GP}#A*1EmoTXoE29H4 zqN3M+EzXZ0AP2T&+^&Cq1h0OYBlyJ=A1wrI^N}K$_6pFO%~RBsqcU?UA-zQ0d>Q54 zG@Y`XCc+%S+Y#bi!Br;-oH@WuWt7R;jG9FK>{ny{RHBsZ8$yl(yHG4JD+>}Yt!0sv z7y>c6f(omL4X;7BhenoV4!6_R88;N3e<6sr=MgnxRo50k{P5jgB|d0dS$`ZFv=YDb z>jE=ni*w!DD5boF^K7_vg$G0Bh2`ltX!8E!5}v{nH51Iwcxay5tXKfleZ+7nFh7PfxQIq*X{C4m22e z@#udW|7D)XMvvC-i71yp{MHC_gnrF&^-UNzR5OZth%(wgGs1?h%x8zX)gP@~BOP94fL9#6hK*t;h(=frG-O8Q zH;{E0Vn73YZq-=-8C{ye){tO9{DV#06t))`ZY)wY_yyM%u0!t~A5hM-UHptq7J1Sy zZ#)a2#%&MePVyheDi*w81gWKUxXiHI7+-_C$PW{z2&6u@+=og+#`j&W#R};fFQ8FH z#ZRq`yH#Ls6{U@eUXT>m{N}B%b0-$LV$zW1XQc$L6l>~!GfY40$H&HcLhjCYtxtlc zHT;;B#!d7%`;X~MlZIo8=wD9gj~kFq3DSbCZWZSO%^Cmfg-oQQrSXy)$?KL}}dZZRQ%=t{x}w;dNnKlw#+?fxiN>4yzXJ4_#(ql|Wb=ELFz` z6i`?U)#-J6i-EuT{`b(E5QW$HqZ~%8-`ugKnmprN9ORAl{-Al5n`}sVyYtIfU^!A{ zbd(Ikn?P#B2lK3aYcm%9YtpQdFMu1N4&6Ad)pLW8SKshhgiVQ=ZTZOu!>>8-PFj3+G~$5iNMo8f4ur5%Ta?ALMV^2;=u*$U z*z~;@U!nS(XRgHe_q=3-dn=74Ce9C!Vn>WTunsy_)@Op&GM8q?&sKB3PJj>X2x3X@$RnFT4p_kH#ao-*4>QqJ-sw@rT zXj#d8ltPcpXDXN*mhSimo^?pj3wrw(yf2ny$Co@QAX6a6dEpdoZ`?(VZH24KiZ3Fv z-9<-s7SHcXZ;!2L5X_5hU_eG;LernhzxbwHLCH?dhZP%>BQO}SHTQFuzIaM2crW(L zfp)%oV8G-8kXcT;aoyQj6%yd>H(q!P^&0lZWd*XFx z)JD#2X4RSU>p3-`R6*M5zi=@)KSwi%z}@;LLE?g!#{#k@KMVT=?6vkFiTp^jnYGy} zOj1lHTItjdseG4p(zo1{F4*=yd}yU*-98&KsvMnIhnw(jb5~X@XyFVT4&82kHsnIi zBM6V=?rMoo1SCxg;Ncc8!rM82&gR|nNZ#zq^Y#vx-Pr?}c^F|ouP*O(s1qMhjD0&7 zF!(yR;P?GBP_bPfnJ?}YG(UYE5^c(dUg)>PB9@m1nSJ{kYhREll={Om$OHWgI)x8D z?TTq)XC@#{i!Gl(cf!OjcE2gTIn%meI}Lg8(S+B8Okw;;y)ja&&oXnb^I4yY!D-HK zj6s@YO$9lC5mY#EO8g36?N4Wc=`W5X-&4CJi$TdyqdSKfk1m<7Vp9^Z#Y>bNIv1EV z&w=3K{AAtj*u)$H%s6s>Yhh_K?a0!|@;FMJpOw9fgp5jli{*u(De&*-aKech8rL&G4k-J$bdY_Fl^5nLqNo zK&?l1Qg96GCm64V0lR^?au4C`NuYyE6Dbib5X~CPeZsO~NQz1%Za5ElH_C$|zYI(E zs_tD!l~j%|C_H!Y89_EEw`q@YP7o@-g}}2*)9ZklZ>Ah#$&1Y;lF7Tijns-c8MCB$ zv;w$-3M@UY;2GS6MSyvA;aft#ZkQ96WH3u%shI9~o-GQ|>wO@OT%tc<8VfkP21qqS z>$D|9o_S+^oBM_;eE>}C>NiU)}+vpIka_%fQ=|7z6TY+P~ zm+Fs;>x~P2a=?RDBQXqN1-(hys_Fko0vB&gs4=J8_uM~`DXDR~rV=RC0NtWkM&!z8S0(KT70>FRPd&$Jk$9>6WgKZ%IbZH!XW!7~Y+_I*V@mSpMY=h&_=6~*UU zN{hxx{N*_yU;#$_>iZ$sKresab5m^Y&wL2N#fWetc6l!FP;tgq3+~6Zwm?X&?ZPeU zGzD2q!}!{fboDs*SL|Po5cpgPlefuIdpD2uttU7QkW->4i|pJhS*%I6z2~i}G@33Y zK>58Op>9WMr4K9ahzb*q`S<4#QTBMg0od5vk8&TgoIIc3#vMWphd#;7WTx!#|1`&> zul4ll!>3Pq6K2zQMdosA!hyQ*spxM+%d!zqCu(;JTlv*s+u)NFnciSywyumj;svsWL|4`cZ`Lj(t9^tPPty7b^$1Im2yNz!4=)K zTIHm?ny&{^DcSe)lM3UvDO@iU*$hTL8y6J4n~pJleCz9nN!2;%zJ_XM79=UCSG|mS zvU5uVP3fK`iJ$Mz>qhzKGQ;kQGVLUPa991${T&~qZ#Ds&$32irQ;}0b@1v#&ew7y; z5v?~YDcKoQop`6%s~m6?_$I_J-W}hKve$jBs@Yg`WM-fHnsmg^Yigk+H1EEij|-^` zZ&#|6?*n{kHWD5lSDwnP`cg|y0XZ?TIVK1DF&mfT@0fb)eu9eFfjidzUPk#inS_v7^P``;s^3Md${*=sz#?ZiDT-;FvlemtuqC)j;Gj$&_$N6K zj?%vU#}gl;sBK0B59ktBF*S8^TJ!b5n|{BAb1#ZW?+9$1l2BNB5ioAnC&p+S!{%0d zYcc8ioVn*09Dv+3M!dscvyUjzVRpfXh3DHeGIz3=5y6w|@E5EU^z6gioyATL0f|3| zWcMApf$c@)aX>D+bnMXt@D&kO5L2Gk%GI@JI{5wytMZTw~AiNOUMP!Z~T zBM)gQmdc74-)G-lmfm+22a@T|`H+nb+=Hc@S*+hwKN2%*emWvM4)?WAd@@Tj5O!|+ z*ObVY@M)6203=BM1;EVU0${5MB`?++;)g)cQVpkb%~>pd^hXAl+_cTxeJlwJ?dE}O z%4=KX4z*666tg?i)en@}Ayc0|{=NU@bSdRtO~eKHBV^zkE&uI;2c4ab4oh9s)pwM= zr_SKHh&x9cmG5PI>RGH3Dl_aJ(2L1_2;nXo1H;2>nNnGKsiSGOfK~Z4!mr~yoDdjR zMappxec**giUySi400-=Q>-tiMh&wVWvtfQJt2dQJ3g3jPi_eX>M=$vz5i6UvKEho zb#&Za{)q8@HgLY#b&jgDQCPr)7hjO;ZceG+`SVuVZffZvkPG5v6JED?`53n`t*K;U zfmg3pDy7WR9W?=skSZyO;UJZ#W^SX*(3FNUBgGUGGM%5S5I_?h6(A+_w6p}D+q@P9d8$;4rm3@6^;kpEqCXhY3L@}Qvumw^bST4cpTK&(Dj4R=?f4# z1|qd^$UA8ay){)A`zJLN+w+_0pAwbn*(P8|NuF8n=%;xq=SbEtb1F(`Red@t=dyv= zN~pnjBuPaWcj<^jLUN=1e!y(gcf0B zD?qC_$0t4j67W((J#!ET(EC_gs(MC9y7@gH-@bbb<0>Cs=JVYmDtx`Oo~GRJR3v(o z!%a2^e>Pqxk?fFH4cb2Fq%GD1&?!@#OGF`{?MpFnIX(<_*4@w(kiTXS6MNCF|E#A> zdUJSBGt27YwBBJr4{7&{~vNGzE!F|8xi97nr(eOQw*+JG`5`g zCL<#wdmi_JZT?<2yMM%b$Ov5KTF=V4)Oe2*6Y`T=ZNVL&8kiNJB-9gn1FPsIr;L3< zt)rc{_{MhO?H(=iI>yzl9Px)NSsr470yaIL-|`TOh5M>#eTae;CN8j5-#!qS-I;P= zwqYQ>!Fy;s#!+^ps{K@bVQ%nqXW97LkGvZcVu9h;vctSwTeIbf{qy=P{K`@OjBMsX z%f{*hfOv1Wy!qB1DmcRR4bMfjpm$IUDroETLFVr}-!5z$L<7H4HqTx(lWfUu#E|Na zzw8f+I(2Mm2%eqtt^CXB5Lm*Ru@FpjU#d(aGIW((UOd7ePV81NKu7 zBwPF6Z%jSN?hxPN|EowLVXS2RM!?yRY@!3=aar&`T%wWi87L9$v`enaJzONNeklG@ z%8xXu1EV{Vt{bO|On)eds5v22c`~{Bsf0#Bw%kuWjOliC9p6K7En!XdpPs$Kh0V0t z3 zP7KwWvmWrRgI4I zWGh@ZreeLDS%P$o`SSA8l?#wZS20_h|CX%U4RC7)Scl%1XEE~1RIM|hS;knj`suHm zLxYEX0@|8S+eG|7r2jio!UcK;7gY|6fm$h`-->3X*=&QWs`b65VRrWAL1e!V8*@vJEj5LsVzDMXkd_ZoQdq)Q9NLaSL5Vd7!E(` zFn(~%x1az9{GciuTzl}eq2B#Ko{fmb>-? z{&vh4%*j)r7wTAOJ-CyfG@x-gpWyRVaH%`as{?)6cW$kT(U%78n5^m>7DyKURhIv> z2o#67Y%00Ws@9gCY-Vy3*zapxpQYmZ@&^iU;e04kbw^lnlP=kp)$R}074eHOKy$Ed zRN{${fq#Qlof|z$$ypg+6<(&8GVdiBY#&Bkxe`(`TFb!{3bEa8uAKax(9kN9GN|a1i}Cb=aYgotkzwegj@Z$*g254 zm78#=4GiT=iGDiQ=;_F~9pDpy7{R0U^}hI6{aXnY&*9m(?Rl{7v9%ykf;cVG-uU%> z_iKCc9ByLISGeLe(e6H9PY!+|pA-C&wqr4Qc5jRrLk1#|CQkQ9 zssGDBQ8XeK;^$=M?a;}?dyB1&$j^`BRxrRDohUEV*1AQ=8(4e2v}1kUZkp)=zr(Md zT)!fBHC+dq|BsUuzu4W4ya&Cw9|fV;S%m`Mo+06FZsU)^9g-=?ER|`yzHP_Is3}1UPrD+vksu zDVw;oHmHL(_}Dzp1?@GCNvrq_q7}+r>~Weio8UQ-3qsZ3 zLh|U}72<50gbpBc!(ss6KNZJj-FVz5P4v9s3R0{eNf)Dts0|-Hld;3ld*xnYblGlN zTR!hGaIZu+x;F99<(mr(N?+@-M6%o*;ROq|KM$8}RKCUZlJ-8Fdf>6jvnmzGfOH)#|dxuQ*lpRK&RmzHm~kPXo|>UkKc|Z_aJe0 zn;2tf>(YH|n-8?wr=I(siEHBW+0UX;G%wFtOg?mQ7ZIbw|?%-Y1iZ8{Vqz=BMQ>@(?!#xa{YtsJJ(8Vcs z(7KmGFaWsLSrz}so-2*~f2(+JTu74OR|t*B0|ZLsPe zh=_Ix+o`3wyIRed>)Tt+Gy(R!G&@c9PK0rV(aG{MW<_r%diq_U3kcd)$-=Y#>@R|Z ztn3L=qQ+DAL846zESa8_6ugXovrYo!A@sl!T+X>_mk8_sP1GD95#-%gb}d zzpJ%y-pBQdL#sD!u%3faCNGEA`|>`#2{YnV;4L(PL!ZA-?E*FXgogn35$`WS^^!~@ z9R*IopI+G{W*A}o`zZ3}rVs*u;k=Zu+)UT?bflN?_Iwh|lxQ(^ybWSnW_>6$`U`5I zNlL=6UR;dIxKbqu|4AYU-h`Rc6aJCP z9rrFSoJIbFs0)JkRcd!9jla+|$PSU^CKmdC7my(7;n(t7kPfvY*-8uIRl+K3e<<>5 z^c@~WlW5@h3vJq;VmwKV6e08!cm+B9wWdm4wsluu2!j zzgWs#%4@_J-OKklIM{n5Q&ua}NKp#W9%2G)qoUAmN@>PEH1x7cZas@%{Zw@*=~IeX z2KHHo9W@9C7V4wpQp{Fb4?lwmDlirT{V{)5=HZkr?I36K=7zX>?T;dpTU16Ez+X=B zDl4gozk$%Kj4O&$?FHY-!1$?uLy&Az{iFZix3!wLg38cyf8@}8lCOjtjY<6%#~`KH z;=9Pduy%9&#l65zl>yqb&~9NaRoz1%h45`Lf``0Rsj}sT1vy?Nd>==D(_jJ{0;lTTBnVZYs|HI#}6nQ!N-gSxNWk77NoK85);GRObX>%C;YCrQQSY*OY zeWdjt&Y(kSXG27To;t;&`lDww`1n$>;xb4wZ-f>+6Hr(0w{`B1fBRVacY$7$UUDvA z%Mf-&BlzlOF5l;C8>y`lAic$9-1+0P!Og3E&zOYcp}`Yx0czUepmyZuQt44__R!67 zxNfs@U)X1Eq34P1WxVsBK?b*<`i-AWJpJB7hp9;lI$5~jE%fbm2FHu-P7r*2pwm@S zQ7`9ZYVQ5JE7&_spXS1_yGxQC*C(3g5!^mi7c&8qJf)Ado|_I(Y{HHvcgzm%s>RlT z8H&@Yun^#5hp}^DI7hRxcC}!}Vn5E+(Wd=FhJq zHvZsDk2afAfzsY%u?&UB(#b zJvVd5^M-Y>kJ$XxcT$3J#dF?mkDeF3+G9)l5p=ofD*5UOK!8u({qfXTu0+_t{-f6- z<9FGP$GQ)lF!D&zS%w$!(ozq-=X2v(la8z`A0*RL%~gg|o=Ses1>e6Xits;V%r@j= zaPDk-NJPz%QOUwu!BTO#hBnf45n=Q!c_hO%KISN08POZ+?a~aB%1c)VUzS|-ulTts z=^rL*3i#j%0;!&6{7IDG7PuX_yrvt(#tBVCJr3VVq+dm$un~8Y4cG;xD|^R^J&Y= z*=qgmA~krSA&cWeA!oqgzK=#4LrTR)$30kvm(49hcxC*-@kIEcbRPoV7f;||yZa@= zU_H_W$NwS1={|4{qtO*6SK+hK522NQ=k&UG=_{$-A8w_`j%fN2gdPQvynbYYUCgpS z2bDfo5wO5>qqjyMUK`TRbQIrjeCNVy2mT(j03+0=9qBTQ?RObFlqUzHB*tvwKMgzF zm*hJny?wu)-GyerjT~(_O^8x9c+d93CeFJgSnh35^lc1=>Hu9g)c;r1RYz6PeeFw0 zcS<8lTw0{NMUWPd?oMeChVD?1E(z%d>F$ycB&06g-F5GG@%^p!t+oG|I5T@cduGqx z&zW;h7yW?4B-tFNmkDksFE;~!02eil=^I1sk?&m2>-))I*;l7|(-x=?fNrX-|FYeK z(WA|{2JL!`Dym8Y(W(v~x!0NhE3T`uoeePrO+=)}QW_5*z9IQcyeqOA0i?;{cKG(IWF--i z{Yt6;60a5M(W!KDc$RQ=i_FdJuWGTZ#1^Mrr2??GR$5O9%3Vos81WfgURL$P3raT1oR_^%p)5puc@3VP-*2}u^$w}4d$j(p;|!7%n1><;-jdiD;&ZGAL@64d|z#dyHB)K!I$W4hS( zE%j1b6eR)vQMa(!7uYCYeVIITgP=rwxZdr0*=-wmyyP&=)s;B|!*RZ%YHZ9^?1QmV zBdTn_-Ue5>2; zE|X}}_h$y4Hk`6HQ-Ys{ORKF!=zdR{_8-`Hxq336!KkyDZ%*lj_H;tm7v~o%RhPFe zKh^c90iu>b!1ZMJD}%W5Pj}nUZdKvRb@72WSMKd9LyQz zCVnr17lac|8|~|5?yx-DT21z`!J7EiTM}5Fxp^Fmvsl(!3z9#z+)bH}SmoH?n~ z57oS{o+nxa+4@{5Ak#!f{owitI-!~J6f1xdA_v?Dc1a=&$Axx`?Gl3(yNYU?Wm7XQ@YB`vq%o1cFB4VZz8c|{~rDCS^VKFxx_{4Myu2LD_p83uRRf)Ot|pNX3As@- zLdj{XtIR{(wsz%MN8n+=qWCM+XqO)D4=z@3`QNlGsvIHryr#9>RObbTEr9#dPp)xU?i`M zg;>LW(OJp<`Xr37Wb&ffN&u*tK|4jZ_8BSi>FYTchx%Kl>^T*gj(_02>gK#U0oDJY z8kC@qT4e-u6+A2TnD=Hp9OmbuOsOzb*I*iiQ8%qZ(llq@y9|2*Xt_#P{b^~*Q z{u&`aLm*Zw4~4&Sp3LeJh2gUf@Ps0VSny??WZ27_RxSH=*w3N+&Qb^^wluxuuoDp< z{&ykH{s1XJZbr4N#&ez>FI>S?OOGiO{2)nuuoD{rdJ%L>P}XZyTvxZza$He&{JP2G zpe!R{duf7<1fSY~4-jru()OfUTqjI+r@_ITtytkmn{1t5@)PMsa9bPRb|%ycC`Blp zK-w&+KS9%5-5frh$+hjX!@qodFN+17+A9W;&W=);4^-q0KJ;Mot}NTQ0+0+TM4Wtht~?d5tp}7J z2p@`5smIb@2}}M&t|k>u&ac5CpeIdn$?|7ZQ4T0Si!lx)BEGf zx_#j$t%I_%48UcFs6d@^Tv3c19nfzv?qCNaWcHVn(AZ4-1HZ&zZBx(JaIk~GtKBS4 zs{_Osaz@~KKc?Ct!?zL{w{dSN5lJ9^nfHy-*8|ErZ0-_@J|xWaMQD;};J5#Y&8(Rq zfliK;iOBJf>DS{D%sP_4e-uF&YeS7xEqE)*fa_gUWs{IrC-Eg1f}{!xib}|-_($Tn zK-q5nzX1v3IF03>$(t~hT~%^K#mzt7t%lLyb4n-}Nu9<@f3G&}g)IRWT>!M)ZAF4QnaZ#vEeN7GPaC*PcxxzZsBJA)Pqy89$g;xZK$Xs<=p1fviJ!wZF0!;mPMy$`unfLSjk3>vju1MQ)ocCobwI}1|MMHlL^oF25pegMf`Xf6L|&B+3f@j- zq<(es-^|qEIuT($RjBFVEuJXp z&e*7Z-GAGHEpb{loLf{s0POAT3a{~~{L=Zz7pGtM(>Vp;=dJunxpb^#8Iu;zdVUb8 zP!K#o)$}4C2+p;mc=P}OWTH3*e81SY5&7t zb4r7>(_Lnq>^L46b~Czjeo0qU<0oYJWJ@uG#zV3n%Z6v!!pnuz)&K#|9ZXexa=aFq zPqp*Bc;6l32C$W#^Hc@6feR-;tN;&#>;aF@EWE_TQ-I|ewa zWM?GmgTrcqeWGbnM(Uq+s!TVn6iX3u#k*bZDLWbRB(`uc5iecu29jKxieSpJ9;#|E z!(-Zi@)X&CH>t5>TSXxchV6t|8x8vY*i7GpQ0on;pj!=*nmwpLUQqBMCy*&1#%QEW zenX0osd_`A`DQYwoy&}xWRK`8#k@YxY&pN{NhPLEC)Q#Rk}6h`|L~{;Y7(tZPGUo< zVvGGz%h|&eJ*-p1zOMr9#L>oR2E}I4^b%3(uiiy%Ac7{a^-8-w*Kq{5Pcd z-pl-G0YGf2JPwq-gh4m?oRY4XYM79TU&6(e7ZtsHf-Yys)sckpJGwEyL1`W9J z6I(`pdQV(I5dq9cn&Nway&72+f-y+CVWA_|#wEc}kC6EcM#&@XNbGvl}+z7F`7$zY$J0`g4LoQZO>M~MZ!%d9jN6!Ra zC_R+{R?+|;kJh|c~rN!R3%Z1ot=zmz*v3riuke6rMF^M$i?*}pdu zkCb`>59#m))(z&t8-wI{xlTWB43TR6`^8@pO`!;V2cOSOY}-Ua~Cx93}L=(p4>#T&I^Y%u(phRuES4t>rfIH#F- z`U)J*W3-9Z!j;xNka&xWQp-!iws5%?E*2Sf@{2{R^H^nT_k5d!4$q%L8X4@Sw9WmP zw@~NME#c7=h#@fV}Y4#61q z-iprq9GRiWL$+MMJfUMetv}0QOEk}Yw8)_%Sz>jK*<$edlQwYKXo_;N@g4M~#f38v zeGW|X0wC#$R7e8GzsaR*vH+ig^6KbjZkg$E!)ore0uOF{w5r$f$F1wLjU@-7`+@0% zU^Fn(Q#O(?@sf%l?N<58UnLpW@s7=!C3g8Wh!@EZbf|Bw9ZU}3WJ6#W(Ee<}kVb)r zQsC5P4Y&SfRI_nYL^mXcmxnsJP-mO2w)Bj+bP_EH`}^8$Eh=DVz?aqqOsKVAhAcrJ z2Kz+rU#&LEon!d=$gCc!6MAdTVgGs6&rSRm3hy;4w0vyH^sTyh)_;79ZT%CWe8T!Tf3b7@?p#M#xSTL zyXO=;5Xd**Fryqrw-e3wtqj4NlR%&I?08q;L&q63E;J}~{p;`L{l~H~3K!9J zztd90JZzTa{$g}5iL>eIYx{nL^|>I1+G@rl6^K(Kr;5jcV6*+eD)o|1oi*|ErRJfY zha1Hpqbr15BRIo@HjOgBi>jpKX1Igc2=Jf30X{d%e-E^Pu7KdhmWqUm@lWkvnE&2< zV!^r?_EX^JM#?KOHX#c$IeciG<)%_fo#3H34x~@{%`e-Ku2z2DW6~*{J!>a^-*O#S z^Gso_gs+%XpO3}|)4qONl~+^jYm;h=ZkJ@ctt8jgEbgtDWm;#vMz zgIKTB_#5YezvH_9P8{rUJDE7W8?3qgkvNf1egW}!Xk>HKb-U^D&k?Oi2qUa=*cJq- z*>I8wD!=iTA9AzPiHKl9)2A92-2cN#-G_%p#$9s1kN)V@w~Z>}_%{u(ZkGW#kMf|u z+#~rV;1$$Prx-yX##72Bi3)wv^Yu0me+Kd=`i?{{;?&Y8vRs${jU`0p;H5hrz4kyO zs6D@tAF|MAdQRu|LJ}HdA20>+jV>lUn^M-?&#j6p$#CJRzeaW(8lds{;w#YrubFCI z=ADBRWu&#h?m_@qlPw_w{;8hpocz1$Y8;$08o(!DO_Ow@!>^y4!+%A zMYQR-x{l5BMy}6?N^VC%YC3C7Y-|!}o$IQ3Ano|hD;`#+2WM|Ji~>;f^QBD3$+-9T zl_dJ4A0qTYLlIu87Nl~COJ(bE(@ z|Fm?qQ2ua9LdxKx!$}8w{hDjz!QPy@@)!q&PoV!oR3%KKnAC)f{u|_{kg(1f&01gI zkuVzCw{PEw*7L>3V5~2aZa=c`eY!R#J4R%Ep3-qc-&m=m_3GMMfD4{(JU;s}0sq4R znHZTka5{46iFGU-a!uR-0)d&5Mnzy46tcHspv8LfM=Rv$3+G7(D+OUy*}L7#wS5w$ zF(kL_wTG2gTOQoo2URDkUIiy%G^M-K$mk_imK2?dA_t47#w-uxnCCur3i9k(8qR$_h)tB+UhjlH8e9K!gE=CzHQO-w}ehuIJS!(8o3 z*^Yff+3O_>wU@J9_5!Q5=vj6 z0*!jXzbyADgu+RkKS1(zd@ z^#)eP!u)eaY>Ed|{)uhT+K41zEqUckWpz)cVOslEi4CWNLk z6ah|>i%l1`J9Brmetw**UC3lL#T_5%Q8p({5OeqI&E4cz$Gg9MPHWXlIJ|TAz1k(00b~79II1}*! z=LJU2+L_`Ut+Y!)+spz6QqME(zy_es9uX*Xc5uncu14juT}kd*CJDsxq;e<87?1=p z#1>0QdA(>ZzM)i?G0N$rfd7#fhf-z-L3&Ye$ z?wE56y)sTXj;zH@KN%*p-T7~_B=yq0iUlLg=p*S8)7W&g2Qk~qrUrw^&VeY~Zn1|O z&yy|@vbLSwfBX%a!M+?<6Ki=hN+Z+kN%lhv!HBjow$Ea^|E4 zaLNv+YE#iQIG3LRXY06QnJ{P)1QE2&p{LX6$_Vhgs-zcJUcD|{`L!=gkHF4)JU*K^ z#P9iz@~!-o$yPde%{zG-DHY zCGA{sB}v9;D-Ov5Z>Xf87y}K*@R5*+)18Z^~k`?WJdBF8qm^gz>HI49v2R?b% z)x^a1kX+X%!MYx)r3_eu*5_!X)shgH`NvQ$p%t-u1}_evqTSgeaFWcET&#pX8lN72 z-Qc4abnVqBY30x@%t?R7y(;K*JWe~B4EZ?EtM-|l4j+ap*0``=M3~TK{sM)qM6xfOyJMZrk$k)M%TRwq87UFo}a+VKtHS)6Km@@Fg+*? zCQ}yMEbjbSGS1l5a=eknh-bGN232b$6f%eJ2BN#rq2Y8nC58fog z>Dq4JKn(at@IMhu4+@5tXn_;F&5>RRHXkczUr;RcE9)%%RN&4C?6>|5??3tRbo&sZUwJhwc6;0)nYtViCo3r` zzx$xf9{l2>fU^amC(kxnu2FIh*R#Jb<2AT9ngDbKPxeP{V8vop>0VKy!N2}Ozh#Zb zWk>kBLl>e#Biv0$Kl)#=G5raN&acpvs)4bp9g1&Y4CSkdSj&h3`1Auca$`us+K9Y zLmkx?_JoxTk=|x5Kq{0&yMYe-WXrYrJ93H5o4lg;2L6XXlo-`kEL}SOrrniPq(@VZ z%(7xVuLq&KQ)+8}olhA)mDFn~cz^V|bpi8Be`#Os{$$`>^vTyGj<$r?=*C3VtwT`6 zDFStivE|_Y z5p(L|d`(DNGI=;ie|A6FTHcQ|XGg$!7Qu`;(3#!xtgbr4hkDG$dmh~X%4B%b7E+7{ z@1l5+3=Uq~tve9AxrKczM#~^ewu?+F92ZPosaHp=8qVi*x83JE86VuVp(qr(!}65U zqPDC>Xajo7gx(;fpW^eGs8;&PH+ik3ECeA2g|UVE7#pijy7l6mkVnv2x!EB={9mz? zzLDx6Hiax5>-JYJR2jN;qnl=&6m8`o_OsYrEupY{$!ss2oZuPCMxF+x$qXH~#DaA! z1L|@HjuDWknw5AiT4Z6O3lIf692EAAaT@FAVgQ>nJ%rZ@sXjcLi-nlCD(2DGZbA@c z#(Z0w+(%i2+v70Qms3i0V3|_iOFK&857?HAO{Od75$yw~P{ zn9)b1Y(HnmJmbV;L`G3#-9y7GF;%2BowKI0RUTr2QYXq)HQyV?R!n6$gWKn0)< z%Wf;3kI6&pUJ&6Hx;MQ~!F=&{>utD;3p={3fb`d@3``rDjpJm;BATRI1J5*%Du4KUS`+FTE?>Tkg^Nv&73F zgV#C1peliK)3ShzQ(Bbw8!XV?`^OnrS@!#4{w$j!CdpK-(Oi#D){1PnL?Mb)bx9_# z$asw$mRNbTJ_<7wLcI)U@=Y?I<8j*j8#p8-Z}V zk{V7Q-_x~>pNMk!upM_4o43My2;B-8GZO3P6}W6#7%x<(z1aNk%W!yuO2D*D5~R| zz2ouDWGs#!P8gc_i+3ZXb$dp@OS4d1QhcIh z5EGAUNA0Zdb(?Z*3Zi!C`+Q`j z-quwa{?AhO$ChjLvKH8{P(GXvc+70>wLz zu1+6_-$>~`drIyG#Vkd){%YTlGBodnZ&gR~Wvw`>`V@Eh%tbJeFBzyT9bezL|K@P@ zwb$Z1kOj+Bu$byF+l|VV+cX7z?}9vN@Cc;1sT8(Vjiw>uP`|)!rxBx z-?e!#{#k^t>)WL8mWLTFTAx8WP2E3@TAy9C6{L{^I17t)}#qf<;2(Q%6c5{UYh; z26XW5bO0(+e6l7V^5ljaQ<%X+H2}mMS+BuLcpKHJ_CIQW`K{(wrulX7qvVf%S>9xa z96W34R~pkQN6R<-4!H>D^1o3U?NU8sfdCZBZUXXHIZyMu+5cU}Z)i?Gl=ZtW0BjJUlf1z~f zIxGB35*z*?$CbwT61MhTBP9fe*Xm1!l#7ilFP>m$q1Lk7m83WL(d-p z{r=nNxW)kCd!|X@VQn29PE<>^sA-`z{pG6ZsL<-QB1a!DI~!$?^} zyDriky5AuZPpPmfUmV2wplI_9{0-BHIEK2ynKt0`Tg2vS!h_BZtvnF~UtC`u&?I|_ zX(P}~d^O-djx4so*WLW1vrIl;hfeI<_Taa zEZgn&`m58Vp4l^dvgq!&(Jjwa3c2hQmRiEisxhUy2j@^kR|j&{{y-4yI4?a(k!xt} zhjbqElnh-JZMg9GqXJMsJNSbo6abR+-PPk=b7Ntbkg7CSVIJgb*BMR-oOm>#*U#DhD@jV-J~!>(KDDB3)JT{-L>`R zy&%+MZx^QU4*J7)??&t;V>OS{9et__iipe)Y3LG@RM%P0o@#K!kUCS0Hhyk?;s=it zqTrJF-3VuI;(Jy}b)G0JZF~d;bs4Ds14^XRcn>co)9(k|!t^YMvRGwsFA)&1^_FCX z89(atr<2zaQXmrAw~o$Ds`vm|pk6~;pIQX^N_^fOdT z@9?XLkCgqkVJnAAU6H0jSTd@*FxYtUNAZ+yBZsOAZQE&a)m9wglY+@1aSd899x-Wn zq^)*3a1OI`F8h@Y%YdmA!+=MHK1LZ!9Yjyg(5d4NbqVJ3*$%tMqQOb%uMw}((BDAM z5!t%cqPUp*9%xkk+_DDLiGFdC=AtrqRy%7hF&oOo_^bK z47-TinFI%2llGJGq?CZQ6$uSmsQwPt=uUeh44cUGyS7FKE)hK#z#|UFQ03AU_U(gp z#St??aof}Lq+$1Fr{#=Kce-)JBW_P|Sxu^t(uj^%HWc<1@VVQAwF$y+C$145seD=l zVWJSUgEmdvih-ZyB9aRJX`Cotf#o8?&%h(T$E3=5qz60Y1og2*Y26vZfgt$Tlonj& zX?}_U{M#8Gc_fYUuSMrtVl5!-d)ZOsbhVNZVDjJYT0q&5vTz@;ghd3HV8PYKBW|3) zC)RG=8*pxhW}iO{aH>SZ^?^vrX<$jCkW{{N$*6$IJfYJHzur@eD$kI@%0kCgC@I`v zyNK0;6>+XyL}g&5Cgaic6fE8M1Y{YOI-*B049~NuarjJwyyGjmeGQr%yP=07XA2n zAN>g`%*@_-KrRAvpWOW) zfbjEGGim`ivj4dN5gIM&iH=!e)o6y zV>tWF-fKPUdDhx-X5b!q@DVwl9-$qlmeFSz0Ek-3c;L48*Rm@j8Kj5PRWNXeSqv6X z1p<2|UtmZazYz0}G26DTA;je*Adu$dl*WlJDy&oDAZ)0KZy9(~pGa!No3k0~I-a}U zQ`S}&(uJADJpPn}Pu9C5Mj*2q1x|ZgSl^?}dej9n1&vdLrNmG>w5% zaxde82;As?T`7G#cXii=P@gy(ej10U%LHnDyv$^Iwx(I9_P;hATUx{SD@;<7iI%%R zT)m-wd8x{#aa>^HR*mU&-4_T-f`A6!vn5B0fI*h{YjGEdE8|a*o=yGZ;@#ZE=}p3( zC5?dI(>k%Et3T+wqeXG|5>V@Tv%>;>f}BSR8L7Se1epW>mAeaU5}sgAjueJ3r6E)d z(SR>PC`35L%Zxomk`g&kKq@Uk(}4eX1zclh2TrvA0LWh+<}ni{(Gt=?uR^+b^d7gG z{3Ky2P|#_JUx8L9rHmTe`N2s=aHSKFrzGubv8x^j88L8?Ry=waLldoU1d@)1`t zVt<3E3%kvW-a>7nAq;>TvM>>FinRI(0YrVu!VA`t{nwE2&%y(fn%fS+{~8Ma-TCiv z%M)r4H5PjzG>D2w_6MDzDhRE_70*GCB$!)J%Lsrzv~^^1k%@LQ`7o%p{#wf7rT(x0 z_qa{8{~Cn{V?$$=5%MnO)wEG8wnNaJ^Ji8}-cGZbRjfbo%Ip|St) zXEc*X0hyKx{el_+b6{Xm=RJUY6SV-hb9ttTM#w2+kSH-MJNs8 z0dx)ZrBEz4?p5|9C`^idYcR$wOu+Of;0D8P15ya>_cBDQGZaf6Ngm0Kd;TC0k`y_( zj=Zf3qYDio452Rm)(yy91dK-FY*QBkDE0^9cY&YWV04QXONgvOhxS;yKF74S=MUrD zMEkv1GCBzW&_T(?@~@kT_B`(k0QMP180fWy3VW^-q5vHhdWAFz%OjQg!$@dyFJ|O( z6kFi*0v0&W%pfS~4osY4xb8c2d+P^aI)+A1K1?efSjAY|gM-{f3Q_3fLD7XP{YURs z;`~?*fk~?_ON)2D z(ggtf7uJoV>p!NgvJ+??cv z8U=F&SdA(;y@fjMq^Axw+0GvZGYt0F-kd)+K=qEJhT*uP*^u zu7(O7>RqF23|A?t9MV4n*1tVN`3egOjPqPF!c0)W{sFQJQ3Q}y)C;v5pjb2si?ikZ zvkQ9r-`yE(Xte&H4 zhi_q^mYcTGcO|f9M4PhfzhqDuoLW=_X z)_=TD+r9R9HZAqn?M;c4RbvBSa)rTOmo)}uCzQ?VqJ;=mlmF>#c(RG?fAZBo^8B+) zm-iolPI9e^c*eobD%s~DQOH1+%NE$Hd459rqvPMOjEn18c>A#HCs{0C7NvD${ z`EOFt|MnJk!)6Q0;2>y`Sc2xq_%XT)*qjDR4VmBZk*e=}uEzGyfL~9Gs)CMTd(i0o z2Mp;{X&*G>L1n42NqFHzoa1+y@Fv%^)zcIz1(*+wVy(n1cGPU4(42SDAzv#0{FCTB z(lgq*#VC|B)cjDd9)ny27Hp+|WuY4S7zx0JU1YHf0YW%ahb^O}g!pI(x5P@JL8yuE3Kd^L`QI+FB#5x$|A^@1 z7SOnOEFbp)fyuWA?iK!!ekfY)mdLvH276tC44DnGemgY8ub)HwG5g-qh)CLKMNPdLq8inVO#7T zFDeb1=CXVz6fwBw*b+I_{wXui-e3c?D%~BsbL;`deD_N~=7+I*oF3~VpF?|`T2>sI z`t7)?>L`^7f14164iK}Z<}>KMuP{9$kj>oqG1rio@Bs5 zTw~R2)q75~nIivyc}L~mm&b$b@6I4T7#n{Wz5=$g17)E5Ak8k9*q7n#%gbE=S?mkOiHaiG$LYfxA(N8Y9@^S|`$lSy!0^`G+~G^Qd9ojs z+?&0-J3bE9g1&ceXWiYAq}kXl_wLnC5D!pFuqj$mE2u=M<#J8XjB_Ia zY#$PIW|dW*Pp2M$*WnG% zgSxosp{5nk+yPd19FDkuUXLs%#>*7v=pV(#VAkDg7u8{p?K>)=JvZb#(=TT;aCux7 zVDO9cI1IDy9d}wUe6{eUJUN8MYuK1#9wT49RVak5yW8^5L<>QqM4v zH?r}-#e&l->&V%FO}5PM=H?E|sL;ZOtM)IdO~n1vR4@`PGRl6?Ej``$?;qG|YBY|z zI%2gB>Hy@B&r4AiKP$l$Bp`aU_gm*=aQRZq+o+KZxCFmNnrH9TDfQ31FXd2z9y$8G9O|~C-mCb+Ahm9A2_`xiHx{k7?S2*Ky-e{zNgW(p!@)-p z(Xd!(pufuy7n5fw9g9S!b-V@8ZPsO0)(LbJMepYm&?!sdJ|uE{XS)X=q*C_!6^@Xt z^W?)%Yb5pImVHO7#p6ab;s@_UGeZJ%C6-cyAwdyh${9bsf=j=S$>mbN0Rv?SkyvY) zE5cvisv4{Qkz77^*qLP@YE$q;M?XcVSCrJCXK# z%p4OLKag(4^W#5&76&Eg^;IO8v7$QT*BsbRie@E?pZB^n{W0=5g3vM9a4^}1B%uV4 z^|qbtJFL=p$!1ZmQ-%ZJE8IoWElZy17XWxX;=PR1(NbxKvmw=GXcz z;-c-&m%20Oj_F}zcJWFej->tk;1yh=Zey|ebMt(tn+*;%^8ha3`4m&juBEN_G+A)+ zS8O?Iy!+Eou=DC!>4kSGX)?qGxxr10lP# z0&!=R=(^ueVPVJu-2E8_MutG7Z-e{$Srq5TYwhbCH=z`(t?*tq6}&&1%~plV8Lf8r zB_YM*S#s=YTIm3w*;n$e-Eiug2It}#T4jGR5#Ho#4Q-|NwNl5Vw_y`=8Rz#7@?KrQ z+Ni0`wF;})X{%;(SaL$4O$VF0edGEt%Y$}LvFE;7|Lo>r^)rOl-Q%woB%AdwVmjNr zbJR68Us9%YYiJ*Yeag7q;P&vj=GVk%X8-8D)9|8en(Hi}@Rr&!%U8PZ z1^Nh?GmaB4)%c1#Z*1k0l)JPD983fi5mYw5_|&V@^nmCQ*Vp{EXFaTP`sO_iQ!7D< z(SS4R^Y%sXfL2M#@4R0{$udraOAXZTi-qg@Harc?GRAa?!(xnRIMOEFjo?mlGBi** z?ix>lgSD1`qtSfC7IaYSG|zpqJC^4QvPP1}E^l80Q6oc^jouq_?30zN?2ek!UkdNC znj&uhc(95jfwhXq@8qoHjh>bFL-Cn>hXPuxzRIik75=TqPpe*w(J1a=b)Pb|9y6hM zXV(>}-DcIkj$%5MU-+SNS+zO2Kl`lVF9W|gaHBbK8=R!X*CO}v?SNXfH- zemoIU@_sdYW#Eys;xpdBRP~oDa1e1P^^Y!qR35l&>Hp9VxNMZ4!4=#OuY}^m6MM@P zi8Cd>`nr@MM^#0Scr%)*MSXq=GCJI{DClwCFExv}e`{x~0$vGaHK{Ho9US>HGIYH3 zfo?*DuK%}a=9gn$V_%$rp%p4YdEgHtc(Z z))=r`?C?&J&29sX&xS5y$+_T)&E8EV=Xh`W*3eN#Eh4WT`~gexMCK3^|9R&u-VyK` zu7@u^kg<3Z!7nm3Bh~t&rPb%v1m!R#RZRKx>90QsaW<|2uwTo?Y(Dip8r2&&;7zEL zt@LPebKVNq{+RLU)Q7DAvNOe(BZntBS@vkD&OX)PdS2e?TpQ|f%uX2z>@T?!tV_Fu zij!Z#E)a>==lBZqvL0=>e&go1TCDsE=6y$^Tm9R}ZaZ!qt04vse}fd{$TQa>t-Vz{ z+#b-AI$WZwRjT}&4X)z6QO6Tr1BON%4JIZ0?p6h}E>~u3km$rpH3W$eBGIU3WWrbt zzRg^!;x24<;er9%NruCNM+o{Zy_NEbfc+nOaA!x6VrutN-bW=5JdW(N<%llSB|U+tuvz8E?C2QEUR{i+XiPDLFnF+(f|TCdCfzr@NyF&}fPCNaF@YC% zmo<%=mYcGcmNG-`9(wM%MQBKf=4OSCgYNU$`ku&RvpZ=$p8uwh8CGm~c*!4oZH`_2 zgbaOb?6?yA zMRb5^z(7f1OwiN^)zSVKgkpx0XpD7oVUQVvQW9HQS~^Tl+H=L+P3Uv7^(%E#cus2v zN&W&X9Wme?=Lmk+nFU<>qS0oOyM1{7?@qmjXIYVE4CUmpXX4!d!n}Cw0Ws}ck zsPp`C?+`M@;iBAK!?Dw%P*DhVWwP$tkcKG=5B z5+VZbSm<}}Z6g=~l;!e;F0F`F1)8hLWOCTpOs@cPg&pt~yoC@PU3q_C3d6fWHh9>p-hf=JDnm>WmV~ zxz2{%`4>vu3Yp>%bPPHF^tSRBkt)Ctc8R=#FcJmA91c^;q^_`RXO{mI_m^j5Z5+bg zSL!i6yuGcW#-Z=8rZjH-{o+trY;BnEoBA*daGuo8eNSdZ%ip#)mQ|( zeuX(T{gIPb1yo&0=9B%&;f-13Y7dadw%}$NjLcl{QGE|JnB)oDgofAj&dEi%$%$zt zlC{yI!v9 zNtlb+=DAfV}!`^+_yXInhsyYriY$=ZYh>{9XIWk5;=+NxHl2^d))&QMP$3VYO>ETJYi4_wf&o}xT{gJ-P7fA;bI(Xa$%3bOYJuC%U_d&UAC96 zMPL3+CmUeerljN2W==>&p(5?00FPXUxp~#$z66wcRoy#h^R~1JoG&z(9!ketRBq)l ziRkzQ77tmxbYAn{t1^{wlP+&tDc;s8OzUKexXX^AFg5=$p{5&LsJNN(*!)tdrT2m= zr8wUV%e#x3P-hT-R6Utm4ZfEbK7Akuatw!=>8%3(8(m~$xxOB2Sjq?(s*fUCxZ$%f z{s3b9o<_)G%Pn|NNl+sc209_UNaF5XHG!l|RBZ+>m2Bp5BM(h++%^(3#fus4D5$90 ziEuymmh@&q!Yp&Y`Ru%q3YDOhd-c6SZDsJfBti)(SU~#8Uc9?p!`*B!mb;_NexgFz zvPqxo+OuQftMo(Y7)a_j=RhpcsNJ8+luy8IhMFisFV_muEe6{WG0W%9qUFmj170Js zt~M3X97J`5@ckucczB3CnaY@U?BL`eA<9Xvx)r!1(6jz!^(=fsI>VU)=ud6Oqjul0 zEhtzkO7dbb0$K6`30u!Tb-sJ+ypqUJ-=WH&Z5*FS;%Zcph#C?#GZ@&t(^GmhDg|7< z?>E{(MkF-gE14)52D?MoqsF(@SC9y;`_wlMKP2%~=pPfC?DhGSb_NRlb5I?V&Q{FO z{ABZIyKd7?NB6jwoE>}8(kfRJ4$z-%h==qC_pHg<<8yV~e+e`b7X7LyN%vSL4!d}7 zMmwWvx5a*~mFxKaV}}tI6BR$bbO2C+r;tZmmoe$%hx^v0(omTqP*hK4zLX{V-aK5? z)iE3LyZW$vBmwz;U#Zo=1H%(34)Lbx-5_qI-Q)HHN<)d39ziuPom%)$ip-d4YwlQ* z_B`jSd{1RHf2E?`@7oIDvsjaLS9J*Y&ccjTKO^B5%si=Wv>vRS+ANP}ZnJ?r@bD_Y z{4vJi&AsmAn@()CmhEABJ67~&(L2ri;hq^v6Gb$RiXu=dS=-Od-sVoqKy9e#O0k=| z$#9v1vE;^D0B)pmxm7GI!JC_djJtL2#Sk zS&;|hBdlh+(7uq5Z7)zua_DP&&mGyknqP1j@wzKYHl#G7L7-bJj3qJ#LvA6lRTGm1 z`+F1j)KwoEw+O=j@|aExZt+KXvR+1SzNSmeTH}FZU`=472(eZYzs>_(ICkaq(olWz zpEp12u@uwkvS2g!?zlKEJCp3PvHA0gcNGb9|H|PZ0y_qfIs{j{5HTX^6IT1acGGz+ z5@4^#D_PHJjcZx7x6EKHhf*M3mw_}!t2MX)MkX~B<_?cqD4pU|56-bcdgx)WERlx8 zizr37yvXl1s2}L%-z)%jgaVaFar=e^In4xXsB@8!1-;L2sDZ~2x9GYnwV6Xz6;jb# zdW3ns3EXbTtSAGL-U>SWc^RLs5HZPnqBCvyi=XW@6!2#eAA>uYHp#bnbO;zIXl3=g zkY-gTIl&T0sjt_|>=KtbMW%5pUK@ua_3W23hhV;gBiSvuDuT! zEXl8Vr}U?$0j?gQKBJ);(I_^!g`d#rJ$(s0{Qw8;u_{i+h^jx=Q1$Q4ucL{c&G}Oa z!MA zcAs5hNV(A|d4ux$gci!4>|4x=Rjz3MuHoH?2`RURh>Z*()$_?~S&9ZAPNY(;B z@V~?{2LMO{`m{Zg_)PfI>QNkuD;mhu1ucs6Ju;%MIk8yg9sEh-i<5yEA9OaqXgN)@ z*9YuJYqP#)hx7oXsn17iP*nUHx;43FYc+6GpiM_8<{y5Dn0v_YJ3m*;dq)*=vNU61 zp50=sp#mDo0#%$3dgaM3XuO=`B9E*W=iz^`4SZ{tY$`v*-eYuH)2k2vH2Do;;I`P2 zbFLc2^)!FqVOSjMLMc);Gm|S3ojjb;<(f8FX8A`UIbtQ9_RGYfO!)6Lzxr}D1o(vt z;bjEr1%}U5HdzP480<$FuZCEMq~EN;-;QT@$XFO7@G>Chg7!(nSob0}O`6h@czJne zZ~(?5d4o|Rranq2_ff@N4Hsx!NL^$>r;_MlD6^dry*XO>CZ^r_ryHGM zf-%-V0sWPy_At9vc!jQDZmKR1Cvi^3+gqwec}US|Db<%xejM?y&R3#KG!Cs2$1(&f zRj`SFSzlD1kLx56Rofc~YP7=5WJbz7HeVo&+&lfIYgkWYv3~kdN;^ZG*3$&U*hA4qmX;{1DbyA-uuX_ zUSrDOM`^A~?inTJWhKUvi9CeKJjtNQL}u9P8%D)k6GW*p(oE@eG>IUY&l{#WbSzmz zU#o)8R-Uo7$@$ENbx!3z;S4#)Y2`$vAI$f?BIY(W3lyg_)4hNgH~HLtL zahON9S#j^enq)joQNelab$Gp6yNynxH6`AjW7LWw=qGf&RURR#qHE_r4FUW@#lFFy z`J`{8ve^g(l|6EtHaAY$p%=5{GrCqPjbGtYM^08xOIdY@;nFtl<925)qs475M9{*q+q4wO_0tJg0s&*t{N}z<(01o#>XPx6Zoqz) zEHH6xCh-*Ql4tMJUS_f1-U#`-TKX65192$9k`V zvittt{G6krL7hEzWA&{yO=QbQMj1XiT$dncg0J{MQXE>1v6I4EpI>U%Jm@o{oAmoA zea5_4+|n< z6hPE70G%(6+2z3jx=`|Lgq7Ag_6GI+W!Sxt^co6qD|^9$5e;#bH$VR+YxdDgcIhHn zv!)18$*RM{BxILe*WA84pII^9z74%_{xW~xZm{y|gF#bkjO>Df-R!72J@L*q-Udc& zcyw%J>>P}m8m#|q4;fsqP*mY9*PHP7Q96@>23hz@UgIpkcA5!}|FJU8rSh>E_Xn0CA<{%@WohNs8XzD<^Efq4`$`nP-R)G*fG^#EQx|P+m zq$XgBuw4t5i+9qY>qBO{uNULFS}`j2@gtgXd1&~u!_JwjsZGArSXC4VVY=(^I-j^} zFm6add>F0{K{jHx80#Dvaa(x5`H?Xt=uM=p=1+U6E+zuL3RByFK5 zwiYPmR%$f$zI6cofHDrCJANeznDM9lhgiEig)!EiM>c?ymg4OGXqm3_2SK%0%nCiezO=3-%zPdy? z+*?_aj`Wnn!J#3u(4>kA4!(1q{W#SZrsA2m-?5G}SaM_B?Vin7fqrQf-Au@3ROK#{ z1Cq$=YbBakX?XyLYy0m@=$VCnZzFW|2RpMEXy*eL&x2ZetB~7zt*KhNCXpVockiSc zG-l&0UZuF1w^&7`&Av2V3oHOq#RW{g(e`{rSzE-l^bFh{H4m%|HY|*eE1xE>(_|?ZKymvR4fj5nbNc}(66=oQp`*~eCR0bj}Pp621bZ$FBeXVw%t);x}dpS zO~Zp{_e`nP@?qO1GHK6$|0geWs1|?K|8=_{18(VR59v>_)TJ%1XR}}xD%Y?2L9ANX z4G${DN!4AwBIb5Kc_o~yr8jTdknDfWJVImVeR{n6`3)ALPT$xQ{wW$J#JjJ{#e1~) z2^ghu>Uz&Rmfcokcd`~nUBc?&;dA^O5k*rfnqgo~`|!9$sjy$3Ls!Vc)nrKmsnWFG z0rKrNG270|S>`u$rma3eI7#|1s!*PO;++V_JF3;m zhX!9xALbl_iVDyv#*E5@ggPO76q}xgl0cF~@y@EBe^b)5@qwBUr6-%!$4>0{)WpZ6 zqPmfh1w3RD>+x*U7AwbLluss~{lXrfoB@*94Q}?8x7zH}5N2uPl%9!i5Lhb6+Y*U_ ztv}n3%pOVyI*_1u{D(j%eomuJ`8r?0B{NtT?LpFO3dkhra@a-DFis zT8$`t;{jI1u4{pnc#tMXL+h#Q@i9|*?4t&{8xgLbbt_ks-6x$q*Mxxzp{}zTzIYI^ zxgWQ^w&WWdFJ-zuaW_S_|4j{$BL1Am@vEj!tfbR{DC5ki(PKS{k{lHY@#$TI+rw`) zJ35l?*TwE(liwj54@j;%lirJfKs5EL0+ENiX9Jc)xEg`m*$Pf*2U^m6tsY!Th)#`q zP}?2#TM5uQs}*x2TAP#p8u5}8Nikxn^3E&up~7e^jIihpr_G+CN3%|d6(p)&`l@;p zf)zLCYdz#;m5XVag+)Fk^7Duj(Zr<2T+^i#p0G7b4_0s*{?!}c)ROo+!<-f>?WRD} z{a{SbD&t@QmzNTMxqb}-{NH&z@fwy>+-f+nX1H#8I_O_SP0P2@Ne*NpEj{Y7)fLAL zQ;1%%>Nb&7hsZUe2m_&xWRlPcd%J{a9+HdqQgNgjzW=ZM^;9Cx5<(~EweCt~9p-?8 z>t}4TOs3CCOte7u6n}{V#YC}ny$JsqP53kP zd~{o`k=tKsY{{=St`7@5`xk3}H zMj8pt4mer+k$%?Wgnz&r+iJHq=ClzgX3vXjw!!bvHI(M@?Z@aB!6YGCoFT0P>Bjbc_XI*Iw0?>KVLQy;96q`vv9$IjB3%FM#cT0a zXZVgjNN_K~q-yx=GalAEW-TK4!WfIZokML0u>103?y5-r8ad=~=*PUqv;-uEqOEyX zs?k#j2+!5yJ$pD6wSMu311=bwd`kBHS#i9?Zbp9or#VW`n!enR`dx{Z-gl>vu3X0g z2nJ`);S_2$W85?xrtSQXx!~HkDEH~-S0#{*xb&6Y^^K$Rh4w^qT>W;B+%o(iOlPr< z>#ivzZiPmry?5)C^DoI&%cKl0ABQX3-0kvKfVZWI$udEGi43 zmvwT~g_;`wFx8|RC_;Kf{HEipU+%Hh8qRKF z>%y^^&0fWwN&JI^_+RMVZx@?HQN(#N^+&63__Zi;{BLu7t+!mI6SjKb?a$JHdusjP zHDWOt!rtsL8a@-aqnSFz#w>*&&90l{AYWXt^BKTvWn9Hk`($a@O1)z;N*;`Sv29|T z4z|pC*^bVZRZkoVqIO>EQ_`Yho6S4_c*JeeQi&7dJljlRf2ne-&bJ)@<4*yRZk0zrqxadv;=;_hMU(I$h z77>1e-O$%9T8q@;_XX_X=GoltH_kfth_?pE!D z`$pdG(L*(Qcm$6N`Fjlz$`(bBnwD$_n?2vVd9l7_H!U<50D$4esB;XVX(KN{x<3-tgg`ODDnt%{#1@703_z zJmRfzS_|Cu!gy3m~5bjSkdZgz=ur^X8=1n4?}r z(f@NAmnhR%W(PmV+pKBhDse$7XS4IN4q=XF*M~ST`Xs{!pHfxXpYX%mm7SN5?u~zE|1EO;{ARn=kPYj_aT7)|-Kv+e;-d&5b?}lm3z74UM_k;K{Y_1q zlnudHpfL64v5_I44=6N4G&B@<_i|i9IbHnedj7)5{?i z)cleo$v2JP6c!|we9LnP7LWNce&<=9-8$7(v$9ACTSO<8L{i>in`o;5 zZG7LxOWCgHa5CoJw>_*W#9`rd6LCZi^)(qGTP>dZqs*TxtbUmi;m6y|KavsZC@V|* z1FXMCc+$vG!ZLa#LNDEm;ASi#`2(*UD)8V`Nl4xyEJ2`>cv;;$`Qc@jIKp#p?$NEK zP0+M(f+})$M}L%0mKFBz-eK&O=@o8HHT$)>)KlBcX3jfv712&iyPxKN@}S z=S*=7hC2(X_eS=B?~H!mSFsXoJ!~nPXqo(mM>{3*7iq92fqdN%ToX8UP&(Bfgmg`+cbKY3w+D@Cl zbXDc`(+>Z`#B&q7V67f5N~5f@DQ(?Zfgcue0hbz+iQV3A_hpQchdtE_!2W&PN&g*- zkqU|Z@iiJptKDeMa``(%$?ql02)K*AV*)1wD^yP-L$lt(>6&^Bkz=_drVaF)o95j! zM4an5hyny^=36`V`$)042S;+zCeld?$$GV3f-SqZW4$p`B@Yu)3UXcGtdNJ>F|BVW z=PfIs`a*Eh-C^ct#ZI(e&&{R?7i{OFnbSmh$jq$MteGiQfoHT?7Tw1oKeTu! zWxW^bD$U)6@MlJ;Jqc6Zb3PfC|TPZT&alq%$9bPs4e-mU&+hWCXpl83%58d=^u zrzHe8D;=$pbss`pK|1ZNRCRoki}`HEC9VH-EUmxc>dwVt62ame7aa-DiPFa`>IFIT z)&$qxCV@S6QHR>z0)*I~(_541l+%*L(r~-~5)@S;nt1vL6*0Em`ZRqy`|{xQN+bYV zX4p>0sn$!Jm}_;m!kIq>{nO#wB=o=aQhx=Q3^epMyN;l*F>w>lsZZ&+FHOaem9msn zqf!k~nu5ruP<{p|zuINr^C6VKiRF$n`t3HklC6Sz{n z=wxHrN|~=6ua1G1%pF(5cO^SMC2R>kqH+GR`UT= zl*F9R$i>&>LQ*YC+FO$5uhUS`20W#}u4Yl?t_We&s=^^1aCub%is39Bl7wa%n!J>< zR#rbeWhd>Hy1u9<2St2nQMS+Y`4&pg`TF&<*_8Sa&IAWQVAzVWYv!%#Ekqp%=DAba zxZJrPiOuyZpxUZRMUWami zo;7XVi$`=VJ>RulkjJ~y8f7sgjfz?c0*%Y|P zf)egWUN#yWM0@$Va2%QnJR~ACba(;+K7W6@z(Bw;Fpojif&eKvzE{RqL+_7LFH%9< z-;wJX(T5|7(_JgOvNCV4DdomHw=e339@*g2O13W@S69Z_&WDB%+?zbZpvcuJ54blY zZ#yLef(M%uuX6ZV6>B70^;##bj*m}I>0X$Xt7NRbAVsxEXXkIKC^hy+moGH6=rpD6 ze8C2w=HdqAD-KMB2G{#j1jNB9Nc${d1nC~K?K-|!Szx*5#a9V!sfZNE`c@!Cx|f(J@ZCByZDgrwC@8!C<=zl6#jG6NZzJ73cjO-sY<&YM-LH-DFfV zGx(r1|ICqcdY|ap9^W*9Jxo=SG zSi4sJz$gV3zL%Uko6DjnVi93%4|4`>j7V^z1RwuZk}*Q(`4&Exyj_eY`5T9vy<{N} zuHMha3eb3=t=$9hJsk_n$ z7VG;xjb40Gh_&s6n_mZu?UIjc!AI}$^mGC|&55}x%0FfFktALTLQ>totJ?3L44&Ht zBvY^Z1p+=&FuCcF^21br80{dWpvue$}l07Cif_ zQ}0|!V*lNeHt9m(dE&}OM8*cb56OSvSmXg8m*}t8UR<%og{0l>I6vJNfJD2#u6x}3 zB8|&5w2buVTdw!s_24I9hP&5&uQsh#XR%PBK0nekC|m|vMmh|=_Jl)t<0WncWH$Zf z@#KK1#NiF~*t-RQk~2I=QojO0XfoRmZl zz6o-bo^jhj{#q%#3{W~Fzk1%!JfreFKO`4W&3Ww0Zd$)hA{SJZWo6|7!e4jXfrYJf zP63Ola?JkTDh_TF(5)bLhtu92_p00WjWoeP7=Fj&W1Z(B^CT*5ZCg{0v_dhVByh2d z@;a!D)Ij`fx%CDsn@Cw@Bo|RQ9a3K1;SB}>9p6@^^HrIB|KQU5=X{M3 zW=79$>hTzFSB3u>F0}vq(d$hSUO%|pjxB>4w9zwu_G|z6edhdXllw4bljD+mw1!^z z22ECght6@$hpZ4m5+#YO76>}@w~_pjC9j(9S=~yI_~0`!nCYIj*M^-KjvR-msZiU3 zXemGYiv{lb0tOagM;d$u*vDC|iupZ6Zm5Plp>DSyHw}X~!-{&AajXu1 zO<}#$7>{rjQ9hCzb+B;{_eBGRh9q^w^xGmKg8G9mYM+P7R#D}eV zxA@XKT6c>KrPog@Jt+P!)a*=wtCOE-(tYYd?NT1Y$psoxa0u6)U%nWIObDD){z}v3 zXV=iKA8Zig2<&@Uhn&B*NyMFwz{mA>OG3B2q4xb<-(ERvk;3eEE+tJW>C3Ln@mGkJ zH|x!OyyG@{{mjoIpY0tvIC#ZzA!D3y=IGE>75 z)LyX-e{xO!a8??QZm7It_T~-S7e2&NBJKdg?sOn%A}K-E>8y)u$m5Ec!i@Tw{CNIp z$s4Vx@8?7sYwYJvEuGGr*!k9s>dvu>m0Fqnt z$}(EGXt}29;gtJ|7PcrwciZp;l#N=4RDbP3l+tW+WOTZY;%tVvSi*`l^qiz5(1-U7 z__2T*q@3+b-4AmtZ2e8gS^^3J8Wl0AdIE|hzkLfSN&jS7NHe* zMHUM!c}j{|4RNO@W2IZNNu~TU6k1TH26Q`pW6q^++=j1t82!1-G`~L^FL`tFH)+(7 zaNk3b>{J)z+KBMCL9$n0)n^K1Zs5v}HITpaP&xnVw)Rg)5A?9p)gtHHe-<~TdIlB! zV~u(Sq+zO4%RNz%eEVGqda&QI9w{IVDw_V8wKXPEq1xt*zP*I>@k(3K>M%x=37|{B zKc4exKsA1IF>gs;DgdCe)0mkL|V%#PL&!ArvJdlpBh>Cy-vy#4EYdyiVz%@_t0KC|{n zl@k9lmqg90l8t2!Zv17FNWtC-w`ZNmPl;Z^enV7oFT*B9+1Dj-4^O)vv?~;Wvh;IM_1phZf9nFlc8j z{hroi&5&QULYP0g2=SmL5t|0jJw;kLVaH!j2yPwX%DUiKwnKksucr_LVE{_U=qH`) zJ=~t~@V7TVik+4B0-MpO-ShYLVec5(!+-f@=cf;VWGVN^oy2PA#i%Qa@3=q4Pb-jQI4OSjZOKYDpZIn6@Z%Ek zjPKF~qH7h2gO6H?Vm=a{oR=r?7K8$&)ZAbR*>)ry1}`F#sM zE|#gsNg1rk$kUAXGYUf00tw66C&BqWpn%B4=uLv)+}YY`dA~2JPp{{=(iD2px!=4P z3I-myH%E@3<)1b&I{8?;WwVN9O2jo_w=pVSj~4L$#35#WQ=f_H9G;~<*M|fPiBW%{ zfl>{sux0+ySmgj_s!3N|WCI>ISQCEDHMNyoZ~nalIjuwVX&U)agh94&)h7Xb>=Yn& zfw-|A8Pl3q-}h_!O;fr57dG%to!60aB@1(|M{>iAA_tb<&^%>?`_1iUuRLuEyY(v# zu_H~BM<9=cT0-tpa3L1q9O|zNR_yu2<{{l$AGC3%-vJ8len-qA0>4NkF%UPLz<}^! zWGdTE25V`ySo-O&9kJ@t6*9k06I0WriAvF(*8=9%lPqoE{vsl>rPk$VmJf+bMxOJR zrA`dNAx%Gx%z!BEgK+I%D@72aw1UvTTK=*GsF7(3hD^o`;*~QYJMoS+6J>`FJOmqy zx>cXchyfAZANmZz=G>ga`;cp{gSlU%03ui1>pQJ$s)jCE_z3`ld_f&9&l5Ej^xuR zXDOmF$b%cAq7-5bJCBr@OsKF!vq$bTvl3yV0ZPzZCLTWd?-Xtv@V@aC!R#9%qBT35 zAxGUMGvY7>DnIZZ?Fxx zInuvE{}~3)Kd{}xB>sZ$%Y=EKC4^VOR231mf+-Q&?3z+V;eWC7a9Iqsxk3n@+-i z!KZI<0EmYoGJXm6#alSF-1ztw`NP$pj)2|uxWo+c6GXiP`gqm-p^SgHQ>%&dEowCb zMUJ~_#qnT(2WLh;<)%E&rpQg-VnHc@&T#_9RaCT3o=+@k29|&}To*KBJea=ISf6}@ zHP(iR)b+%A>`4!Mh%kd%yu;r0xbjQX*Ol|FON+8g23a$C0i|5wJf}x{pgO ztqEn(miGxQP#yJ9)_HEYnjk`;_EmGX>jF3C1ot0zk;fc^B(dMYus8@mPy?{LB;sKJ zG-J!&g;WXRMs(}R1u_f7lX|6nQN3FD^Z?vgL9q$!&hWl%Jnx6`pj+=~rXUgpJUKI( z_;2BR6%RM_v+HzfWp7A$a)uV%GnX{~1z#|NIK20{3vrfSg!*ChR61)qFv*pV#i!_t zFIx@<&Yllf6Az7Es=H0a0Rs|eHuc1Cv9khuP9iR*c@peS@Ex$gs2SZA)(1O@Of3KL zltz;C(SpIE6%mmnd&YD8eQhy2HB3YS;a_bAPZl%0?dk?(Ak{5pd`ZniW~{!CO`D() zKQ$%zqvtDFMJ{OIY3{Zm>7elsW=QhG1ip@hwTzUu&$7{H?0p0n{Dd}jY0XQx_kop` z2ypr>1PQXLNR=#4hA~M=ek+KyBHoXW3J&zx7^@JYZm@JSEY~hkvEL`)E5A9FXLfVh z5aRzGqMg=%1b!Xj49r?YaK#nv+8LW|;2fY*SRaz&SC_K&gFg&{XfRH8f6M*-T>KNu zH`-e*R1KS*+JH`I;|&49U7w{svcp1#el5EghKw=DetawXy(zfJq5nFJAgEA;Lrp;} zC)3^++A7UR0lBw;Tc`voWmjPmPs<5c=0S#iwKlv1+!B$`#Q`BNF=xS%h6M%&25Ls9 zGWEm*hF%2K#AypD>FhZI*4Z1r1!{)glbz6|-;w1oz~`JE_UU|uLM5;+aEDA(tibP3 zOvRrMBoJl7E|arN8Q}$Mq?tTE-J-Y$MiAq_+>jC~^u2goKY>p8A>0Pzbki>ujCl7r zg95Z?(faN1?)P7Rch3oU7Bkg!sX;xr#QDF!rUS-aW&){WI1KNl4qYIHPY%G{MEbr^ zkjEbIIhsa@qc9=#czh7AIu=&+CXE3cIysgP0Z_RUH2

DMu7XX#f)Dg@f5f;j$Y{mfk{HxIY>BeF%8LtzhReH(3KLSww~w6J3bps zB3GOJ$AC4WVB?Kv_?JlkmS~~-V>nnCXdEw`a7N;BGv}e3ASYBmE9Ois^BHsneeqEh zTTl&15sjhG{y}>HgAEXxzX;xW#sB^t1I1D9B?5Im8gL5Cw?*u>0=^Q)0M{x>@9NJ% z#U~4sP)d!681-+Y&t>IkZUh{q*zIYvum0=I|L(DIq4QzgQ65S!{Tv?G3VFRv3emie zv(D*9s|8`v&S>_tO0!r1Fs+WWxwJdTS9B^!T3PoSVZz9+19L;qZYD}aPNdGR&es0 z-Cny%#pDS~Oa4o>iyR33Mc;bh>3)38gD72Qk>eu^XB zz3RZH_lWRuasEazZbk9_7Cm5^jGepaqWx%VWMdqY4h~psF{e8R{`vd@)q>PdlCg8m z3*qmZ_!WN|_(6vz=erki5|!M0TE>;1Pj(hUna>a=_9pf$Cp$EWF)U9um>cv+C?h_7 zuDSz#;xno=1Z&VkJIqOR~DW*JWK&B~ZUI^+bGgv`G-Bf8_)+lBBktY-5Vox3bBUT>7Hp4~n zJy6ChbCKh??sHSt?{O6dALoC#7wi2v-~1Kt4gEodDn+$mz}0HXzIsZ9zxQ@}rGv6! ze)ez#i~^EFSm3i4VioK$sud8~)2h@aMBX0FZ&LIc+Kv?M-tS~#{Oy47bPRV3fJ(uSX_dXGo;-eZFq{l02Saj@ z^dewH0yqO0*23L>Uc$d7jS2EIr{c2kb5F8%uL`90sa6WtPd=^bXb&F#Yg(P$IQY-j zO4s1S=x=<#d9WPh>r~oy7s#LmZLFaL)LZ`ulwxo-kpWCfvYF0EOdt%))*A#;_bR2G3H3& zzKBc;WgG(s=R@2p8~&6^h&o%psD7PJOJk$loi97z8%~F`c~a)wTw2@(wng2Yvq7X` zYZmfl5`NslZ)ZGNLWWTXDa7%2!unnYW`HJ>P#VG;-z$TA(<*&Klw+2(Y-kNOQhHYf zo@`>UCQL@GNbm9R;#?_HCzAAs1mRIpsas0o;0E0+xwEg!Op_Aa{4lm~VJiA1|C;QU zZRDbhaJUU;40@pRkI6K=>@TLa93XVZaGVZwJ+%00@?H7qHvzg$UPv2|Hh3~me9U*5)CS*T7UnT2yDMZMzZi}r1KV=ait0{cZYpy>1>@e*&>WEC!i zQtL&JAAsq%!5g>e5Vk9<&QCTOv5rn7xpmfVwVG(2uSF&+mb4-MXPRW@Gyebr;`@Pg z(Pd}|vLki}X4wFzv zwdlsNJ++Iu{TIlkT%*g_;pfH5ed27}^fAZAZz#UogV(U(XTI~lk|L4^5rWSwE=D;9 z>pd_7DOg|HsSvP&sIucmWL}-BF%b198Sf>({?rUV8c(twxVpFoFE_>?H>96}#9hKM z4wvB;`|vSdG)g1wk-gL5h&BW%!BfV|EdW{Dpv{j3?$_KWSmU0 zFXOfW$hcXaR>UObUfM#(78;JfY-a8@4#Wof17Gvq65(8--C&tu)Wor|=$v&eFM=yW z)NIdBf=fuxVOIE4eteKV;>!!uSbxk@B^$bnHY^HHw~cl6)d;b!Ra80NA=sOu^h3B~ zNGwCj%zkA3WMq^Wr2f~8>%y2z)BZ_KEgRT^P8Bj16%qZ|q8B=$+VWPw?3zT28dr{* zn@VJg9ygPvCy8K?Ttda;cCAj;X=mkX@^75HzI~5Qcmz=9S$$NSNE8R}k>l)_Z#U+>MyzZ35LDaZUI%D* zE+QAjW1+ zM`=KJ--5dr6QDQ$(Jr7pV^UY)SCeG0?&L*rH)cPFF#3VmyWW5PrXedr_3L-!TaV*f zK*tgyE?=i!_0=KUIv>rqRqy|_Em?5$N!EiIWLPU=2pG6pDk1jp?Ap6D zno+*CZ&)AQ5v!^KVsbL`aXtJJEh*p=-f_;W^_PG8cSN012y&O#teVmY{@Pj@wAxSq zZSUJHnLK=V@vfs^G=ZPQLd9n(0WB-jve~OE5*PK!dxsd$FJ3c?YLu96kJa zfmpR6Q5MpVzFV1m2r#(+(FnK1uu*E_;d*Xrh_;Sy)+0*4n|{hxVrM1Gr!%%mb+zdh zOm(B5YuJo&zGzreI#0T2u%v&O&iir8l-Vg+>2pz0N%mj!>gq?gXECP#%TiKA|K&X* za_v6&s|Syd=bQi8riC11%zv2=eSQ#>L**Z`CcOiIPtuzl69ODp*_xI|I*YkRHg*N= zCcSg#Msl@AqaH&ptG$rN122C4c`oJiws&s^Q_UKkiargc_*`vzD8l-0Q@hFM9sE|U zcpJy#?!8}yZ8g81nZJ%=l!$74DNNMibglw><~0?l@!|=i|0n-Fsd{i(+0ew{@o$E1 z={+)R{6qYtAtzQ~mV+GY4cc}J6{^I`&oiyooPH#`_5IW$>GY0w{aiaA=Z*Iy4Xk}= zVo?s0NZ>!xsl8njHz^v_*m5lWsP@JKi9%4u8OP|2P{*WHOjMl%K{*_a?rNJXsn#~7 ztflra_57r1f_CQ1$i?86DG?XW&j0iGO_uxytY8z!C1$iUiqEhdoL-4|M8``6<6u<1 z;3?f}orYcw9WhRT+69f$StBY0An2JvXhZs$#rMcQK>5JD&@pYui2x+V{j6@8i)g%O z2eX6{@3nAwZrI-bx#^Ov4iV>I&&!v1rWS4d9N;v=aS>9f=K}6_F7t?;yeOptW=hL) zCO)m^eguDrfhX|SLp;?qn+&{+_WLay&=hg2jXTW1+0vP5Flo$Vj-14gb2a-lMtcs> z0X2SB2`V&C+8Nc;JLru(%DmA4~58^L5+MXo?&M5cc!eIL&Bm=56GA^yEd1;_{h-%~1ZTq*) zo;(&@K!0w_`chv1nRZR+v-iC*Prajm7&LgUq(mMr?qW<)G=xCZa7+NAwMi&dmx+mV z_W#V;*cyPR=(5E*`{TSjLo1AOXVFDHrz{`01-awQy68^>Mp$pEP$BMO_T62I(;6+T ztvydVm_(8ZRKDe<{kR`4u`1O?Lr)|6qQC*O)g8`+;3^k$JAdvxU}81>asD)@xW4|A z=ZNsZ9?x~?sk)r%!|1Zij_Q2hwO zsdaSd|Av7J$94{N)T9F{TtK~1YKPB&nuXBZ%aM!~%DBMl8bg&sQZ!y;D%z})YF=Da za_08$g*!BE^djA2*>_0Oh3LPFfyO)ruguQkJ9eim5nm0Bjr36`18zf?3@2TCRSUbMTn2`9)rVn%4Z*Vj1_FIbHi2i(L z2Elh_*78~Wnt%=0C3y~9nqxev{cU6DWj1#A)%i2&<#siXG=HF)&hj65(v)XjM0t~< z^^ADuBO}S|e#SZt>nOvJBtgLSc4Xc0J0p!{78#%Nc$TT}n>*9O1qwKa=OuiKgqGI4 z)%|HYWDlz!6}n5xr&LbbHhLTh+(~P8ic`FGo?Bp+S5US0KXA!kUJ4Img0lQHcz=9x zudf1rfwLy@OpXHsPIu#-6CG?PKE^akg{LrBB)gEf_!r&N*ms!;m|pW2H3#op<6M#c}i3?TjlU)OF7E*ImhxI*4I)&qoX-ga=e+*IrU zp$ly|{X%x0m!3fjX4Tn?3(u#6)5%=xazcPZmWL)9OPxrV=XOv_+Nb;Uwi604ul~E2 zH5y8QXni$X&w*Sr}nBGn3^x4zx5Ssce@%^rSm(0+eJDkg;h?PVl1-E=|1-aveS`d~Tyrpv3^fnghVvt5hmXRBBTGsWO5 z&93lasi-=vKAf~)9TU!vZqO4!!6+WFfPaSyVu$I$qBm(1LeQ#S_xtW(lU}TyikJ7Eb$`s z@PoKe^vjR5wQ$n*D@t%4T#n~$6Gm0L)ht=Y(yQ3I8C+hnPGuG0P(|`39=%(=F$LP0eAyvE=3(l&!O6@kom1;Bq+=b8o2Thv5CUQR; z#7rO4Zk-v((5#Ra^T=0)V6W`af#i4h0KL;Q`;(uLyGLRz(@N$nIiTbm<&FvEA| zJj^tt=4#G{|G;bB{dO?c|6pz1=(S0jjw+^sd4F2f>IDv~Mv^L)*ODtcoadZ+sn}ot z_|X4EX%!eE^(80k*{(+w-x0O^$g=o<0k9{=Y3HYkY4(2Zga-x?Ye?O-=6s={d4<7G znjPuoq0#Bh`W<6e+68P zx?c@gafCaM^Oh3h4@dk?OZ$njM<2MIP1ZJKWA+fb zH1~8yHu5Gr1Jo0IG+VNw;| zYYX?{jkN^5^66vsrQdNhWkZxdMQsEyXE}>JaLjl{2itn5-cU!aLBDZhr!=zT9&G|NGJ6#?ps~O z?d%eUiu<3VfA7bT;xnM(V0FHL+ATGGB%-a@)!M75PVq=CeL2fZ%+ws9rK{^ zAcb^ms*}*xmL5zPFscjie!#yP%}i`L9&b>+Wz6H3v?EG#^%XgWE>%G-*>@7kt9=8L zhsZt$TrM22Nb1L5YOOX`Z86cSFu@p>wpgz5=FC z{O;KR(_vLWAQoc$M4=`mpjq>5PYr~ZkdNO#9pB@CT>TPidJC;o2`};o;wQJcDkWy1 z`CUAh%xDAy1hT06;Phqkct7Q^;kk|)m~}yp#ZLX0K7|B~XME{}(a8r#|Kf|D z@~~|l&XX?imsef%dSoH61$Vv@Kf#Wop-Z@A2A{Nr9mRo_&q*_#ucTz&GcvvUTJ(&b zPv|WA%JR;&3_zwGj#ew}Gk$tx5rd())&{NOV>p0Tx)C!(_ya^B1agn+bzrX30aUvO z^A(f>8|Jz}pHBx@BK-V;g{CTS5fohp025_F4m9%GHa1YJ8Z`M*1uO$>R!t&%WLE}% zuf7b|NXPjKhK{Bp-jlmtvEc$yG5WC33$<+!R~3Dme1MlRA6BwebiEk zCWA<7(4ErAt6aRq=p7qV+oa<(-@cNE2Zl$6;4*-BhLyf#NdV{N-dBE-V!LUn4x0l{XV5b%%$L?WEev%RX%&QL-!omG$q-9UPmkm^Z*ZAvtxW7c z>7KsiRJs`3iYv%Vo!UPCVOHxhbzG7mRywy+VDgE6{YPp|&MThUD$u1~Vi~{#O>A?P zz->a(*0YUao<~qx5!)mO81}sGg`;D-^0c%0tuSCxjr;Og>C5M58ui=L;F!%o>mj;Q zxo&ZVkNy+tm+6U}{3$G@(Q~@YcUbfUO@ncdAAZ;mcG#@E!R+$%m!mI{QwQylA>DVu zKi^C-YHAtpF$2!)HRlaV*iQ2)_pBlYrv2QCH+H2OYw8$G><=0?`?6`5)lTgK@eGYU z#s$UWeS-5yCwT`4EpDdh#fVQt=|!dz&Y+`CQ_1jJU5}ictGM_{_U4W&ta+oUvue@M zrM0;NSCa~7y`7Wak4j3BUGetQFsrzXBIFOg$z;*wOtjQb56y%I~m$G1zxEPq@I z1~)&m-C4{T(GCv@rX+Dc9cOzXm|wF{-rq|9bqC42c zRKTjUeTi>*aYBv@y|E1J&7e>Io-5)xoRmj9obRMYONiRB6sb$6?V~&gdNF#@Kyo?v za~k9PV&<2f@QZ>!8QuqOnyD2bfQG=ml$yo80jZP``H}l)y^l?~=vUiG#xoW0v1%Y_ zK{Uzp7mzM+Vy}Fs4zMQ5marZZRTCHg2pM(T+iTRZIkD~OWs;k0T6*oP`tlbkTwg-|sp8O!onxZh2+0sDnkO*Lk4Tp_nWGe6c#^XlHmp`Tn9_cy;mE z&Jl;)60JmT^SoBL;|ZEh^Ua@J*Chs(@14vvGcA$=CYiEKrG@ZgitPr-b$^d%IfUG)5Vl%9v%&0LlJxig(_bnt{CNO_Nkv!Og;r zy9yV?h8GLLhMueMT?8X&Pbg1_!`tb=o0k~kRH#~uWqa^ z7}p_|5(ur5kXEWhU3|?kzT7m>VuOlsaxECg-{yVF$71@x3fGv(Sap4U_Wkzt9)RRD zur~&g#1KASO98`&Bn>Y6yiU3Ug+9A4rXP$TgfQIzZ&+H&>HMDn7K$(`inOdmt+bA} zR)UF%I*DqY)&dls!4OK5BjB=U`44NWRWWxC`F<~8q?qZ(VGaTuw|HmR8AG3cCFjkn z5H7hJk{7^JSJJe&UjU_gwHF^y`lcHBZxHoM8iu7UZKY`26FX>Jx0|j|g8VzC_o)XY zB`|+_K}m1)lM#lL7fQY#YzN*r&l)GQ-CtZ@lQmS1rJ^3l8c+5xM9ncXpKvZaHaL|h zqoDQY28t+)mgn$9WksBOz<52?@7ER_+39)ndpwF>lycdxp2*c|8=4otnB)vUOKVkX zGsWQpFHiwJG#s)T9CixMr`cuC!Rz{R9zG4m*s#D?H^B^P4#htCLm%>+W@i12en8(Qu-nQpZ{QI44J=Up zk)zA;>2e=Xq?p!@3a4?Amx?FQ2(4&T4lkyX*Pws8o+CG@Zr{`kgr$zd!pkXP0 zt7mWS^xefyT=1`N=cli@3GU3~#k}pfLm6U#(7d&C?iQLgE@0d!8&H0DeXkJd{|)XAU~0|9u>%9i%%<5)x-+?Bpcxctd_JJvI( za2~jN-Vi@ZM;bdFgIUjFop}FQbX&q>c%t@ zk9P=z0szRXm3F}DO07CF7ziN$unJ`WP3wWY!6}S*OJZ}+jBohxS6gNB4|q43iUJq_ zA?I@5sLx)jbGGH1&qJ#iYczko^h{W+;btA2MDc8nY7;B`LH1GzI`0baYC76Lf~2?O zz>fb^FvK{VlI_Jx`k~3fDck#j$Oe_B51~uHHsNsJUx;&oSHZ6p=vPo^orLy#Y+jL{ z3oT#BuJWDSLOI&jL$!Z)As61ITzC?ym8p`}3mE$Nc1gc&h2wm0pS4Pb4 zmCqiNt$~C=8^qw8LwL;SAb|#xzqSHZIvYKAtZHc<`6)R;cL5b?__L3^8pDs!lS;!o zuyv8swk2%FgynW!<#)eXjCh;zo@d@F$InZEXl#4ellPu|t2y7!@l71LqZ{W=TB|cT{T%%n|T1-l8QcBuuySioS zyGZIJ#lM_|q@@P=v`1dw4Lr?`@>*~QsvNC~RMx`#4gc~Pl;oPLYZ|RXKj{8d6Sx+< z_W{#ne48JGcK|iV6!TrW+q-upEnGTSW(;kCD0mnO7$ZZD*-v7hq0pE6#5yPX-SrLU z==NS%bu~>{S&?$0o1obKzk5yD;}$$WV&c@SZxBYqCYz9sUJ>~L?Brh;)%%glsJM~S zD<6LH4Z?TWPT!OJp`-W8rwqVYmdFPas5ah?PXaaNoz~50(}n1u#~L?$cgwa71Z>(7 z2fmoR&3agC(#u-l_9h}nFR?DaidYMKNbREUc%lOa!DpS>k@dE>4_QtXI+)3p@Oc>N zJeOSElAwoy$D{%qKBRK@u2lEp68+nve}=~TIfe1X6wm2^H;#AOFKV1)p^k?mO{Ev7 zr0D1%)~Ln46qe(yDf_KLe>HI@i#OH>z@@9yPkji0cpy~g)+0g8!Uq3Wz@TH^gScB# zR#KHHy;u*0o0LGaK`C7@RTiWRzJ%B85^;@ z5-3@?2@g17){&B>UQdxU>n;bjjYa7&DlP80gPGHH$gT_-gcgGs)3Xy)q+EWLk>S+G z0*E1nw`qt*K0lOH|iBn_C3otN+&$rsCqwLPiz~f1A#BncT)SUAvz?PU~X{sFmHDE!G zTwDur79GRFYYB=)*9lS8e$y|H!-Gy(yS(qW1OzVG{B0vqlz zEqbWwX~%)nHOi0uUombggh#J*Z&39nqZ;X->Lcec3`^hqTiNf;i7ZSrvvr%D2M%_J zeRPpa&{?g=0QaojyprvEC8iZFU@YzUkp??8Qc{b@fKe7IM`Z4$4Li(Y4QGz>kb}WKr zztzr&9D>#CFQ1F#^V>-4X}{6s=w>Rq>##$9+8qj9Y4*Df;_sG%BR45@@@;O=VXTU_ z5jmd2ADk+uY+dAZi&3|qrm%j6rCzvfPc}Y1JFDzkhJlgtmpp89cO3sMyI0?RVS?e| z=0F;5awG*TQaVll;CWL1Q?~7ac(RH;__m4Bvd6E}x>UeGp_UW?j{oiRl@7Ixf$+2e zKuz<*)mZ*q1aYl!n7%7xZIOOoJHf$-P4D^k#T{S+_4Wqcuj!)n=3_D71=a<;>W_%Cj>l z&F9?e0qLL4miE{x;_zo;Pr+~g;v7lRT9bl^hir-5+xBb!0FlT5VVA{{0*Mx*cgpdM zYj^Ps-00ohJ`~1rDb>%6^dK?dH}Q~Dwn*9sS2$tQ3~W#l{U_t_dC+~%O_lxoO#dJm zhZ%a7Ols|BSr;3%0|g+I4bHw9;ykiPz5#68e22%JSkLuQ;l zah-F}b39Loo!-|%ri*gC)sV}Zw0DoO2KG&2TAS*adAe_YM1Sa5y{-rL3{b`fBoGs< zMhNz`7;hS^QHEG7%3l`j*OM95m`99Sqo$b|)+fWywncK$yjHaR3=?1(H&Ip&}!>w6a zBbzM#F~@&|8B~*S2^q{ZsCPxIw6v$>U3|IWTh6_`b1^xeb@^O5Zv`9G4wY{da%|l$ zI%jZ+3DgqjKL=ROh?8E4h6GO8VW%=~%CH=<;Gy>ExS{ET@Pqw>a$Vb*L8jVnRNStw z)zl@A@DlifT)GDHY;?3&5-mMDpf3s{ zw1LdgWUwt=%>69Au?PC5iW^>dSY~jeH%TPJ6dD2=qtRt$4~IOziNZxJ*5#XLRofsB z#1nim2hm2QFNgu}tr1Uasw;t+gLME_Ahy*l2WX5YV7q&8K8wj|=WpO{?9ue`BmJWz z!Cl{$1G{m)CjvVqB?56U>1gP67YFgv0sp0OYc^yRNYe$+jTo&U5#Pkri6gO^ zB%96Fu=5Rj#pun71(1;Kc#Adyr$3}TV>lG;+RL2|70ZqEMwAbxQ&1DELn6qs>_<9<|o_^6)`Vu+PhJDoW z1&Z`XrDwD%$J~2~kqdD8d9`)I;_tiGPvu~D#YOl_)4^hPs=9C!&HMjX(0DqCV+W${ z+OzTi9-rR%d{MT(LtlK+;f&YagO)Rp;qDA5@9IhAxfK-+=vZ)MlcUeMmk zp4?Zj>*=2|EQ5$M%0HJ@Be04$sqQ`P^C@db4a}_E@jXjY5Px;s$Qw004x$^gg-#n% z9xs}s&rMb0P40hn;_o@t?;&}DQvIFlddF2hA=*&~g_Rt0{y({wakh9f;7hfj{3b}( zdthN4qy+>QqGw*HT6ZAD?Au|dQT6R*ZVO&=3z{uriGU1s;-VUif`c?QsEpJdXV1>r z#uKOLE=f2cyHz;7g|7Bi@z|Etxa&DOC{;oH)b=b*uXI)>H_*)0 zy}yq*(WJDR3N@k^&Zb*Bt3-Sj2zt5WXs@IL-y4#23>~8kZfd(v!_-pMGI*q#`M_&~ zDfqEd&w#@kw&>WOhNSD#%J6^|bu#m`h*_+ph@NFq}+VgLvE+ z!T(M$5oPES!T4rGPMEA!W8^+FA1KN-N@_z*@8qM?4ch3w zg_mh%*yyPfFUmdyq_VDaJa(O1yDGA;{~wZx&1>YD1x(oejO)*FE>e$<;VNoW{`Zad zPy~MNK{EK?pML+%%#>@#Y^n{Or!n@- z!AGwE<ksXC?J zkLG$#H3J@}m9S`a1&(~K(-)|falKW*xQq0{FZ4s`D4W1|CrfiXlnh7K5rgbl>;=cd znIbsG?YY^WUOOf`@?U(za-*Bvw_+NrVXs03W4)BUK1@tB6DnV<%p?@MPkvYAc!00) zNYMTiF5&TuLf@{8%q>hrOwr7q&RnOAQoSLZUJ}chlK!D_FUV zE*GBa?@F|yb>WV=kttrlosweiSlI6oY&3 z@0;#66}b=m|7c%I{I4A#pH^^TCY4O{SIP#e0p&-%c^;Uc$#1b@O~k?RW4ufqqo)`w*6^vxcH7$VEj~zriux%Ec9}q%c{F=oQw#`pbL|-MbjB_SYg&p#voJO6w zdF$~N*~>TQZIj}D=zEUzt>0~0syb#o{^KGe_ zyS>*m!8+I{@?8Y&oq~HRZMzaAAx@EUJu5>Cp9kF#rv|2s_jc(4-}shZF@OEI{HQzTjNV}%%7Uxm@gl5Z~9DVn{<9G%nUYDr=M|Fq`0skk|2^NvAG@YO0{ReQahVKZwK2IxhTF*WLMFq?XN zxi=1Ym}O8=v%O?nH;H9oM$TDE#VdS?SJ-{vrJ!LQ4Dm2;E*<*tv<6ck}{R z%*p+P#vmV=A)%P{N>SD0@W+o@#r@PXCzpT+>0P7qDMI7Gx5UG*TEv=3&cuEl=P=C) z&TwDF{k`;bWBb{K#ji0aKwHsZ=)n(mmE~)wB%MXBxDFXX&!O$*pbj8ef4LRn@=;}_ z5FeQiXt4T+;)mbDu}NH9 zdR`6Ws=ZnvSWa6oUe$cXLWDJi6tg zEE!B$$DLQw+S1h&{9l+(fMj-H79Ez_9e=p%oa{Z|bTIx$aJZ8tqO5Ue=NFyE+s(bO zDt0>07-@RgUu0V2?o1XlvdeDbClE!IP0`^MGtJ1|xifs;kl%EwEzH_&NX+Y`l;xbj z)GzESN4FLPcHlJYL(_J*u|@fgt4O7wbG^jFAM; zDl%@e$doiwA-+z}(+!_`5)+Vl#(2#SUAc%|9okS>*xS4to41?Q8fj;j2qq;1UTrWq zN+1~_{jOr3ZJSMLqBMcJ;irXDd9Py9^ZpeVQk8jL>|^p`4mGHVT~#oD^8QbKv574L z2!xO^bY=jCGnA=_2v%kr6ZtV)?JqUUcOC_r$8y4_^*RO|_!<^5Un*xR=21R{rkuHt z8eUu(gFT|b@)BGt@_TsE?`-cvz#?UrrAq1gJEtJL=q}-?-fBJavG1*-YN}WX&dm=L zo*EC_ux#Yj2fMDxs>vBTg2pi}0SqzUJ?;Xy?4>mqQ8z{tf91s-eSZ>UK`N=A$NZY3 z=9=8SD$cz)adD&m1+d3Ta<9;u%c(`uBgKee3USuJ)7T9b0abW5n?#)Uh{FT-`%Uq~`2px~;&I zT_BCR{@?4CfRp6kvj|GkjbYb~%X+d+7-JaIsK4=|;NCR0&t)RUy@nE!GEDao1Z?w& zu(yz7DZW_x;yzt%O`|uu3-d!4R+A+Pwk}kLgX<_^f#&qLDXeTPY`=7LQ+bw`i^b8= z4@Nd=fasagQknDat)7p(n*z272X`TK2e|hBGQ-D1Ib`fOUEc{I``>$Bg5$->OM3o4NE;ZHJZIE zpT2P-oZx#_3VbSK-yj8fR39P)bC@ZB+4u=z*1wXnJB*O>J!lK!3&#?lNG)r2)@9R6 zx+B>@#{VGMQb1T9 zLr#axy*ARh4)8ua32mGDuKsaOAMmGkFBH7U6U27xezej-m3`U6BDUyv( zq>fb((-jdNJ;3w)AqMl{{{s93Tle5{a$?_o-27I57hmDj;m1m&S?M2ps*z=-YgPXZWnWAnl>pV2(~iKEKi<5vm|P zpVzJHsn*pxv1v9;F81Csec55e9MHSpO3u&eEP%LI?AMlI3+e0vrk0=DZz>Op4Y72z zs=c7Oi=5D1_;A^N0iH^?AR- zx98fDL4$%kH#-=2I8)=k!%*}E$F(oy8g$~=R+b)1oTS+_T}+ZR;>!}qJ?58zq-}5r zE!Ja~AZE1G5OJ$24X~y#dC9-0B%)7=e~`75_#YO>^h_ENcHl?@cEUB=h|V=3?A<@? z^f2+DM<+_Dd|Q+MN+yuZaNJlB&9*PXb_PO z>5%S_?iOk3?vw_}JDv-_zw^A$c@O9C2fEkJ%+Ahyc4l_=zcsx_?N96AoDa1PqM56F zyl~YU4P4@@v=p%*_sUqx!M`MqVWxuSiQcSsLWBq;#I1)Iu6 zW_442I>}QP-4Xo3?!kB`xpPu72~%WeYrfU8 zM;Gz(Xjj~@ZRr{GsPrU)GWYmcA3rQAY-;~2LQ_VmqJYu|> z^m`&@x+RzG*UjJ!EcZ`A-tJN(r-#Ns4LaQpatSl$zw?>*Zvk@z=t$!Abiw#hqZCf# z3Cm$i)GH#pp~ca9=OTOq*3s7ImdR2m@j6f&AsE4*ek1tJ;W@2`wgkiPSwe{Vyctkq zEr9W+X3n!q!KAxTQjSpdYWUlU|+4_o)6h=~P(M{NrMVi*`lbd})N3?| zWa~Hvc!1nLED8h0>Hrm#>-7(^*?ir1Q|Nk+7wf55Z~WFW57SVQOONR==j%TaBJFK)_EqhncVSxda`)zvx1%k*+=UOb!8jPBMIPm*)(S$|< zRy7Oo)V06D=~Dg%b}uvAR_pI~tvLUfRv~JRPG( z$VXBG1~m`mXUa{yFLpbfjXaFaw|*rAK6v<1tili?8qvF9EQ;=UJ}hu9zFJjPm1_d= z3*-0>nrT0@r=!-$^C9;7hes0?^8HJg>et6eap!p-Xz0~W#aScqaI%jMugkMc zq2hgXdOip)K?niu$Xff`{>YXHTx)VLH0DKN#BNIb4V4!$U4A%``N?C*|9b(KE|n*+ zwsVsu40GNC-?v&};J;~9d^@0?T@(ha!Z>^R*hB$BMBvH;&T^a3^lz<94IaCNUU)|Ol9f*bhYaPLYr=2U_b^6h32w_ zdy%(59t%3snFxURlSoHS9peECug?61*sU!IkMk2t;r;PT9rf1xD9kZsQ7g zS_d;@lSG=}o-syY5QBu}=sRb|9nRN&S+s9CmHR%fK#9@835)vzoVQ<{i>msSi-Q^C zXbvz$E~g$0f@!3f<;OLPoPn=NpQqgrn6S;zlb6FNApZ3a5@u}Any!L?iO2b3u9Um+ z2ZX*5&@38*#;Z|?8vi#pWO*~n*!CqA#+zEhr4oo0h$MD%h!y`-vbILlA^psERS&N+ zeiYxp7D8%BR`g6p^E#$AS|ndIw%qQQY?6_a%guc;QFYHSK-X zFQB6IAz+n=|0kip*ZmQvN&-@hx7sqA85bwb{kAWHz6|Xo!qF*I+11q*H07cAG(XjS zeZ`1QN0M@}K{tVfC4`~V=Z=7ZSM^jF@AVc^Sd3RDoVrWB4HaIXCA zQ8-=Jp?K^Wh?sbBD&eWLKMzM@(iNNvYvyr~l+SYl!L)!IXYiYa5#r@M4Fyd*_IN(V zdGCbv+-{qEjoFexg}?waN=O7HzY<{(jfmSd&l#}V;os8I0(zqpxyL{*-#rru^skF> zdFQ6WrZSTBihxxZ>E)I{{h^?o{VU-D{G$n}ykvLB%NLX*5aUT z{+*|M)0rh1IN5>#XeCa7j#ml`kTqnJ|F(-}tr5G_wZQVXddKIUH{IuG8*krfrC#gP zWD0>rcvc^N=3Q@oI6K>}c<|vn32x%M5Mh!xB^){jFYjXnZ7*=*K(jk?RsE(Hr+LX> z$Mw(ju)Fn!R55CFZ0y-;AOQ;#)2Gv3^7HLsqdMEUkcU(N*;B)ws3>Zo-!+z_&Btx2 zP<->_<<|4oQsc&0W6*wV!9Rh{&ISVuDP=VQK@S(TQcD5@H5t7y(UqGv%JLDv^{!Yj z0g-kwX8v*DzvKjf;8`iO$%#7as{ym#EZ(f9+D;TzV@j{V3`V3P!9)6_2sIlnjGKfv z%|KDE=tY|C78yi{MbSGBdGni11)oN^Cp!%0sLzmeapA%s?7WRvgwtW-zLdxI>-O&- z0sBR^!H>4KwwjunE-o(ZzVIiYdr?al29dzQN_%F1-Otha+7APRyW`(CHZ~OhK-R=B z@aPM{9uhgftnOyEJ^cSD?<$U-tviq}0?zWsJwcB@dV(2{0EzZgpRC+PDIy*)2hvyL z!x~{PZ=W9y|^>(`daG#BY&RYng=k0uPW`8Y{0}3UX8!HebOBW@5>)FZ$^d5n|Np6)78T8d@b!PWnwB#@_Fw0th4tVSVu(~a24Mb_r*ue! zB5qoBl0tE~fg*?}h$r+O023krt#9N((ep@*aD6K^@~VU!92U$M2hUQ&yUPV_7vW-H z!lnVpm<$SUgb*4on3;se$8Mzvc}pLKj;@QvEt7Y^xuao z?Axj+!F)cE5j#+955DSiIcO_rOE%I9mJI(t8Jq>T^fsGed#(7&(xdWOyK=1q`vr?z z(b|N7ey%P-^bQkmVY}AlQEnN(mVj=+xmP3#2Jd7bqzY}mdz0-Uv=D+UN2tb-H6`UDyxu& zudl`tSc2?!itMO*zDdMUs=5@<`zGo$4K5aDvmI3PasQ|Q1#4B@3wG7)qAV#}4+34= zXhNzdAv)w-F&v$NbhDM~e1wlsv+Ks7iW9ktCxVeXAE|_l`(~cUO_sk>M2G`Pdl9cb zW0uqi_Fpy65S(RJVi1Z5&0gyK7#+0~0j>=$)R1!ywmcnX-`Yii7=#ua<8JVh+yN9M z33BTl5h*8LI<2u}(1i9g(ytHi`kj0m9ZfxQHd$YMRUd0yWHP%IyFJ20?!elTT8m)U z-c+mxH=n9SrXG4Lof%zCp8*{6E8<=khkv5FhH`r-84+xvnI~6IA!eI*T0pon3Z9od z!ssF{Pwlr&JEEo`?MGs&0dj}cVEOn~JaAbYgas0(X6kD^+5_=^{^gVVe*Y*LHY!km z&n?U$r0gqlfQARSu6>%@lrwrZBA#z=V>Tn=ooc)m=!o{AVGpQ>pqgo#?c{)2D8Hfh zgB1F-jOZdOeAV+ANHzwqB;o3N(Aj5QCqV_PpM_hZLu)<|ckK<-4nfB#u*TD<9{jjh zDc(~7WOzRHxl7}%Y!8BpwUMI=m{dJ1i2V#fF@z+YZw_R-C4k>iX_(CHVmT=EJ#sR@ z{yYmXG6Bvv@BSE}N1J@gRn1yA!5KqsXz7n~uz`b!C96wKWooM>46cow=|Py>u;PBD zw!YBV*!O9IWMMeoSGj7uuH~@~7(jl83us8?=SV`G$aS@+P9T0{68zvlH;AS{vR=Y~ z-aZsUHT41Li@+gc7mqnv6lP~`E?%}c8;)g@W3o?wJ?oa}US))+*Bp25T7)z_G z$5pb4kUIr(cH28}6!Gh3jMC$@#kHhH?DgtO92MS!^2aRr-cBN`93xl#DHpdV-=i$! zi&h7bDM&qmrs+0-glvgGWNijdfct>d^dmg(V0U+nvfCB-;WUXDdXFo%V`haS^9fK{ zHLSlEq5h7z)z(~kUgye!x&=$RgG$Cj+SuTN?xQ)z0~sV~2(b(k-wtw!=^e*=^`;X+ z#J4^gj)Nk{#Kgq;2ZZ<4Nmut@d{2P_e|Kzpan#-l1^zQT4!QRSn;KI;msdh{e5I|KO(zQj~FEk ze7wl$p`-WRUYAr;BZU?O^7NkTa6n+!ebN~|l!T}0N)(ynge<0psUNgA#G`YA78GF4^*qUx!3zt5oYLl=x2rV zAUQFS0-TrXg0GV0^HG~lhO@4*by_HI9VtD100)PiRdWYdSDz1mI#o093IBcN+lfPK zLP3E%kD2I|MF2*xH8Qt)vt3r?AvKIPsW50Fj^#Z^RxFmp+$Io z$-g>kJ;p?J5iW6i?nibniQe`>^@*1H*o&Fp6OvcvmOIHjgng4%lr%TAtVkUNfO=*p z?rm%seAM)J<*c|%6+MKq)j{fzHk0g{&M)f9rMds`^4IpZw~-NTX~l}Efq{{!edZSG zyBW0qNvm1ZDG+1E!2lTWsy7U*a|MHDYlAy&Y*^ih4MS;;NLYm$B9xq=2a(F0u6;mT ze53O2P9PxhZRdOYf(d^r9 z$$Yfv>F(qtr1)rH8-Juxbo34$XUcQ8HPbG3b5W8B+|DQ6l0Nn0X@hOouejTKfqWMt zS%+cB!R6XurH?w}hEr4SESmCIIQuHRSe;f?iW zMiPqVNx~`?hhhggArs>@GeRip`g_(mI#$*uA8~7abN#D=qr)X1w=1C~6Zky;hnS;z z*J69|nqtet9Y`ReGpn5kZrWbI@`u*A@oaxj$g#!(ZEim^vC|IL zqdP>f@+fI4s=udvSOW^Z^XVipR<3RpqbT!FZB&uL37`|PIz_%Ao9k98N_*iYJ-2dv z6}o_IbKA;t*GSyrMY_*xv^N~AP^+OMUG2OF?&-(0_v#m^|8}FU$r4)*{fv=XSk}*K zmn^FD&^uo&k-Ml|@2yjcL6}1OjQ34%jdoNUVwm=iF6pmoeSWN%A*9Ez*MXfn7v7k6 zt-i;=<%0cfYZD}YH7}{+(`rTqK{`h70|^O-rhMF{b=+K=jDj|ajqRG4^`O!duKqbiek&_KAQ@t_uN04} zp*TrT{(|P zYZBfVxIxe#ZFKdv7t}zOJ=Skm#Qp{oET4bVl zDv=koH@b+2?uqkZUn@saU+KoEiWZOa%9Tg={96h$N+MiDvbHt_=S^;}#V!3(-=G&1 z_ya=;qb?)sl;g{WMM1>^5|eI2QiyrG#U>?uy0Yy-0scaODei~r}UT4c0eM|m1U+|v=;`PGnb`B;NgGf)Qg?r_t9C@v>>S!_Ym_O~K1!yW}_ z(aSF|_MvJupcfU3x=KQQwr*7XTFz#!8l$gipUPh*b`Bx&IOO?BOHnE@BQU~xB2lz) zHLx`L0oGPXXCe9Eci@8*&O=xzlF0~{89OEuQh7G1dzl2`9nlU-Rr1v#6>4R-DA<2c z;)e3+baBE=xdGw}-$Zjy_XRe)YB=3c2rCgl3HRg~L@Lrl*bNP;bghHjw3a&eUT4oH z`5|6(tIn!g<${X(#3NjG8Rx+Dr(ulU4@AJa+3*b~(%`b)1lnw%-TBDx6HZ4&e`PSF zcYMVv8`)q&%Vo|+J1|fu+tn%WnHrmp6RAe+Dj|hMdI@}P{ zx)g%#Xxc|ahEc>G#V)ERlZ-#Lw@Q?A9z8mJae(3_v-gK+vxsyMJ4e_NbGFP-_lB{K zZ^9XybJ?}k6YMj&Cm@V;2%X>%#2QoCogl3p>ehdvPXa=4wG_dKRn#|D2)=z?f2!Y z2_$GABefiBN3}pvCs{~TW%3e&su@NrXZ<6z0H!##iqcy z(r6TPh$(wOmjb5ps7~%KSeb@GjZWU-JB@MDN((#6=0T$N3U{!t^NRUa(5aiK-?3Jw zm>r9r#Y4Zw2M<~!W$axdTNh~PoS4>#zhzHP0Yjvih3RBS9CLx*_Ia7Dy!-$Yh%Kp~ zp(cHY0?M0@xi0b7;w=f9!R^CW{SAx~{2^R3dGCmCkR+sp)boPIY;w58f@4gCCT?RG zgh4wu%0-_cmcV5wCN09cK$}#5u~Ayzz=7jz9_-?jVIy3{nXLfv%ph~jM^dCoqHI|M zg>b#2aPN%Rf{==3UoAch+6l7Kvr*Gb7Xe#08JIw(qB^u0Y-Yf%ITS zmj|jID3jCvKxn8;0UT}Om-_2CqBBTrXo>)YY0~*Q0+6>0b7R4IFD)5fUE1UwN{qs- z>VSEDJ?ESWJ8-|cj7+eww7yZN<4Xsy)c7$x!%=_xfp$KlX@Vq3@pJsGS}L`7E{DHD z4P~+Lnzin`ROf>n(u=xMULFML@E}3}Y%NeIsN`h%jcbnBCG{ujw*)PS)%POyT%IUV z)J|^sw`(X9zvbX4)oi0Nsff@VqC&WA4gY>w54`&iGs#z?YDh7osu$u-8U}DOJ7;-x zH~Brin6o+nD*PV4U?^LV5Z3Hu)DsdLwEM z+8r}hFqghrcn7E+*RLBWH`3FsSu*QJE3RhgpBD+RPq zMRasrL+h)#iY#=Ai#i$(HK2qHlm^(7X?Tc|Ek$j@m)8VHQD&XLtggc9xO$?@yyWUj zJsiAA@^n*-Sjh=3*DFdV?Ts?b98vtFDky%B$tB{!3tjTc^hAvo0YJ&KTPiC`zv4@Z z=cpD$?@(1vPnl zciR~xLZ6pdYa9Tpv_--~Qv{DZD4F*#siQ$Gn`j%g z%}2pjv`qx5WR=fO2>^4j)4i-=&ZC6T6r7i+KeWu^;+77lt7ZE@TZ}K=M9ke%&1m|MW?9f9mQWh#_y6@VtpPmvxpz9M)?ij#Njey z7}=8vLqniY4Qk2!M4grPf3!<*+r8BKU_BEOZN+rtwMy&BL0r_M$)7OM1K@A{z=w1& zt7Bu^4#9H)9M64hUeRElj5kH?yz^~UXX@cd)$IG_nuZ=c>9sH*_ftg=YlV!xs0*uS z&Pl9`c|%_N@fk3O`#7-}LU%Az@sdfODvS-4%^yd?0RKT?HCM?|?0Tl(NB*giehL#T zK2e%>rEVWiJ~g5%(@XWjps?7z_yWWuB=(P_f=a;q+YCXhmCn2bOaKSrV9WAKQ>duO zoYAiZSAx$3j;0_8pXAq&qUBjpo&?{AGJSJOb{a&DgNgouOUwUT#;n3mN{fPnL{~#5 zB`$W0uumr|nJP>={+=HD1e@%JiMi4l_+Q!1qAHzabr>j4W@KqadzC)YKFS>V_zMtO zZ@&RlymCJ3Yj?^)ehEzAcTi82anI+k8~!wt$_rN69}@E4+D3j?gk=)RjyE^>G}u<= zK7<5mkQ>O_Kt!;C%aq+BT=JF7%(gRYWT+?e$79Twv>;+jy*P|)jNOTy1BQ|T+OHC2!VXw# zi}_2{9&re!1?W*SK5*=!j-@yx{}PjdWxT}c9lDEZcj59reWWN~Us>7lIwR*>%p0IC zASP!Vo2zl27{;p3&)R=IK@&=%sFUmcIi?82A~7PNVHt9)v9uB%4PQP&pnUpV&|FS)zIji_i&BRsz z;3~s+R9x7h@fIl8HuJo`u)4aCZ*MJE2wvV}`AuaiVUR8QuMmjXh1t%kE?T1jV8Bv=4DgN(#woDpN;)m4)o|w(HE$$>wkdyI>S$zhGoTW z`w@j9v|t#fHxc{m8O>nyi(^4d940k7Jmu^d93exS8{0^2RtJw28UgZ!ZYn_CxW0|t zPlbo?I5t3(=4p}pG>tko-Ls(_b*-7hStn^{f>zh^}wC^bx^z*bPOy8FmZfq0oIfBF32kIJ4( z8Fg**By%Ugqszegoa<5L$zH}unFN|^ateG2a=)A(7RXp~(paVIq#)kmtj23rsE}3i zo9x=pUZpE$dz-xOlj^5(yZakcQ@oE6~PbUV22bn3WH z99-XS92Ry94{^{V03(yE&A*2b^Xc~a_xNJ-lq;jO&bhD|R?W}FWy#1=jE;zEfaw>a zCTJ$G`eaJ>SrQ9V9!a)NkBt>sRS~Kc`9W%|rU}c-Yt(o19?GR6s+#1r#A^eY;)vvV z#6~8<>p1zCLjm(H!vcq&+O9 zHeSYnuz9omO>Ry?65S8(^UPPEOX<=^rBf%Lf;H#C{^5lo*1;4Ufsa4q(KcCw=1N{m z85}&u;sYLajn%Z$%MNKE9pR{C+;=ncm`gNxw{YJAv|mv)et!$@cG%anpoGJNpG{8V zJKjXP;`o-_)N}s_LWWrX%%J^}@nZpBk}+lcS!E|JLv@$@as5)AsQ#~)2KLbl43v%` zzTR)Ej&jH^7v8Pa2M5)tujhcuPb>&+ZSyYRTiYs5&~IlIF^GSW&Gq%0&-zDHhEio8 zls0!Tkmc;iufw^l#V>uTRnW1n2n`st{5|Jy`01PJnB#fjr`QX@g?W4G2h1T*GQYnN zl>_6Y1ez=YPmbP*XEdj3&_p=x-16XO?7s<|mK%>lql;92zy9EN6W`un4dmFE&57Ru zs2qG$dE&2qW4Wo@Y9($1x?ac{pYN$Fl!|zvaB!S$^z67d4cd=|cFf}Ov58=eS5}ev zTOflO3E%UwLZJ#@7Iv!-GK+ox{#pSWJZsjzk7qzJ|4o#|AU#c0)bZ@;B54}3|AN)- zgLs;)zzb8~n_A@$->ls?2B_51o3iUdfhh4$iEpSpj%fsD`Zz1_R<4R1Awu5#r1yDLP@^Y4-hnGn9Mlt z+#2ii$-}3>WjT6NID<(+Ggz)Z=ji$U{2gg8g=9zaRS+bcjhBlNFBP?%ZuJ?!h9emr zqlww)SE&B(^8ywlR=_W9dcS~x=&WkN$ag3^q8N`*p9kR56Vf#f-ZiBdO*AGoJAM{o z@-^ExZZ0W7AWB4i1Xqx7ov9M<)YJzSs{*+QI03Gyr)zhCQJb*zT_9%N@l9&o+U3>J z-IKn|bodi)-vd5|3tpRR*H-{A@qnB@N^I$Ns@lD-_3ua>+h)7d_j8AXm67)`Unw)( zC;Tq=H78iaQ+ewu2&H_k^X}TrE|2PV5ib<9Eoo6Zn!srCsOotVEP2|}#=gE0m*#VH0NbzD)D91>#EwYxAB_OAN z2w%U>Lj`qz?;6vK$LPfaCh-h(6c1FuH-fJ#lx=gR=mcqT6xNR%6AywiRZ@^r-oX(H z)yP5TqffS4;>JBHgc1?vdjaEBhL4y?MP<{>W7TLL=UaMxdS+z4p!D?$!9XsAG zA820g(5j{*9^e4ff!-qpH1j6m+}XNV9HdO7=y5Ll7!6XPLA2LJd!|9xikzS<(xOJH zXDQY1Fj0xr?kX^IQLS(2kZQW3_UoKf9Rp}6%w^Uo%dmAMwXb*m0KtmKRakk+3esC) z{)YNxqf{wa*P$G z#;66^Hw{`H(kd!UkG6)qtlh=Nqx@bQ&Mg>8Jd<`XG+vCaKB!OdDnd!X+9>1?c@b*1#t!)X4KgS0DY+hUp*Z-levyCa<=!t94qQW-Zenw}Vc zW^6s-(f?sDZQpr&Rl{xXmc4YHY%N`}m!adk*?@zYKvVwnZZq|5KgXx#Vx})SX4zax z2{eGL``)nvfc+e9|6lF-YyC}=lRFfJWYz?ePpcKA zsNf=BJH4@4CWyRf%S-w5*u z4=+8mV&f)aNunl^YA~nj)7G-v zXz07clcpm8JV(eZue8fNX4L+`0DV6i%sEp55s`jgJfW_4gQ4*+NW3HR_c++$ZmYnU z^~lkz?@h27~S3nUZrvjYx6wR-ilQHCk0{|@x~*T5gs=EjI^dAU>Q^K@%DkK19Gw4LaDrazZOw2W1?}z zaGm}rXIcRR^mwZedRLoi7R>VP^-AY)<_Q?~-8}KYVn}`=#(_77O*&@!(6eT$d0E!w zR_fnA_NL?G;T%z{0O^JPV^rPZR5^@r`*xR!ei2gSalBLV%JFl&nAyipx=7NeR3%2X zrmIe@(%@6INfi^+<3bVY0+8hL_P0B}JzO32Q{nKZnTNu2_?7by$cu$papYVc6Y&yT z8>V?9D)bvk8?m14bp)!>=Ld+8=sO2WNH6Ep`0(v?lK2^n0u{kjxuDhm&+fAodJ|2y zVx`XmW&TPSl3Hs7=qWG6%$5=l;AD?pTmZ6&Zhx<6quSk9U^I1W_T%U;n81<(LT^(X zudpw5yvL-WX0lu>b(!_$!tc&WQj3lAKbC5=JK)5-Wqj_hn!cx#ZH9 zKS*p2QglVxrx7*Ua=4ZlWUYZ#`yqj0a*-&0rTx#29hQo#ni9OXlOIIPlaw7mMC50{w`GH& z-{zSvWr=)E1R zUs}YFpZnugT8>iU;MKHX5GvS}IO@ilPY@d6^tU-`_E-A`G)MdD;s#zugNs=XSWP|l zYA(l|giVHtt6MDj$6>5#spO%b&lRqDPDx{bMI8SL{2PX+Sw#0kffX48<;^hV0p+lY zLnAdAfQFuc6QC5lzDqyfjQ_eNB(nNocJ0}Y9f;SY6^FE~j2Nj#~53q6^HI+BHFW@$-ALxbYv%lZOz z{nujyNAA9Q$~LBkpJ?{%~O9UB6%wp3j`PH;BtCQYs3ui~#xoAQIb zveUinnF9E*q5#&$PNALj)3i{v!IFxy!6oQpf?9t@Nubo4D4(;nv$5)42PNXok9$8K|5lE zHyoGt=5gQNSG8?qYFC7t79+SsptSv@kskOp?J_`-^*PX?=&t zNl3^z5i5Dle=K*(i9!@4{!EvNzv9!1F@qj_nuOn)Ilvd}lQkT@*3czrBEJc~C^0vj zN8f?na@)24T;WmC7J+Yuc)&o7Iw5fi7(`(2o1(jd^{xS+0Q02K=%P3g$OY5(CwC|7 z9=qaWx8W>&UjZJ&SdrT!(@QviI=92}0eJsnyONCd{AjLqIE4T=zE(yd_Y@lh^n>qhYD7-Bn>ni06}4%6rj1 zs$ACYyy1nmNM*bKk)gcAkJ=RmUYm-_{BSMln40UUJ)U88{vGQf78^tCMez9QvmPD@LYI3h7S{F2VH{dZ~DhSiTYO4D6P_nnx z!9y&v9xQH1h{u~gqXwNts$yT;gl5UJmV+2KoR00kQ6}TnngNQ!vG!CUD6Opxn|?OW zdAy;b#zZR3(#^{BjX?Vg@9PNz={Os#Z=_5lEtj_sbe>zBW%WILo5>YDex%j#PNZ%h zc)q6pdk*K`@?s1a2@abLfGRePW@r^&x_g8BJ@rttD?`_iCu zNXbHL-bzBYcltkB1)RDBH0jZxwQC2!GO04Pia>it3yH+HZ`qpwO7$D320D^j-GJ?H zrhqDCI&B*ZvP||$Jy{eII;#%c9?td!^9Bj+U(KnNi#Yc^iu9ME8Z&& zH{vjjCj?g+f=BKfw7cm-`K+RPxm{kH)xCE`YvR;@O8uf>l8CcbZGY%B|& zCZ>#*ZvnbG5o?j4Q9Bge!1dz~Tj>h_r-A3pkPyNy*9$9)`W3NTS%m#xg}vV|^$6&Z zR3CA(Li^t?k#JAAylwQJF^$t9^F!rYU=D(P-|CrpSOR` z_pNZ9g;jE4Dk(N8!fMa8AbHdDgmhy2&Ycn|!j5Ez<4Y#SD9BrC&tqE|vP%-$up=}| zJZfRPvEhj*D6|V0bKOP8ussx|_z2uR57U*wlDh`WCwnoz4-8x9+=tyZim<}5(^I?9i^PFEhBEn&Uu#%AR8=@F zX3ur#PE_hi(w}lW#y=VrfhBjJ#2Ui9n=|c($=21GtT}ZZyagyi>?ZE-H3`&5oU%nWCVWRa$L!&WpiNNf5ey6Nh25r(@ z$Sa=ac)ULn1H6>-=2*g79J5ZHk^tS(v}PVx`X|hT0OxT zzCQI$OX03a7u)4!$1K_F|NE<$7>o|dlF)gmnE%v0XZ7i8Fn>tnMD;m&R+(*VcIR(m zQfB2VKvTGYkb%EUQpv{9gXj9#jdjp{k5!F&kcVp|?K<4+$@-)D)Q|f_QI3N*@;n@* zuHeDvgXl?=rSpGq2>nL<9)il2F^FoeY$&2|{?*kDfZoBxn9$k8@Up%pC>$q#(*O8z z%=C`vHehsJI7ccnzw`Sw;j@$57T-*DAhuD0P668mTRIldF!5(V7na54Y$*GI(L)0B zwN{;8Sk%XOs!=d6Ju&-fkzBu|v4{2xJJ|<9Yg`z1R<(eF>-f=_Dxqtq zEa27O_tKFRb__u#LGsFSXo6t&8kGorFG~EU_u9jQjUt*iC+02RC_MBq2SCz+42CDE z9|=YO=WH2}te^*ma@cU9n>U^OA!Si09nIOL`|fM>z25q{dCpgQ4eOlcz<3n$45Lvg z1N(->vc2o6hnah4Uak5$4$clnT=h4NKNvToqDw_62NNC|r^p8?pJ<-~g8{s!)x2)m zb5P@tAJ-DzUJMXQXjN7K)GTzE;W581ZH&if1ZUeTvDMR}jNkWQ1(-y=^qcupXHuPy z!CmAzV;8C-q4s6#(SLXjM3Mh5iivqlLc`xF0PjM_sIA?NyD*7`p18|e@DH!&WF4PT zM*oe;MhQWA9&4<;@w?3nXXAGr99O$azy2X7pe=#U{0TN!g|n4QCMI{MlfS|ox?8j9 zhKba}qR<@5PHu{fF_=z^(zsxA15L+M6_s!*1QdM43TJI7Gxr{fgUWsn^eTG(hm5mO z=_neA(xN3??IfI)Zc{YlE=i>YpK4|QY2ejxQVJl7BDj9|7t;P&%_KGhFyE9#bP#KM zLYHU`!KX9cW)yZp@HdWwbuEN~dwWD!jU%3itw<5mz`50YpR@;4T6RjZ3dx5&_nP=y zOQKkWKjrQJVenXyZcR&pXKZcF`kdfZDD*Lmku(>ziQI+f1jx%7jK-O=pX|&;)+@g- zgU#gYuP}}!YY8t>DsdBUBD0|qMOLBUFF#VJ*=@h0JZ}^61EfDR;<(Ki2GcB$Os8eywCwAz zKfWKV!C@{-T_$SK7hC;9% zzLq3MNEEA!1V@&) zvY;Dn=R3uzH8Ygf4W@3qa^ioY{ebw=D|1T9dX%@8OC8mNb z?md^wok&TJ`0%}V-hji-B46-QQ34$(dpgowhG?86+>Eh3wo7m_1zD%AYO(yVt(okk z+3m-3+xh~45{3dsQCJcyDX0OdfjRlt;_*0IL~pA~gW3^I`rM7O;`KrScKCU3vhLSm z*VOSjjjZYc$fklUo-7w7!fXk}yy)jWB?b4If^t9Gc7G$ zsJu5A;Ie_s(Dkz4@Lst^v%h;Y|09>4-4p|qAgK0KDbU$%{Xi(FsjMz874ZmyJgK5E zz5g_$Yt^jjUT7{n4QlK*WFaGQ{RDtiZ-4jPr?%?~2O(i$gs_Mj7wN>nrAxJ|*Cgz2 zeRZPV(dpp$DCqgJ&-J6q)=%e8CV?>`r#rtCWNP{HIj|)FWwiBzGnJ$8^c?d0pSpqez zx{(f5QG#xjiXK~#5f2-sk5qx_no05MPH$<`tGQU)L~&L#5YSwVEmQk8eG6CzF(r(RJ&-k*9*H^Un{gr{n z7mkF0a5BxS-d77vvXiYuYxbs*#M>grG)t`ln3vFpx_6>;rP2#O`v|7H^~^ANj+ay6 zGp-b4)Ea2WrmfbuY&`p+@5^Cj%+13(51-89Y>p0oISq4P7OA_7vjsvXrkH&)K9QV~ z933ZKMTTR1V>Y**@*8?y*=ej3T1Vh&OKxr3*DbH!?es!fq7W-1FLR$F*dHg=742AK zdyn+PZw}nqPbc*eP3n^a<6h^}DtOirJHO667qfQN4pX!GPp4p(7A7a(1CJXbgx3V` zmZl5~>9SQYuq81hdwGDXBqgc1Ju9lEd7`{N~AlaLApDo zg(2q~{Jr11-nI50v+m42cklhoIcM+Z+_R6FZN~e@cNAi--GvpYS~+>9!N#qrziGE< z$Dt_lUi?iBtmw?q6w|H)9a;$Tm3qk#lfh$fNApeobzwP8XL}#6o+olfc_;tnraGsu z_NFUv3i;L7fvSV(Q(D$9R7umxg}ic2TNh2izcsHF@it0~VjB zbW2#Q93iCG;8$Db?go4H>jnY?DGAh4wUSyC%N|MlT~KUo^_KzCrPU_d zp|(#UB879bXh73<^x39~fv;$skq~Pc78nMd3u*%%I?TJ71R9=0j+qZm{E=@V2@PM9 z{gDn64-UiRu9GFOWVb6BXWL*xCSd}QVN7+>;cKg$)&83ofNdmn>k1Z|k~AK*TtqvN z%4jJ;&y5 zbeN)As@WxurRnYER^&2QSG!Z}!&&)KsJs{7i2dw|SeD03#Gb$Yh`Jv8YgT}Z>(BBg z0bP?Z5;&^ra07+T8tBWg>>D1B9u&Kd;dw8l-)5sy?=JMZhb1>xsg-w$M;U{b#sEKB zvH&FHe}|skn{UECIAi{QUS8#yvc+J;x-Xx_kQlo=w! zB{iqA|8m`>9?YwjK9c~b8^y>RVkE@U@LM@!6?V%fz^Hq?>+*+MLpva>tl(4ee8ms{DvA(%?F0d{8?sDqb1--b}M z=MQx`R`i72hxd+cBnf)Ou2S?TMsYcF*7&bTPb7__MB#geo=wBc;F}(q>UHi^$l_XN zmDn5vlDkVHn;s2uxLvgE#Pkj{DV7b)65U?JhEdq;a-GmruwK!RJ`X54rRJhbv%9aC zW}%AS=e^zu#w7fup;8!>6EwO*mkVEp5=f0LSU5NO_-6pob$@c-E3RHKCE*+9m+;^k z#Uw}YNZ}f)&SEWK%m7s};EWkW41lE^PZ97my8pzlU|6Ip&YO9g$YN&naNncrI>9Fh zzQ!V1Ump$Xvd^$v@RSQs!jR{*P|VFs3-tMbc;a|6%`@oLd{v3Eq3gv zQo_3ZV1~5QWxPDsot4~@i0x)UvwaOEx%6fKKFL@a~*$0EsrXL!>aX@g39agbpEtGi^4_YE2#_NXinxqR&2B(0KVSiIrV zD9LDUY?Lc+@@x-rqxIvB-H+F9N*24kpzavM`p#`!3>*v`EF7GEmg7vNA9-_-anKYF zpc1qDonr$K@Bi%aNaBFEg~~2sl0lhOlJ@3JSML0F*hd!fBm@zT?3x>O!Nt|L$2QRH zLv?4wDxKjLfNt+mZq(l=kLkacoB3Rxp>c3m&pvgizM-%VX2!BKEQ&|Hc3xkBCRdfB3 z#C@iVEpfA`*X6SSuHviHU$hdD`~Zws z9?_%{$M#2=0m}lW@fmhSECt#N5^Bij<*bb_X0GPA5FADZ-zHFovx~&HW(mI}Wio8) z->8f)(2L{kUc$RvmwYOws7#9|mJ|v`#W?*Fj-9)$c9gIx#T7IolwBLTX9Q|t`HhjF zeAN(hxcSeq{4he9JiL_tGr8i<4;OKm^Q4y9p>-;zNBJENln_`hbaYF; zZcFq#VM%ulc^6?iF=^N#C7_qG^PGD`aa!F9?+AGz#?O-Z2Q74AFL1F8(r@0REatzF zy{0^J=`zE)PEg7m(Yp4NDbXXI{AWkT#nIOr6K!(i8MYUc)6-+3jcdaA?n?!ltei^r zc-*iPnHodv?efKH+S6z+AT&g#!`lN2At+vvT@#7$JFB^N3tbQIMikk0`Q1qqvkCy; z4R;4y&8#iAGu{-v$lUO@x}A6rJzT)K^H9au6L6}Bs^p{I+dtl%WRBu{*^p~skQoJH zNmQx`BdSCGzDZSll*Zf`2HtMqSA(t(m-?BTB>X4sAXsJ#weKkaDH(ie6{-J3t@-&> z!Z;>1;o&Eq?@6C<&gb1s?X*@D$(fA~sx&o-0o;srS69_XJ06$uI<^({JpHLMzuyITdP zJ$2PR&dd<5z8|iw|zkw}H zu-~DF2>|>Up(3_yG-9H9UmTfdtMU^zhK_KM~rs5PgbGzV6#m!#Kc5Tlwq7<>m;wS_FMYdZl9WRH4)C0g`~` z5xDjD@$eW-NkQ6f-<^WYS$7=k624W3oCtz3jLqpG`to;z%FY2E3Mq6o_|@ForCyG? zXnSE+(wSpsakn|=SdWyE5^ z(QP$xH=E`Ltfb&_^y|Ex;|<|PAnkwiiw7NP8-%k4|Bpa}`_kcfw>@8fvzUtH^~ zvzW)?KhZ25-hgl~+j6@xesATWLByz$_{guvRG2LGAN2m!dCzhN^?3ul-_0 zZmXnoetu*ErLb$B_Q2XY*#sH-Vmi3w){4Bh3B|=wEX=PJv15TH2SYS?6BgXJ=vu*A{gTD_nKmQ%55SuYlykPePeA774!syG6>U~_tTKL16=Z5)U zI|&J@%`JQD3r9D#IS-7*V;W!Uvh?MR-?9djEr^Pa1)EheoBO!na&?5_P< zUtKc$cK%C?UUnt`Ka`^v_ACWO0F@y(1>l}T&`j+g&2u`9;ftBFK@#;nMAvQY2w1$k4GPN<~tv43=ue^_#&v!OJaM^&mE zfkSpKW`g*2Z=mYFpAt;Tew|le(02QN-7$EV2G09NXS0Tlo2Bn*f`Mi{za)TWERK zUl{*Jxj)s*aFpH7q82?tSWN6G-OO+mwt;-oca5T1p(` z)$$|q0gSIRbYK21@jJ#?{?25}^M3?q5(u@XM@j}HCiw1BxA$pUUh9%AWvEE0NIgX( z86nU2eVb66G?K~x9~(H<=|ujoNFKuzrO%p&OP4hl&%j%Y7+s~ z%(}mEh7^fWo$E@@8pWqY@lp*Ft8`-Ckvo7$#~ITjaoW&Q2Sz?Q$yS=JiB)Vpc|&{~Mp-$#sa<9{#;HB;_N&6*UvvzzoS|*2eO= zOmO@?nLLmMchkv|7X_N~vq2iSY8GYRUsP_D#76w|8P7{2wC7o1&VlMPKF56=GmU5X*Pq4)YSC^`c=fcdXNT*B*S{+$!H&c( zM9ZQzPSo{^P=bf>Sl^#F%lSckZ^(cGYei+bikdr9Qv#tW8ksuTiII$w95_A3#q#`r zQW7R;OR~pf5qh!ES}7=!UM`y@{Q=vAWwhZ?9G)#{`U^;MNyfnw!|B4(H9egC5K}Z? zO0>7Y{fR_-`5dtpM0BjQIOF{SX}qAu?~&GPYM&~@HZ^348$i>NChhsf+=$)09 zt2CdIQ!tR)JMf;-tqMD14U_ChQC&7x-W%h1Ey4PEYDVFL>o(Yx_OEjT{FO9#1|@~| zn1HVl{}i!_p2j_?DFXZY4GmNPq8^6_s=a^C3YotfuyLBPK!V9TMf*@rnR|N?R=H`? z@k)pY&Yc~wG62*QbA`&Lm^Bdn(0ZQKNAicIYiPKG8m z$f7F5E_Dk&Q+J}q&fpedG4@#CwhVr_s}7m%+9$;6g(NqgeUu_#P0c1XrSi#|R2%Au zS-1Bpb))?@NhoV;iy;l7iGx=u{DRz2Wh%8E2d9-@04OGKg1|S*-(dl$-)kzCJ3_R< z`Q-<}Dy3zIb1(l1I(vcf8a&K_8`<-Dg+urW3)lT-6;y2GM=~sn>|w9wH&nPR-V=rA z62H#m@DsEA@6Xam7DN&ya~Q(V35G3J@p@goa8q zE#-h}YI%L)3k;u!+jCb+GN+)RfSd*8 zO!|V8?wgK-=jz-NgP=c_&Zh)eYRXlS8UJwkNK<@AybI&zZBG}m z4woTwLG4^0<`0#)*HJ8b{$3^Y)gq|!$aFUrPk$XSN?A4M7g3sc$p8>q_{sG}}MDuUY8in;Q1S7qpUUlZa_=|TAq_rtaPJxQ)I61`00e-9YP}7d;XZA zP8QvY5k>jCS<}~LeS?I>Oxd$&q_9gu)(lsJ&hv#2$x%3Av~@*f9iFeWfCqE$?3ocn zoF*?Gw0nO08;LQ@(*iKoe>JmLDdv1PJF7k48NbujoHMDSiuDnU3?FH-`Tm8uPh%*Y zAt-5UNmlq{gGmyIl*={?cAC~ zN1#@oyRZr1WY!)XXDmaU(}e%5jmcSIGw$}UQ(*UL1)$+X;9+dB32#K)%u&tll4mF- zA%W#`0?G^24d4l@(&`^>b|Lskp{jt!#8xEwXq6&PFu@Q+nD0u?2;Xw-Jp$dvnS?uyR;sSfesZF?m76NJJLL!n9AV+jJ7fN7 zfMK)$>J8TF8%;&E)N=3_XdkI*+%2%f`zI|$3I*+i*_9LK>wQAJ=7q0{PLgchg-V~s z|EGRMi=14rgRtcx-;qc-#x4EgKKfOJ?p+)9RRg|z$60ny`ne}6FEaVI&~d|7ll zRNGZc(28QMuP5c(G~>-^gf=GFYIMb+_UzB0oivl?Z!3fBwAkP?sGEsncGieOPSBYk z^eZjka(sXN1DOmY3;^qsUEjrSx^sgU6#VLwMIM}tgq~rzn0^A3M0bSA_4_9~hWf`EE7 z<019vg-lX+lpT^2^dz|V@c!In37;w}E+pzX@8XA*V8EuOXA7Xw(-54ku)~4~zI<(A zQf7D(-CO6oHTmP@*B0E5uSq4Eh(7-1qG?T*K;~luKdj}pM~$O5gonXTKEh0z%B7|` z*tu_S-7u#@*XFwC8RCnczj=hb9Q3(y`y10t6y4V`1y!XsjV6yIzy9^>f{e$s&0BF4 z7JgXM00dJ<1O7c`J#^l*Bk3BVIB>Eg3 zb>Za<=o)N5T;{oBH}mcU>#>p|3}3)v5OiiiIM9P1SUu)1-}P0sz}ebkBKR8{@&C#A zJQWi>MI#Amn9v-?QW^T(N;*F$=s$T-X_&T7ETFUrkNjMzUw*njAW85dXHU%Gl+}~kt%(TQyVfW6TJI9&ZDB{h?F$A<@DZN z&1q|eg@j1So1B{F)l{^BJ~<9ZAm^_(WnFQ z@7E&kXdlbzUq6hAucy01)87E8Meq_5VBQJp>#jUM+kV4J6@efEj*Iwjq&E_69L*B$ zTLQ72WJGH(^7ic3HM*O9RxADdk)AkLD0Ci& zoW`(Oyo;pEzk{E9=bI|M)i+QzJlHqvgDF1*E)VNp(mTvt6K0t$6R zwICN$(l_vOO)Ur>o|U@oWa@-34!qyEUQeKiu#9Q{#JW1ZqdH!+2+ffu{%@SpyHb|6 zc8iDmye`J6`d&E|^Kg;%MNRYPzj}A0U$0+O5{2x-SAy4-hc3?BUc2oe;=gLrEa0j6 z>>|ao2$EDKhR2`)(oB4F9}y|yT37AiJEhi)Q2pzpIrQU?`1$t!y_cX;PZna+Aax`A zGY|4&rDT1vMdO(Vg(se6y$3bk`l4+mjjyD}uw%LE(|>95Q_!XmniG_>LB_yDtXyOX z>l_2%hn~x<^)yv=-lt_tuGeq_!9?1(M)!Gwooxr2ZS21Bg<%dV69Mo}6Ij2<*jR{e#0-RU=c;tKI z9;LafFK@5sQH{;qSY7CW$M-`}+ek`;AAywBGWXHCCDnnBMkNXj3WMtuAr=?#yCiaZC%njPjub zaLRnigy9>B?O-*4-ql#>N0>WU_=$4K9Fc-AOmkv6=Ih^Gz*uT7L_ITaVMm4|PE<1Z zE61tx^R$co2KZ8ZveFspZXaI!q6dd;izAWI1R||>Fw(8XMl|*dx&*!*P-Ws!E65S1 zV=M@e0#|=QGJ+kAULKulPG6OKr2&7-dC>^HJ^`QW4X2GYuW8y!j~jT|f9e{81}U%tBvy!iNB}6YA7qQf16R& z8QXl_wKD%Fuq3aztxh=ZeIC&EurSY`#N;f246TpRFFR~^aX2ZJJ2JNQn2EGJ0&-Zmg!qJB z;4LDO%eRAr(zyi{p*X>YmA2c?u5CB@lVPO3fraKf@0-Ir9sfpYyiC9pCvblGkThjp z>GX0e7NjL-CIeYqJ|P%Y)+Q(m9dag>m3RvxRMj*3u8GD-&+pq9k<*AQS(1B~*?Qc3 z;`gzKxP#Aup&}=^lb8-U<8i!bbFN+DDqFh{wZ&U)z4t37&tpg|8E~{}obS^Fm4FT} zv}R8hpv_7VxEwU9SptV~*69)_sdd_A5ILWwLUxAczjqfSBdcm(?Y`^(DG}7jXdaRB z*)wYpSN=j%Jalaz2Th+W&nc?pPbPXC`@8-)I*On%j&p=@b;b%gW(C{TlbUO+{dv!v z(@x#uz95TYim%$2gRmPBY5NEH&)RWoQAebp$&aE!$WOm>*mnReeMzMW3v~tQTHnaf ztCN^{wQ&Xi7?VfI|D2X&Klq`wJR3zcB`wRgzL159gwU7aOI@#Fku6^G;HDPh3;opR z_mOH&o#8cA`77k~D@urxo@gfQUZMhVGC2pXwaZzi`F2~+LRqzJSQGb*il$_ewl31W zEPRh`dAFz}vEk!?b`6WHciWZhK{g8eteb*bZS>YX(Pzo=Byt{_{% zMJgPlNp>x!XQg7zXvV&u&{Q%vjIwIa0z!uFzI_BQ>0so2x$7mST5mIXel0r_CvkQ1 zA(Tv+{T~dpch?(n4|n$N%0w{j`p(P)ow2TkQ!dvRXdv4JokRAG-%p5XAab`X$>xq~fx3^mo z;0ba18-NV$dl%N|7lgkCyXL5@~SuO zNG)CfVFy+*0ilS;cfWsT{B$=?nbQrCAl8TYJ#goPEGuxMp*m zdeiNIUdzHrVkBO2y78YIGY-sQPk-fXW$mh#+gmI{F~sS?XnMqajSgbjM%j9~71<(C zm%EJp_B+pFGc|sdTmw*<&815W5=L~90w-Sf)~qzzhdfXm=pgO3wu??zWoV+J8jwKs zeGZ*(Bbebru~KB1-gv+9ViY~#yWl2>gl2-xNgLyCL5PaNRSH|~C`4kDm$33{WJuHw z1HVnT3Gq9#rI7&97*qX&6&a=|Lvq4*ecY!Q5~PWxsCXv9CH>8G@hG_XNvgz`Zi0U!SLR5KRGgi_vNQ`wGLc(-OTc0 zUFji1p+cj6U%!J~>h3xUZmu^z`d!A| zo3kcJE7i5=0Hf=qv7eu8@Ti3N&@|{uChP#h%a`uv9=>Yyh3EpZHBhBUovp9I$sec? zt3hW*FH=d8ZZx|<6aAfZh0uMa@JSRJvf9>$4o?@H#X%aqhIU%W@tzhqpuz<>7lXl^ zPH?rT7EM{f3%4*pX#^4IB1Ux!_UP5iM+I+qtOW|iD52&dssmCjHxKdbMB3Wq-F5GSa}GIDZ{CJ)4mn&aNsS2b{Kh`VBc6Y`@ELHrOvQY= z$`a-LN(%m@9w4~*v^N9mhdXti**D+Yjs2ndDQ(!vbR_(7dD0rbU|IOy$Z^w)vCvVN zDla}s<9G?s7pnB|NJawJD71LacyH~9Ry7cdn$t+PWfBH=M8cD*PY&tjh|<=1x+bmR z&ccrWbOQ=$RmQ{Sei=+Av=+95P0aZ3a>j$t?S`-+Ozch>o7nuH)*Yd-#T^@(60uW% zVHvv;VS#2(ZIX%Rnb864)!v$Eo9+)h?C@(F6&VlzZt3L^_j~1sb=?lP*hC)N8iooc zAo0FU+CHL<(xzvJL!@tJDSY?jUze-6C*5w1;hzom=-@ic5q57((?@B0--Z?ItY2Zk z{nn9`QVYD5;m>Mo9LG?FbV%|(*~G=G3IrDK^=**EPQB5n`~POaO*A>f?BAzR{#(D>1FSf*Po|mCE+GiGw(Vw?`s({qqu8ZS?FecTc~D|J`JKMjy{Edqb6=792z*qmMHG;4AhH zfkObdvztXfwb Date: Mon, 24 Jul 2023 14:51:41 -0500 Subject: [PATCH 144/163] formatting --- src/gui/gui.cpp | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/gui/gui.cpp b/src/gui/gui.cpp index 8fc8ebac..c4940253 100644 --- a/src/gui/gui.cpp +++ b/src/gui/gui.cpp @@ -98,7 +98,7 @@ const char* FurnaceGUI::noteName(short note, short octave) { if (seek<0 || seek>=180) { return "???"; } - if (settings.flatNotes){ + if (settings.flatNotes) { if (settings.germanNotation) return noteNamesGF[seek]; return noteNamesF[seek]; } From 85666a9d706b0584ea3cffd71848cc755470ac4a Mon Sep 17 00:00:00 2001 From: tildearrow Date: Mon, 24 Jul 2023 14:52:27 -0500 Subject: [PATCH 145/163] GUI: update credits --- src/gui/about.cpp | 1 + 1 file changed, 1 insertion(+) diff --git a/src/gui/about.cpp b/src/gui/about.cpp index c74f8195..d786719a 100644 --- a/src/gui/about.cpp +++ b/src/gui/about.cpp @@ -38,6 +38,7 @@ const char* aboutLine[]={ "akumanatt", "cam900", "djtuBIG-MaliceX", + "Eknous-P", "laoo", "MooingLemur", "OPNA2608", From e2e3370fe83b684c96463573c11a0a736acdf196 Mon Sep 17 00:00:00 2001 From: railzen7 <140454107+railzen7@users.noreply.github.com> Date: Mon, 24 Jul 2023 23:28:54 +0200 Subject: [PATCH 146/163] Add files via upload --- demos/ay8910/vibe_zone.fur | Bin 0 -> 745 bytes 1 file changed, 0 insertions(+), 0 deletions(-) create mode 100644 demos/ay8910/vibe_zone.fur diff --git a/demos/ay8910/vibe_zone.fur b/demos/ay8910/vibe_zone.fur new file mode 100644 index 0000000000000000000000000000000000000000..ffd97b2730018dc59666be4b5fdd14701e45d06e GIT binary patch literal 745 zcmV5Deh(iBQl zB<2yKZdti>!P4l4AHdecl|R76_#aGM)-yA2+9xrB8->H1H}}oVnS1Wcqs5tSr&V1H z3eT78-DXhSA)*3}P_E3}S>p&i56{`DN%BaZNSL`a7}B3Hc>oTO|97l4YC&OrxfK*> zuG(l89tSOqew`-HnVx6Ntz_&oPN*h`cENL!XdCBC&;DKO}flnztUU$S(F|XFBPv|8^5qm2%L3kjO5FJfgBW|1QVbK zCczY#1~Z@!X2Bdd2IfH`1-*h^L9d`!&@1Q_^a^?fy@Fmrub@}ZE9e#UTIR9uNNc1lk{ZTj!a!OG3vOX9PB5E{1~)6(*KEw!v+8Q0R$W2@I5oW$F7c3(+C6l!sXo)C{V1B)F^-oQc(? zFHPT-E=}Kzxf6XCx;}0fX7%ykE#0q7yRU5`-=m!3bR`rW3PqdVh#tB46=I6L@1Gm! ztvrf8NAtGf{wMvmlqA|xbfoB-C*qzwDIaY4>w2mWuIstb4!_Q~WnNMe&);c!D5Wo< zz#I7+Quir&8e8eEk8Ts1m Date: Mon, 24 Jul 2023 17:39:09 -0500 Subject: [PATCH 147/163] don't use exceptions in maps succeeds #801 --- src/engine/config.cpp | 99 +++++++++++----------- src/gui/gui.cpp | 189 +++++++++++++++++++++++------------------- src/gui/mixer.cpp | 47 ++++++----- 3 files changed, 182 insertions(+), 153 deletions(-) diff --git a/src/engine/config.cpp b/src/engine/config.cpp index 6d90a49f..ef8bf3a0 100644 --- a/src/engine/config.cpp +++ b/src/engine/config.cpp @@ -237,56 +237,60 @@ bool DivConfig::loadFromBase64(const char* buf) { } bool DivConfig::getBool(String key, bool fallback) const { - try { - String val=conf.at(key); - if (val=="true") { + auto val=conf.find(key); + if (val!=conf.cend()) { + if (val->second=="true") { return true; - } else if (val=="false") { + } else if (val->second=="false") { return false; } - } catch (std::out_of_range& e) { } return fallback; } int DivConfig::getInt(String key, int fallback) const { - try { - String val=conf.at(key); - int ret=std::stoi(val); - return ret; - } catch (std::out_of_range& e) { - } catch (std::invalid_argument& e) { + auto val=conf.find(key); + if (val!=conf.cend()) { + try { + int ret=std::stoi(val->second); + return ret; + } catch (std::out_of_range& e) { + } catch (std::invalid_argument& e) { + } } return fallback; } float DivConfig::getFloat(String key, float fallback) const { - try { - String val=conf.at(key); - float ret=std::stof(val); - return ret; - } catch (std::out_of_range& e) { - } catch (std::invalid_argument& e) { + auto val=conf.find(key); + if (val!=conf.cend()) { + try { + float ret=std::stof(val->second); + return ret; + } catch (std::out_of_range& e) { + } catch (std::invalid_argument& e) { + } } return fallback; } double DivConfig::getDouble(String key, double fallback) const { - try { - String val=conf.at(key); - double ret=std::stod(val); - return ret; - } catch (std::out_of_range& e) { - } catch (std::invalid_argument& e) { + auto val=conf.find(key); + if (val!=conf.cend()) { + try { + double ret=std::stod(val->second); + return ret; + } catch (std::out_of_range& e) { + } catch (std::invalid_argument& e) { + } } return fallback; } String DivConfig::getString(String key, String fallback) const { - try { - String val=conf.at(key); - return val; - } catch (std::out_of_range& e) { + auto val=conf.find(key); + if (val!=conf.cend()) { + return val->second; } return fallback; } @@ -294,37 +298,34 @@ String DivConfig::getString(String key, String fallback) const { std::vector DivConfig::getIntList(String key, std::initializer_list fallback) const { String next; std::vector ret; - try { - String val=conf.at(key); - - for (char i: val) { - if (i==',') { + auto val=conf.find(key); + if (val!=conf.cend()) { + try { + for (char i: val->second) { + if (i==',') { + int num=std::stoi(next); + ret.push_back(num); + next=""; + } else { + next+=i; + } + } + if (!next.empty()) { int num=std::stoi(next); ret.push_back(num); - next=""; - } else { - next+=i; } - } - if (!next.empty()) { - int num=std::stoi(next); - ret.push_back(num); - } - return ret; - } catch (std::out_of_range& e) { - } catch (std::invalid_argument& e) { + return ret; + } catch (std::out_of_range& e) { + } catch (std::invalid_argument& e) { + } } return fallback; } bool DivConfig::has(String key) const { - try { - String test=conf.at(key); - } catch (std::out_of_range& e) { - return false; - } - return true; + auto val=conf.find(key); + return (val!=conf.cend()); } void DivConfig::set(String key, bool value) { diff --git a/src/gui/gui.cpp b/src/gui/gui.cpp index c4940253..e84c3ecd 100644 --- a/src/gui/gui.cpp +++ b/src/gui/gui.cpp @@ -610,10 +610,11 @@ void FurnaceGUI::autoDetectSystem() { std::map sysCountMap; std::map sysConfMap; for (int i=0; isong.systemLen; i++) { - try { - sysCountMap.at(e->song.system[i])++; - } catch (std::exception& ex) { + auto it=sysCountMap.find(e->song.system[i]); + if (it==sysCountMap.cend()) { sysCountMap[e->song.system[i]]=1; + } else { + it->second++; } sysConfMap[e->song.system[i]]=e->song.systemFlags[i]; } @@ -631,10 +632,11 @@ void FurnaceGUI::autoDetectSystem() { defCountMap.clear(); defConfMap.clear(); for (FurnaceGUISysDefChip& k: j.orig) { - try { - defCountMap.at(k.sys)++; - } catch (std::exception& ex) { + auto it=defCountMap.find(k.sys); + if (it==defCountMap.cend()) { defCountMap[k.sys]=1; + } else { + it->second++; } DivConfig dc; dc.loadFromMemory(k.flags); @@ -647,27 +649,37 @@ void FurnaceGUI::autoDetectSystem() { logV("- %s: %d",e->getSystemName(k.first),k.second); }*/ for (std::pair k: defCountMap) { - try { - if (sysCountMap.at(k.first)!=k.second) { - isMatch=false; - break; - } - DivConfig& sysDC=sysConfMap.at(k.first); - for (std::pair l: defConfMap.at(k.first).configMap()) { - if (!sysDC.has(l.first)) { - isMatch=false; - break; - } - if (sysDC.getString(l.first,"")!=l.second) { - isMatch=false; - break; - } - } - if (!isMatch) break; - } catch (std::exception& ex) { + auto countI=sysCountMap.find(k.first); + if (countI==sysCountMap.cend()) { + isMatch=false; + break; + } else if (countI->second!=k.second) { isMatch=false; break; } + + auto confI=sysConfMap.find(k.first); + if (confI==sysConfMap.cend()) { + isMatch=false; + break; + } + DivConfig& sysDC=confI->second; + auto defConfI=defConfMap.find(k.first); + if (defConfI==defConfMap.cend()) { + isMatch=false; + break; + } + for (std::pair l: defConfI->second.configMap()) { + if (!sysDC.has(l.first)) { + isMatch=false; + break; + } + if (sysDC.getString(l.first,"")!=l.second) { + isMatch=false; + break; + } + } + if (!isMatch) break; } if (isMatch) { logV("match found!"); @@ -1097,8 +1109,9 @@ void FurnaceGUI::previewNote(int refChan, int note, bool autoNote) { } void FurnaceGUI::stopPreviewNote(SDL_Scancode scancode, bool autoNote) { - try { - int key=noteKeys.at(scancode); + auto it=noteKeys.find(scancode); + if (it!=noteKeys.cend()) { + int key=it->second; int num=12*curOctave+key; if (num<-60) num=-60; // C-(-5) if (num>119) num=119; // B-9 @@ -1110,7 +1123,6 @@ void FurnaceGUI::stopPreviewNote(SDL_Scancode scancode, bool autoNote) { e->synchronized([this,num]() { e->autoNoteOff(-1,num); }); - } catch (std::out_of_range& e) { } } @@ -1310,8 +1322,9 @@ void FurnaceGUI::keyDown(SDL_Event& ev) { break; } } else { - try { - int num=valueKeys.at(ev.key.keysym.sym); + auto it=valueKeys.find(ev.key.keysym.sym); + if (it!=valueKeys.cend()) { + int num=it->second; switch (latchTarget) { case 1: // instrument changeLatch(latchIns); @@ -1326,7 +1339,6 @@ void FurnaceGUI::keyDown(SDL_Event& ev) { changeLatch(latchEffectVal); break; } - } catch (std::out_of_range& e) { } } return; @@ -1339,8 +1351,9 @@ void FurnaceGUI::keyDown(SDL_Event& ev) { alterSampleMap(true,-1); return; } - try { - int key=noteKeys.at(ev.key.keysym.scancode); + auto it=noteKeys.find(ev.key.keysym.scancode); + if (it!=noteKeys.cend()) { + int key=it->second; int num=12*curOctave+key; if (num<-60) num=-60; // C-(-5) @@ -1348,7 +1361,6 @@ void FurnaceGUI::keyDown(SDL_Event& ev) { alterSampleMap(true,num); return; - } catch (std::out_of_range& e) { } } else { // TODO: map? @@ -1356,34 +1368,35 @@ void FurnaceGUI::keyDown(SDL_Event& ev) { alterSampleMap(false,-1); return; } - try { - int num=valueKeys.at(ev.key.keysym.sym); + auto it=valueKeys.find(ev.key.keysym.sym); + if (it!=valueKeys.cend()) { + int num=it->second; if (num<10) { alterSampleMap(false,num); return; } - } catch (std::out_of_range& e) { } } } // PER-WINDOW KEYS switch (curWindow) { - case GUI_WINDOW_PATTERN: - try { - int action=actionMapPat.at(mapped); + case GUI_WINDOW_PATTERN: { + auto actionI=actionMapPat.find(mapped); + if (actionI!=actionMapPat.cend()) { + int action=actionI->second; if (action>0) { doAction(action); return; } - } catch (std::out_of_range& e) { } // pattern input otherwise if (mapped&(FURKMOD_ALT|FURKMOD_CTRL|FURKMOD_META|FURKMOD_SHIFT)) break; if (!ev.key.repeat) { if (cursor.xFine==0) { // note - try { - int key=noteKeys.at(ev.key.keysym.scancode); + auto it=noteKeys.find(ev.key.keysym.scancode); + if (it!=noteKeys.cend()) { + int key=it->second; int num=12*curOctave+key; if (num<-60) num=-60; // C-(-5) @@ -1392,31 +1405,32 @@ void FurnaceGUI::keyDown(SDL_Event& ev) { if (edit) { noteInput(num,key); } - } catch (std::out_of_range& e) { } } else if (edit) { // value - try { - int num=valueKeys.at(ev.key.keysym.sym); + auto it=valueKeys.find(ev.key.keysym.sym); + if (it!=valueKeys.cend()) { + int num=it->second; valueInput(num); - } catch (std::out_of_range& e) { } } } break; - case GUI_WINDOW_ORDERS: - try { - int action=actionMapOrders.at(mapped); + } + case GUI_WINDOW_ORDERS: { + auto actionI=actionMapOrders.find(mapped); + if (actionI!=actionMapOrders.cend()) { + int action=actionI->second; if (action>0) { doAction(action); return; } - } catch (std::out_of_range& e) { } // order input otherwise if (mapped&(FURKMOD_ALT|FURKMOD_CTRL|FURKMOD_META|FURKMOD_SHIFT)) break; if (orderEditMode!=0) { - try { - int num=valueKeys.at(ev.key.keysym.sym); + auto it=valueKeys.find(ev.key.keysym.sym); + if (it!=valueKeys.cend()) { + int num=it->second; if (orderCursor>=0 && orderCursorgetTotalChannelCount()) { prepareUndo(GUI_UNDO_CHANGE_ORDER); e->lockSave([this,num]() { @@ -1440,62 +1454,66 @@ void FurnaceGUI::keyDown(SDL_Event& ev) { e->walkSong(loopOrder,loopRow,loopEnd); makeUndo(GUI_UNDO_CHANGE_ORDER); } - } catch (std::out_of_range& e) { } } break; - case GUI_WINDOW_SAMPLE_EDIT: - try { - int action=actionMapSample.at(mapped); + } + case GUI_WINDOW_SAMPLE_EDIT: { + auto actionI=actionMapSample.find(mapped); + if (actionI!=actionMapSample.cend()) { + int action=actionI->second; if (action>0) { doAction(action); return; } - } catch (std::out_of_range& e) { } break; - case GUI_WINDOW_INS_LIST: - try { - int action=actionMapInsList.at(mapped); + } + case GUI_WINDOW_INS_LIST: { + auto actionI=actionMapInsList.find(mapped); + if (actionI!=actionMapInsList.cend()) { + int action=actionI->second; if (action>0) { doAction(action); return; } - } catch (std::out_of_range& e) { } break; - case GUI_WINDOW_WAVE_LIST: - try { - int action=actionMapWaveList.at(mapped); + } + case GUI_WINDOW_WAVE_LIST: { + auto actionI=actionMapWaveList.find(mapped); + if (actionI!=actionMapWaveList.cend()) { + int action=actionI->second; if (action>0) { doAction(action); return; } - } catch (std::out_of_range& e) { } break; - case GUI_WINDOW_SAMPLE_LIST: - try { - int action=actionMapSampleList.at(mapped); + } + case GUI_WINDOW_SAMPLE_LIST: { + auto actionI=actionMapSampleList.find(mapped); + if (actionI!=actionMapSampleList.cend()) { + int action=actionI->second; if (action>0) { doAction(action); return; } - } catch (std::out_of_range& e) { } break; + } default: break; } // GLOBAL KEYS - try { - int action=actionMapGlobal.at(mapped); + auto actionI=actionMapGlobal.find(mapped); + if (actionI!=actionMapGlobal.cend()) { + int action=actionI->second; if (action>0) { doAction(action); return; } - } catch (std::out_of_range& e) { } } @@ -2981,9 +2999,10 @@ int FurnaceGUI::processEvent(SDL_Event* ev) { if (settings.notePreviewBehavior==0) return 1; switch (curWindow) { case GUI_WINDOW_SAMPLE_EDIT: - case GUI_WINDOW_SAMPLE_LIST: - try { - int key=noteKeys.at(ev->key.keysym.scancode); + case GUI_WINDOW_SAMPLE_LIST: { + auto it=noteKeys.find(ev->key.keysym.scancode); + if (it!=noteKeys.cend()) { + int key=it->second; int num=12*curOctave+key; if (key!=100 && key!=101 && key!=102) { int pStart=-1; @@ -3004,13 +3023,14 @@ int FurnaceGUI::processEvent(SDL_Event* ev) { samplePreviewKey=ev->key.keysym.scancode; samplePreviewNote=num; } - } catch (std::out_of_range& e) { } break; + } case GUI_WINDOW_WAVE_LIST: - case GUI_WINDOW_WAVE_EDIT: - try { - int key=noteKeys.at(ev->key.keysym.scancode); + case GUI_WINDOW_WAVE_EDIT: { + auto it=noteKeys.find(ev->key.keysym.scancode); + if (it!=noteKeys.cend()) { + int key=it->second; int num=12*curOctave+key; if (key!=100 && key!=101 && key!=102) { e->previewWave(curWave,num); @@ -3018,9 +3038,9 @@ int FurnaceGUI::processEvent(SDL_Event* ev) { wavePreviewKey=ev->key.keysym.scancode; wavePreviewNote=num; } - } catch (std::out_of_range& e) { } break; + } case GUI_WINDOW_ORDERS: // ignore here break; case GUI_WINDOW_PATTERN: @@ -3030,9 +3050,10 @@ int FurnaceGUI::processEvent(SDL_Event* ev) { if (edit && cursor.xFine!=0) break; } // fall-through - default: - try { - int key=noteKeys.at(ev->key.keysym.scancode); + default: { + auto it=noteKeys.find(ev->key.keysym.scancode); + if (it!=noteKeys.cend()) { + int key=it->second; int num=12*curOctave+key; if (num<-60) num=-60; // C-(-5) @@ -3041,9 +3062,9 @@ int FurnaceGUI::processEvent(SDL_Event* ev) { if (key!=100 && key!=101 && key!=102) { previewNote(cursor.xCoarse,num); } - } catch (std::out_of_range& e) { } break; + } } } } else if (ev->type==SDL_KEYUP) { diff --git a/src/gui/mixer.cpp b/src/gui/mixer.cpp index 95dba125..ff1641ba 100644 --- a/src/gui/mixer.cpp +++ b/src/gui/mixer.cpp @@ -337,9 +337,11 @@ void FurnaceGUI::drawMixer() { if (selectedSubPort>=0) { portDragActive=true; ImGui::InhibitInertialScroll(); - try { - subPortPos=portPos.at((selectedPortSet<<4)|selectedSubPort); - } catch (std::out_of_range& e) { + + auto subPortI=portPos.find((selectedPortSet<<4)|selectedSubPort); + if (subPortI!=portPos.cend()) { + subPortPos=subPortI->second; + } else { portDragActive=false; } } @@ -353,9 +355,10 @@ void FurnaceGUI::drawMixer() { if (selectedSubPort>=0) { portDragActive=true; ImGui::InhibitInertialScroll(); - try { - subPortPos=portPos.at((selectedPortSet<<4)|selectedSubPort); - } catch (std::out_of_range& e) { + auto subPortI=portPos.find((selectedPortSet<<4)|selectedSubPort); + if (subPortI!=portPos.cend()) { + subPortPos=subPortI->second; + } else { portDragActive=false; } } @@ -365,9 +368,10 @@ void FurnaceGUI::drawMixer() { if (selectedSubPort>=0) { portDragActive=true; ImGui::InhibitInertialScroll(); - try { - subPortPos=portPos.at((selectedPortSet<<4)|selectedSubPort); - } catch (std::out_of_range& e) { + auto subPortI=portPos.find((selectedPortSet<<4)|selectedSubPort); + if (subPortI!=portPos.cend()) { + subPortPos=subPortI->second; + } else { portDragActive=false; } } @@ -380,9 +384,10 @@ void FurnaceGUI::drawMixer() { if (selectedSubPort>=0) { portDragActive=true; ImGui::InhibitInertialScroll(); - try { - subPortPos=portPos.at((selectedPortSet<<4)|selectedSubPort); - } catch (std::out_of_range& e) { + auto subPortI=portPos.find((selectedPortSet<<4)|selectedSubPort); + if (subPortI!=portPos.cend()) { + subPortPos=subPortI->second; + } else { portDragActive=false; } } @@ -415,22 +420,24 @@ void FurnaceGUI::drawMixer() { // draw connections for (unsigned int i: e->song.patchbay) { if ((i>>20)==selectedPortSet) continue; - try { - ImVec2 portSrc=portPos.at(i>>16); - ImVec2 portDest=portPos.at(0x10000|(i&0xffff)); + auto portSrcI=portPos.find(i>>16); + auto portDestI=portPos.find(0x10000|(i&0xffff)); + if (portSrcI!=portPos.cend() && portDestI!=portPos.cend()) { + ImVec2 portSrc=portSrcI->second; + ImVec2 portDest=portDestI->second; dl->AddLine(portSrc,portDest,ImGui::GetColorU32(uiColors[GUI_COLOR_PATCHBAY_CONNECTION_BG]),2.0f*dpiScale); - } catch (std::out_of_range& e) { } } // foreground for (unsigned int i: e->song.patchbay) { if ((i>>20)!=selectedPortSet) continue; - try { - ImVec2 portSrc=portPos.at(i>>16); - ImVec2 portDest=portPos.at(0x10000|(i&0xffff)); + auto portSrcI=portPos.find(i>>16); + auto portDestI=portPos.find(0x10000|(i&0xffff)); + if (portSrcI!=portPos.cend() && portDestI!=portPos.cend()) { + ImVec2 portSrc=portSrcI->second; + ImVec2 portDest=portDestI->second; dl->AddLine(portSrc,portDest,ImGui::GetColorU32(uiColors[GUI_COLOR_PATCHBAY_CONNECTION]),2.0f*dpiScale); - } catch (std::out_of_range& e) { } } } From 68a2c5f2f027083c716c9d011843c7f061f88501 Mon Sep 17 00:00:00 2001 From: tildearrow Date: Mon, 24 Jul 2023 17:48:05 -0500 Subject: [PATCH 148/163] GUI: update credits --- src/gui/about.cpp | 1 + 1 file changed, 1 insertion(+) diff --git a/src/gui/about.cpp b/src/gui/about.cpp index d786719a..37a40c52 100644 --- a/src/gui/about.cpp +++ b/src/gui/about.cpp @@ -115,6 +115,7 @@ const char* aboutLine[]={ "potatoTeto", "psxdominator", "Raijin", + "railzen7", "SnugglyBun", "SuperJet Spade", "SwapXFO", From 4161dcd7862f469aa9b7f2ad6c4e863c47e4c93f Mon Sep 17 00:00:00 2001 From: tildearrow Date: Mon, 24 Jul 2023 22:34:39 -0500 Subject: [PATCH 149/163] fix crash when exporting audio (per chip) also fix two misc issues in ES5506 and Sound Unit --- src/engine/engine.cpp | 5 +++-- src/engine/platform/es5506.cpp | 1 + src/engine/platform/su.cpp | 2 +- 3 files changed, 5 insertions(+), 3 deletions(-) diff --git a/src/engine/engine.cpp b/src/engine/engine.cpp index c32b5311..1b9f427c 100644 --- a/src/engine/engine.cpp +++ b/src/engine/engine.cpp @@ -927,12 +927,13 @@ void DivEngine::runExportThread() { } } - float* outBuf[2]; + float* outBuf[DIV_MAX_OUTPUTS]; + memset(outBuf,0,sizeof(void*)*DIV_MAX_OUTPUTS); outBuf[0]=new float[EXPORT_BUFSIZE]; outBuf[1]=new float[EXPORT_BUFSIZE]; short* sysBuf[DIV_MAX_CHIPS]; for (int i=0; igetOutputCount()]; } // take control of audio output diff --git a/src/engine/platform/es5506.cpp b/src/engine/platform/es5506.cpp index adb342f3..c44cdb0c 100644 --- a/src/engine/platform/es5506.cpp +++ b/src/engine/platform/es5506.cpp @@ -1253,6 +1253,7 @@ int DivPlatformES5506::init(DivEngine* p, int channels, int sugRate, const DivCo dumpWrites=false; skipRegisterWrites=false; volScale=0; + curPage=0; for (int i=0; i<32; i++) { isMuted[i]=false; diff --git a/src/engine/platform/su.cpp b/src/engine/platform/su.cpp index b38b9f86..3c591cda 100644 --- a/src/engine/platform/su.cpp +++ b/src/engine/platform/su.cpp @@ -626,7 +626,7 @@ void DivPlatformSoundUnit::quit() { delete oscBuf[i]; } delete su; - delete sampleMem; + delete[] sampleMem; } DivPlatformSoundUnit::~DivPlatformSoundUnit() { From d12c0613930e4a690c1e8a8800132228795f7812 Mon Sep 17 00:00:00 2001 From: tildearrow Date: Tue, 25 Jul 2023 01:26:41 -0500 Subject: [PATCH 150/163] PET: fix not working --- src/engine/platform/pet.cpp | 1 + 1 file changed, 1 insertion(+) diff --git a/src/engine/platform/pet.cpp b/src/engine/platform/pet.cpp index 4d085581..e7f13575 100644 --- a/src/engine/platform/pet.cpp +++ b/src/engine/platform/pet.cpp @@ -283,6 +283,7 @@ void DivPlatformPET::reset() { memset(regPool,0,16); chan[0]=Channel(); chan[0].std.setEngine(parent); + rWrite(10,chan[0].wave); } int DivPlatformPET::getOutputCount() { From a3a004477d03573b4d5be01716b2c57ce80bc8ef Mon Sep 17 00:00:00 2001 From: tildearrow Date: Tue, 25 Jul 2023 01:51:22 -0500 Subject: [PATCH 151/163] Game Boy: fix hw seq in low latency mode --- src/engine/platform/gb.cpp | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/engine/platform/gb.cpp b/src/engine/platform/gb.cpp index 8d61b334..cec83e6d 100644 --- a/src/engine/platform/gb.cpp +++ b/src/engine/platform/gb.cpp @@ -255,7 +255,7 @@ void DivPlatformGB::tick(bool sysTick) { chan[i].sweepChanged=true; break; case DivInstrumentGB::DIV_GB_HWCMD_WAIT: - chan[i].hwSeqDelay=data+1; + chan[i].hwSeqDelay=(data+1)*parent->tickMult; leave=true; break; case DivInstrumentGB::DIV_GB_HWCMD_WAIT_REL: From 8f1c1ee751e9f11cb8ebee3d720e776ef12daa39 Mon Sep 17 00:00:00 2001 From: Electric Keet Date: Mon, 24 Jul 2023 22:20:29 -0700 Subject: [PATCH 152/163] Refresh of pattern docs. New graphics with consistent style, removal of unneeded graphics, and many edits for clarity and completeness. Oh, and the credit for the old keyboard image has been removed. This version is fully redrawn. --- doc/3-pattern/README.md | 110 ++++++++++++++++++++--------------- doc/3-pattern/channelbar.png | Bin 63739 -> 54727 bytes doc/3-pattern/channels.png | Bin 66261 -> 0 bytes doc/3-pattern/keyboard.png | Bin 85019 -> 129746 bytes doc/3-pattern/pattern.png | Bin 248655 -> 300907 bytes doc/README.md | 5 -- 6 files changed, 63 insertions(+), 52 deletions(-) delete mode 100644 doc/3-pattern/channels.png diff --git a/doc/3-pattern/README.md b/doc/3-pattern/README.md index 8cbf66f8..d7e1dd7e 100644 --- a/doc/3-pattern/README.md +++ b/doc/3-pattern/README.md @@ -4,41 +4,85 @@ the pattern view allows you to edit the song. ![pattern view](pattern.png) -a pattern consists of columns ("channels") and rows. +a pattern consists of columns ("channels") and numbered rows. each column has several subcolumns in this order: 1. note 2. instrument 3. volume -4. effect and effect value (several) +4. effects, split into effect type and effect value all columns are represented in hexadecimal, except for the note column. -# managing channels +row highlights show beats and measures, and are configured in the [the Speed window](../2-interface/song-info.md). -you may mute channels, toggle solo mode, collapse channels or even hide them. -clicking on a channel name mutes that channel. -double-clicking or right-clicking it enables solo mode, in where only that channel will be audible. - -clicking the `++` at the top left corner of the pattern view displays additional buttons for channel configuration: - -![channel bar](channelbar.png) - -to rename and/or hide channels, see the Channels window (window > channels). - -![channels](channels.png) - -# cursor and selection +## cursor and selection you may change the cursor position by clicking anywhere on the pattern. -to select, press and hold the left mouse button. then drag the mouse and release the button to finish selection. +to select an area, press and hold the left mouse button. then drag the mouse and release the button to finish selection. -# keyboard layout +right-clicking within the pattern view brings up a pop-up menu with everything in the [edit menu](../2-interface/menu-bar.md) that makes sense for entering data or altering a selected area. -## shortcuts + + +## channel bar + +using the channel bar, you may adjust several aspects of the channel display. + +![channel bar](channelbar.png) + +clicking on a channel name mutes that channel. + +double-clicking or right-clicking it enables solo mode, in which only that channel will be audible. + +clicking the `++` at the top left corner of the pattern view cycles through three channel bar view modes: +- **Compact**: shows only channel names. +- **Expanded**: as shown above. adds buttons: + - **-**: collapse visible columns. changes to **+** when columns are hidden; click to expand them. + - **<**: disables the last effect column and hides it. effects are not deleted... + - **>**: adds an effects column. if one previously existed, its contents will be preserved. +- **Pattern names**: adds a text field with which one can name the current pattern. pattern names are also visible when hovering over a pattern in the order list. + +right-clicking the `++` toggles the visualizer, which is active only during playback. + +to rename and/or hide channels, open [the Channels window](../8-advanced/channels.md) via the window menu. + + +# input + +## note input + +![keyboard](keyboard.png) + +- pressing any of the respective keys will insert a note at the cursor's location, then advance to the next row (or otherwise according to the Edit Step.) +- **note off** turns off the last played note in that channel (key off for FM; note cut otherwise). +- **note release** triggers macro release (and in FM channels it also triggers key off). +- **macro release** does the same as above, but does not trigger key off in FM channels. +- **toggle edit** enables and disables editing. when editing is enabled, the cursor's row will be shaded red. + +## instrument/volume input + +type any hexadecimal number (0-9 and A-F). the cursor will move by the Edit Step when a suitable value is entered. + +## effect input + +works like the instrument/volume input. + +each effect column has two subcolumns: effect and effect value. +if the effect value is not present, it is treated as `00`. + +most effects run until canceled using an effect of the same type with effect value `00`, with some exceptions. + +here's [a list of effect types](effects.md). + + + +# keyboard shortcuts + +these are the default key functions. all keys are configurable in the Keyboard tab of the Settings window. key | action ------------|----------------------------------------------------------------- @@ -68,31 +112,3 @@ Ctrl-F2 | transpose selection (+1 semitone) Ctrl-F3 | transpose selection (-1 octave) Ctrl-F4 | transpose selection (+1 octave) Space | toggle note input (edit) - -## note input - -![keyboard](keyboard.png) - -- pressing any of the respective keys will insert a note at the cursor's location, and then advance it by the Edit Step. -- note off turns off the last played note in that channel (key off for FM; note cut otherwise). -- note release triggers macro release (and in FM channels it also triggers key off). -- macro release does the same as above, but does not trigger key off in FM channels. - -## instrument/volume input - -type any hexadecimal number (0-9 and A-F). the cursor will move by the Edit Step when a suitable value is entered. - -## effect input - -works like the instrument/volume input. - -each effect column has two subcolumns: effect and effect value. -if the effect value is not present, it is treated as `00`. - -most effects run until canceled using an effect of the same type with effect value `00`, with some exceptions. - -here's [a list of effects](effects.md). - -# pop-up menu - -right-clicking within the pattern view brings up a pop-up menu with everything in the [edit menu](../2-interface/menu-bar.md) that makes sense for entering data or altering a selected area. diff --git a/doc/3-pattern/channelbar.png b/doc/3-pattern/channelbar.png index 6ef20cb43b7fede00be46ac91079fa4a9587ab24..dc7193e394750b4c2118eab831606b63e382fd4f 100644 GIT binary patch literal 54727 zcmY(oV|ZQB_B|Ziwr$(aiQU+?8?>>}*tYF9Hk!tEW7~L7dhdPyzxV5zXRbZx7-NpL z_SzB3iqeR1cyJ&fAc(Ru5~?5|kij4zpcOD+z+X7>7DYfn=3`|gMAg33(22nQGuV!+aog;$esnef4yn37VZ zpf;gQ2sUQ3qfk$DdQFxEf?C4Jq{HGG zEYZ2s-fJVMOjf&7)LC{`r?0FR0SI=VVh^+>2bhX? z5XwS)W)lIkHC^jyi&5u)^@CzpLsSj#jYOFdmGQK# zqvgV!cd(*7Rp1S%TFFn&OKxhgLuku7u&E(03W`5)60oW(cjh9W_%2F+r#J;D1_ftw zB?OiiR_4pH!JV|7?Adk~pCGer zx#Z9XyNPvV7lVGrD-!!pv72T(P(F(VO?4-&qa|J6C08n*HhAG~>p*{mn~87o~S>_6+<_v+1&Ey(J_?rfNoN8*(r@yl_pb7ezp}e&!_~)=rrF7KY@Ql_LhVeaxAHTq5Y)+)XqODKvO}n zmy%}EG8JfJ?mN_^YV^(2`IhSD{%5&(7lo#^8WJ^GcRKFbvt8vesfELVA zw7|A#kOE}&DM+BZ7O)fZjKnYkC&-lkp`or4+tc#K?H1GhX zcvUXsOhymUX&`9~D{7FgzWy8n@Vq=I{Ke3-&$Z9iZ;Z3>8M=d2296Pg&`iI|bv zXT8#dVKPwnC03NTldSp4%6R|nA-ga(NK-MYlNOkP-lS^Xv=|5oQuyqhr0B*#YzlCg zeP5F28H-S@jwkIM%$;gsuI5vV0CN=V1|0J8ykwS_W^Tw%S2wHTIETe0Cq~_CkaBb;<~+b{~ID9qho3Prb2k(cu8+@|laX zbWuN9N=TtUNa|6-7x}Z#f4P=D8VsL&a(oWT+sp_{QKDg3ve?vEVvwd7P-iSJ>oE#^ zK(Bx#1$HD(0p7R?jL;zhlLIvyKD`Jd*e#YX#~MeJ{`dc!LdD^~l0}&Tj;cEl`R?o2oyP=a51wnt(h1N8)fdLF?V~FwT zSl9HIP)W-`7x$L*QSbcM3&z$^XJpA{8us}7H;-A2mdd`Y7DIOvx7aO ziSLdVCu;xI&!Iz43D6(-xQdw~k&b?)qBNmdbl;cUhk{}QEdt}8M|+S1`-BX2`y9%N z?`I0V{!u`xTDg}nphEvK+yImVWHkdQ(87Vm1KZD5=t!cfr!8h(`#0{8$xxqTpi}NC zCR57cA|?}-G~xb|Bt>d?rc3JKW+i<mJJm*_qd%Zd^6n?*5jeaNzRfqbQ zPFXFV_}N))_qF=G%ii%t?l))aEk|Ih6&y=*8Jb8%5u`$Im-AFVtj;%i9+Ml=ixp8{c z0Y?j*_hq+mm7-v;-IBO88P`Uyfg33A<%_`l``eEUO$%|rorUX?p5t*uvwQyf)Yv%`(EAcJGfqTg7U^6%kKUH`!d|6-dk_78 z#0ZY~7&hLm&TVzhovFaJBS(M2r{DeVslUk0IVeH@BM4}K7Ty2h??ak=G~1+j?v;0! z+YTV3MRI+DGK!Fu<@YpS+^Cc*{IM~JZRq{+yOn7UxYW7reWvOPfk!6ldIt$`-|{Zq z_{TEox{<25{zD5%#(3Ojk_C`luD#CSykv11-DAhD&ezGG*v!7S?yk9PxLj#(v2nsv z0C1*HN8q9?qDKd6qyxC?-d!5>0g)%wbUvQ*{^nH2m=V6uM8>Af^#9Yvzn#0>D-^Y< z0g(jhysfbU2^q<>8Ie50&cx!*^xoDH4odIe#$Yi8ob69%BQsL7)#0uC&2iMv6)JOQ zBgc_?^b@|i2}l89H3JdQeXHRar%Q}>M}fPvJWx@UCQ;C;UmRc_m=sNUzml*ZC5lZ@)kQ!DXaem-F>{S7Fi|o9 z$(D&WtW)YANyWp2_v3@>KAx$MR#Q45CZ8yCzVh z+F6P52^|Np&&qPSPDqbD2wI2_5$`d1)EF*hq$`N6tlxLF+;=sMQQ3nrxOUl<6c1ty z-AJiP+kd0)XBFrHCgh1(09qcpxPJj{2*O4Me*f`Vxglv^YeZY>`M4jI*!mWLcQt{{Y(m@f)f zTQaxY<8Ng_$l+oKq4z}GMW(MaSrUQS>4qG z3nQ4V2E0-=Ilx3qDeE214!$zqh_3ybq5Qgk1`kCEsx&R~0|7l5b#LBDJHo}#mnWLi z?7VV4Q7tN+gqt5EkszRvmQH9$4b@YRhnLZiuAf+&3bJJA5r-MdoQyE3d*0UUIxlW} z5R@lP!Lulv&1sJqmlXNdb7AzLlpJxBGWo}RCGgODp=a&$C0+G-3C>L#|>u6%dLh4N2ja5Vrxa@v=9cpb+&5u72Vv$_qvhbB0qpu z; z?^MrVygdUP#&e(=8J`09*uS&P<$3{Ly03+3ITu?vuj2sjXUL6qyl0wf9 z5j^gruhD;9VQV?4aOx?+-R7s1osTr=2+FLy&&(=dps$nYk^zq|!%3mte>Y9cB@eyb zJOl-Fd|ACLwXbWgXDuC(5Cn{^`3SZBH8noSmPkL8?aZzRJqi&q_^-&`iDJqs_OZh8 zspyy2)nLP3O*KK7Iy#6k2_0o*Yh_e^$jAf{V)eM9IFWIrr>e!vnl3`vxv$wAEo1Oz zK!^Yz`G_lkKiPNa4Vu}kNn$^c-JHkJ%A|0%5u)iY8b!- zs*r*6y9yy#Dm76z$Wi9HhRs*^GCN;Bi_RC59c6QCM;N{5;ky@b{<+%>8n>tj&C-U!d;f{VzS%)gg69)wz6)S}V5)+zFxKe&4cQy5|;xm~*%+I_59Bh|}OFEc1W`ni3) z=LYI9G(X6BDz(vt#uK1=jokko*_!H%$UyDn|8`dU8#%a?h^&9F?4o`XOK==vyrf=t zISfuX>ajY9iY(xOmL+q>KFNndXE_YI`p=w5vBC=p$Q_<5okCce&|qG$;s7`6(}lbt zI2!^T567y<2GtL9YevtX;*0H*Y40r?(DYN-%P5gc{j(NTciNnRSU3s1t3rATr-s%h zZE|jiDD*!sX$D2_7#We^M*BR69xC0y>g&0<*|TY+BA|ssCjUdK0-wW-b0U(isGA5T znphV_@80<1`f{@Sfn%YDxd#lWH@&5^L7qw+^c=ujIgyz(<+YO`b?z)Innuo=#8iPf$J@J*h5L zG#-c!CfBst5sVa zbUd0H92;nKLB1hlXwPXbC-_5%?SDJSN>9veAEhi(Hg$PlQ@oI$eA{%LymOtrcz@4~ zDQe88Wi)4E%HQ3go2^5e&o`B3$CgN+M6y5OJ)Qe??QW%|lvDag8#X2qtaunu9*XjA z<2p=sE|=rD`D7kcBCc7rMudhD8W3I?OTf#zJGwYrG|_Ar7z{yN?|pV|rJAJ^qNqs2 zJdn%7OSF!V!Br!90H5uZjEdfjLes!LSSh68?^mvQ7pbT}^e3(J^mLj1wdR&UFk`u+ zpZ!*1kAJJ}A|L|2ltI9UDr|csMSg3#P`i`J+xYkCVOEu)1DtHuXnVYTX{_6bs5Y+ha7WC`kguJ;oS$*YMMIMD6NohyH` zK>a)5|L&dF${RSv#)eE`VJYT09)4LoXI^5ie@>&RMOng4nnJuo`MPm?+4*!*TfLgQ zU8~()db7?Pb>xo0xB{=g`n}oeOSx=^3qwXxKSmPrSH4)CdK><@zP$phEL@>Ahi3?j zzcJX+beV%abxf_?>R3tnN}W$@H;$k#JO?`E!38cPqutC)Q(RBWua7YP3zVkC2c0ih zYah!!Ub*jyx%l|!$c1^-FyCC-^_oDXe;YQb+(D~SVKms%iAZgcMxFG=U;F^?Q9eW? z-$k$MaDVAqAe5q6g9Jd=4juLZin;=ir|np#T~hR@;L<6lNR&WN5x;K4*XMGv_G14L z{1zq<_5zaky~EuRvYeWagJ^TX-lsmdd=h2qN{#d=@<&ON6~`W3KRT$MN%oE(JeFf* zmx|#zO8GPq6&c+nwq6w290n_$4^#%$*l*Amu3#G5;^C8rm%p#hqTRw@Yy7?HVZFTW zww6t0(6yje99uGBHV8jG5+)R4Y#5iFEAP<&4%Y>jc^AteP(G|#wn^ek?{8K0g~Ofp z=2vGHSq3Q_iJkqkUn8||pZ+SN!3?-M;c4!9*ss119@12k_leikhp2O?SF~LmH{+IF z7eu{}yuWyn5rsa##s5V{%5ov{?s69r6t-B?JD=s5bSr*S(?m8DZ4@lU5quH9RnBDAO<_nCALzDM3 zIb@-$Ng55w(bcfQ*gyrg(3RC#(ol$VQDCS**ae6TE%wVvl@y_Es5aAQ8ZXolC_MOr z#;Hq~K>mCct;u7~2Neu360pMhZ4AQYPy-iRc3nA^8Xhz9`}Y~Y6rrh%7ifMx)}&L>TS8gMSo&(ig6P?^5%llaoy{<~ka5gm^vl5(Vpy z6_Pg3-k~~vW$KN3av`(Fm8nv({ z8i?uStN>9`Y8L6)Fn8XL8Ozj4I|R6i6ka9^pW5*HSb*CcVd$1A#=a&8%!py%=<8S=xtjeVa7-iQl;>w3?%ddI`;2Exxogl zMxe*E-rXKE(KTP;1bcfY!otkL56)p(i=D&`{W7fyc{qjANkfXOd`MB@81~jwJJfN+ zC^p7!c3E5PD5x77TP~J1uS@uvsLKzgN;gd$G`N&+={=6ZW)HqBc+ohZYcS3GrQ-!v zig_vKqnrw!tx`y7C+Hj0KhIv>p?J29+QPbdV>w|k@Su#U(KqD{ zeWqLGa7DI3A>h;@g4ylgKtr$OJTyxn>qrl9%D`pAw5psW?wp~E5sM@l;Goq8v>=&9 z*X!^l!bW@w-YRR!M%Qt^|9M$`weKxu+HV)BMRC~qEA~7|`%r(&vRMTqQjCiX{~lgH zHc2BmBhCLZM|)=g(y-_9or(RQDr$%w$#|ZWwrKlW6R51ck+3Z!l>p%{ENnqADS3QrG56uIUid@L_L5!MKG2paQOOW`Zvft#gOJ zk((}xa)I+Q&4>@&MvfP<;`vb6iVy>!==Z)GrKem5wlmqE)Ezct|Er!;yQ? z!HFmU@$pCjc}}30PuA#z^%_-fP<~*421vGHY+N$Y4&qMqw+VWFQ>LA<1eUf2>cX-y z@v~uT3QYJ~^foz=9x#F@B_pdbk`Y$)2K%!>GNZ;9vHQ^jTIbr+1hxaT-hI0{r}!i9 zrvnBe9CQ@``FvPand$Bs=}91~P%;P+9@7#N4P#qFmt$zMrIKMkGlYq%UXf9knBqGv zr)QKfg5>bVk%h*>jfsD{TQmH*${!hs1Xb!Y2-=WkNTiET&z>Q$X>axln*4GA*+Uk zNHit;of3F)gx-@G>`H*ob@qGWHD6iIKG3}4W2w`;5-UOqC-3IZ2H)$C0pkewid9nc zWs;*NtM?U#o4SO-l;qS9$G>i4J?Yx<=&gWVEh}94u@;ZJ68{ z^*``0JH@){;ID*S4o`v3Bjrte{Fh8j+D=2oYc$HnN;vgQSuB0OO~P`}R=yW9A$d&D z@g}9^My^2e+65<@3pdLb>cX65)7%8AL&EJM3?%W#zf&=#2GNyziKHiob(Jq)@Gu?1 zMtS2}VEn}S+I4%(?fl#nR$cssC&>p1A19vwW@EL<`0oZ>sxk+<@nNUhMfQIuqs%H{ z(%=Pw2YlE0@+{_k)V^6?_R$Q~XzXgXS7dMBU}5K0&z&|@I?P!<&jLDmGT`Ic)V31LpF6D21*9D|aU(3PE>Zf}HpWKyZ`;%X z<8u0p!pzmvU!NK4cOBmqML>Gn7-&^eiO-+D!A#7cD0I=@x#Sp)Q7K;<`DQq5pIApc z)9MC=ik%aPQuHzU5j`Bm6_nKE^*B5+mx8#o6iR}u6Mg=qtI;1AEAveI*W*1?>9 z67s*P;Q-xRniCAYLHUF^=3Vkmug&EpMvomV>0QQP{-Ds9_?L_mRS)e#%)yh(! zh^gUtOm zCf$3u=}0(rZhCtCOPsO;#yk<+lihiG+=%Vh<0idcUWBKHNR)C>9{*cU|1X#;V!PGx zlSuFq!lSf3lG@toboGc31N;~ECH1$_aS!6jW64HA$=H^$_J)80ZVSA52tHxGjDb@u zl~Grx1sc)CDhAJ69HO#THu(8Syl25)`BMYfaCLp*m>;kl?XZ1Rx>* z!flZ~wqeK*M4A39uRY=NRoq6Xa!w%$bvg*pyI{s~j~}HzIb=|e8iC%v+uaY`#%5Rv zU%i{>^jmI_T+iVAzEPl^J(di12eONm&eHYL+b`|x#)xr(*2@20+)eLetkSy<@xdn5 z75FMU9Gfu{Rsz5|uaGRHZJbaFj*J(dv8;_FHIO|!j#@!?P^k|T!_kr$38&QUb2jOF z>K>?kEYB|?9l1bRhe&8C#=NNy;&#ND$NYeaLUJ_ThG}pZ1a`vZpOFqkxVmMY=)ZXy?5 z7mjGaDe^=FVp*bs24Ji`iyAKoczzN6q8#dda{uiRZKuMpmMXcx+pQbdTkHz8wzQfI zu3qfv|B3TSPY$$djzXVS&fP9(MQRiT$0Yz{0x6ieW($t>x_ot)7nk7T=!&hTYs=D| zdC)MZjG0MBSv|PpCuevNjdgdf#n3W4Z~K8u_tFOUQTt@J0}kt}L&QWpX%OF9 zv$M;#1Jc2@i;E(@ja1Yn(Gb2^aqvMQ1MpS?Pa%EJm`puE@_JKDN{0Ju1Jt|e6O(u7 z&w$A3FR$;Vmtc|k3|*&7Pa5*C{^YF$fsno0x$MiV{oc~ky2o_1!bn-ZllJNnXaRxXuGj0P(W4!fI>0tVdv(eFXBF=ujwtF zuPIARe(SJjmezT0IGTkTS0@=+_vFZ6c>+g-cK>$>6#hH}jwwf{1{2y^Pg4-e)OyWs zx~R4)HYeCD&7KaOkd>9MB!4`g&v{|0S9*jr#OX(hayq!h!2M3+F`sV0TrJv$g1{n0 z5bI%7eCm$+z(70EY|Wdkr8&+w3S_{i{wo1GK0Y1*%r+}c%+>uvO zoN%oqL3B!R;^T#ydq*^5E6tVfes7#}n-(iEI{`T9!WzjlD9G8wX4%+E=e&+)_~;Ro zkGokvhI782wJ37Sa8yjP^U6XxaHckjsp&t>uu)PwaWR?`&OpuU08D4|&(EoF`$ z$=|j?PW}0yIeEcZtmi8Y?#6sCE%fHz)KVmWp3~jA&u2ulSg;Awoqs8=fZ)yMO>}jB zD2@}!w{Z(K4)Z{PG3YSMxyiZ7N*+Osr-@Zw`%B#*{o|X@vmtr;7^`pKF6O0(WoHK` z&xNn;-&ATQckESiU5j3E$#hXQ9k;ehZ6d$`{qeM$nwsg&7U<}-ObqW=lo4)Uv+E{G z4(IS`r>V)zI=~uBouTSV79vi6_TnD2PfNzj`OriaaX~sB<}y*l9LXZMnFNLXz(8@E zi-f5xSN~{7K{4xP-PXx*^UsS5CfOA46Yle7jJq}RQJ9q!i!ZXN0~|!l^{&F(9wsDr zhS}HgjbI*nlDp_jWJzU@h(UPMoE5FnCHKE}GW{%4aH8^JP;x}y5*}A*%eR{sHQ)AE z84j%NHcK4Z`erQ+X8}Sc^i>oUD7C^t*ScQ&yg#(AQP`S-1f#b^07{7)4SNdiA*BVm z<8$b91@_(lJ9y0i&s~sTFKuzAT08Bgs^t>3N^_uv-rP<8#AH4wC>a*c*f4(oNJOVr z)I_6-E7Gko^blez&|So@Zg0xE@qK&o8t6Ir?$>j2lkV_C=ES0`2z86 z`cD8k^$c!>Brh9IOIF_87CAbE2og$;Wdv<6ZXB*xKUygu>iy!S{Q?;IJ^ zgnpj_!%);;?~x|dDu;!FoTZia;yGr7Qz}}e#%f_H{)BKs^Z@wYdHfs zLK19mG*rJPlh&ZXF66bUNwtp-b&I&z@GmDHUmfL^{Tnd8L6`Ch#GkKc@ITk)*%wog z#~nz!`&eCOB4P5;kO^*wyzqFLUx&@kY7O^{4MR0wtQQWh3#!sSVxWmiEbL~UnhH!2 z^poHwG-zGE6noj>Y2pdbvw{9!x{NRK(6X*y&t`A-xh-qQCnudp;AGR~V?FGTg*bT5 zB=%|hL)xg7bf2R~G(*QPf8Gc$-;SmtEfa(yotFVxP{(xYcg(S!^XpP2U+(6$>{p*S zpStTA@z^-OeE;rjYSi6=UG&Q^*TYZtt*7_MbYi3ivK%Yb-3?>pady^IL~70b!_21s zCw&`5WMb}ZmYu`aqp%*cA#0KKB|CCFVZ&NPoue!p7%uk4u{_v9d%N)w{__xBol%SrwS|HuZjManLG%$!Y#73#{DW2>Nvz4 zd}nGRIHd70d^K-Z)#|Z5bb~{K0o!)`pB<_&jx*aS&(~Q4SPrS>GUyq73L=rx*(Vh< zIN1D?r0uxOW)Lv|_9}IG2z~Qut-|lTdj>;0b(sx+$6V7$JRp4~rV8npw=oOU*Jr4% zrUT9hb6{Qr$rJa;hQdUMG-|BpE>{V;j#_7-E=O5yz8+L)FtzrLEvov6O4ZH(&g4a* zA-N@IlDIZ6SP8v|UCjujQ>TlG0u|w`V&L~F-QfScfJW5Q;gBswA!nm9&+$&p;Ws6L zDS|njQ=TscNrU-V3-3iLM2Z+PW3L1JmFi|v2NxQ2wM5!tx~6|fE@nC!m)s*0TiTs_ z07Z6h+qsTp>XpDKs*G{a#}j^9vL_ohbKZ*>=Gzul#X!GG#Xj#Z)Kp=_{fX2O{H8=I z0%tabaQL|WN(~d+l4PH#JbC+7K+8bIYoP9@dY<$EyBO)KxU_WXght3ysnQYo!7S5Z z>C{g)Gq!70`59{t4L#Z@XIM&XE#81+I(rCc<{A~^Myg*O^gD@z;Et7OAj}IiDLGY% zyyBq>T8Mf0?`&QCZb8kIhG7WjBFo0jhXT(M-eqwVL-Em>5QY*ad_-;Oz9?)`L7N&3 z5oFp84X$5UHB9B4QSdT3nD**a_q=xx*Bu)QHn6+-cc%kd)fstWSTMfgba9*$C41dQ zlv<{h>?EkG$CfI6s239p>J2ut4)l_PJEW%yg=2sBfDgkVz7-aFRcKavIG*0AZhBW( zs(`mahpf-l^h{^5rX>|vfHYY=4}xRrk#(-i9W2@%XtWrs?Bq?2M*G8B#9H=$53f{5 zaLh&*pu>~EYyDn|JeayL^bn;wKYv{V0{mV@9*Jmzo7h{SIJ^d>98k`^ObrRs8r5G= zX_mWc*`OB9e)zqNz}sXMTlCECJ9qsfEiWe4Q`69VVP8fId?V!cZP~@*nJ>O=Tje#L z90^cFqY-YMZ=qgC;M+(R-JKS99WYQ13%9L5gcA^!G-1}58V_o=D?BX_1GSZZgP6`S z2N@ntajEo<3xSu6Fkr$_GrT$RdkA?cS{lKLyd0|Z5QS`AR-dS;hu+Ou%P~Sk-p<|2 z=X3;H=?(Ju{_@56rs*{2rzm;f{*}=z^7WlghT)CB+4k8nO}21KqTPdF=|R3SDTP?{|Ni=nP1T- z`tf7@ES{J~ztf==p{wnorX-~fzHzI7IU`ag26$aiHnb3oT1==}Va?I+#%4g&`J&)$ zx?;2p(%}DhYTGodONg%Z3;pJwjH=Oj*_{~G3ej2lw8b^mNHSmJf+SiM``_T6746AvrBS`=Z)7fVOC-HcMF$mY=RJcV0 z=$}{~CngqJKR|XW7aU42uo#s^Cb341Ph%cn02(9RDT`(^fo< z9%{qh?7RxqY4xxhSls6}8197?okIJ*3OTY!TMp_J)o*MPCh#)C4j|of4 zx1OHxKGc($G2c?~R$yrj+5EcGZ@dLLQh0hg!6i$c%1GFMlW+=d1|c0EFbZBO#ud-g z&murW`*~-0U*wux8o`24Z`8P?5G8lpU#`alpjuGvn?NL~Aq^J=yg`)9( z7N%VoHJtokbLDFSO{zc|geB0iJhbJW-A3&%`x0erg5qHc#DeE%I3JMZ09I6RqHo`T zuRusLKX@fFhfh}PPed|{P}de~7(*j)64_d{x@Ftjw+Y6lS^7|{W6A*QrJK7#;HuTP zT$w2R1>X#VSIJ(blbz`j3lR0ZFXmb{iIIU@r~8+3<6_|3yeu6ki9`;}hGTi)(Hap# z5GJ+#0$YU;h;VfoY*zocYpTz1?jo2{5-87aMl!kf_HWdLF9)7yfF997YjikSoYTM1-H5wiG`gflBZD!}*vf>r9XGJO!j^O+VCIvaIQf2T(8c|>?S z4u=2OPPxIHg5Z;e>7w4GPzN&Qz?ea-Ho}?Kk98pCwpu;dJ)dGuK^(+fZ8Mb(IL5s+ zz+z(N$>id55|1fJ;v#BG_bXqjrd-I&eaO5^lfh#DjLR+PjrlPR5}eveY%)D9Ylrkw_o)yQ=Rk$#t5T(=?Qr7P=TQmg zlEmq_zX-Al{3hxG?9z%tH>16PyC{Pgp@U4sB&0oC>8;isp==C^8h*VqA<})gI+!BP z%e!e&*=TTsBAMG7k`7ovfnenr$ZEIEXeO$6KQYk0X;2uB7Z2^sS1068DQ-jN-vs{L z)qxlm?RRu>7!iZkP-9dVq*3FjNrj zOxN`|h&J6(&+zA3B3N^PXXBd}C=W3~+)CZiRI)8w(YngQ9nI&rn)@R5@jnt}8F*w3 z4tKY>A8}C_-H*P`&H-QF_w)SS8J(U4Ehn=qIP;wK^rpJd>nyHvMn@hlKB(217A`co zDO;=zGP?memUIxk?MEVy)swms4fS%4Nz=_}`F+sKXz0U)to~#~Nfz zpU`dfNa9c|m;i9}xGrnv>t!1g9wKQV&L~JR8sou{$?3#lDZTVRbKkd<^h-^mmf_6@ zElTPi#L?52QW()_GXYK#GAsk~b!e}zj*C> z=VZ)Wtk&bD`HMe#Rd4@-E&an{CVW_QQDS(PN@0_{%ge52EooYC>Oo^}W8&~w|M%f; zWZ!$M`>Ifqf1m)Bk4Bp1G#B7Zwd_HW(HvFNMunte*MpETqv*x&zNUmbmMmPc`M?%Y zY&V!^`>_v{_6hPbSH!=KjA8)w$~|%b(;329-o%AU5e#@D62};X!L@@WJT0;^|B>2ua8wOcONs5M%Lk2Be_w!Kl;^y;hUx+1>q0X$q*tzhdxsT6qWnof+3y#>2_}}j=--6Zte>Qv4qleOBMkT!+lDY$_n&M5MPd07`*m! z5*Wrf=FuU-$|io?F*A4$L>6(wNi*wn?wIURv}$=W{RWDeWjPm3CA$Sgc(ITmuHWm` zVZsiA!3ThxBFLx6_^60q*4U7!*;~AKW|%l?BphlBS`JPu8CQw8?R3U`m=G!EKTYl| z-<5k#X4FHyCcy-*osF-rfrsL48WE7PC*LFEQ2pkbZZdI8sVPD7(u z+DwR^D{_b(N14AbEZ0;K1=I@k79mGn38xwm zFK!riC)RKoK4sUb){O>e@*yFa2qg*{OP7Tj@CY za*NNXh}^vzDp}hWBTMnGHjaIz(WqEX<9IQkZBjjhJRF8-lF+u%PvnO&NR7nP{^A;l ze^gXMBU|EoBdYNurnssHC2R%cMTwuQSa&koV&mt*0Zup~lQX+;xXRNi87gA!e}314 z3WDufO9ENBB;f`9qpRnnN<860OcIQZb8Xt8kTzcD)Xb5WKItcmFmSJpm1_SIO(RSx zHw;l!&#qmNEL$X(BGFNQSJbkGiBX(fY5Zl2=V`~~iy8LVvR>{1O?Kkwhm>~Yq8Z%Z z`uKr;sVslUDbg;8`w$;B&AwW(x@dip{={PQstMxR)~nIvTWb@KDwvJ6r%?OSv+B3yPhY(-MA+VF=bmOzo^j$ISN<(rPI3B4J39J985!h$*K00B5u zjY|l&VM-{>kV;NXvI3=u=xcZTl$`3hA=sd(F5Ai4rsZS$ z6v_UgFdXumbBo|IgBR9B*NtTj;P1&@27_YK)}tFvB%+i}o%KgECAgX*M7mkXXp^vL z8%K~;ko_on2Ggv>^zTbO#$2tML7?DUI4M>k*mS`lkG3Il-1_SsIOMmdHB&3@h{9WI z7rC%tC{P)+JgFiL!FeNG;yrz>IovXReQj)kXK49N!eWxh?`NgBR`p<80Cx>97x-gM z4f_#g&@;E((0-=;MD}jgH3f9B9fRiboSzh%QrkZ%Z-~=UY5VPT`l%s7BZgR z6elNI-`zr(uCE1OmM@4`iTUC}D5q658$1C)>ufMFaPgpjRn;CB|02Z{LnXV}H$kkz zm)1Ntug`1IkajCv_M5I)!Gx54nS-9QQ#g?sV6xN;$2=3^rSh+J~j|emzU8%wK zrVBL-pKqMY?hVVbc{cKI@UP>EU;$f-ts00R;mC_Y2X#{sRRFDyrT!J(FX~M0rFNR_ zMgrav4K@P6*wb*^Oxn`lO&-J=tNIMTH-(PuY$1lry{H-Q`A#1p6PrY zt89g~PeZmA!~Og_)k`N#Bz}Cdf0bFvfs^qCgc&6jVO|N#QO;w`f#37N_mlVBx8J#5 z7sGZeak9`9>59m^ns_Ait3g!~nr!3jM*quDR%6vS%$Q*HR0pLZ%x6U@(O3tFXp+$f ze6JZ91AsK3B_b)SmC<_U%3pNUe?4^bUL4#7(_ryUM55pi%a>C#Ge=sYH4ob7JX4Lz?Fox8m0m|Z@zqTMalo-n+>Bw2u?+412Et6ri_H%nT$f7?=z|oJ%GthgxY{Rv zEsH_<_qTQP6lw=^|EkyH)SPB_-w$14am`rR=XNk3>ISrb3})ECQ4z}U^-rad(8nkH zNXWUyCEvQ|lJ>d1pu|OqZ<&@&RP!9JL3PH?!&-2F z(u^`LL{V64^d9_QEwW)52^>FvnG3FUytY_0)Qbvhkt90wMJ-{P6&LBYcG(N+ZFD3B zR|%j1b@!$RsvS`U@oGDlWU&&5%bGYk1ofsDAm1^{VhGXp;%{DTk^1%$fMg?e`O}aD zKKrxZV5lua)0uLovnCOs(gt^e(@#$|!F)=&LPOH_U@@%J{oP3aNkVft&5az26H>K) zZC)`GmNw)Kh-Ka~KkJb{gWIPHtlbgP+`^zaA|0qC_;os>xW6KoXI(VMoRm z@=8R~+{$Q06wFJOtJhx1K(x#IG_r&5BjaQ$8j@pvRL!Z1j@di!p0lO57hYGA*>fD3 zY50f3_?cR@G4Ax?1w%;21S+gL*giog0IPTj6kRe-}HXZTH8V^1~>fPgkw80nWo@yA`7*dnO@?Qtws&Z zn91Sx_hD%9#HS$CnFLLD>%H|ggrE(vD!g7;7Ai(rQ|K`G`o+VcB9#e3TR1YK%N7Pv z`A3Eg8eSP~IX*2`KI_bgh=ME?W=%>C6p@Wh{b3nxEhQ-nEzJ~6iK!QAbhzj6x1MSO zC=Bi$iEzdsR#5+{qBVDpypo=8Z8iYa1P=_WEcn^@UocVwi>xI6-)n#-aj!T80g@l! zhX_Qi{GM-Z{ZDOf5N)xIm*j7s52MhS} z)XQ0WIuobL2uVn+c^c4u%}MTYh$xK8`>crwFN#VAtKU-jK3I;e51Y?^uDg~_MN90!DN__VjNPWsr^_on&ZV-efrI%*goNi47T@Qv21(w27v!ugtx8kT6op%7luKzV5qyxGeo=Ql;q%0%EcAf zm<5|L`FZawjrB}rHG{4y{+C>Utv)QVX{5v?e1V391s@!Ft|n?i?7nE(g$(q$R+VwZ zSbkF^$b*AnvDG{_DTxVf~hS1tqVOoSj?(a!0)e9+W!~2BeY&7L_n*NSh;;DWyf?t0;i+|BtA*0E(+= z0!0^hcL>4VJ-97SaDuyg(BSTp;O_1&f#4Qkad&rjm$&)9d+%GeimKUjx_jEDXL_b5 zs}e3tvOcrW1v`oxGDmG?E>TRv(iH5A>L*u3Wq~{QVwQ9XFV>boHz3quz7vqEX!m}v zBC@{eP4w%L{{W#Z+Wqh9vB6+9=|tK>X=JZt8u_q$^3=W7E-d9{GDN6k(~KqK>XKiD zTpJ=%{U+0U74?Yz+XYv*bcZcf9d6?UyBHyn=%U}6HB>R!EdH3~7GopLCaSBc+7l`F zWJ?eBCnp2JI|auX6;qe}^F+j_A#B6z;qvrUXyu9CP}3yiDhOqop-3<$!rf`&s?Ne6 z2am*}!&^AX*UQ6TE1zf2OsOhOk(Oln+Q#d<(5+=*?bnYiKVdk2=h_?Kx#)m9MmnV3 zyj;&mrrSgQJp3zH1d1aN5=G`^*36Vhj0!6M^jm)(BH5wPJY>v>KJ;&piy-;Bo?XKv z-T2}nz1TdoSOEvQeiVxh91LN-c^-sQ*E6dI{p6RW$$yUQ%ooa<{u&-Nthuv~9}dQ$ zw=Zcim6oiiJVx*pY^SUgw3CYq5wVd73!*|JCHKU)0++9W5Py;ik&1-m;wv#s^U=&M zC^-c}Lfi8#5aVQcD#2j06v5WnIA{3O7nHs{w-e>{k}g4OFV7>TX(4C4QI7Ht?jrvh zQiH$`CvsVCU{8=zrXuay?-gIxLt@dTDo)p3bK-83mbhxZSSx(up+k{*I)J@kP0D2L z-g;hWo~UKNa^EB=XQQU{IW-2MxQePHAF7R+WO(DeNm*IeMrC|l+-cu$0`eM`Y>sC^!Yiu?T~dR6~~7aj3o0<(c_~S8dAaTB057 zOovw6TjGiY{$S=In$6qD1e36}dzjKBd&m4kS^rPn+&D-ZpQ&Vu;{%QPxq6YGCzZJLhT4$0R3AB`!nH!qn~|}#Dkcr( z{kLg(F}VN+ogTl`!ol185B?3fX;vjfN~$2OoNrVdy$i6_2WqFkaW%fiF(}tkdV7%7 zQ=#riv4XI`)(|891%sDN>}YVC;znb<2Y<%0$X0GJ&R7|3It06G*Y)O0ByZ?lPfU10 zbJq(M=Pq{%5p9%-mL5FP-Dy*kc#sQOngp38ht?X0`Y|GONAhvDzYps)2sGBQ=X}pz^ zu!?L*Uf0lwb$@KxW1Ji{$FHwyuy^9(j*FdH40#yIy1}88Er=p5$u*9a{vf>SpdY@S ze1G(yxTsV`y=9%UG76pkyvLGgd~G$uuIS9bjzE#6BC^qokbXGCJ|EGb_Br2@0y_1# z;#mG;K1S4=6Zy4F6|~53Xn3FApl^95LEh^SdwFa`r}gjBEk;Gy1_?a&Gzo{!io|w8 z|HSCxu4L(%bDV{Be9XUPFiS4MN zBrAv`K`N3iYfJ&yg+g|x_;kucYw9B{j@Z8Yt+>s=2BB;77vYnvBgDiJ9+HJb)@nOyCCdyUSNZ>Vv=P}oIHrSHb zC@gX6ECM7I0;Lsz+7CL^l*B@zuzqSn(psseLk9`R&C_yR1LkrX|_^3tE`*KU696E6f^W4<9kC7A<&rw{>;ECdUeDh-Qpi3(5ROxR6v8 z)~YU1Sx4r>-D3) zoK=(}EEF_q9R_QOZe1?$Rh<23bS}|D9V5FjBg~>sv-+7rn^|xa`^G*(W;FKL9lh>c z6NOGVU#XI<@f*hXtE`9iVN~efHB6J=A0C&RBn!Os-gq&d@*9UkW33wErcMN<)AiP$ z@BaF(&tJXxT`nXHQ+}Evb0I}4{Gx~O{YEp*;%0ocJg9QCBz=zygYev391%snjges` z3^s4e%2hwNm@{K6+uOV2(fc?Jk2(R#85~SrWu0GVtI{P>jO>(mUFmASjA(Q=-SC)| z;ngqy1;?KpknKdG=Wsc>dAdSzS>cV}gff^SH22mdhBITCEm9QkQ!%<8PNNcr`_swI z0@4&Tpag`jt2gZ@897yaXAQ|X{lUIo8y~~nMO;}mUZ%sm|3c`kcVM7@Y=Vs0)3?}x zsKPO`X215lg;F2`(Xnpg*X3%n1DtU0+C-yB5IcBuqdenKmy#{1$C_&8T0-~v417Lj zR6oQ>gmi(YYni7+B2MNg*%qI&i1?Hg5DXh9YAI4*l@Sc<&KWdmjFTt&JfBuUCqs{O zdBQk5TOTXxI-BTqk)*q^<|w=CIMVb?c2j})(-P5Huv66>NcVGuiy&ki zgXUXq06`Bl$^(D%sv7g8Qd??Pcp`cn5`R1jc#n39C{dq$mCZfnT_P9vcLvexLwJm@ zQ&bX`YA{@BCw1c-obV{L4g_=JP`hpRel)7keOh6#*5#*Mx_WbT6?6$+NL*A}XvcL- z-c3l~rA2kB+p#V>Gvkezf88f)A4q8)Q%z+RlSR!8C?uDt<%*`kR*^gD4fb%F<%txW z0!u&i%Qk`zE3h-iK%AdHLhj56C)y7aWSl>Qv=|B{I3uDv3#ijEwFtt0lXAi$=82m2 zLpV;UeMMp7EKIW(s?+y=AD{8#O4H5MF>OSPQGro6jXrS0tGoZAEtvJ^YOgoumTCXxbor$}d>lF`G3 zrt4Me5cM_Ers#yREz$RR5N~5o;ovaOlw-_Z=qgH3kYeAwzkf|xHLPT{u)gxGUN4p@ z!c^S$OscVJf{0mXbr!8?f-L0%D0On#wD4!b+1D$rZn44zg{bd0~ZXQ z7f)DxR+~48HXaQs{*`(IblcSU%qU>|cHB9?@SS-W=?l>G20Dk=0^>h&f73DJEo;u( z<3M2XbDA#dv!L$3Bu|r@Y8zx>eCmy`9P4=KoFN1N`q=^KApc|=wBfACkomcIhr1g! z0&@>z@E{boQ$ZBkTH~njb8;~g{vSeszphDj5=6sN!;sx6-DIwO5M+$r3QW=rxl@4T zLEt&-^LgvbytweS!-f0J!okPr0{20Iu+e=>GgpJd-TLds$IaM;-&R!4OPI#{?o-OX zjokmh0&Zt@c8z0FZ(G~>I|Dg)ZYV80;t50pB$py$8-sZ2T6KU5YLT^*)!h9wB<_22 zXkE9n{9UivB#$*udBo`#Z3q1851WcY54Uig?-zdWe{(!deJv6GBl#y(u5Kk;N$jVo zC!sJ%lu_`owG1&eXpa$zAN4!d_JKX7_2u%q#@9C+%c#lygJta_iN(+J{Hvz$|K1l# zlYBR#dAC3Ol(*&+2JR=L0`w?2M%o?CiLt_Hbtu9%BJgWA%0|3eg8L{-MRbe?M&NyH z?+YmR^8MGj(i<9h4dbZYaPO}_)N&o!tH=-kg^%nQjy_fx#IroGji*5GN4pyB6hGf5 z2EUshACIjp-czHvIbPS*InPrA2QQz!Uw(Zo>M+(y`JQ!{-ydS-H2()%#QZaZ%=za7 zM%SN*9^F5sOBU$^|MgI|eocvtiJX~^HymdDCi$b?iM%)T@!030^QpN-_`_t&$WJsuxR-(Q~lp zttWSioi8{@ryPFI4-bNurc?fl8>!%<+8H?>?^H;PcMZ`01EcgBQ5ceqeC<>O+aN$U zlPgl-|EzeBkG#h}BB;aZb*yL5xkf{t86GD5xXSjSm{xc~kb~xTh-H4y(B=K%`VoGR z{nXt@@+_VK^*?x|!)vNj638dfGj)p>2Cl|1gr;CURhA6lB`lMqs1B7%{kV0SxYa@H zxD#I2%(^->$no*!g^N{iqxeh2pYaCPRuM&hvow)k&Qs4EX^DT?x z2fq3Kcvh=c)WpuPklhBa*IC1Y-`j#VL-wjsP}ub{!S$C}QSxsFK6gwltJg6O-X=Rr z0}T3Ch$-#Qb2Zh!q}=TlelD8*WwI3)`~N?Pn84-aW#`r0PEI|L^LHpt$Y^+NpoNOW zWMOeE;-4lhbe}u5=c~VNtB+IpqBs-Jejr#P+gi)1{0xWAr`8EO&fy_tDdN3&1T5;= z54)%mnk6lm$?T-qhxP+O8g^2PVCJx3feVj`m&LzA++O#mP^e#W!`j~R z@j4Ejb6#}SjhezBk*n(PrZplLX?YS9Ou7izZxX^c>F8uX5k>Ne^;(?R@;Y)lHKn8bj?ux zJD+L7=O$z0b&thwsj=%}-HS!Dc5}k--C42S-*sLK?pEmzC+o+$$H((RSNdPZe z{pdbI~2G&Ij*r7k(3{p{5@6 zFPf-#^{T}tbH#&4c;ij{oT?Jg_!vzBHyC;(RSXxmMD9}>oO`!Lx7|lHbS`OE^(0-LJ2n zEQC+AURa})Tb(E6p{uX_fQp$>%$3-c5O~Rc z`}nAv#_Ts#J>@6kp9DDeDtIa^JKmLRfjGLu;U}f17y9W4xRr%}?pzTm_!)1(bcetG zB38S3ocbxq0Da*yA^5<^CV(b*J4wJ{j!YtyNx zu+Crzls;s~LDgecVNo^xEv|j|aaZHVg#C$WdxzxXQA+4K?w>;NthuOaxbp9U8R=nV zi=~#e&~fg%@jTz6ApCX<;mK?~HOEi{GSTE-GR5Kto8H%N8>zkHa2Qw;ujnA|0q%pCaV;<=@sqZ(uE;*`m$R0Y4T~I~X8KhmN*7*VbU=S`hW$t+KEE3yCHVs-lDUxw`7X!>3vrSG z)0h&ZdfCOW7b}iRFliBz2{vs@Rm=x^v;~wm1SjC!e3>00mxl?z)l3ltA%rB*KlBW6 z1%C{uKHEVHh5V+$r_$m5`R zFXX{C`B@8H!6~-4hf_HpuLlDYJM&i( zJzUHr7cGl<9fywQ+ueE)a}Z7aMtSVEUCUasQbtwtvb7~?Em@#Fa<;D9iXBfJ@c_c0 z@Xw4vu6fOZLPDxMAw{CU2L%+1JvP40m3tB7TI(-ftHoqct~+*n*O0b zd>*&Lczlx>TL*(e`U_DGmZ^j?~reuIP7LfOdAF93&^XJcYGKG888)Lw;U*N4>!sLrQBI zIYtThqjYP*v=|ctUr>ai0`ZJZbu#ZC^=R^Aprdpmanw0p*CPy#^Av4pS_^MuH4;@( zbz>%`<%m%#AyaDex~EOf*3E=)m&Q@m#wa*SiF`%{<^8~@c}910m3(F z6Wz79CC_Z;Erwdowzx5JDa^zi=A#mLdb8Upeb4bsrdr7=We?S0=K?O7lq$by&E=X_ zCjPQq1j2_i4=ra_Ev@l;J3%?F55#jJAnTIQyFX~4k{&CdJs)K~K#plL4{s@}ggxP7 zVe5l^0U5maI&lo0sUptt0N2yjo#$xRj*$!=do6@tmfk=2_Xbnj{@w~L+JZI%zM;&bz98Yu0Z>Zox)?y3uE&&RlI(;isMFctF4RnbP<(an|4=D!Ngv#MR z=r3GUZqoT1N(-hqF-6}kim*{2v9a(W)bCs`CUSJsIkE5^uZL;E(p|e~8O$m;4a1yU z9n-`*k#Uf68EV>9xVZRhy!RMj5b3^$$eR33A6*35lsh9n;mffOUW@7*P84>NhvIf& zapom4vjxwh9x3hlka$w20>iyae19-?k z3a*;bL_2>UkVO35Ana$t62CRm|MQ@1l3iCZ4vgB3LyP;9u$6-fDJ! z0!-LJMc?a$XX$>h0seWrU*Rz5Fb;zL+aFD%f?OA3K!YMZy}Ag+U|0vyjQn|AY@#;~ z!lbpUZQ#}KJC!f7uV_&FZ___#;H;M?tj{FXu))~yBc8ip;(#Xms3&ub8I>Jy+3IeP zyLsTFYNU)DGCEuh;|?n4*_!8IHKMaJ4}y}Ma(95mm(8XJwYI(Q*M4~~zG==U_ssy_ zsr^7CW`FNG#YZYxvudHIVa0m=MW$8NYdM@tf(qDb2(E^Y3WK+DFMSbd>BD*$NA(o5 zrAD_ftr;_SU>*qf&4nOqu@mM&-^6kfv@(0%!@MR@a$cO5N@Nbx6pd$9jN>Z1HLJ-u|UQ=b5%WsYq zQgv41c+6f7c??5SMw#G{U|=IHEBvfy;amF@s{#b!>MW+QEwTk_@>QrRWE^>^+$`pc}}WI{1sEh73AwV5o*iZd`^{Q?AgXi>Ky3IDj;z(9YqnwUD#9)d3JXXO{8~r8iFCg6yJYAWSXP{2N*1&Al zi@2tuxA&y@!uXa|;FRg{E2Gf-iK%g{ksX74%7up%_1YQdCsQY2?vO+T{N>LawX=^pww=dY0&+PPTC zAvyV2?igk`Dfb zn)`mbhIL)~hAY#i8)mMU@&vUqEiU>PSW!1afIJOX91kt7DW-V}_|x2AS>zkrcDQ!o z%X84+*Q|M2WS$)eo-dBDcR%B-D>fkVLD}cZaW;oz&#$ABQr5+zUX+t41n z<70uMXkNF*iXZ|&xaxk70Broe{1PXLDe3OH&ak5XH9wJuuT*zt6tl7y9MDFC)V8Tk zSS#2tszx)sX_xcy_Hhs^*lE>y!3!8EMc+>pQ|2xTo2m4Y>&Y}O%Nvo@cVYV%Vr%qU zGr^-6XtJqy zow(iZl#9vfYE&f^&4mE#e^d0xmvHkc1j*Df!hkRlOs4v+cJ@Oi{N-{Pb~$H8_VnTT z&h0FET0EA-3#Dt)LWDSq&CU071lw)RM2b!&pW0@v?83#+tdN*qCL{0c1i~F+wvGZlBD}EE{tS&y^@!7?I&b6 z$*Qi=p>a{xCOw|D3EZZWP@G`N2O%(0s6!Lu(-s3aIH={ji8nFa5S{v?t-c5RjHL0j z|M`niZ&||8hHThYsViMp?Pvyy@X%(ih`n90O!m~H*zqjFcsW=L2NSc+mY=q5w1;1_ zEn0M}1vw&iFtCC*HazxQK2c&Cx*;02ba*!a;d7@ZGM$1yhBdh@I7o>vvF&`?mvy>y zZwcv7#O&vG_YKcADTHzWYK^6Ll~mPjajE~3#ew)0TVhU{FBK!H8^JOD1qwDG)Vz&B z6aP7rT}s-ES8cjmO((rorYzY4CgG4(f_xkEI1nR_K0ge*Ua4qs&gJy6W=}0#9L;m# zRLi6mhp#WrQVE$JF~l4K+K)@*kp{QXw@}!4knK?q8!2& ze;y{^^$xQFS~RK5L64b?EDxmXR{6*0VXegzfv@p7kdC=+05kMFC@WwIHOh*u$wIm^ z8zCw&5jAh51!EzD(+V?0_6q1OSuQbRusDcEI^T^FqUu<#@gcb

7e%R zMegg5VXBl&@9Sa2hzKT@q>Y4T?KDo0Buz#SF{^`eB z(-uEc_h5%QVvdG4TQc1l4^aNGt?eUh!fM#kH+>V|)Xq2aVUPsiCiyRSDN&-57QJxJ|y){zX4mBQ!F_qwee za?WFniWZ2MJ)8rt24<$wBa$i6elYex527q6Oa!3$EPW6vqQvpqaqQ;R%#@Lf(Np^C z%Ni0i3CI|%;OtK`;EoEsT$}t{ruen+`+bD2g;C#W2?uF^%|%CtUUyT}R?u6se7npo zR4P$H2_&xA7K4jHt_4LT*@RS)P-pTtpYNr;4jq?K6MQn~<@`^|_yOJ+k|Ry$cByhf zzsx==K~IcC5-!)hw*jxkuE0TKqQeGxL{x;ZDrMoVoH41~Qu`WN(Mbybb3c|Mur0Z8 zc{--4ueL5y%+#)f+21Rf(5I`4=6V2*<~y3`5m?J-?L=IkdXZQv3qUT-g`mqQHOa7X z?J^p@=Kaw4$-S$czZ2jq5^!JuQItDpmr2tbR_Fv>GPEK!QHj2=D)qmolk0rIWd#nJ z@Xf9hu$S7ibW#}yx1o!Rt4u2jq<%3I{*5Lm&<@D*y6C`jgLQH49g3qk7|Vbo`OzxM z?32s3PY(=LtHqV+JZeMW49xziLswM5UMmZY^s~eT20I)x_1F=U-^$?GU!XXsbHnfB zZ9sTJ;6@%0W^dqi*7PiIw^ZsYzE|oZB8i+J{=SMXOs$#VP`s}!T##Zco5^m5m^$~# zhWjRiC~}&|J%cVWh*RjEb$Jtf*U=U~zO59APh$p=`Q|c}TyiSwmO)<_nno~=cAfjx zHg=`*>f}i=25V)<{W1t}A2|?#&s57%nvn4)!~Q|(Y1~|4T<&{emi8*VR}c+G%4Cco z5ZY1=qN9`V;LGx-5_0ue+)qZrTNu2Qail@0@RY{WWtD&J6CZDlNgj2tAdTQid2KL; z5QA#8Mj`bA#dEd4L}H_WS0D9#XAct2dP@3v`|RU%s@*wPtd3{Pgu3TK2tlH;l=Ni| zwP;n6>-4Qxw^iom@3G+6)?DSQUUeC}?W3d%uMZGW&)okCvF(Xt+H83Jh?J!6yzF0_kFxCjX5NKu)4g6Xh~8Q*8$rXx(Z&8n%l`|~F?BscjGrVm)+|kgD&gVa z>d+jMe5ZghF7Hi;NrKoXX2o*p+Vn3TJnt7ymPU zw*Gbtj_4?fJ*T0|O9ip4W9?HdydgI@6k&4)9duJiYf%a8DaprQC2vT z+-^uft?}rt%9eizDH1fnfz^R2UGQAgKqW*@fWrH)4u0*39sk}hzUHZhxsGJ|X?T_w zV|w=5w2(sn=(!U||M+XHf-1@cZ&oh@k zCTcU&$Os4JyA$5V>jcV=m!jsBu?kppRTsZbd3!S(vuy89TPVYn@#;vodELoCzCd&4 zmolPHhLfYLF$J~lasBLiT1xSGzukG@HmKvLptiinHdYC`i1G|nUne2FxBSfi@Pm~Q zkiAy|>Hcf8(a3qzsIRGs%fLo(Eh2R{PvFlk1Gl%5G01&^AR*!%mg+@pQwu>+eQ{O# z`aI~@=;^J)bxG(RRl~NNUcA~ZO|B@oHnt?Aw_5P5)4Dmi>sjsK3$~k|U+eq47xa3@ zWuHeoPOor-pYI3#d($iHJ2D8IC?~}v|ExtH+55Mw@vY{M1yM)1W33!atoakM3MH5Q z(8*^*M_`jF5BU-E1i>F1m9Q0Zt5ufExa4=x{!eaja#6%K?WzU)=@BRA>t+y*H*qvt zVAiPrKIsAj%y?5iUv!`31E{D03m)Fbd>#87`GstKLhslnua=M8PUutwl;& zIuvFXQx`jKrj)T3#Gy_rj`oSxqzeqiA0o|U2vE#{7m$NbN+2{j^b;_p7U23sGQo12El zbZ8_K`+K5Ku=_9Hcb;7`Lfti;ot|p`SXfv{NlBSEc6N65@$oS;3n}{!FH%-qN9C6L(zsiYp^+(CEU~W(bKW5JvkK<4`0Y}E#-lRh4V4@;=x}l@4Cl-=`~_i2#IDKjY@^*QX@J9@cfkS842R{!Sp)kfeqSb54Ru&~6N94JW+{ZQ2 z`Dy4QRZW?SFZjH5bs_9=ZsHuS1#QG~c6S#55yS3`5G`Gdp-BXpRcZF1-y+kWK+(m3 zn6Ih}pn?Ff6i_~Z(fb4w3D_ls(@6PhU<2Xw^_3>j{5h<^4n0A&>$?Z_9LTnPkxJ zlH<|W$Rm3k9(Wk8?Ff+w4QP*!Uxn)i`ErNDJ*Z96mr)?*Q&?YKLwbgWFG3eLeXbP5 zslEvA$Y>D%JoMEo;cbyZKP0=3w|Cq*YX!|EGfAGacfIQN+{VaZ;ff1`!^x|egw*}_ z&sOMiYvus9+^NDs$P0bjaDABkZ=9-9V4;I9>u&(=S}+mBW2KPFSYO>+@3YCMaY||L zW_)8&&f@M}r_Hc1Zz6Az=}e~IS1l#!RDn7_lC6Az=UlL(RIK(u696VAe{KX=U@2Q> zQecj?Ydn-oa&GOxs40wAqGZH=b=jH}s*9h{D6~+UjO2dkkX1HfSjGg;s}7i*<;^*b znFAatYCq+(K@qy0=kfs?4)GynAp!cKDa5e=mU3Ncd5zOBE`Ndr3|!7R7vP zOr&}zh7_Fi!joX3{~u2Ie&W~LEwfwss^@;~FuNLVO)H${%-4&gdmH8rPD@wbeN6l~ zc_;wiIbYtd|JXwVap|-Mf(DZ4OHRy|E6P^z^}!ogK~DY1Xjcw^{P%oxf>OYpw5hNJ zew<6zHhs{~gBls1I~V(9#QUrZ(#)9GUwxl5+0>|DR4V<&pltBPIL#-y7@z zcMu9ofra%X4R_N|tojo{0AAu$pZDaBi>s>in}_1h&+V|3 zm;QiN5Sir8U#A5Ap(6at$V1s}jI~2gDiz&0(ntvb^k_p;5lw6$HV|D2 zn1=o>4uY*;8IQ`TG`9yyi6TsLAgI(oe~1uWS$RtI+@#ox#HJ2v#mLY+KFxmrqo6#@ zbLQ=M>aNrJ_~hXYoP$>wmU*@Kof9=q$`l|%I{|?X&3m(RmG-gSAL<^dzblIb@K0_? zt1$xrsDBa>ZJwDw3hh^I{lbb>TzTYe1r`LL@m0Ab`NjdH4$3I_05CxTav#>wTWV}I{_sVADt>r1 zLt)uEEQq9O^kIf#wHV1!bKX`_&>vcuo4R+_@id;mU3sFWw*`lf8c~IOam-ubu&vhe z6iB*9ixXhl7Wrfi(a1T=I4%5Oi~@s0ApsJ+SoaV^R8cr(YN z4#0o%K{RcUiUwv0-mMl006D4=2G)&>!zN+&m?wKd%}fiL41TwtpgPY;4}sXK`6ZKfif&)Sdu9#0b}EQ$*z@Bm0*cA@@@fp&!Br4m!JqxFgRH zXU6^Ln_c%?Ip1vyrRIx1JFbfNVD9PM@-mp6b&Kf?I>$!=XpbNL<4-g($H+pTM@ZEj zDbrYQ{B(HgTgmu7XG`NW5OgC7Vzr>u{5F&7#J4cW!T4;*Clfo)jr*l(tFBjDPBp9U z9V)*u3at@@3eCA2Cui8FpFN6BPWN2s8zl|gc4*6105AEryPQjrL>D*H@sV_4R>-WCN9fR-gyUEc(O|_%; z@BV38)25)1t;RcymuvyQf!|8}bGCFTZiad(GuIQoFSqY>FTG#MTt zzp=$0C+`XB7VSBd64c|M(HZj|%fdjF$ZfwH2Cs6@GcR$|MPD z&K8|3JiTne)i<1w4?^}`uZ&G8X<5IoCgH>I`zr*ABSHC&BUv6>ghbI^qpOVFK7rkJ zBS~e|)bjj$bG7o8IHZ5PR8snJSHa#wQ{?P*-33AJ-dOhiu)k0qPcZPvz@7<`Z7k1sb8C^=~?HUs^41h#@;;v{Z} z0%E6OInn1H`oip`%BRsy`r$H%2SU!dH_?I4Ha1ek@0`^+8BFVhk*Fp!BzY|c39q~$Mxs&OK~^tQ*uU{8rVhQ>8z!-36Fa| zgK9p-^oIPjJ|PTp``q{)v0qsp;aS5NFnP^3$!-TB0_wfjpRmG%A3xAT__eO{{UEy> z(JLV2X#ehWL$OaZdVGf;eJ)tIm>O(2jgi7;-2mL`D*~KDUrOqs@5_bT(}*>8j`pH# zk16XfGzj?}G2<{4N-WAy{a@f9oe&tGwb-Sjsh~(@gHl7}rL7WaEVmiT!GwFfACIM1 zIT}U7j^^XAB>ksgrMX<81D-@I}%uM_)^eMZl58~>hm5nyr<0!=3R zF#>i<_mE68IDkg^#yi(Oz07fGd48M*mMh0O=d7M(1rYA`84=3hA!u6IQtN)IH2`s-PX@Tcah?M)!sR12>-B24eR=W{il)S4|E<#Te+ zAe8N=9!R;+P|_m>(UCtr)wyvsi|=M#g}J{+N0>~B!@^FszUI7c9r@_gk&sQ0N!Wgm zLDlD#*EpCS+n&gR3@X3`GrjPf1nidPvb5h_%5|Gw_3)T<{FC|rvHjbywcs~3p_u)* zRPR^hYSl-Lx44sUe8$3Jf$wI1%nS+1M&q}0jFv}JjI8*APF~$Soy>CRVqprVEAF9Z zGQ*aZw#kJbZbr_6RRt$&BF5a)B8Cdm8FC`h)nIQB#7IyoNB3N{BVu*h76vO8?)wM1 zXGQHQmePZAhkQKerER&gu|-8yHSQqxds0DU2}|<;wV6I+>^n2vCqN^z_Wa@G_55NX z<0Lx_VBJZse2=IlGU_}@dz>xX9O^fa zP+@4Xxq@zFnt1n43CkXDq4?2K@%=kCjoAD<*z4#jQ)w{KWFJmC;aaxx11z<|#~{lr zt?c-HnDG|qDn0sA3jLOHK(hZ+)pVG^>@oQExS9J7tDh$nMb6}`A4V6}o-?rdx$_?% z8@H3<<>is|T*rC&V|Z4yR*(>g5hf-(4!O={-%1yge`H<8T98Y`dGLE`pM6gq)p0O% zquh!cvpg)hOE`6<9)K7{8mIcQ3NK^1xmy+~Og8oNjHGgJ?(sEgs0aC-$NtD(faoip z!_u@?P0n8H88ZfSlRQ%tv?!B96yx3J7$SeHtQ?G*84 zI&Imt8V>Z<>%D1O}eomV1`gpUPazok@R|L?2fm)|&<01xXE3C!&pxtNA zvQI?E#9>UpF=bH5!2c3=vTV1Ngi=bvlROL~?`efTs8tA7@lLTQ;5SwGxiu;gb3Hw` zZ&~vvS?snF=}v|Z?9EGt3CvB7lUV3^;RbMjR{_Cprm-oo?Y>vqYW8v3T{&kl7X2l^ zAGb_Iar}hvC1rD{+4NAvvY_S`5|=nc30aCX^ufu)IOhrq%AGLec@a}o31llH1aD1n z^=Rp7m(u|U<=!pHMC5NVI4vZyYVvdvwbu^o9kY8h-o-lyMQGd+-m&E|X9zD|{1r@a zSaLLSY3PGksh|u3ir&=NN1yuwiJh%$B}`DjuW>NJ+U9cCU=%~_z?sgkKuDV&z-Q|v zd;yMRA+hJz+V;2T@Zi=0#}6iaU!07H$B7`NKXvfL^(@5mlanWZp6F3*<43_*F0K

y`CTw}Q<*ipm>KHi%#Z^t2G_3o?aiEln5Ku-`1-|}y>E}K)>hDQn zmb0_?3u~UF$=^9VLVzYK%%QoBCEH0kwJeW8B_gldS#ZR-raf%^lG|>^=#Ftg`6u0x zv>x}eH6}*85!^xDd?TA;OqE0@P04lXN7L7Fory(xvG9SH{sK|MABxKB;S55enYsx= zbo6UxT(SD@ELJ{RuP+G6!IDKwGf-|-96Q`$O^uY8NL%5GyReI_C|arRju9#@K1d;i zrvG@q)BchkXYtrxJF3Ui6umeym+h}6-z}kPEBe1(%c(3$r~kv)m&Zfdw*8M?mTYCo zmL>aAgzQtYl#s~2RJQEd8OG9r5G|Gv1|iw9XBh6Hlx;?`j-?Vt6BCB9`<+AgeLv6p z-0%B){_`oW>%7kM*pKh`I0a5+CD*tbsPN|T4B$PIs@UG^x7>^bQ5o;#Tgp zK~-g`s-jCJj%6)L*$~1jb<3Q-?5}*lG z?Q9O)V3g>IIU7;iF6iPLX-ZEo&Gl20F|-wkj6t!aOyL4P}a(?(v5Q^mFZ z+^Fx3RP#f-Y=!eO**S#k5gokm=RS~r8kt^$-!aIYZsP2(e<@v^O~Fbt^da`=BAm~^aM`$H;(TW3nKKJ~ApquV=J`+Y^Plx=$u9b6f!XIVH-$8% zc&8HEN%0x*Ds$!5k_A5>LcRBkF5jTegw^|;rK+QKFO1_=3gM`u4d+%)s)yKF=h;D! zSG6L-5%er94Kl-RZi99dNIP`PrG>0__OQw+2j2Yf$`o&RJR5Y6xXo(c?0<&DMG6U0 zd-G<4S(OE;4cYuD!lg^PN4hVz^FHC2tm64JJ@<=`v91Z99YoHfPuOF z`SQI}E=ulIN8KXmlTu?=&NNRo$+`NBNx_n`WR8`DuuJw1q@MPk(yhZQp1)+(dSN{3 zyOQ5>b{m*XCvGg)Shy1e}bW-R^Q9p}ka)f;p3j9^USj3&ZX-5^q3r`huq>g0R< zWz2ekxo7gNlP6+?mNXm>i`&ExestCPTF!AHKD(NPWN4%e&=G$Ttuwt$My=PbqMQ-YoB=9!O_4iXGIr*oVk)fQxIF+mh;f!5>72d1U!kFChqmlsse*ERm|FE?3?y9_^l1hTWZsB8qP_}$Z*gzqzIJPojm`o0_``AC7uS<>`&vrbCkez$(kAIFX7a3MG| z4k3_h9U>jPhpN>wZ~OaQp}+;lN@uiJW@l7bHs4*J3kP^~>%vb0RK%iyE1Hxzw`Ah4 z5v~~!qEZB^2#4<;l{8fw-g1092jG+xL=DP7{1I7eJ!gO!0i}DgxdWzS;?^V0k7cKQ zrq@pK)UVPG4GZRkvm3ia+r=)LjKtP4x)Oe-+XwuTUN02D~0xIMx z(LRJwrrc`G9IS-w%MLL?GYVy&2jRhoV1{ZvWDJtl!G@ z%y4Q&^%6R-_oIHi_+#z3@3Zy1Ob9oZsY3q1ZtZ%di4#!5Q-?mM=+>~HfE36kUDet^ zeK@*nR*v~=W$&O0xQe#v(&jpi4}88RDVg<%D7bN!0l^Ew^gcrM6)%J{{{FC&JT3E* zY!)ZqigfBaG>;|aRxnMmAj0JVkg;#=%sRsdN}P4!P*V6$>gdIQ*aJf4K2Cn0Pqft_es-a!eO(cvo1Q{nxa_Pr?6HxaBm2m_U z1(@ZPItE2i-Up%Jb`1xk0&|49H7Q<1{RB9In z^LjVmmqjJKz>RoSDK3c1Q()lqm^5@~@rpaZ(|{dN0ASZRf_MJ)>jl?s!uvzM$++~b z%;N>woj5D5#$uJ69*FduTpq{8P~*A+>5I`E)9F5dh(V8ArafZv+`kv_rL!f!^eZa2cCd)Z6r1`?GFm@7k9@Iz05{@MLz0Q3mKk*_S zWL6YRIBvlum+3-@u5OhOaQ$x+$nw|(1iS_~#(AJqugXhZGGC9-XXN5HEH{7T;(-GN zn>=%p_-;|+TBD_A^x_WDVeQp~ys_!26GvpaeH;Q*JJ){6(xs|m7V1x3o0tX*jCQCr zF60{?y*0y9{klf0!aFO#}w}t;1x*zdzhbeFl5F#im!(r<;qUeZ2?QYZ^OG`9R z!8*T2`)iM*CHo_G4fnc&cj^VV^KiBP*$TS%P^2bKoni=X^657hJXn)$1_kGrof<>aBDtZ4>9h( zcOj!#uRg|%{osZU;~NeTw9d%c^J9E@p!uTuwn@{VDo^!;mNL(+I%Y>YA~I(Szvj0R z!?DnJUuy*u4ne+w*k^+_d?U6HPHZTIAbC{-7u4D83!e8zR@gM>hR$x!88?cAXR$m} zL-#T334MzZsiML;&<2KEH{l=JUt?f6%*jj21H?{pQ3d@(6+M&6KD1Tud`>k39inPv zu=g?G=j1gNk6oz)wopQW1lT1b=-y1?DPbWkPws(@0lYd5E-Fgptht0`N6mOmQz_UW zG3HvW=P3e@Qx|F~DL2=8-L1869bx9$+XziHL(dOay-yhG?TR;xLsHle7d_7}A|}R`cg~nv`#d zMf+TXS~?^ax?yv6Ay70N$;9tjYvMwfncH2}sT|`)U8^?=AN=uI#n~4cMHiR}Fw?@v zCIg%-cf35)x6#(Q+btt`3z3Ur)LitUnXL_tTBtoQ>?x zn^ptcM{rExIFaB6KZ_rz@Ndu@@6~Bb5zLJ!bJM#O=@JTqOl*wcRV_}-Ie^&hiEY61 zu6*c(?TtNxTWTj#1<_-Wy})QmIu`N@6-V0CFR znyGKHb3f^%&THCyYudI2Hv|&%L@uVE`l$h?9977`W)!nmbSLR45OZ>%G;luCck~zq z>DkujieTH21#d+Xxhcwwn4>^s$Awf)JTK~P5()RA1g-@Efz>AUM4!>pqb2Gaw|G)b zgmiR}={~{D3vU!T$67j9xs`aJC1m(lfkXl&2F`(T8Ed%oN?pbRfat2#N?~;_qgVVM zeFGKR=I-5%-cXui9i2Ne-6p)2;+=Cv-cvl#jIanp+X-dj4;$+U(pP%$Yq#L3xT)Hg zJ{$0qU2Utv6C*4Fa?!9ws+2m^CQ4A&%;G~+6J2@uIAse2xpm(e&c&no8wvQ4!)0Iy^#Detnu2p;gQipSzs%Upkt*DU#2&mn(Is|d zh-K+1dkG}M7+q!t+mNx6vNm`w+G+Diy|$)(wcONBtCONQ>Ir(Tv||3o^s?)Xn{7XY z70yi!IQ3veV{e5B`9}t9I8_G=roXt{>$IQ(F4SOZopW#By)1AuTJFhM!0X4VdBS+pFfWR=-!SxDy*Fj3G3TZOG<7Ix6 zK@^(7qv{I;PBEyLYQHoK3>`Y(b80jx^h%+_OMSrD&2?h<#2d5@Bden1z9|c7deAIB z9K?g^jhFMoIohmbgCPj>GS)E>OEf2D#;#?QU;$e6FrfYXZ!I zxq+w!C*`f0mGXKDic2vJxB!ROUW((ks7k8e8ivXRTudw2# zPvXYRr5w#QmN53JeHVOk(pGry-(8o;0A6l-3mLDbWzti+( z1`k0BQX!MhJ9uM3K`)kfmU}Y` zoSvO&XiOZbE6Ds*2!E5b`7Nei_8ka=kf;YkXrls@vea?Tdq{q z_&3cRopZFjoPBT#0F4*8V8AT;f!-YIs~Y&SmjzXuVn==84oNoYIVg9g6+ zfYM4ulq@4OZak|7yvIKUGe_aPFKE^;NG>1%Kn{?@3a(p>%AGoWmWL6%+5oWmnZFU_e|&OS z_gN2k)r;GYZY#J+H171ftp`FhArLa&XoN3Z<%boVvk~HovUr^ z-=4kMkwzM8A&jHK=zy?-ih}8p0}dm}Id-xQJ=<;Bd?As72!RA15+3SowP+TAAn70| zz}6*WK*y6GHI8Obp!^7?K7!dnTv1e*fIE&nDDULXI=C+dj@D%BqhVlY`HefAs+9xq zH?9+EZ}P9lMwzVWLNiu+L!MQ4HC=L)v|-8=mip}Jst8YsXd^ez+XZ_}ItJ#n{M12=LKgHkN+LkVg0GMX4ORJ{U$I#^=Cd+m zxO*8yJ7Ne+?@ydi5(E)0Wwez-MGmubKdg5;(gLCSAv;Ee)1t@urFp#8@ZDKfX{&!T zsU_V&i4&j=3=w9t?{ks&eP$QDR&2sYUL7jVp?b6p;Akjt6b!hcE(-`o6Fe?KV;5-1 zqDgeFY6Q3iiOf<4dkTyIHIx*rFy~DstQ&skYy7Q7lNV?7DnLzuBQHYttevI87VZQ@ zlPfa}rwKP%et5b1+X5lSrt#vm@}@&(@iYs9mk!g;pqHSB(ofj+6jUU(%(X&r0Qygs zmGmQWoXljI0q?ITBO=(KQ^Cucr{Fs}axTC_|BIhBHn;|Xm1Ke`SdfXw>&Jrr^m6GJ znQdXzn0=VeQ=UU*@I&CV@!9j|>3@kN#YIm->~}+1 z$R98nQ(6HDWPu>h3y;>KC+hgrjzUS42t&RA(#WN8_%SG2_ob2{9XY=}QOpU52#tbt zOMLo#GooE5eYNP?LYWIBIF)jPgcm*f``FfEwN+AX^Lg$s5Q^?vm}F{por2_p_mwU_X+&7l?qc_hPUz zt{qejK$YQJ=qhiSVCzJkqh;&L9m9Fl&+9w%{2MFMDz_+bVJtWW|HT!Bkm3=(!twDD z_7BmmD}#y31XTc^p~O)@aN@1I?Q5~0Kf8kWkQeOG4VcW{Ycu0pg55`=BB-hHFtrwd64y01R`gOd**ll%dq?2HDZemZ= zuS40SoTYAM*i7{~qp1_%9+}_nA}3U$if>WOM(F|9*ROAoEMtXnqN;ZK=Qh^Aek^dl zZ;&ld2|2m@VMY0nxCvx5s!Ay7AKrVmE8|^zb{@|q@QZ!rw7JHfqroWwY)eP`KSz0VO_43mTn4|yd3N2UAB#w8}wqpsP6ZC!E z$rbdA9}Tr6g_4{JcACbZRctjT<=7A}w^BS<+dLWTVpJP>GePcVtpF$xrB)1HCaKY< zNId@o*q^Crha%IgO7Ad{cgVyxir+D(EC8$MACW38d_erCtY za+3RDS?;v#u}<5e(VEJlDFH?iD&)@{x1D28{eJO*Nn_EiKPL9#F_bhx-j?p;?;m!8 zL6!&lkvS$6ZLJkRO_%86<_ja9+OMgGh}v7AFl04K&~aE%ZMo3Z}CD^ z=n*BQRTsQra-*OI|FG1vapY(FJ8T{WW``R?rirCWJbB^S3@Rr&0=)ajwZn*^yOik} z&>Qw^BwGNU)IQwW7{)|4-m=k?N5I)7fR7aH1F_@tVN1vzZtW)B`=y1|g8)x6JPOzBYV@Mn%?9l)D^p3`X55;NL-K!ahX#I4P?)#Hw_(kOn~?CQcAFW82YW3 z<#z_S@;yr=&UR|`y;4fO_RX;fuoZSxL~6Qdfs!$r-|3Cshw=-ONS3B{y3edpk<)f2 z%T;pB92W))gW8+&)IKvGWJ{>M@-{vt!882}!Rp42?gNX62hIE4jUt0iflX4u068Ua zAy!{cQl%NN>t`0j8?n!{AB?%ao>=_m9qu*8uN*EJ4N4P}0X^newidNu6&XrU&H+Xu z3iL(Bj+;(!s95pud>1!uG1vGrdYmbV79c<{X50K2ZB6a-cL7L4>q~?CqvN}~KDQ0M zBX-}gvf0a&kseV8K_=P&h1e|gZX_{g8^G=Xq1A_(Sw8CSP=j@OpmqDsabAgNxwxq` z6SL+OPqp*3^j`_2;rGqw)c6*m=aNbLpGU&Ghx1sBuadwY%1U~)`ASm-?`MZ$bI zHP690#+PAgn*zawG2uW@t$+44nCPPXeT|nNyg{;Q-Xo7LYkQXR`+Uz8Lr|&pP_l zPvlRXY|aCT1_N}M0+v7pO#zc2_VaQ?rn-_Rcgd?)*OuPh%Wv|S>!%}XgNuYK)yY;$ zZU8vsYUB(kU?ZnvOmaI>w$P+p@0%nnSzKoGiVP8~N1u zplEfdqyMa7caQ~dh!29v8Jc1J3-d&I%k1!irqi@YQ`WSySRc^pQ;9z$YU7*7$*e2)JZ~QEd$n_bvZuvEjt}NW{Z96~v>yULJjqXuejO0OS zVw(v=sH4KAT`K)bh~6D;jRrq)I%mKhYK!>$;k55}#6I%>r$Eu=2@aLq~L|+;^+c@mBn&fH1%f z@_T8S&v$qnIoEWleNl(GX=0ZFSJT zJ!kPj{GAeZZ2zR`_74BY#Vj<; zd2JEidG1Ei`THPA;s9J7sab(GG0tb(RvUh(y)6Bs#~X+7cEwlP({BJWG-wc0psty- znHHJaG?b1Y?nzj07`u%A?5p^k+x`yVe?goJ5elpPe6#FGN~oBXiQ57JJTw8Z)7MtNhz7F5S?bP-EA2sxr^vC8$y%`^OR3= zgo)y&fkZ1c?p|W&%zD!wYRihZgVfXe%NX>s`fAHSJ4v zgd_mdNF6v@rVNJLq=*Krhk}zL*@8^vSKUJY)YmQZ>X9@3m85H@ zNHLx7X4^|-hjSLHTI505)(SL`FO$3Hy)fwzeh?&gX(1YGscr)m}3t%*P9AFF~5*%yZ# zxz&tnG$9VbttNL2kc$LI2fmjGWRDK7&FHme*MJee?K^Av9%ng9-^q@~x{Ly(VlZYTb+8H#J)-h-{HKug2WQ@xdu3SW31} zW;!L%SAK+}g{+WH{XN>3O6FG=&SDu+U@SbSc<}IJ>QU&j%*Q_o(Ycdq{mX9}Kx5sf zk+jqV(y4BIhH}vBp8%`a^g|VdNY7nzWbrnez16>hpek;l6WG;)t$f>b*GBbjrMy?6 zHlQqWvWE=lO)LadY3`dBDmqNP2;%d?XS9n!#=zZ6U{Fb=ERc$ht1t7hj@2_SLl>$6 zHpM%DX{#KBkf5Q{jpkVplVkVPUVkS(DH6eq*$-n|4Dm$&Cv zL9Rp<7y$aN1>0ZXZe_{B4R$UrPtg%sVNEk_A2<4&`z9pPpKW|9Y3ThBt#xzSr{8lP z6m`D57k>w6C083f2b=abH7GYJ5h?b(7B;(Hj&s&Xu;HlLJ2L?p^Cj1S zdRIgwFQ|}rs6aF?Pcudfd;moq(wt|qX*hyo3POaYR8b)dM|2n+lT0ac)@c!RV1g$k z$MbNsF_;DBXeygu>%sWI-19~Ln9hj@`rx_1c$zyLN4C7at9A%CJ2^M|6^qaM^f|Sm z*m+X~04cGkLpY~cF_6U~r)a=V{0G{b3b%V0x62O6>C%Cs&AszWJ($O?k4v99gv$rF zijdnXDHO1Wv>3*@0d$NHGj0sLV*{O?`Pk>8iW%)DFJidjDE=xmPq9c~KPvhgYzde} zWXSM^Xb6%Ixdqt1R?F+7Wn@@pDU_NR^HTD8+52m`bQ4G}Bqydm0Kqi`fq^S^f%=Cr zV%m-FUy;{3_2V7xnsT&*E$T)6$)X%d%}YEtx~@i166ouxs> zgYMA3FkydB`J|@*y^95>qa&}@-xYW%TX(r4KUg_B^0HDQ_u$C_^8v_yoLWTzi-%R; z?+Nk5J>gz8u6zd39Gs#+fq_$udY$i&1U92UrDQOFy&-&HzpnAm(>owI^W#*ommJXo zWn;Rgg9>l2Vhni61&Xj<$=jEbR7+UCXPlpk$R?-RZqclW(y-BnRc}dgglpGaafEx_}fC?(7x~Y)7$^t+ymA?DU^b^SDOB-$b&Jjbm&@e z9R66!$*m4f=3K=Hbx^?$!UDAI03+&_*3RX{qD%KxPV}cTZ1^ze3&$BhT6uiBd#+RG z^&TkonI6{|q5Kq3G89mT+6=mAHRdrYs)cpg&nN#kzIkdM%h$6dwRfUnk}47oa?vHME8dFjn4sF@Y!33 zcw9YRz=f`V=9lE`^>H(!3yMXxl2qGl2Y3QR;O@36Nnlv7FJQ7U{3w5{uT8&9=jxyG z{Eu^3QUxhDA%jOgnLC|inaaIMhc5j2MOCMf9JqzW;;#SDmArBX=a=Px^EWuEs&awR zOfB;hI<*@_;CZ=%!UYWX=2_uu-;t_^=XO7a-w9fm8z?t*Um}H&=Lha{nf`fq5fqy) zgY3XjVxaZ30fdz^%(?n6_1I{0_h0+~=W4*xPt;QpfhED{o<55^f4Ee5PR!l)Vyq;5 z8&pNtw1>{#y+?z;Y3Bx6^OnH}inv20$Wqe9;;_A9HJGd8V6_Ef1yL zQQApGuFQdxB^#-K(XNB_nMKm$;O1sGi;w9j4f0(qrOki0a(Phd>B=*J*ic|9@Qe#g zsrdoMhnPPKcyd|^m@5?E$GIdE@PLdR`{NpRRP<^JCz-rKblR^f{#NBbc@=-1x1=^T z(cz`!>8lU&UtT4wyogwf^P)qOGwF6A*j?CM#7C=3^WP)IaZb7aFqj*yGUrOIgvfA=auf9n(SK zKLWt(x-buY1p4eJm#&_196Gt^Z~R!)>O6&_Q|EQclhja6N0iuQ2o2esRC2cGCZ#FzXo@_gk3`~nzT`H$K} z-s8XFPKOT8@J~YLzrUQUEI<%u2+@yZ9lhNKiPlt9K#kh@3!`$ue1{a0@$ zn*wsj6)1w#FUSu2{wW_g;eRmBB@*hE-QN*`Y zE71NOt^tJk4lsBweD&0}tWyY|WwKGuVH9ffjG^O}jjA%36n=YW@f?_(RKb_m)L9w2 z>c^S8X)beS4~6zs!C=K91XD^@Ca zuc#1M(GJM@dX0cx#_FvF{0+c10L$9tJcJuGI~KZnYR$1FpD)|3FY3Kkh!DUAn)T(> zhdccGF%5diCoITyuJ&63feB@Z5G(zaC^ z`XeW0bWYB>VZJt6L%C4z0lSLtq-aN(DaDS$zSz(lL+q71P#^l&nU1XI>NWsE4n(A2 zf7{Zy-ZU>F6It5~LPK9Kzo`tE>nrdauIzwbFgCVGHmX*b(Y+U25&!0~wuBWx{lAiD zdMfO<)Tnp=g;^Ylol0-*3{ztK_j->%&QJPz60@%idQ@vOaff+gEunrhcP6UZcDqD%Y0JV1D5dcrk2b#>pWcw} zzhOV-aQUwOksILMANe;W=K9tauwf#nuF=rZCF1Tfv{*1d^DE_D-(}jekjXH8RpmQ$EUs?cuh`kyQ>Rx5QG3}r*I$h_1(f)E z&Guxr_no|URL;)o?!9|U*_=YOMq0Cf>oa+r{3zE!ub-^ff2siBI|DriYGwX~a#NS= zA@`?tALz${W!cwdO3WdlV91W}-@1R~Adop2wGRSOaxWK>@VS|Mxx1 zZW<~itqiWpx5XV#*{djFHIff5T_ES5Kmf?1Mg9cvX$Sj$a5TVe0EyU5o)hu_BLKGN zmd~YMyLjR#Ci`XdBqRBk|3CXcLz&w>Ip@E=c4qaq#bD}@qZn-Fr_R)F@>{^slO53k zK8Y-3U>SSp$!uDEzZp34CKvl-R(3ExHU@h9VTV{X{X^DlNOjeXqsLk4S>G~pw_rzT zosvS5PSM`!y}?8~>Jx%pSQ~1XSN2hf2yNa%2`=`GZ=6)QaYJ~rX&_{g-Op`#Ry)VK zs~lx+U64MV!(9IRk`W^wz*N@(UVImMp2Qkl!vpYg*A3*A>O%F4|~3qHO4 zl<#jar3?y>z}JJ9_zpvf9uK85Lf(NgMv zai*>dE9G`o?uDIs(@TGy85OBL8uI3YFQ!(b%sCDow!f5vAHfkp=z$&!J(9xY3~Ql- z*l|wbJIJ*#_bSI>?F`azc<@$hT%ozt)t7-WlZdHb%0l2pKm?+J6amp8i`1V#l9vOCF{T$1WuIvh z!aUhIg6pfr=psx8eFF`HVs=BwtGrOhNKDQSNu5>Ewn*1lLn;t`2f|dd=Fq?yk2w@{$4SxkFZ=zwXxjXsW%451+c_^`}IGwSe#5 z7vzWG$1$Bam6JLJyx{fa!!LTSSc9;bd~)m+lyJg>HmO8`NNXNtmvph%ReYiCl}%?U z5&W^VB9oT3sa4K zFN?`cJnxxYxmz>;y;p#XR@P0c(Q9D2y#&=TwYw-NvrxZ62hOL_9b?kisvmiL_`G_9 z-@C7kvO7MSE9zAXmi(%2?rj^NOH5B-Tuu&sulK&V_gLYCoZKW{v&6(W_sS{XG_lV* zVdzsLTiBMpdQ!s@jQawm{jL_bT6Nz7$*FrD4T}gLLIu970T<=8{-_60nkv$GjwUQsdOM z(59Nr4Mw3+xiFk}WYq?X!0K4*#%J;LHHE}e?9{N3wPy7i#)Py|UXqk|Mih?iz7UmM z4`C{ByI^?DFz<}JyZmid@~0dA8eP@&=Q)|0d%auP*kkM~PEk(FVKiW&VR%i67u(z* zB4?ak#LrA=PkT6ZQ2z7U*^uPUKWeIln&+NczuJ2`10QZ%&aW75H(4C-wt3lzc2KEaBsISi}6m?MYD-Z#Vj zq8OLh#-1OH7ANo1v-x?Fdj8m8(ee$;V~$}+V(n32bc(jbo&p&ipV0{f+$9}PXSv~of8aCRan@i_&^zg#c0#EI=2Q!g# zc;A#ILuIuw@#5#P-zVdLtJvRqb&Ljf?+H|;>vcvp^(Lh~Vvl)WsKM?zN2jWj#3Zk5 zIlC8>z49-3tm0MoNnIN2xz!a`=9PwfV$o2_2>S`n?c^rjDf4I zCw-P^tF~0NgiNIea~*B+nWU<&_{SxiKfV&a_4@tbW{Z3vm*fQDVaJ_V>R`G&)q z%#1IMV>fa=E5}#mj-JV_mXJ3u{32za+^EcIKelg`_YK*BGdhmh;lkY$yYIt^yDC+W zggQPT+uZvMF_U4IJg4BfSh}z7);p;mjnvZ~RDs(~Z6x7R*wpkK{MxE8YGJJJ@kd3f ztQj%S+jPQ#?NJt~9`_O+YUJ>Z`F{ z;1X3r!V~=W2&F3nP+AfXLH253M7@cMcNNq3bN?X8mk?Z8uKDVW#0|3VeR7ixx2k@) z=_p&FtK3?1c|&>aLS=bb&$ff#y0>kEqIA@&!l_TC0dU5og6qj^Cpg^BmqRnASwG-) z1!TjvnLDW>+?>UVCT3Q1XdG9&I0@L1Fn#G9&$^7qNZ?Fh5;U8*QN!>Sa8t}sTf|_E z_XE1*aPPMH2ifUsD~sWBH)vq(a5PeIT+!oM&02>`+t%pPZis;4eM8j{byB-P2?dM7 zi=ICGb9vj$_diO?Q5G~SegGd3;Ha==J7mdn@mj?C6S3(B zS|#P!nE=fYNeVuxeD*%d2|H`(5RVE$g*@LKlN=I2iit{8Ga zP%Vtnjm~cDHM_^sSw2P8DZAjp87#^`Ob^itv5mD)eqb=?!wm7%eBNsplKZiB6BmYE zyYthxJ31~d84J@)Iuavi7%h5c2leV}JzkFL9JM;BO(k7>HGKwdsS)trbL%QPr@qc{ zv*W@+)UY1`9)t??LYs^0kI^D#aS7VUl0!c_O3Z5@qqAC|c}XT6ebJXyC2-$t+jBjeYvQSYWhxEPW@PYwY=Qr@6aCvQvas1Y&h^$)W{-Y zX;^v#MqS!=G;BWQEcy!mi6X+2nb6NsXYFzJP!b$%)lj?PToMvfz4tUI-7cP5Pn16J z?8WDR#2Wcg46D`Fv>dH{+oaEF#miLHjjW7TKn{!>tLS2PURg_uK0O6r#sH8@h& z@Ymh5bO%`cxNIo8NBWjm zMg&(xeFh=5-=Wp>kp#v?uV&?x_1gFB2JbQ%Mj@)V-_LAi-Q$yRRn1d(zPkV%B=B=4 zGY%eFk=Z8jAAZ)!4ni_1K4MYli>7xNq{TPAv|qGz^+#SCef`jz>(A)wuh{)2E3V0b z8YZ+K4A{`X_VPt!S(;tE2O12gu%8-xUVAn#7kXoDG_KoZ{BgNa{dkP&nbY4{mfGzB zha#Yy`PQp^-^`<)MAe8Ne0d8lLlymzxDK@gN!(m4i>i?+{esVu8@`hH&Z{nCwD*x1 z#zm~A=diLMi_v+k##RW3`j|gPe!yvCswaRi1x}+plm93s{QX*-Os9NX-P^*`i!KBH zCwI*S9?lpfk$9VL=Aeh4y9VaO9bK^y`cLx#5+!nMXtuGo;@CuA46Gp`)7&PAXzyaP zqLpIc9_FO3+Ysg{{oHNN#uWnd7!Lgs+Cv}6!}nF=4rXe!JW(*b7r(2_sFnG34!;g; zzO^d_%%gF;w2>^3@f^5XY#uSxSRKqIQ^cU)mOXwhG6-t*E67w8$Z>B%7Smu zfk<<7S}O-x+OF{BtgGr4vUMX|({8CJGoB5%A<}7;3@(-1(V}y|KR(Ifys-%)5rW@d zrgSg&o$3~Tt~rGjg_CGAtWu}kKUhdQ2~3GFIUEm@;?hon^PY=UM~ZhyB+WGlCZH@ISY(GKHHY8@-D1JlOjE zEaXqiP0mq`g)f7Ne_noJ*IH&r!s&?;T)4&L@Re-AgA7)e`}P>d0pxmLT( zIPv(C_&`lgCKYX%7rp`R5YyRE=qh_(GRC28<$A_0mw?9Cm7puZV*^^3E*b?8Pn`IM z;^%$S;#!fawl);(KVVFj)Nj;C10$2Uz=(4NPTAx@X1n!ihPuI}3#((nz`eh{f+$(- zt*(r6usf!_Z1*rmEw{=SWi;G)KCctlmi+j6pn|IJ+GRU^hZZ}5acWv&GsNC~?p(C6 z%rX(_YaK8-9U`Jygol6LTfmQqW?{>)GZhu%(*KJkC317%-nZK;hWl=_TU=>J0MJCI z@><_dyzNuv$_1M{O0p<@S2Yk%ID$CYaBp#_W?_-(%{E(_7Ph-Qst^uTSSOO49}#mo zagz^SIQWioa+WWRwuV?V6v8*2xo9FQg6WCmphcB)()DB!6u%XY@RD{kLk5~yKHs`9+uqozU*)e^wUY8A<4l;q#l3RYnH$mJMK?e7Ga z@(a8alp=O+UV2H;s2{0@d()i0xZtQ5hE{t^A4$}=Z+r_SKI4htA*6Vy31QgLHc_41 zLMuf1#e&W5XWMjdo24Eekk6G8+{_d`lb1Sl|d9IJmf~7P>F@C1w1OnjBNf`&n0);eGypMu6uIbO1@SGM4{U z24nB)wP}^{S@&AqPkqD|EACA>6+vd*IX3*bg7=b06?yA5g)s}qN0i>XG*JgcRS@9Q z^{5rtCt0_)_%kuBpXQJC+s91yhv~aF6XDnFHcq_+>$5>rMeg37SrILMPIjgrLq>O{ z8)fhh7!@0|o$(F5QNLak?J-x`lg1*yzTrq;^E9#30}CDH`CU^w$TRn|a5kK46EQIn zLvIOY0P2htx{vgavwR`ET<xyygd< z72RHelMl|sEJiRBDxp*Uo3+s?mU+)8)((fh{#6fh!CPFo*%cFsoXIaF&l&D)M^BQ! z6i9HghFnZ8k5%pctlvppZEkK5m+PyF**6_$4@wmWwKB4#f~bq>P~fAJv-LXd)(HKs zq+SC~u!{rg#nm7CP5cRgdAEJH9~&jFU7^XJp5_1T$3R}1+)A5fx#8t#Wy-HWG3um< z$%Bi7Xn13MCb<>nwpI^hvX9cfRmUA3AhQ+F-!8wkv-v(QxtWhe9WW?p;A;pWkYYX6 zLiam#eTv&`CVPBx8Rf4WZ(l+|rgJ(*4xdo6dY?WGGIJ zd1}~emQea4XvPoOS-2!=V9m2}ClXbcHvDw!6`sJA53&^KRdbLFsgJQ#RO*7G{i|5^ zZ%13{OccBs_A2L-xS|XV?0L^f5_im^sP0{mj5SZ>S^r8yThDH_boYkT$!Axy#u&pc zA*L89yj`(PQ??Byk)0sy4{6!UDS|Hy(TQ9^sN`*S%vhyf%H;vI6%CA6&uyg!M;34_ z-Ei>{f{FFcIYYtGdph2u%8yoNrPQ8e1+TXVh>D(2|5LHa>-VE=(9!|3z8>`jZD$P~{)ezu5S8Tpq z85_4fh}|>Z$b%3p1b(Y~#)|3Xi$%71-Xv%U&o97=wylZ-3OUg$Ab7IHPBLeRl{NOf za_!eNmOGPL^X=m$G| zDLt*kV6UE9G!4(sFQp6P$?as->NrGf{eSFzi9gis_kM{em1t4P8cDXK$gWiOl*+y& z8OfHNu}l*s$-X7aOd`paJ$tFhJ}9zIS;sOa48x4!cfV)Ur{}Xg&-V}bH7^;=yqEhv z_qnfgo$H+05~`TmHU3`d;lSdOzf?PH>1)m8Cv7WHObJpq(O(>OVe8!ofvS?JCt8~q z#oOWj(@7(X-b}7a$?M-c-t5PPF z^n%bhmsmjMr*Fb=GqY8tm6{xRSBV^^iiLaP2X}n!@R>+ub)V1@Q~H!+9`T?=HR1G> z+G4K_U!2NUr{oMTy^dv!3w;^=8C?O?u!%v*fT^M~@$<>)9Y~%*hQX?rRZ`luv_%a; zd$psO?09Dv@iFSu>He}Zhj9T$c;sh&E)Zt&Q&+PiQfcdRBM8_8-DnVf>xlWe2M2L( z^WWdz`P9wBi3{cRxscdh)h=GHrfvcggWs{e5ntU{-Bn#`9 zq2pT2Yb4WV-w)#8ATS1t{c3U=^#!9rHPvg|iE?;7pMgvmGD+$7qxO+~pBL{|@0`9D ztc7l#KiJmr8fIO2(w8(cnC98MMS>b;=P+*{iOQr3lT2RZuL;>GN9f)`iK$ZthV}Cu ztFPbs750P!2SuloS%B>V3N_%t#d5$2H?&Q6E{PwT&n|wAEgCRoV=Bpm2iQHZ?%x`b zI9gP#Ap*J>ymC>J-^~Z;LI80k{M+%~75&r`?G)Ye?8@zl95^)PW{efWCPOO& zh2qlv5YM<+*Au_w(Z6dT24AX;CX{>j3n-nAlDid@OX9M6_jCRpV}<$`eDqnP@W(=| zJ-q=+I}DK$)I`$r9a~vS_2jz8m3?h(vaubO75);5%rA5-`o~91eumndcwB4$hVCqA zIgCHx>TaCWG0cPc@@8|vt|nV-L58!4h#1vobmz5r#kGsy@7FGbN5}T{7nlQJ@N?ym zh`Lj8esb+0q*EI8MeVVJRAv*hu8!tcScX?-EuOIUUOuUK5#6(-AQ@n5r{dpC1w4-PjK$O-fXe6a5k$4{tu?*-ETyzfQ9@C7yeebCm}hx^Nn=i=fPtz7BN>a8Xmmw6#BWS-oM*Mp;e_$;w% z`--eTRwdj7`=11rEPd>~wdQ!{C1Yj_MXzpq-xaS=#e%b+A=DowfXO&j>|@#|vA+9q z2@88tlmzB<)Im<$6*K)qN>7gq!ETwmOjr+@BWspB48^HAa-RHerl0F>>42M{LzlgV zf?WshMo(O&oG(!A(HfEuane;T z10?YwgJ^6#GCeHC`jrx@5xR4w2X?;qL` z|1>#GTzw?BdKGU~9HFQ2<#hps%b-XGx-)vFBnYHTAUr~l4n+AkT#)~)HeMen>6xvP zC^9Q%f5Ab+XK+?)`R!Y88`4)5CYcY???|Cq5C^(Pp>RE!k~2uYak>B4KBgx%w;bJ2 zV@VUauEl!zn6EErh@iHIK`GPwt+m2KgPyH|T%q-sLJlD%q@(skUo{JF1 zg>RDl>@crdmgQ~|II?KG66jwlnQeKX_*BSIq=ZnHl4i#6wyygUUCy63>wbSi62H4O zKFp(-_u7>(RbiSY*KrN%BcUZ|(X^?=i?Xr+HZ^R#hZ6%O7}8->Z^EV+P>Nb!ju}SsUyr7W`XSQ(KG5E$aS1D zH0l&OakQP-TeFUd+JE-2E`$GNpE#MT?Obk4%DLNiCmu{4%CGIWey;htb31D6k$;|x zIN)&S3T+h^3gsF*cZ|*Q}(-Pv62Tt9w42*oSLF zY#H5k(2BAo&o-r{q1AnyWtjq(6K=`R?=QvrdfXRPF==BzxcAY&jl!fR|2E83y4@0Y z1KzGXeb)5y=#{GN0P_}eym}C~rzH48p-g_+(mppscY8-ukug!}?_mK)b9;YWjc*L} ze&PRhUL2&n@HcTEE6`)*N0*0I&+xq$4wP)c+v4Fnke6;=M2DV`bq;3naK?k!-O8?Z z>EjrV14o_{0ib^oE~KrOZZjc2E2p1l+8yE5V*p!jb|5Ay@NP3fJ9{ra>$(>_;Rsge z3stYRmbx!tzK+fD%;aV;ht(!gzAg8ums+rWxPneykMP3-V)c7GqICq5G&aB4 zydYtknYnBL#%hW&fg<#zrk(5J_9~L z^E*4Py0`>sv)_tO$d&qDV0m~XPKkGIw4~Z0u>5>c?AHru(9jj9mR;FB7Jst0rjIQ@ z5q}#5^Rsb^Y$d9)MwDTjj2pydC-ae8h&AlUn!6mQt?>+X-6Jl;vd0sF}6uv>ssOxm3&TRYO;V;4TNb2`$ zb&>5Kh#Y@db!rs$>{5l+L#>w~HJi@fR7Rb2@6??zf2^64^q@jGktdkW18lJdPN}Ji zlG@dssH>&BV9}?PjXIhMg|6akuQC|(TC}=A*0=FQzVudtO6OOL3tI2g3sq$i`w>wd zSals#CTQ_%oY=J%YhZBZ8tQttiR@6BL;X1vKGV4diuPnk#DcTvhE&xAkF1p1BPFIh zZ-qHb^1|w6bd1ZIZ7Gi*#)U6|DE4Bts%%1kUUHdM<7Y0Mf4z_EWBoKI!x7|&#P!qZ zeGgWiM!ZVF=59V$KTSR84)Q=J1{lflU8r(D!*j-;E^MumtHl-yV~NuxBMAL-DUg_kCD#Q1fXsr@UoC zDD1t_=);@$Hi^uuKA%i(mJRVxdu96+_R@FHWc^CY26wZecwkY1aOp2&hazd9iHW=$ zGco(I-1r&S&8qX9k2B3qqan9Zx{eLL%YPFtv8 zk1r-QA2WZIWCO3!OwUY$z2LG>7xvD|18R{!4*SQ`f1ROREj6hpsO_sw8h@Miq9oDC z9M;5Hbur;l$#IY4i}FPSCz#){CATNR_jr)Y4XmT_BZ~`#Itl%sULKs3d)bS7s3EIy z5fVZ`8!s((`Qz#D#W81?%89eIC_0nhJ!X?4AR?q`3ie?iuj(p{s~dvJdN{=rn|KaxB@6T z+b}9<;{M&f9%1JPB7;spJcBBy%uSd``cWhNQ@qxhRUYvlzFoVvfG{V`GuIwegmubO z>#t_BEx-CA1TTm=-+m&5=wAI%;U7-e`?NW_kBY`%*jYS3tzc!vi%oR<6c7iehM9s$r zE%I0!$dBbjz3^0A!g?E<<)ia5sF_s*r3fzfMkR~CfSSu)?`>3*Zg66e6t}&gKm1`>2E?v zN}i(FTD(*)MKP->UR>Z+By*^E^LN@+&W|9pG@FgmQ@cuzU$0n}=&b?9D%g zA_wt)K^Ld4YZ(VD7qddNaX0CpnVd^#))U|Is|QJbne+I6bQU4c7r`pXOk%7kfMkRB z#ht0+o?Zw(ClCrZeXd~AI_LV>5NM@0}FIO12kvOl12Z^*h}`9+W`yK0Y8Hy7bPvPcNUU;6oqH@pvKI0;;lFxb2R7V$t&Ft^9+p(@dF9#hcIj(+A5otg}BTG zZ)?FHo=o$sIodrj<8PAXtdxFD9Gf<}i+i$D#_b)S;}W@g)kWb|T3>;ZeYp8|X2c^S ze`1xV(*cS+2z9fbmK{%L@b7yWexw4I_w|!kVwXG)=EL(yH6M7JzZVWh;&$VFoEXWS zs!U{}ILUO>*43n93EP)HxU~GNMAE`wYgpRDL?kPmn%pO> zZ6_aa#hCn&>v;;Y2DAe-PF3X`x?ZsftjoV25*7w?3dhoEK*}S(9xr0phies95Vz^K z(VY&<_aE)CS8y6~N%Rq8lVQhoXaX>#b?#=gHE*WvgnU~Z4+k!kXtR9>P2~80STep0 zO7At%oYN=o7D`|Y83i!G20ilWnU9D&^DS6s)NLlrZ9rrq;d6*H)4>~0R$6gMQgPkE zpmZM6`f+gb628?MuRLf1pi6G8nwbe+ErDqsWFa#AqnqTz>_jS07$Vmw>z`1(frT(1 zGqZ%f_}Rc!z(`~Yk}i1>rD5-%-J^W-9m#J3iEBFs%TfDma_tr$|Naa@N${X2i@V3V z$h1X8T8?4a3lN-ZsZXT5p`NWBsdk2xP#D<#GK*ysF3b&*?-wWk%UlPnX8MzdfAkfc zEZbJDip2x~9ccDHu8eaI`*3><9j8r5RbCZGpI1^oxYiZIv@N0ao!^ryPtMbqv3?#M zoodDY=3>ZQpUZt9GhCLR+wZ9=0z{J{Ij;=hwl<~~3g}`pifmx##oM6CLk*+ zIbEGnrq&%KnRrGm=Hn3gDd0@!0!~kFi4kaZ4w&)IZNVjMW=idQ{Drs7J1{y*7Gq;d z8QpQYUfd+Vq+t5_`&DL?*N);y<240IYDb1H+cu)mA0Flx7J=OMU-B2j+UNu?b@aqe zF8uCPpdn#i{NRcYO%P}BSh?!#<5)W#Xxaog5i`a&3LyC_x-hFU+!?$;o*vqLkYHyz z<#kwpcn=44%Jy5VaZ{dXnPMKhQ*jJ40pH_9sa`*tH#F<*IQgZVr(YLH1fy@86bakX?Q@n67GkP$lnJbDkuCkFraU=`!^xhLI$ zXQ^*y-ug7?*ZVh-2Q8X&n|HX_PWG3fH4a|8k{jZmkoeujrD-5M(~%SRRn$}r&%qHB zyV|*3H#YS1tcrVjMoTr69mOwH%yik8iBoQX{9Qo)5$9Ch8r+YB!P1z-v2lFl=|<+T zRP?n|IoIk>$$+-ek+BYTM{W+~1v3w6_0smmjpP&n0Dpm3zcchdj$sVRM=*xGO3v)5 zp}hX5al8rC?b?T_J9G0Ezd4=9`!)M&PZB}sIwfF#LDhDQZ8o~UQN$$6!%f7^INQt! z>>(L?QTY1WZH1*33!Y*KvUqdfP@yLU3jI2r09ANO&T$suNr`b%F$MZb^CEI)+g$z= zYLuI~bWfKwB(6<&VN=gGYmdpqC^-6 zWwI>ZK$tZ-+%C58@`_RkHK#Rv2puKe!i zTb8^Q7Aho_0*cpdV3j$D z+3Z8AAh#X9RH(d~x~Zu#_=Re|aqR@tA0Fiw>Z0lRH1t>ubaqah2y&8(g{rUIdW_c8wb?z*{hmo;iB*C`8eK;gv z#`jhNzx203t{?i&aX7=X$ODGccd*ty{a;3R#6%a(Xch1coLlx!N4=zc??8enF zkrCamS$7=Rw0qyjhIM!~_nEtB{mYkk&k<(_O((lD^{)BF=j4dtziD`|moKTypWLnU zIxpR3G4yf!k><*eS?534YBslh4cB!waZk3_%&IOST*B7)zZf@(eD>`1=){Ejr->-* zJ*ddt+}M;FxjrxTc*DmJ+hZbQbGT7op5(k*R&-sD9oe%Jb)k}=v3PRvz=aRCQSV1x z9rP*Z8_M^iBA4wSRIgEA49lmarskz*WL)@UE47tXy?LUsv9V+A=;zkfFmNq_Q=`l0 zo3Up)h^E)p!sD&?h@*rR6ig|zqhn(iK23ylAwuVMSost?{68Qr0@pCcPz|G|Ms6p5 zjJ=kC0Bm{c`|9Z?@qR{oJr;NN@%@@JFmn$_|CI?zcmK9!@7x{LnaaU{%D$~&HF*?8 zm~;yB)O*^atEY3xrLt6>VNd|GZnw5$({87a1#FvW|Iq5}&l>~4(-edNW?P6W(gF)V zmd>CAGJp0Wt_P!>GH>7yBG(cv8T>6L%!Q=$-*x0U^=~f2_euB@p6(R*yu)ikW`WnQ z#$I>vqhPKxqRgvt#2{Dn8+J-o)*hSUh4oLMvOm=DDBHH@=o`tpNHQ6YuXOuS z$CR41Nfn3EU7O-s1BpGsCYr3(go!*CdRDuwt|`Ra-h)kI&ZJNAh^)#CV})Xs15gp4 z^cbS&(yUe3(DPk7ql$yT4=T^T91U+?3TEK;f4@gf>x=AoO$B5U*5 zDgZD9cV!Kv1ojOukduLKQLCeQ&dM@~ciz+_To+`~dwH!MvABz5>DEb#i;MSpO@KQ1 znI|Wnun?!F?s%R*09%^r1;3s8nyo0ic~dv2szc5OtExg(R@!EwtHZVOaeT4}x;ldsz5Ze5@7e!NWC!|n*>^D+s_y5Mc~yLRvSJj2*y*a*E#T3;lVe?kD z)T{A3@rmzojA=U}qZKF9r)O3@7!iy?ZX<%sxxpS~6W_)4(qwAgobz}hL7-7-vBUfO zTbrFk5C}o%0bC4Vy?$-V{>We42kmb-A7l*!@{-0V3-QVz(9s?(HHJ$B5WhN6S@z61 zS*ZDeoJ7B8VOzxrb$B>7g|oKOmcbt+&El8z^#`4hd72LjUBZ_ZbAyZ5yFrdpNSVLm zO1$~4np$a!QXD+O1!+N}wLnbyD_Z`eLTxjox#7bEa^M7Nq?vcPYUo9M2b+)FcV4UU zlOuJ2G=J-8yT8GtlG?Bu5CMeJ*F7!BFB}>CFHWD;rc}!Y%$4s1k-(PykltOckJI4N zm$jrES?5*R#E{wSh?Xh_b&4v_`Omf{q|Uh8AP%4f%sc^*ERv2<{)$y-5+G-WYK;V> zz&=~*aZK&1Ap)V=+Dj?E;HPEmg}7Dt9u)Az1$`83n05<(@{>&NwA&e9QcD%#!oq*p z3uWlNj809-7W0|$Fl8jqED4L_s~df`6fG|qqRVPXVFvKQWAAH5sDxOnt1vn8(|(t4 zw!B@>NOFCdS(JC!M9Tcnb3;WiDo@79c*^JfI4%;;S0;FhR$M?EQ1|=MHvE4rAi%S1 zFcBc33y}|{jPNS0LampY=!8TlL}RST$ud7xQ!k*x9Fdaa0LqF8MLo_#S75V#9|hWN zJXgpG`EdWiXVwIdTviGDi2T0sqEo(WkF?Y&RaP$?!BQlQFS+%h=KBJGJV(^ubt|J7 z<^ia7s%&~oIFk;fV0YFP_~kD2f-0=`p5_+nP@;cjc>7aLWlLEC@<_b(h~4+IL99fM zf4Feqa4w+@C&zLV7zHsNat?~`q$7C^K!WJ@@O7T4#vE7na)FDP&gGVkB8Omhk~ghk z{VxKlvES-T;(OwCUK>6Ow=8bChy{5!o9?`Z*e6xUqi0d*Q`J9f`jz|h2MvaYhq)ay z&R4dC%R(V~!sbomoj9Lo)_b*$|zu$>td^_8;RVLmAb?YEaKGWSd9u zt)F}LaddCPdVKHl8qW(L*D_s7JPeASlnMH-2#{Ql>nKVv*($PE@9E2(u`J`kOZ7d@ zx21n5J+IERqtuHJeJ{XAH(*(oshN&bXVR7`LC8DH2v>#(!;J~tFh6h)7^wVT;cKF~s}&a>Q$ij&MtxkX=}EOOivvkk3F@Pd@ysD0%VvfZ zmbfHZIh%_GYK@5gGfo}wge6wC>Rars=OEeuRB!16acyU9x()?tmuhZVax+^SD-cob zn9#CcHq>p4&k4@YQm=d8G-R+P+N7Fa&hcN0EmoFTmq2|&%@b>$daXw}rEtw2IPK>0 zj-XP#Qe*4Up>&-SYg3^r>CS;$*}R>Ywc&Msl|cR_gaKYQ64R$-rk%mlk>SYy5zAarw%{)pYA>7X)JkU(vu$ z&Dm`tB{kpQv6}cABL>Q2K_&vUKd9uN>d#+4{PuIe_eat3U)v{?V|tgz+!92g_%xok zk7R<@yK~3?n!jV9R#=k}HCd8IiEsF&e{BtPQV&u}?8XUz-X5;*a%XfB9zWYLuw1Wh z$-MAF3-%(^h)2HQ5`c-%z*HR$oopis6x|s z*}+MY!+a?sRwSW@n&@8(D(~X)Q9WK>s1ozFv%Y-%0*#7A=HW51PZRLb)Eg6Qjv@ul zLu)sl_RqP4`Ei&^=6;!^pCN$>9r#S=YX8QA)C~&89B|1k~7Jt)!PxbCnCg* zll&Tdv3I7C!DQx?s)(Eto|$KZgBQA06CCeauqq`-Ee_ix*%%N|ue~O^4frhicfYpIEZppx0qnxx zj}s%(Db@wlk6R%nwu&xF&gKA7i(fQv$nLBe^7n>yrOdat9@H%P#7R_#^-ergZ10fL zrc=9rGxT7{K$`1;)1&tf0jOT*R@uuxtW}0x9$SCyU{<8A6g<7ibtS_Nzu+hA;}rkz zaAIRp=~O=`@6~vsMP%#}b6+sQy?CNOD`J-z)|#nIjpq&BEmCZ`-i*lZ{o>H&*0(0+Ja0a0qx{NEkl`LIB+kjU6(>`No%-W=*Sm(e5LCp9)HbDt!S(WqK0Kly zl*p|+eaP-n&bRMfzXfWAZu)oo+gnT?_ zuKM^<&KKJkTmt^_61zBGiCr;%jhHi>%2^6Lx=E!yE{?oXa!v)ie{uqQ7$lK%13nzg z$GU3`=J=#OXIDJuiq0xnBfZLzNyaxC^`RI17N0ry10L zne~MMMjCQN2*Q(NZk#$_A$3HlU9gMQ!^b!s(GlvAwe5!Nr=!LeuTY4Gr`k`4xLM~e z+yV!+JtW9Ma1Tgg0c8`O@ z&Wu&G`P`2=6X7Ob)*81TLb}AyFBT-|z#GIKDU@BmSR(NKmu_yrIx5v6dh+4r_EVZ3 zdZU{d@0GcUTvZ`-`g-I#r_Q{*=9`?v6$Uc=p-@sTn2S5bD|RecPjpZGX?qlZu5Q-wABLpb&jTftAoKki-gV=v9Q0OBH zXrg^co|`6E%EiQfmov8gb1Z=#6ypQzvTGp0R1Eie7 z(Sn}1mm{2UO6*Hzhv;|z%M+Ao$lijdNr<)T432qGL@{E3RhMn z4}$@O0$k?n=QFYA(9G}CPb-}BbeJl|tE2l{0O%G0#W5xCjgg004}KFGb_0AaKgO4Z zz0fHlm`rNxmabJplSY*ZTLscsMTL(82$#My7I44NTmnx(lN$@lpRXvoESp}DczzR? z6v}kY36@BS9tQxE0Wv9`JO@Ag5yx)J6Ku9!ltn`WZnyE{{1}L{69E!CqSR!Jw5lE^KnY%e zkkX7^Z6Tg|pIinxbGVVf*xlAK*Fb({{Aa0cX(n}Gcz7?O9c$Yy43v3a! z!*A_=bY$}I+K)TH97E!TO=1Elqw{z&?Hw1sb^fs%2-< z6MNYVAJ<=+YXTJ;TA-@EFMu$X(X#f;nsYafp97~~eYWXx|9i5b@_BT>7{&(gpb*|V ztZs1@-Oty4RhkUi`Uo}L0THMTXx2c>s>~{ISyblv*G$EUYXL5*VcM*<-jfjyKKZSe z;GP4pTkT;`UV&pG-}^D9ye@Gfl5o{v?MD8VnL7V+PWo(uoC{r&3(*9d7^-b;V^h|( z03U#dapQ;jbehAHYI;7KEe;stH|MzV3XENd1#$Bp+`=pg!FO)@L4KT^FUkNcKwq&* zp4t0H^qDF&!ZjpX591k>qI+z^k0OW2L$W4~9$tZY7uzkSEHx)VF0n39xcIEvY@XW> zd2i89Ciu{sj(Dmfs8yXRXenAP)66ynr9ym|F`m7*RZi~C05xW1*hEmsL61{_ngbBG zAQR3H2~{^Cold0RsYqJ9wZI@TSq?J8#l49VMWF1Ts9kpig9k-F?*&ihdcB+$YoED) z)24?RDwTvtk?IDKLzW{DD|$;LJ3N{7tz_b91&1cFvMulG3dB4>pAsk~pf94jRqcss z)%$sDhWxz&#BSUVVGMU1-9`F%h7{0fUqsU-*^ zr_JRHCE6&^EaW1Z1Xvf%2vDyk;eW}f0&0r^fjHk-!0(qIP9V;hIT}aKE{SX@*2f{3*c@->3KufBzJO*G$c)zSGJ~;c$$@q_z(0kn61JUp=b8v# zS$nhka}rH)s`WH&6qhJ5P`R?cUMi|0fN@O2e&=Xjb9_rMPO!iK{_TZnb{wcRx&9Ie z$};rIod7ZW+s&(w>^{c=q#vp}ti%#wiAqJqxZV*@qPTsgHUdy&5AZt0MjW`lEz3%J z;4VFXK&82~i(o&*R)d4@yklmMRno1FZ&otg${NBr2=b3Ds%0S_lI8`6%YsJtHh&Ka z$u2WpQvwQEqgfD~O_$W^fT|}t1O^U^&T;LA3e|S^gT4rbu!P`DC??g$f}qSev4k@B z#*Cr}YB4@P0nvN+X+6rV+4I_d;218UB|&N^K{+Ktl-}~=ck>DFmrn2&e=pj;}T3z`HFYY0*MRhbi5ktqYk{{JNa+3-?t@rf=bTZ zqQnU?HMIIuRbC}ukv~uLs~Ytqs80i(Ud(us!(XNFUKKb3PE~1q|HoScaoX@kNC2Q{ z=Ki4uRI#(adp*%+5^f5r%WQzwkcX-Gh50iIsKERw{zD>T`Og*i+n!)d6|r}`0`4Bq za0YpAam)i{IiL6%3LAIGMl8aAp|oMeyEu8}Fp_8UwKh{5IVY$k#NEL)9ZFKefI*u& zBiwsC(XN$ZM*d$$3uA%XuLnE3PydJdHl{_21tEPBjH zFk>lP&W9%i>Y`)$#c|d?E=R9svcMk@O4|K^C}SZ4X?2QM$$Pi)wN9`bfoi-bzx2bP ziUfcX7Jg`k3PdrW_F#DageNF76~I`lq9bzb-6t)BPSb`BRKahLavQgf>Ok-@C^0~( ztN4*_g`)hUUzJMo`#s;utBT5901}=f3`#AGWI+7qk@A)Ff)?i>g&SQ__^*-yK8)I> z#&md(YX!j%G;P_8RQBwUPgwil$O|DopkQE!sP1Dfb8}X;?u-nBqsQVcPw<0ZM+@fScC5=GA1b8VD)Dj>9I*;}W>}IvBJRG_?V~mA(!WIAp z5zQ>S_>s~nTxHFA&8y_wKDu*3b}T?~P2BvUIWDc>>S~%Q)i+bGZBv5sc`eYI=B2y) z5WUdxH+IK(3}c?la9SW-DtG=nZ3xNT*87a3z20n4kT=bOgvR& zv63Cf19e;SL-jN;Td(k8@cVG@BSA-*?#ydD9>o$Mlt~vLL6H_zmJK11A!~*mU{E*# z4t(&-I;}v?zZ7I9m@MUU`ETsT4Vq2a4DV=`N_yj0WSMVYNvw{Je0s~r5j6AxV=Rb? z(f75-z6aF?BA{fI)>;ihA%9v9i#xw)E!0|wVDiEfD^2IzkvtsFu&dwpBWpO8R0C9@Q&qY= z^Lhh1T(P)wfs*uaqvhH2uT|N+ker}<)kFr^8Ne9<6J9*?0Z7Lg;8f6Gp&KTXL;*?) zHl))F)db(mUKCT`;lgN8U6!GDa2c!xXrSmL8Ky>GgHoc9?xjNU%ud^b4^_+b(1vZ6 z_|A12Dx&*j492G?d$Eez1L{YMFb-(i+A@wKv`u1b-pabv-`fr*Iex+-V4(g%NnSagYzh6EXlAy#Pe8Cl~15pjwEVY0}DuZx# z?7Ec~tqO(_?!Ju((3N?3Lq$R!ALhecU-sG{$8n@Y97~J3iioQKW?!H}MVNL_^uT&0vQrsCH(=B<%+w-T$a zEXz<$`I3XR?)4Hd1jRm+GC+G_7OoB|qJU3f$K78*%d>y|app6`M*pYpBCwN3cieq; zQ07~A@XLNIbqme62HCW-U#Z{)?@&jpC#YgU=dS43XD~S)rm554W=RK)%fMwaQn#8u zAbppLxj*F$9D2p!2$xV)}l^9{4q&Q5$P(I1fAH<=%JX zDzKDc&p}7V3e-NWc<0iYvgIJg3{bOof7T21l}NA_5Tdfx1Id{E8~Y^b zKwwk$IhjcHJWdwkZ2S56$AaTj@JSi3m9}tQ@~k)TGO*8f`u>Sq zLDNcpD{%~~5)1J-6qF1)_1=65+CxAx{Xx+^0V~Wxpngmn1$y(TZX@{$Vn8v@bHSsT zZ;5iEPi!P<*AQ_DIYb;5g1Xk(y>z>Y2@Fba3Q_P0S0xn*v_`o}P#m2ax=I%bt6s<* zh4!_cT*v@6CO8PUT`EW4KQzqe{{zK>+S&~OX@2aG;fO(6D2^o^1^O@I zxzp`^ml&$gXI!fV(KM(tC>7w)2`XO*{PK3$JHvJ8c*fWa=a6lRCD}ssebRu!U`7N8 zF)|ic4XswnU+AI23o2C^J)q zA0fTsGT3;aI8_@_I8FsL#GhjfY63v3QWm7e=moUoWxI0_=jbSs?Yo)=*7bM|V;=e7 z{KGJP(EsICD=~(-97_E5-oG$nAIm5^_TG2W-oe=$5C0Z04m$FA@^{|xeY)%Rqpe7Z zz)QErUvlT1S(O21!4t<|ejA9JqRlVQ%$dYRK26`jBdwYE#yv(xRlAZfb0!@Wy=X%n z7^6YYw)tlTVfJyKB5EG1vPB9-)c%maJ&in4S{t#-Z6#Mfh27<77yuyBRdj!tUs>JC zGbHduzxim$=R2{utEF;IGgRwj=x3N@?1G?J85euwZ2Ebx&!4$oIe3qKc(Yaoy4ihQ zc#~$8Q3zt!Bg1A-t|6U-n^6I*V45RLka^WJ;0aqneJSOtnM%T-sVK4;GRc^s60unz7{jl$;+Vh zv*b-mcKeR}MDA$P9Z=fUV6wPX?e)te7{YVa1g*0mgZucAJ=4GAvI>4oDCjBHR2HFs z(4GUQO`{Ai7mR;n(oJvPqK)9G(^9aL%nyxiKM=-XuJx$-P@PcBR9uB4B`#hXrJl|R zVIjcf@MCt4nnkC`R2&KUmYCTBzy_9e4v;)-5I%lhsc$}LflDXmySi9%qvuW zofBpZ5VJn;W>7lwWcq7^gT_6tbe#IKwuP2zW7P+2SwZEuv0JY(2P> z;{>QU2mYla-SQQv>yTzpdTI}-2(u--hE@fz5vqq@0Xw3WV{-tMlmkj%d3@@T*h?wd zI!4_&E%-Ata|1C4zqa+LGgjC_Vua?kKHrf9OdKAuu8=^Ie$C8>sa_Y~kHa#}-mY9# z`)JV*?N7fmLHt1HdyP)y{&}SP=Ve)mI=l@2Mw0d`OLyW-el_Ezr#t@0E`$C2k2eCm z7}3K7e;lLQ?sWtMQbl8c30KL#nwVe6@pn2M0C#|M*pCFQbJ4D#WorQ^QrV)m{A)I7 z#+zGseGKeH0HQ!R?T<9YufBb>U?5UsA1;KfD^i`{!R@YwZYGbr`BF_@S6{J-YI2P$ zA?GY`A17rgrp*&*N{zoi)LvX__gT#Cct7%c{zDJv*ip7kWJ5y7ILZ4OG^eX`JNsHPAHkcjD+jluGmwxP^2|eURGG zY|>=jttR=!`Q`^WwPF`MLksi~g*xz78*QP}`F|1K(50C)p$6#ZEW}~neNJylEiGY6 zw&ZvmXuR9JQ?FZXoo)jV4268m`|r4w=gz7*L~FizrBi z#f=MgWW-WVQ0tFQqkEc5kvlpM(fh!jtySA0MtVK^Q$1c{Rb1IDO~p`N=N zB-TG34XwIPa^2%#Z@+~_#J)S{%6My1rjno)VmX3^2y}+AAe+E%U02xJ^yXPFR2iX0 zR_=Isn6o?}{8`CUD*L94u5r+pdVdg6>G(u$cerltd$ryPD~&rS9sKeWJBOQypo6ZG zk=D{TyyYlIc4%r``Wntg5m-HNP5G>XOkjf!cmco5wryH)c!lfF?`MC;gop34S(zWx zvbVR_z}mJvmFn}dZHyM0A)OGsL=~66`~6*HO#^ZZbFo`)d3mBg&!$hGK7|u59Yd+- zn3QPwh8Ou$JX70)4$eF1hKDKITO(9I1NH22%(@b--#Cce5L2>e=?Y@{|I+Iqodvd( z)5ta6v7^f^((RjqV(;H)z_Uplv^5NR)>hGNTWTt9ON#ga~Cc=SYqzUH7$!pP|6U2Cx*c%X;gYTJlt`xu6#Sc zx~aCysK$krB~$ttYkU{4<4Ny}?YfpJ`_46$O|2ZA=zF|RblH*T;b*=>a{qD0H(MbV zj8@74x%6GM!)z!LXr>1K%5f68CP}v#niqy%^V_cP9>IXl@^rJJ?MUD-;P1O}tJLj~ zCDOeO?Uq1OKv8HR17$1yMH?Ek^>zHskS&Zoq8PYBvk-d3} zZ~xv`$Le=qkb28Fa{s{I@<)%)V!FARLL9EB#@@Rh=#8pOZ|zRMbLVu3-PCEj{Ykmc zo<3bAtkjZ}Mts`ElBHQ&-c67cQJLUl;0SleSw4FWk@jJpr`}UGR+W{O-ptMD4t;If zM0++k&5u5UX2ro^N6~@zB*53MO?+s|f+#};_=y$Af@7#iOx%X!1JC&YyT^iCTYXIX z3xhm(i4zRQAP-&xzBX+FKZkGpiRJeJee(rqZ=<~&IwBuBDKYWhEZY|7(BKWw`JnUA zj{;o>4^2I2Gl9!*WV4DcbzGDrJ)QV+tRw^M+D9K*^9J> zp_?V3^*!y~>lLJ-qX@*OyMr7IWb1|Tuge26k|v`{JO1k((5#x*XtUB#c8z0y>DLIt zm*;BB9eea<=FSjizOnPvEcM;V&ov(LXZ!R0+h`UK{RWz&Tv@cbsdKH8*{J}(r*c;3 zzWI{|g}5hjb(2mu7%Mral9c|O$)g)ooWP=tG!@*}=+D(iQWefwu*p7>N&eW6r9og9j)04*3mp+E41L!3N_Cz%?g+F8e8s znyX;Jh3&%e=H@sQpt8YY+^`#HQU0E|!G@`Vib1wXX^v*Z!nZ1) zAH_dDsM)-iy2$O7O!FOonKYUcfL3YXy&3{_R!EIUo{e@8L6#)4zM zp}PK_yhtCNe|ZtQPhkQ6riVD&mf|}$v0!oi`tk^?7lJ`P&tqvsD9Yf=zxNs_uKWU><@Zn4!!wX$UVI9V=amDr+sQY z=il7w&iUx?HvWbDbU8I*eRWA&a%Td{-}B7q z_dw|eIntM_*(w)@Ui4TJdibF=1FVG;3>kPj*wO+GA(K1dI-~nH%PsI7SyI<}JnFrk zA1F6$OX)`!Wmx;6q@2v=3BDo?kMk5KlDAU*wk{DY=cUs0)BLV75|>-IpbpCwrnid( zxSf6S?vw3A+0s3pS}DE&>kp{`Yxw>W)=-I>Gv&z$H3oRksV4O~u03~rb<7Rf{T9KtPG&i%o@ydlIkMb=9-!dAgcwgpc&4Mo{*3d?w>PIq?FMFE<{aNfjK9bEQ@Xup zg08&KlHWsRtMq)pe!=!_gYT1uk=oU7);|*ZOCMM|VtFi#tL4RNhaZnd&pn6;tCgv; ztaGubnn=Yw_ouYDjr0zg?w8!OHLr8+hdS{sI!w_MV*+|l%OI*rT={;y6X5z93&*f&yu~a)= zXZE|=v;K!A2NBaPiH$-_BfbT?aG!PH*q;fZvF<+}G)}}O)Fua5T>Y7xP+_|f1!N}krP3HI92x-#*p z=(S}t)yTg+B*Cg(MR~2VCbL0Z#i&-fSoDnA>bC&ul>cg5hPJc{s!Q+8^K_J6m7@2L zh2eugb-6L21QK`cmFKbT`2GmxL-Jlz*QizAp422R+0v$aJoyrr{pt~`^^f&aDY^SZ z@0gJ-RGEM6M$qcg+3?HE&jiuVmW6@~t9mH`aN~A_>yNsY1zWvm*Cwpj$Fwb*6lGF1 z&8A!~9a22AFpyx7`+A|kP&ah|?aZ<~{&-*Fg#XO)NYW|vIweLA)<)ztF+RAqT*oR@ zyS2;`Za3~NYjO8%5fkx<=<&J_Jr?~p^7GA2CkpaXCPz#ZhRp$7nY zzqVY}7-pihdRUXY$ z3$}X^W8n&S{0ClhIek`~ttznAR<3{IGIFv#%vP>v@mo~jRvR8J@L}c+%lX_2C)-04 z!ty0ACvaiZxxLI5t*u!Q!d_LbmeW_2$sH|Hmj0`A2Kz9f`R7i|e6wy|GeZFX|7)9q zHt9cr7N&SJ6@m4#9526G|HKY6vHQc#9}S7VYbzzn5~(ic%WoTP4il=cZZxQg(sFsnY6_Ot@l0R{x zKH_?h^+@}Rp9OO*B>nI?ygF~IqGYPn(6!A}lwn?r;!?~Jbs1bqjb~qROG8LhnH&ST zfJzo31v$U6bQm<5c5!N~R$+~hb|%yC72Q2=;4C`i`bOGJ?M{@IZm)(CYFuD6D9sRb|Lvr#=|X=y{+*$qWqtSYFYixCo&69qTw zpA-(e%WbY+KK)n)l{#1vm-~|k*D>)@$1eWfT1Qh8%%|4E+`W9=w8^`6J8f7f&Th2T z^_P_;j^=D=SuS`cfM&z>myK%p_x=3QsFXV$nla~qubUWaLxQwPDsS`;iH zdKFRHdV|%V4MUyc5XL(~ws10+!VN{{IZ#t(Z}moevzuG|xl*|N$P<1q&Z{U@dDX`c z!{H4%zg{>B6pZLATez8FTk)~Qi$=|9_`9H#1GN}S=1j1gocx~SYgjcu)mPLuEgaR_E)Ix#O)pXL9z8Zl z5-SgY=}V^(tbb2m;JpKff_Eaw(>Eh6muF{2G1RloEDQ1*UjmU$alx9Hd0+Y)?0cHJ zoRUU^t50{)Lg=THekrf>>3leFhC{9!ri29|$Pw-Lo6(-mN9*-1xI@Z{>FqB5G@YXb z#tv3vomKf@a+MwhXq>W? zY@HD|6_^-5SU=wMgf;Y%lIIyn7b(UZs7rECF}vQwu1m^+`xZZv zq~%`lB>kE)Z*u_||17mRW)R*A%1BUpfD*FhqcmE=4W}7NW%uuYo10qp7Fb2Vf(O+V z9|!!`XkP{eM@k_fS0*YDbq9x?O5n|S0)4*rz z#(b$jR9n0^HwIcqR35nM(5aN8l{3YpNp#C{yW*UUP4m^Nt|_H!0kbM%-{?P1S;z{L zWP$8!(3wQBKrCj?72COT0BKuu4IlaC=w7-AKTv)*%cAX`;4dzj=si7sX5JPEy0PT& zI=JkX<3YPKu3Gw8*LY*>$+vq%<=rcK$;8>8d|Te%bSF+#-5~s;mAt;baczMQ5IM*B zFif`P3SM7L#ZQSgsM6;GjV#mY`|J8@!bHcq+%l*;FRTKStHQ4&nWcWlFnx0vL8+^V zJ$7lmVl0TXnO+lven8QJKKW@Wee-GIZ(mEOax}s9peP?6**njT=LK(gy-Jw*vdr?V z{O+#ID}D*u@Xm*D8#GQ>p2o@u_e>wJ5ztT*&UXlcW?yzjfBESMxtQOwjuCBs34)48 zkb%K*AKzIkp)Z|hln%OaSDFNf?t!e!wp%zCN{67akYYeP78GVL0%T3yUQKa6p&8{8 z70gd;ZC+?LPMjJ_<_r2U9z?^ncy+JRaE!m{;}zb{A}FJZ@+BK56KpWJ+;Tgun-}g&PPu^n8I!F zF;?m%JDfM+%AU$a1`8xZBV7|oyoV!AkwnQ3;?#L-!bvdEUB&Lq@NhZyogC|R-kxc{ zlI(>rKGcFYmEN5mex4R*18ta*Tbg?oc`0WbkBtv;;C20j6VAeVv*LO3HAWC>=*SW5 zd}$H(X%xkiHF79);IHjXzd=%EtgzQIx5H_dJMKvxH*`JnA#t$L!Nrx`@RcR!n^Nc( zGMJR>4Z#c-?1;-Bv$iE=bpgRbOdJOsK^HAZ)sSK?swryh_aV3y_<%8N+^DX(^Bct+ zs)cwSr^}SQFGiW&b#UoqN5E%ixE`2cWg2B;?aufxL+O-Ne(g-hBZ{~ws^_`0&+67u zgcawYCK#R@fFes;0+s>a-=tR9@y^n#(IvG5>D-^cV&_Bn{aQV)*2!!O~3e zq*nVhVqXQFGP?^wAxS1H8oT%}F)W@^NCz0oJsN}>Iit^HVhzUymThVirfP~F<-j0lQ&u@iSKH40*8DJYg`b#Xm zf4_uc@d9J4QM@C*gbD0e7ihTTL5oi3be13<>Kf^W6h5uS8mx-zjcG4G-5208C0^xR zk8M&@ZEE>9EoD~y`;4}X8b-9t+Ok0XSk`^6Sd#(^y zN}v<*^!+N?M9n{w_sIbhc+5k4clzvR4}s`Gi22GeV$A!NMe3xP@7R-~xL0e!{e@c( zyAtBx2be2ZbxcA6fBVnp<`U!5R70K3G*m~V8Pr8PnU~Npha*O`|BY#~Mu%f`9O!>i zR~;lBxlpbimdX?-c+#=ObK9LOZGumcCGv@v92(xy*Gq8tFL8lT|;?dc- zL7)IYCgl3_h}QalZl4OogABR^7*+pVU!I$lsyv$hF4Z4FM!!fOW(~m~e@RwVm^o~d zuL29rp4LL28P-J_v()xqaO0=N^i6jfhI;uzkBohzt$-pC1$o?9*aH!^Ij8_sbd@{{ zke8O#wme5QCdjj|Uw`t|&=Kx@}?(7r^pyiM4*4z6X~zdwwY zKDc+!(*S_t{$d7>>QS7ZZi@C% zn|iwYTe)ouCG+r&@Fw&U3W#n_0Xc6VwJpfB$i!-;iLke(0T*QD;Z8>_e~0Eq^gj&a z$A~+xG1+PhTjU!!QUfPvop_dI(MSn$RSa(e!p4j(;q;XqIa9jkbCZ?_!SYsvg247% zuA{~>M$v^i54eK%B&vhCQ_0pRxy1>b{DB%MskPGt@uvvT=q3*mKlPncA6WBmhY9;< zVpHSYLz01-IMB|5K*x|{V&hp`6StBQG_Z6IGO=vxG{E5Mj{lL`>wqCwrI9$I7P{p) zEjC5s0)8X|EP=tos9XBa-7vGa%RRsZh*;U_*~zkF;=yU4E-m(8I~E^u!2H~g?>ZJP zR0s}1Y&HF`eMR;xWK3UNxU4V1fp)cY@n`1XU-|W?1@(VB{=f0=e7zf}snn%>47jGK4&?u8WU8_s_ z46ndW>WkPp{(io3i$xyJo1FmWKb@yD`qUP%oOzY&vI2X)J=Se$;@9J)6Gp*kgy`=} zxJJXqjj*pVC)tlbph_R$+pqrnvOv?R%5~Ih)oJ<*^ck6;m3iQN=P_sO8g4gxAnK89 z2PHRDk)wM$Ao%{Yo%7o=F7tZzx#vn6B!Aoaz=*R;I4#X32Np=<$FN8HdWfeW(!>kb zhuTD|la2Fm!$zc)luqTL`9~k!gSmsRerrXZ=0da6L_EpA>2&pkPXvo0~sof zgFyxKD-tNIluyq|f(r->>P#<9OQ`)Ls#lS%gQ`vHZu(T!5on@=zy)cfm$GuAMancK zjaL=iU|g>2)U-vmu4Uwrl1ZagCt^s~NKqtVRl(&&TA_9()oJ?s?nOx7o@ce&AiYXD z>ekI%tT}k&?cUPtD39%5!hr$2mb%o*`_STL8^j*=XdL^M1r2wQT~GCeUH#G@+!WT+ z3(kAaoS;*$(*dJ-S?(}A@&~1jB?4t|{+q^fejWRsDXb`V?Go>KV z+?2h|&9j*qyxZ&V2%rKRQAq5`xm)3?=tsAsG}?rmm;H7@yYuGjx|wu&Pu6;_jWX~8 zdRZT3=<`_d?$K15xR(K}jgw5uX!4?Oljs!RV>|Xx42#l#zw`s7Mx-`cF<`-mj;plIimzd05iM zUpv#2q5b-EE2L*$v>iY7kM1S49m_Z%k?#?$O`?1HvQmHGF}}KMZWy=z5Y2mfPSO32 z!B-pVykH3%!WDJv9#*>;K?TUen75nD+HgU7m&Pw*IUmK0^)q|otjfXXc?W{ z4K*203;+156$xhsqmKX^o%iPHPByXwFyepiRgqJxHR9hsupIlt3*duwdV0RQ0(USfa zIcaV0S9>ecu?+G=QOD5(#!?xg!O=gEq1~9x@1-TeDV^5)hohOv&am84YcRePd~Ymf ztjrvW9*JH$5=93)0yqAcnS>A5^53 z21;>I0Rxg}3)4Rd$+Keu>)JwfTiML55xE36E70hu!rhy?OXszr9yW}7DlH)#)i#Va zjMcdmh+LN~tAcYONn?YAulRupqrA7s)zf0q?CB_2%_AOPOVj#K;7YnndmujrKbrzq zL^lMpzy4}zf@Tk~-J2>+QwVyxvfx(;9kAg)iw#H-a!y*_dI19>hi9sy*B;Qw%==JX z802X^9aYW~T4q``q6yP@pH1g;iU4OC2pUrUdh)f@KLc+&cmm?5_3u;N-~QUw7Fth0 z02mQ(11_AY8kT#p#ZyVM+gj?NT{nZnZ;k1ogs>2mx^gAV23OBLl}SiPs_p@qq3u_J zF{Tes!+a;==_)oxvh_EYRDfX2u zjDL};K@=?EpPzPM0i4&xa6)cK`D;CyGr4mJU^5kvu7lS+C3Ia0F1S4qy1y|jNS6`S zCvBw%QaasaAq`gMEB7Kv<$LX$X@(-A&!&H`Da{_?{s}x#+8+s^?ysJ6Vj>f5VdfO8 z%QP_*IRSaHGu+)C!+uC-K$`uvQw4SdfymlFH|K}U{>BrSqb-a!pHnY1L(<_U58xKz z-i!O6fZ)i80?{f$y(sY{iHR!cerYAD8h zy#N)<*X;$rq{7HP+Iml-N#dKr1DF+h!GKs`H8Vv3VbT;`B66*H`Q~eqY9N&^hBW&j zYIQiU`Rj|e1Qk($UdVJGDidTG5I?W^NwX(^28N{B7yiakMpTdLkUy><{fQob!Oawk z`7Z_lWg7^rx*7<4w@N1A3J=!(?kQIA zhPF-^zRcCR7{Cbs+-t2Be3-QDr}87h-e4_*_3nuGH&x% z6(HMYT7%cVIiXK$wJGe`o`zQeFJNQJ`J9&M$I}7c zJ{gR@O$$9O;*}%Q7^-+8n*@+~W4VeQ|1;qU^)v;{9E@aT?vX(0e|ZTn$OuIQK-m9T zfmSlPS{kZ5I{|s3@{`GitkAF|N6h)Oq#CyHky6nG?d`W(((C}Ys(|z%bDjj0`>BZJ zJQWcuE&H8OUR(sLu8Y!H_KlBTxK5If{3ciDO>0_&Sj`V2r!<|9OeWrsTTz!m0ZIV{ zPtsQZY_K%k{mHKdGc6|t3AD`n#hjbtmEI;?Qvn?MaCaxk+g};^U4A!nG(BjB3nV~{ zUh1$aDuMp3x;E3|{UaT$yO_gP?mo{$088(2wXvkJDFFoOUt%P0y*@IL!MgsJH)C6x zLq6AA+s6mU^6a}q!ujJLPi-d)PP?GQPs%>x@uW^Nt=qtoxT~infR>(K``}CDsa}?` z8XBCB?JTP$CXRoOVI)APUPdxR|0@E7Fe-u)d{EX~o?8ik_Gypd_?!N%U#gN$M>{^J zorwpqluWlih$l07`GB1tiu$>5*&W_KP_^zyhEw zmj5rD0oklzv}aQgLAz?6TqVM4{#&k}6ZL-OV}V9V>!<8j|U{m;vTC1S?ygU0>ERVzt$@xX_# zg{fkX?t5RmQ{#8u4ic{9`xf1HtcZ#1SLtU39Naan4M705mF^mD!xL0ABs@hD z&S%u6Ui0h2gIhGu1YSqHgMoK?(3!A{Wj!`MaZm6a{yWYfG=1-q3$m6t!1o7w#n3_2bk}}@TS|(;SW09yUs2R8Vnh545S19t2f{31vnyJ5H zV)EYlh$F-37O>j<{jfy*;cXnwtm{c^E(L@ixtm{>hlShEa~F;I{aAyV&kvKj&@fnEq<{ zaPwZfOu1t7mOvck{n1{_uIS_G%TrU+Cb8Y4yej%nd>;L`RH^+`qmrCC@&1Jm(BN{9 z{?o({+pBN;-@Tc7F>B7>z)11nE{Rca{D;- z4auayk3^vkA48DzSU$g1SldRj&V}n4 zipc1d*um`R^{lb(Nb;hw?vC3sPk7WRKH>4LofYZvd%Z@!;%}?{QPg3ifk|zXDG&eR zz;fu__d+Kn*1}U0uV$Xfv&)B177HH*1ax{5Dk>-nHk`m<`NOp7l-9fGv`!QHc-r4V zfoIPdsH7bKoDSyJ;^c?tyvP2D^-wgr&G(26Mj*Cjer}FsfDwAy`WQT?8W8Y;tO`D; z(hYq!ExppK>*hwW{5x&q-H=<-MA^FkW7|E5850*FdpR7Qg$ zgG(++rMylMABj}xxf4cxs4-Xa`_BEr$y$6|%2)a0G>5o-s0ip+7dHrz14g=mmW$lF zv@lijPd{2Twp|xw7~XTNIA%+mTzYo|c6H50kJB8HDHvSO=)Ao!#vP_N_h|RN8f2}) zCKnN`hC(&Tj;}eb3DnI-w=lnU7b9CkpSwCG=JQ{jcKNQXI&r5vFCueF*|4(5ow1~FRV%-6u87!UF&p``)N0}uwGoFn0 z$Z!SU5DC`bv;6aQQ)^ym53zGMjTGlK=iw-uEtQ*k5}>1nSbU;Q}!);sM%ANYEL z$A3OarqO<>5j#$fBO1+Wi|7|A$veCiob%(>akd9T{O*n8AxnC=)fhKqckI54_rfW` znr}zzvC2z|>M3B6;&?=={ASf7)Mju~>(6Mb7C!%44j~YsP-R4zV$u}hu&5fXC~HO$ zJ3dCApyh!{`6G1FfeWO51gnP%!VJ4s!7iilFi2=aN|yVTV~c$(AoIxyw=Dz~TE5CE zNYaMi*HrJMLBaOM`tCT%BIa-EG^LQgX;{2np48USN!!_BLk==QPf~>PT@{eBkU;T| z&2u$1G#HH<+cpH3z4Wyv6y_vKU$0YhNF_?mC7^sNF2-it+|>JE9$pb?+#Y(UE{N4z zS&?mD8slR7355o-oA9&!^U9k3taiqgViRf^rT3hOln!A(JGWl!TULimI{CIS?N83K z2hHdU2t63ul!-q>-c%G7sV$`);16paIj%lzzzh=|se-z$U)HO^hA5xpA5x0B5^f(` zpfqrA4DhvMfk{R;msW{AOUN=C?A7#nSHvfx)o~i-v4WyM3NLq;8j*>ACG2J5>%p=Y z1lh#kN_+)=9a*x(=oPSiuRrW)`e@N19KT#nc;BWcVd^`I8#z(su5&&wm;8)CG_$$- zm~Ds_*D>x*l#^zR^9Vtn_e8Rrzty1j27g;f40_it&UHgk{Sc48I*5mF>?aGtA4I;N z)ny!BHbM?i&r^y2Pa$)9VMxB3{ZvW%X!^ca*XF-t?O9vQMMKR^7Strhvo-P{Hl4hQ zzajEM=HC)bWqGZ_uX1MTwb<$b_feH$Wk%PEaN3u;YI~trOW%0=1QUu@b~U|A*Z{Xh zxUKo7dVw!Y#C@#Q@yrRA{KiA3D!l?!&{4s*K9AR2<|0L8F3+7phU+cFkff5i^z z{H=ti4P*CbaWK0EYG;m1fucbkEu|E_`hF!!5hmkM0C{g)C(e&{^k?ztj78 zDLjgy@iw@}aM9`z_;0!zx!`9esf$9o?q6Qbt^d0Kr4vxOpUOAk+9)@ScjBwpUhT^X zCkI2YAy|`KtAPM-7r#d*IFdEtWG)r~n_P;ZZ=jMHoxI4lkbgaJDnWhBZm`FcP#)2^ zT>5EEl8OejO#n!0kV&}VigDC(ib{mxvmDsp9m(IWrszfnAF&u-KcY!=b`fY8dWrz0 zvo3V~^A?w=Z3sCQ4$TesICfAOIM;6RHM@qlC6sJ3=xgXb>f^BCv4)d1W*K+^%`y>xTX z1uF1N->?b#e?-V#wJ#nOP~K&`<-nSj+r-P(Lr3kv>}fiZWwnE)nVR%8UDXdQ?a;G& z^WKEs-Nq2@b>(Rz-7&noer7Mz$*OI{{iTaK+O<^UitL@^0^SxD+f8f)pw?TmaKN?M zrU7CH#Jm&}A5ev=zpBt)e)q=Offr#*x1sCQ>2Zsjc=S)JZKQ=^-{jp?Nl6BN<<*`w0K2Ao{9x z-oZ@$Rfz{$B!>Np2D?Io<7SY6$I);(P0Obd()?Y^@$2<76DRJKnfcDYsufD%TB);6l1Y)8$IcoMV?B3zCl=(k= zD_?VZ0F9spiRFCIeV$s=V)Ne?`%NpJbh2uEc?@ltF3?tSC=zzpX}r>y`S_UIaOQBZ zV&)aonK)w5a-&vC9=^bouo>Q1XjgIZ>r;d|v8=mA&3H~$_Up(ITRus&oXFo>6A+1v z*f-HhLE_Zh7-PKKOF?6kZ>*R1Ew_kQ-5$?s6LR^(x_!59Hy3DzT6e{dno=9;vzlBS zxc;dqpvt@^(FIB$`xoW#w1L_g@k9TTEOLUrM)md^h7EQl-{rdU=qIadWNXvBjuY!k zm8Bf5U_H29ebjFYa2N=Xu};t>^=onFT0BmCD^gNZch~2y4d#Z2YtL1|RJ?+m&($S$ z^~D2+Zr?C*%33%cBE{T=#(gnpxs5%!$PdPym5EfhP_!O5alVAyByPy>IKW|kdV`Di ze#NGQ!20r?lLc4w+s!t10oq6J4)qY8uYLzPg1$1ojsdBS4g(o#*pCit4_zEDyfo&- zGs#DMEV#f5zgJgTGs7iB%n1^R)c}t(n4bPSNZ5#I(mfy7F#4TgjX(6U567tJ;fucbC-Jx$Qy)Wq?9-kmd?EC zo(apMLD`Jke97andr70!+;MMjB}8eg-DD=C)ok`pQFDC|qo=|I1gr*)zgP(&*efyO zN=)eJXdm%I3DVMZr5wL95)oDUF*4TFw}uHNruyZ4pdW}`FgE&>8GgZu_x z1YgcIp!#}rYvEC2ohm)po)Pz$>5TGBF#lfuIswX9fD`eNQIP(YhZ;mn{h6XMI;t?YxPWu|N4ASzNDJfiJ0N*;V@x+EDieIzGEQ9L>Vu?#dT|A)G&Tw%4l zy!J5;R4nQYGD46t!)k#i=Eo5#w);aF&fnx*9dwYio{u^5EbIU%ZxP7B_`JFo-1c$Vww(ONiTk#=QUA} z`z6j#07MuD0gBuLk-Vt3qs-H}rrQJESL+!*jh*3t^keqL`^X*QTSaZeIlKi!_-fl- zyb82lcyYlaX-4B1DR&3&eSVBdDWu2A8-iGr6wC=M1@!@{EjO~uo4f3UEg2GNXY3Es ztsx(?6~ni#=nrgqO8NzMLy@J7LAI+t`gE@CX{SMvlHs1;AD8KlOunHWAd$+3vBsj=7DZQ`9h?`DW<2Vzlx|c z#Zf|{_8P!n*HnQ5`gHH+@0oog&z;k-YxYg5E&((hnjQ<+V17>ZCP$e+ei z_=T;n^+|mwBr-w*0T)#qnE0Qzz&jfW98M%f51G+8ub zPk^)x-X%FHfgFWm@zJ!A&{MVlvCiwEu-?R){MFhEH^Qovd7}7IuogeKvI!qqpo1>6 zBASR3B^-`K8~(8ZqAf3tU!H9KjRgZ4?<*SxFL3Cn#KS7&C9}A!NnbGAh`@{OVdBbo~B9Wi({8w%kTkYLSP!2yJS~nq+P@x+dR-#ucs$ ztG*AZ)!e?jn@oQU-9)a59#d|u?r>)AStj{CcAzOa3TmMy_M3l#pmVE%Z5Z+-n5Iz-006Ej0iaT^&!E8SRnak{;3b~#DB{M?R z2CyX<9F>ir+?qfdQib$eRaq1mMXV=)!e_fT#M%^;!u?yl($5XTjRXetZ@)o{^V!{) zY1w(V;RGVUs2n~i7NVYfJ*G(Y1P17Xkee0+CaQV@p6wcxX`+Hs9^gslpU7=pgs0In zH~FW3QgPI^%2>Zb>G`4eRWCEA)6#>hc(3lOB22TzD-86+~J5vnaAO?*3WTb2` zqZ;k^2KK6Z$Ej*03&wf^?PhsNz2B-*y5=x=I}8C2Gc#BS6cH;P#{h7c?+Ms`>hu2> zz=C{syCFXq>pnezHvs(*wpj?Kw%-A;8xvJQsk+uiRA5aoc z0JYdBZF;T_L%gkpvaH4WVx-@8^|3|el|=Az98QbwW-D(}6w!&v_k=3LtYH%;_1 zkJYnHHO4`j=>wn0G^sR%j!|SC&Rrcpbz=QNkW3Og^$9bFR&J1*%<~Jqw9a zh)tu2Kq*sH5kSYDPD^|9iys8Sg}n~V4i(l@kr$u~k&q7QCuVx%gKv*d69OM%B!b1_ z*cXGRg|dVMSD)fKHyNBA8vV0^70k5HG9gUFME^9?bRZb+rUe#)l_|Es1c!!w_x4Gz zzRdl^ck#YAPREJ)&aw>oJ!VIZq_kKZSi|8Ak7+yzk8=7o?D?IbEY~ps$BK)}#IB(gXi^Re?{`)fl_{>tu4p(mmHYIog+}?}Yjt0B zTKL>2*6bk5^Z5!XM2q_8UcO^tN)tmlsVMdG;zoUbHgXkMg@w@eECnMVY?^)Bw5AMz z?3_V|3zKK2(KQ0PpX1D@-~rf^pT8@Rl7-b&qi(Cu1zK9qzcm&E# zR!5h#%-S41K*X-uX3z8eb1^2Q$%IVtK4yjil>)SBM z6n9jOXvtMh1m}{b$!;i1$%*Q#Ybld={>q|d7hrq(2ar%1a=j(_VquEivwcFDF4vDFi}*U%S6r=q@pN4YUwu5Vlg$5KAQ2GT)P zm7yITDw&A(ZHX@^s!~p;;~&fWO-2Veu1sD%qg}PDBA3aoK__3-3HA~#knMqLJXMJN zO=&SonM%{ySEKoP5vwE6F#TsFhz31{urYYtnCZr5d;@Y3er07%+_lxOj@<0%*k09P z6E>Bl_9ta1asdLwwL#zrYwYqLkT@3kp3_hp;-AVWhq^E}bCZD22L$mqWA1R2p%7c) zZ}AKYBadw`J=Sf0e%F`vx)W?NRtI_ruBT}mA!B>Fg;st(1QgXq@=^@j$~G2iATOE< zoWj@06kleDiI%fwo%w%}F+FE!HrlBIf863HMo z99E6#KXL8L0EH5X=4h6oj9!}sBU4xuayOJ%7dC~ZMb9E1|C(Sm7va~m%lxQ9n%-T; zS{kQBS;^|a`&#BAjDAh;dwR3)OArX9GlN+fn**E+U$dK%!QttX+7}axMGWuje284=W1#HY}> z{6VJ3#23cLkLVDe^8i6RjVvi;u3PE+oDu`Jcy=U8;iR^%=61F${fURn8$7#;?$zgJZS0#HW7FCU%)0Lb zA;~>)F)#FFtRJXF3HK!!DS5A4=lGp@=xvBKO84Z_?4!@I&=v+(?MHOIE?Lzz*TgQq zTqzY!Bat+>OZb{(@<5FrsYUm*8nP?`^gO8iqF@Q_6rN zKws~N;*##aablX-ehi+?H7>NX@vd-4$ixWdM31O-m;d%iMe9{pZB|Tx*QmWSTs#3$ zDgTlU;&0cq)hopRhFL$(HzwE2n=F$|0})+ILDM+}+zKI=4vAFsT8MF`lzG@2T48Q) zep{tfANW#dmrn}(ZK+lB5KUmC=M=+;{F=xnccGGJGtVEwDH}GCG&~HE%4N#V$Bx&} zbo1E?4nq?7mgXX^{=x_gYTR9?quq`dNHSbLpNxBLHaPAa}3%(q;=`8k&`HsmoVdZYlC_vGFBf<4j&>9 z+aB}MU@!CUmjf;(wIRu7v(7Fv>uW}z7}&LzI>hTh9Q>|k)7aQa98P7Uukt<-AtYnR z3RFcaEtQT_IKSyJi3xmZt{5mMw^x>5$^YYikcZwGbYjz{8vaqK%wDz%w)y;x*KUbo zGvV=(+DLBn$H(ib!~4QD|A*_xOx2I|WtUn^v!mqG*~=*NvAu_sHz`j? z{CZx)yBKnzW#<*s`|a_d6*0#TI(F^5Vr!2uYsvhZ*ZV@o4DuC7Wwq9FojBnNZ_%*V z*YA{DF{E2seeeTFi)_I9v3)mzatm?7nNM_Xh@EejD$XS=Ou;UE)h5G6VmmmN!yk85 zj@o(qk;Z%cl>2V3#4qUL8>yt2sn#J$5NO?)xeJW?{D`9`HSGFIM_PAi-MliSa9cb3 zRUUQFpLnOc6gBaOZlkpm%dV4&{D7mmNAZxif~Gq|bCogykyL5p6|y$^CjRfhVdQUk z3gLgwU}84a^rzu(<~SPVX4IS2gpY>^a`~Uuyc75*1`0u{;cIF3_G@N~m9?(Ds?!Au zvT72?AqIwhxLt>`UAMPEe(t%8L9ac9T|glJleBUOA6tdANAc2vN2)_N~UHfQyby(YV#>b$y)bQGR1`*N!;w-$kH)4X8zlvH` zAQe==QFQY9w$JeLO|@0}s`pe))`I!Vc4WySGlgfGm1rYq82qzB^s}BI`}QL2*LGFv z^Xk0`NAcn`w|}_X&tQ)M*VU5q9xDQK#$s;ttvt*$y`^be;~G!L-dt}CO?4buE;Qc=Zu3a6zBI47K+Q`TZ=@w(SC!N5&`Z##)s z6Ot?HM>gXdN_C|)b<%YTsR-D@tp_)hFq;CY-m1`<57E~j<8@e;^k)*(shl1$2Dqwpt4OH5rAKe<&Y+s=1R zXyu_%Z1rx>?eSq+@@{Fqq5~aUrdT3e0h1mf(UHdvqbK%uv9jD5vFFgR#PNH|pO?uz zl09znv7_{YLiEdTf!fF~$3xFDG5^fR%D9X0=ZgwA)Ju9p2+B zPC>uu)kXwQ#63X?OfIXZ@ka8&TeF5z)2QP7o;2k6DF@B>?1vp&>uZwd%L93L+7vIs zQCjfNcLV7-;ENn=;D8e^aa|xxu36N_ttT0e{*ryCK!@!P-&Nhe<^95+!H!=5S& zv%K&wsZBGya~@$b_jS|~-a*jPp*@)e?5u7e-{+BA^6qNjg@_x7Nij9^P2%@m{l!{m zI?mhdB0UrVgFkz%pNZ7Afti6vh(Y{rpwWLW^#%NsRcBF=`W|?|rmPU4OQfT&gZU51103 zgs3v+C0H+qOhoh6?uCtS3+)_5+`wqa*@)fZVJ19*Px)GN4+xnv( zk>M3SEXB=rvyj|#$!3ZTq1D|ZcuvYEVM6f85kHUQwSf!?qu)R_Moddf<3{(UaJ z7wGTI;OFtVB(FWl@}9l!lt%}@CARt1ebpUTpd;rpKJrX0kEBj`824B0|5zY;>Jh68 zPH={*VDMj*>ijKSLxWQw|0InECO&+{t^c^BpBm{unnM3PN7qitZ~iH*fQDP`kBe+i zo4nFj$f&3H}NzJ0b7yH$P=>DwPm{D{?A0XhP2z2)Ms_l0w{hy z=D-=D@Kkj(Z`jGGx zg`NLg@>e*TTDp3WYq%*akNEGgBN>(Ws9DR@J&Tf;i}~r>FK}>D@4`I(a9h3~o^#x{ zeS`IMZXmMJuZ-r@!XhvEB?1-^kP*>uAZA|giNN$)_1XINj#Tf`#IgOjf1zpFeM-gF z>7NQG^5t&F??*theOvOGVhHexCS9Zo=J7($GW_7b9v#fR57 z#KF$TS@tX4(2y+skCsmbF_TgWxqeYm3?v34_wsS&-tRQWYOLeZd%PvXt@*Z%XJo}~ zEcfTgE5D1Ii>BEMb;7#SF)?m~dW-$VFCBiDf#)-NsTj@|3)VpgZuz&1bDdVq+Z55l zCxi63qJIjEH)tM?qVn&4F@ve-K_Jkgt6U$6k-f{J?MEu_A0e0|99P}Afl2&mjVqo@ z#~TA)yYKZxO#`ntxjV1S>qY1;ItIbc?b$7nrAMj!=_yBZlSgXvrH?33Y==UBPBpO^ zUVQxIxUX``&xH6H>30Nluht_r!V_Ze?2x42Qxg}mPBjl}j_*?ndGSd#Io^?cTdo^_QN&*8ePI3b(g7H0Kk zc%{wjQW7-lH*Bw=HmR7}D%Y2@dR7{S`=S{Zr^nrOH49)v~*HqGDXPR2#N?JtBuyO&8(v7gm8R=*P1t}?4OejH7!6Og?I|f zPR)ynNNmcQ)*Uv;dp2e+pb-o+2wddxJ^Ry(D=BWP?`+n2l3we-PMD7-_}J8S?~o{Q zq2Co4pt%+u-FSCB9`q1F`bw$d>)rL~OdgTZ_m^vrijp@Pn&f#tzq3AgF0z&*Kb+SFIV?9%4kj*QLSM=LpaC{wmc0J1 zP2T-(wyw8!U$*aTdn$%#kCscGWlL@}|9ZqaLF6~t+VzZ{X?0;_z_`iOWt=Kl?&S#l zW8^asd;Elj7Ts3T4$ET2=C+E!OJaQd@6qv;FWL2K#;#0u+l0=4nYe3mjxU}PmteTo zr8Q*_%E^g8-krW9;e=2&uKMoE;)qxbb2bsFoE~^}EfIa9u)Yn-Nfz`DcQd2VCKs?;wnNK|TN0~K)|eja~;Z8vrr+27Zn)N$I1(!IC8b{1M8apUftI49z9xl5~^KGk2Yy+!4>MTywns#yf z|HKraqX%8A`W;?&UUqZ=Sf%1bc1Ha`3&3qlYlv0?|FYOFVUPt|APOM7ooti>IW!Tz zpqo93vyfB#13GAaYp^(RIVx7=yCbhHLxo}^MGPsBNskZRlw$&g9-PV2+^DPm^f~#? zjLAhM>`W_UHI^%KY($X3>$KYbvp4GW63FgmctjL_403#Yhb(kOBULSKK8tqQ%s-M3 zR~zLasq(ol{p3$Ei?Ckv+4=yMpj!v59X)rTomqHW+tK-We;9Q9&Qw_xC0xEqpm^+! zzUNwiml(Tl)z$6VW1c%1NVnQ>bLqwX*;LSJ&Kk7yPwAc?uVyi^hb*HlJzMQ&*0it` zJxF(orCPt`X6$i`9tC&tvUlgvq6y!ah0+hoUqZ6&9h@UZee$4Z=YovD)}yQvFW7z7RS8+rD_t9-s{P1DzeCO=bp{iQQu_&4crwO%rnQRwKt2WWqY%b35C+ z5t$SeIk|?-s|Z@!i)A;pSAF5=ggp2L@(pGrdCbzC%0l2UT9Ay|`;R|@vRzWY3+%0W{P)9sk8TSMBqVPthOuEH`bDu;8^( zK(Im^#P(MLF@ZvZ%yIf+c?=%&<0w{-3=;g&91v!EvAVZ6B)0kWQ6mTfom&!z-v!xf zLabDGaPjHesEKZY~e=r_q4-FSVfT@sSZ|KeH{iz?GjM zf}VTxFS$Fk@5CMUfmmivBlzCFj-u)Z?%N%9Jw8AS@$jWFzur3v8<7wY+b?`$SxTEm z5L$63e3AU93nilQ{ZsgLvHgDEX-%AL9!*+EREh$IF0JH`yevhR)WyXDq< zFuD1`T)NG*^@sSL{ zW<_+UE%9eP5@bC2x0Vt!a@K=X#7uVZzpzkVh!Twge~?-b4{7yMDZZWpug&tqCJ%a8!)Syk&-o z>k9gCForUQI-@irX$@_q`)~JB=!TEk2e&a1eibmhH)^SdyX8X0G&7hp`1~|{RK8DX zCNAe{rZ&)0QYIFHg#EhKZ_>(@JPr;=h9cX(2gmkfsd83Puf;&t#kqvURxlzCk8W{Y zJz}Yg4g+HPOaF{LBk%dM z8Vg3@T#&xC7EsK{HHO#y?{D_cXaYR_Ha9&!=KE}lSYzk&ZS4!^Ihu$JX~PPjitc)T{MM2W*_dxWzpAQJC)L^7+$a02to98 zuMRd=GmxviHax4{#PaJkM>pAcly`_EA;LRh z!`Tm?(80t%(8{Tj_&Gr4j0g|&Om&v$?l=->6ZMTF+N0a-O`C^-mu>b7?kyWdb;fps z@AK_RqMzu{c^vOFk1nH1?U4!p3b=jq>_IBFkU0rIx5@rzrr$vevr)lfII}G|y<$Qi z?a{7wR7vw>ka!m!k_G7>!8ttG5Q)o#G^$2i;O)}dt9px=P8E#s_S-?l7X&tE%kNl) zbK}w5#LwL~hiU(qDaQ63$p^Tf+loy-GnepOauC{m>}*1%@mJR%(ED>d5Llvh4G@Y@ zjMyW65}Yx?8~AXV-!vx2VchI|^rL%OuSuV|ke7EohKSz2u7*&Qp`rG$khp9f&qx$k zCu6)QS^AAgSL?Ug$0JDJ@GP>&$r)!D3N}p1H!{E(oYF;+y3PH^m+W09G)KT#CB6}+ zAjK7BSwctK_%nYoDpXGHx%u8;cj_4Vdxuc*)T-!@Ld(Zo7YQx*OhUN!N%b+@M1|5&Z+<*y;*4<54y?WBR2@X$L= z)`0%X?zd*6dyf}QT`D^$`1q6WRifWDatxQYh>c})ZqREQ32s{t|LVI?X->s~o5MNo zc2KGmTl1AVSYQ5ee&9u<=Um>0FBmQaRKYk>BM}O? z0wiZgy|{!1-g?b@p7hTAnP_8zGEaSW;YySHoQcreXV#&8{A_5fU=NywV418w#>uSCcYiccCftiz=lG$p>iMWyO4lXI?pXBcC+WHIk{q(9lL?xKb@^=o}pA(XA@#ln6FX;pCL(~oH5gsp#cKOx z;&DOYbyI18dm__^kQgO8+Ce#pJyg*?quP$-sjWaVQY~<_UodW|;;c1lO5ofYVmS#N zTnXd!lCy!@N|g3yU859+Zd=8mQFufK({F$YIFOi%AU|l8c4IC+$G5tmO?*=3oRrnX zx$}>cz2G#KtDz4gHcPSi>W78S^e3!-e_Z@@BwlFMMsWzvQ-jeXI?P#S+XR6yIaC2X ziv@M+*Y{KbIlRw*M5d8!%~bK_UKK$)>|s!FW^~n@)C<|_0`?;6ima?FG`Y+i%cP7G zCcUMZ%oqcnJ3FOs(g)8S7vD)xXfh$}(H9zL-Vx2mMrLuRyJ|*OjAW28HThkP-*FN990;J2eQq;?u)?A&UTH<0pY(9~LPdQzb@FyXd5UjwS zR)FcYxs*oif|s#qD7-Vo+6<__TgT4Ydt}MamWLo)E^5XEsW?}dT#&n5hgyD3rX)3k za9Iu`$lHJ(6WL4sUp+%n;F46#N1I&y;JU+idT3fqb)q!0Qn_HY8q#kd?UFPlMF-3 z1;PexZKD~U?|X>m9q5~X(Qs)g>xD!y4QJw{&YEnL01mOnGN%qf+bg?KdQ4@xs?8R3 zda_ojOca!#32oHC@h(HqemRMe39EjAfkmY{NMj{iSK_)S@_aYP*YmW$mDOb`68zf` z1QO?v$f_rhOQn2(I|xeE<{)+WiBQF~KsQn<>CW36`E{K%WDvKo3#OhiQ5K2xS zyJkIjznw>ts2ND!ca|D>fAgIUb}-U6Ylii4J%C|TKJa=5?(HquW=IrX zQGSj<$FWco&d2U^dpR;DNi-j`7>8=1xWPN}lg~SHySP9Xt|SVm=j3}Ih(aL635LUh zE(y4CId&wE(+F4D4qo~yw6c6k1Pg{rAjq5}0P@~LYs>yfmwF?;Q$(L2+_V1Kw36dW zQ&6pYziEwY817KdTXGn(iPaFYT2u!~kh%sh5oS*g!9>%e)Dz~Dy&sg27E!YxvabJ! zsc#IgyLtXTvD>I&W7~~w+iq;zX>ej&jh!@h(%7~e+xGvo_wTx%=e#;EzB{`+JM)>@ zncdlkuZ&Wj-~+jZZ8D3$4=BDS)YPso(~$BK?Z?w)BMWCOCe8>+!nPsb8ByR4hEC?y za+YFOB3qs_2gr~ZS5^3@!^+z1MGfsoQYw9pET1J6L_sX3A^jCZez;KS)pX8FP22`= z35PTU>&dSKUxI1-3kgaoD&|8t4fuHEu5<1$NSqb0jVDIXBJ$v z>5-0MS5fWj9_!#9;%}0w6F{K(s8Vx-@#wJ|^J*rY^l~iQC9!2cwH9>2Vr;cuOQvGJ zSVOg=p-51BYAV|bn2=jy!2FRyYJxZ>M{~LWIJl*E9+6Hq`VSGYR$BvPbV1nK4@+c> z%RO$^2CHC7$&QmDOI@dNw(u9ADV?+!);M);r~<00T>m=rDILyAg(S1I5iL`C_d@4( z7Byutdm_2eHDMlH9LeFI0w}@^SoU}^VQO7+7?+rw$6Q97^X z#^+j|&s%n$kZFPwbF#Xd6C$PKktm{N)%QT4$8~&kC>378x6vrY+yn@c;-<00?3B`4 z2n!nwbFNg@PsAXw8GRCuj0GJ-pQtfmLwM5NRWCuZ%Ba7R6cK6Tig&S)5h;oJtvgBW zGel;oQH1_Ns7ofx2M7H~n;3+O74EiFt*5@1iT<9Xtsgn301(YKNK}x96LgMAX4OQ8 z$BIg)O)vodGKiJJox@DvQ0|Mum+%O{Ll_JGh2jbS;91u)3JX&pJmb4AROa~$?b|On z(EQR{r6ynlLkh&@M}W0Q6n96?Xs(2~eYxEO@=c~3za+=1w^J5m@IYd{27Om9s)<|X zbba&*sgn6b345bANkj;>O=YN(S3;&mwb~_)2o#N~B@T4u+D!Igjk^IkY4Daoc7 z2y7y&Sqdb?LT;+2LjJr;gpA;LkX*0Jb!Hh(#3Vr$gm=wtwa{}4edwrtykgSXO!_hBs;jDYzo<3dp8AR;+v9ev5*Eejo@09TK zzFOE~mJ+Sxztc6SX@voS0{2Fcj>E~kOXlDSS_1BzNBL+NpNDnDHM8KQAXJe4^j^}# zRwjUtp+c&LSd}}8h=^#DNB>!m`pU^n<^NU7ryChl*91=Zpj$+tkwl~nWRJ|b>tQd1(#S{&LvWr?ooNyft0<)Btr%JNKxRd=wSWE zVHpW~qz@Q#mvg1c{n-*m)4%6L%4Pe7EfxeQGpLD=c=~Es+j`s1sm({;mQ4;eNMg9{ zw>f*sqjA3(t||ESC=bXl)ePt1TUTDQtHc0dPXJ7=(fU2(RvPr&pjH!Mpopjk? z^4@XzO!9colVjC*W`=$!{E_CTFUiX>K;*^WIlFhmB&jw{l)NS*iCS>psgc$wh|1mx z2Jq_~2EYBm*lN@W{}i_)+Yx)QMl<6M7Ybdr9AuCBG-T%(BL_?+%A~yJ94V!M0);q)Bn+1C z7>}DF_&l!-KRAEdRryRLA=IjjD~4X&G~Krsd__@uK9cC(f!Ch6w`p2WuI#5w$SD{aII9R9Hj?q%vs{sCK$GmugbnGX*O7XIY&I&hw2UetY2@A;=8u$;&=pc$8ZsQ1;CgdesL+J{l+t0pCA2tZ{Z!H^yjP;* zABhDWO8Ns}KR@HUL?)jPSNc4b73)pbN%#zVa|Tf&1oed%x{W(z($OKF zbECt~0B4JBNR`iN%^+gxV|4%o40TU)Z6#jjVTVF6j)Ps#Z3iNSh{`>aU5oZqEHvAgQDz?+;#cHYNU%sDqUe)BPAw zXn9PQ+G^B9HsrH@7@gD8qf05B)9(s+t|zNnli`hJ=54|T6Hn997rg{JagobBmz9d> zd0+Yk|1R}NqU)+WQv4B9-#z@M2zN2UyYiFmxIMFH;MWd5&_SR@PPIJ_}N z+$_PkElZ{!6(NoRxtuslFbkI2q*HZBoKVQNK7Bxx@3_mf7w9>8ao&=qBKWkpGVnlH zHa72hlR*tO1^c!Eu6%4Vp;!vo&0j^tQ{(}QOAl)#R`?bhQm1D#h0<9_2hvCZKVY*X z_7eUENFBZCG;2u@=70p5@V0$IbLhP@vuo0=E`YjSx5`{Y9tXo2Znv7ms{hE&^;y2ADEmXAb#V^W zJ2b$W#LT}XVVZ#-SdTJ;_p52Y#VlbQ>IV#g>%)3Pyqq{BXVY&-)-G=(!-is#KVUIB zf50_;dN!r&^d-^Bng(Add!ogyQBiC-K{*;2657_yu z4p=zJoX-}=c-ADc9o7-1SrA%x3165pG{OaPTP0Y)@t4w4zdf<%G^wc3O(&B7qBUim z+Nc47;TRT+FB%i8Rp|KK&^^$%Ivu4SEKaoE&INolv<)DgEV3t{ghfA1vvhhalNp8J zHB`HHk>XUQ(k>ZTj5eBEmDkJGQo~O5B`#blM-qX{63xWcTBD4d zx9==_$XpoL_A*YddvM>pUAi7@JQyXcosw+XPqbK^q7c~RANH&QYg&kH=Nnz>nzX$r1I5=3;mKo*j;0 zK-tF>;sVL{>;nt#B&m;+s)i^oiIr|O7=dv8elVAFD#|JQ;z|o}w{?$MT^2jJfpWjw z*BS9_?~9HH7e#M=%E}YzWYNSv57~sn3;p|{1PZzACq{fjB{3XgdN2@mS(KT?yn?Wb z^PQ3`Dk(1k^XlcQD*AOWLG5y#hC4!lVjA&Z%5}!FDbw1zx|^jMqlzztVmv!ZIV{H~i18IhT z)3)Ys!S_E74-cmdf^22WGMvp@gTCR-;pICILav(_UGEOJ@&|$$6l_~8KI=7h^TKup zv$)pF)n$1ll3K9OL=`~YvJQFI{05X%Y8FbTAUinWwR~o}6D)^iclt3f8HpUn5iP5T z9HdB&D;8(>W{fb|&HrO?>I!JDU>trcK5xUW<*;r)Yy$g$ zOXv*^4IzT&m28rvd0MoC&w|>k8p@>1sNMe#4ERetiBJa<=nM(2K`pj3x9I7g?j3zc zm7QbR{*#+9{FuGmq_x@VA9-EYM{=IVJCBaX;r{&=lBAE7>u-nlTQCl0i3PNEnH@X` zYpPZ_Ij6pF63mu4Zf#82+OThPN2+w5_HxV|PxoyH6CZ;Gf0q>SyO4JXSrXMYogCeq z)S4y8`2F&@)A({)lAY&u-4!#wwCJW2yUuhYJ~HQMV=t}#ZyNv*_Yic5l{yeSiX2v~ z*&5l(?Si+}YI4iC^Ojp*=koXZdB_OYzP$d`(yOs|)k9B6)gsSil6%3woc@$b9xXeu zjhBbg&#fx1#u{Pf1V!@_fY2FtOU(Iyt9AlnXOxf}>SmOp zSpPNkBdWlJRwPeA=r#Z7-eH&5ZZ}nEn{tLGPnYOU1{)AgGszvBUhP41n9 zoX4f51*Sszixns1j{v`p_P1(>uP3Z?6izLa33qQl&)IMuZ%3|7>mjCQkuRA2@$0VZ z*xE-0g9e>ZNnYcSz#k!`NaNE(uokAsjX5LyqoJy)kD9$?CTIHXsb^1?9q z6wvg3FV8Cy|0|93b7EE625yMrH+J%b@nFLeWo0`RyS19{ujUK@2>a&2HKykuD1c8N z(-p5eNEgggvql(nTE>=OfhhA@qCl+4EPN> zy?|GN%*lv8V$aZKtRSUsY#WW4re30aUl)A9#o4Ei>%pQ|H1QoT+qVvgd%6V+&`W*O@xSLqqD2RxdZX9 zqP}89bG8k!-WrrvpZjD_hMiqLC$Wucn>?l%+6u`|8Kt1|g;jA9(QVkBipm^dzm-*;Nlh_Bp0bnfs?rOk21#Dwa)vxqS7rKij)gHtvh&!k^K)L8HSvBn;c zcr11pnT$dV4Ai2r6yy7GB3yO*FYC9JYSn0wW^Pd3vZQt1m^SW{e^^5}tiH_2B%!M- z5GM8yu(KDloQ)( z8TOCO^|NssP>!@V%`g4!APrmeD1b~D>QmO)8%%WK(k5;H{ZHjZ6kgD2Ot%H<}?*2ycAEoNL&3R&7600L1l44Lwpb+$|Y=p zJO_@D3_$p`fRrDgrKXZ~LL?Q5`wb6!u_|5Z6}%9|&cd3%@f)X2lt;{@WW zYI0Ix$v{#Y7o3#XP}!S%Cy_&LOU~Kek!{gd74oz^`_ykpq=0z#`ep)cW?MFqT3Ojq zvlzd(B#%7ZmWQdiwDJ-HtI%1tf>0WzD|^&4jxaWw1KzIdZA>@sM$nbm#upt|vL}nH z7hACS9n;bD;QQ(a$=4;kQjNtpE=x7Z;Q8Ea8^?(^sYqRC6AWU#0hElODum zfS)G+rm~PP9BH221faWPtGp}zxo5>~%4phbm{2Y_~bT=+~k#F zdyzPL{*ZY7x$q`&RXp?ULz=ZMxA{OYd>*iV@!Ja)p`C1pW1_xIJi^sS*yySK4pbVe z2)nk|m4oGL^pqkGH~&ly;_QsdTcOM9a67VtIhiywC368x$-V#KP-%7+Y+$D}3T1RC zKmrZ`3!{~c1E7o0ZYE-PcO`~>rWgImF;xrmm%H}k&9)lGkED*oJmrMmZo zu^FS(%hh*MDE7p#Ogc^Sq$3O)qt%btO{oeay4$`$`X^dCTqJ=5Zi;6_WA1r1i zJ(XZAPg<|G#5bMkO*w0wfx?!tSOWL<*0-#5PCq9(&;zy=>gOOpYyUrn6$JvPV&m3e zUtC#x&_nYCN3*+Aug*yjadD~Wm4y{^qC?VjQ&l~S({!g8_AQ%Lw^Jr`NPejVZQGT? zVq%=Kv6$DBqh3k$p%Rpr`7y~x=s@?@vyT6v&MjS^;^=VhJv=l^&n-Ld&6;NJg%<1B zEThFLG?^YI<0tk#w)n)?B({LLpfroUIIQ>D%y#7o(%Ysw2&=gd_OG0=Wv!K2^ zlx`M$P?rb@&%ySs6O|mA+ICn)RoI#3ku@|J36yvWFtUrKPG_ahRiYTo>S6%qFfw3R zBEUa6*F+L*s+P&1U{ErX>1(38#t$h^b^_aw%1l(v1M_At57*5(v>{f8ZW`Jp z%pQj!K_CIYgP6ApoUB=9vEwj$aA|tck>^2L{@{D!a-;xJNy*`fE@e?DIDY{opdOKK z6xu(zlt)ix<$)eOfmnDH|99$@O20z9v1`bIB?51{bOPJ+^g^~ko(CMCK|nLIBrSI6 z&t>fG-f<&%&K;_B_V0sHJ%QcZJ6C9a)5=;5;BCQSd7aJzaa6uix^bw0Ddu`wvcEd2 zi@sV65iWC;Yx3D(tRT9l+4A!xiy%qMxZx*i!*r^A6qG(H+|-{$1_8^XERz1=F%Q52 zJd;A+c7u_ERq^nJ6?HeMwsC~1(^T}U|3`NpU#F3EFcicaPjj&nP)Jn0a z0Wju2zEgosIkt{MQu5VDG9@fL!mE35jl%|)uKj#;3^>A8nv}joLTDrt_WKTb5Rqbw z>f5(38zaW7Oef%JwC>H!aIf;l`j*Ys4soSj3#P(NF6#Xsv!&tulX*||W6vL_mdueE@0y0X%JMm-7j=nJ5!ZgaN;@3U)#d+*5ol&D`xF5R zLrR<<2b)g#JHn$_EblukMI{5OeiO{KMsaAx6Yc~o@J8wM#b1av0>MFdJq^2?k%e52 zM2TkT!2v201Z{Q$4>|2@?S$0~Xd0dN_bD0r?ItP?7a>ppEqukLVlBPKpX?2Y0E0+b zdfcYt(m|NdBgyw4%)e8ICx?9vmw(_c(qikyW}uLMeUSb3E2Y>^1F$eA;T-Erh8$Mk ziVu)U2^pXFtRi|UnXv}S% z)1rd_WZSM7KmK9oax)j-S#^tW9VFA~@KvtAF!AS2@T#+yoJ&@U`ZiTPD2^un>15NX ze_&}T$hf2X_c3S8yC^x1!q2T;)1YC0#@YB>q;zNEli%Iw9eN)HV^Gg6RGn#VGsRMc z%nHF&%V`zUSfgH=Jz`qfRR?SS$DF&_agChw#8)fn_0EH57T%!NxS_@P7Hu&l^M$X z9iO|(Aw-=N?md{Bv*KV~h_hc0Jc8#GRKo;gR2-J(n!kVx0Bd?tg2D_ILHJOiNIXbAVLOfbD8ffc%^>jU- z$vvwQF7Ap6>(I21(F7L=2(d75GL|zKmLSd4*%I2saL=`E&6uK)*ky*IXjpax6A1pP zKbAICDXcBkwCu)ea^Cmg~2?NQw2xz z{Q2_idPn}n$dw}5Vl+(?fNri*E&Yp^nc^_5+aXhEi#TQUq^hMLh(3D%_x$DvrssI)yUZ;sRIUrAkXCnrv4`(E@B18ZaSV-b=Q->-SQw&RA&f*rO z#!={c)6eVaA<1WPbQC>_kH$K@S+1Xs;#sFDzb&++b7BP;>y9h8z6B>=lz%PV!`obh zpkqk=mi~^TrTdp#h3U*V&XylDkzqz|17y3H=;2zR<7f;ahw?3g%~A^wRN2l znzH&v`~ZSKBHnUb?saU-}LT(V&_C@=ou2x{tO*d=J+z$^o}C+ zD{}4E?88}1;|2fL`SJB|!Jk=vaY&e3a!w9QDg1NWo8oA$r`e{tLy5wLi5F9!k1MC) zFh+LYUTA?)?w=;p;6F|DCbJ=*KEcFfzd9}-*I8hqJ1+lu_rVxTTj`J%pQ*jODukON3|Y`P#TKbZNV4F0L)?nFvM0=@&2awxYdVnGulWTW=K@mM~O z)W>Y#MDYxEu{qz*!P#WnnaFyZFF!ATpTvUV)mKy#?tZBo&v><((QZ@s z!RKjySpPnc$#0Fv=zo^<(OmCbm(j%Q};74c7Bw7gcznh_^3ZA}{bC*17az7S%slwHjTw_KHx_o66>+qE#?yKxDP~+vVO;z)X3~Xj5@qau)tq|Y8 z*0oBU^c;p2cth)2i&&vs`U>8eopC6}s%MdD8$<1(p?FnCMJ7S+vMN zo1LAGNM2>_y5E=XEP=LE+*yLZ|0oWXRm!QN5UfjPI!P z>F~iiL`lQ=j|iyIlG)ZC5{KIyEjkmDg))hN+T@0(v=Ox|4Ls0pr!%WKF+<<{fQqcx zWYr)E1nIdZiXffK&n;7O87-{AtOMq4r$_#`1B&>a&9oW$2We$H+-p%Mqw9BBF8yp) zm-i1%`1wecwGQG#8Z6LgxO+Gsgs`GWwG+7AU*|;C)!E$&i)VJI3TI~5dzDMQ8B3n> zUXHMXBg$2%zu1^UPJJ;xVtYuf%uPj4OM@u-=a@%e0qE3AZEuoH#ugN0!V&4T0r!zm z$%0Q=G|*Y$%jhK4)k?NnG`(j#M7>OvDaq^q;xGm-_MTg{d*k_pHH@lR?4Tfo zKR6P1FXvp?Pf%&6VlnhxMKuuTi?V?p%Ait&WWL|bQ@W)2Z_m-pOW&Tbeh&B5+JAwtiT4)DSNh`-;16&T~LAd=bBH|LK#eISP&?* zhCUx#(44RhEtXJ@(2Yw91wg~IepO}q&DlXlVi=!VDG9=aC~>HlU(kO<2>FFvn5J>G zv$6|eF&+>9@uG;-7f>(Lg4nvcyig!9RR;=G2#jL<;590YQSjiG_j!UWl+ZXgU*jll zNuB{X5rf>}Lp2m~4vLF3!8pz^E#-3pEIHpkCq3DNF+>9-hysZ<`Bh;*u@hU}pUFHz zs+?Xtx5}-d=LIiER5Y^AGJgXy%BY~=S5ZMo?JFX6SGCF)tPyD_y-EDfZ;9u*+(*8i z;n~5-Hn8`RY#~AprtSM$jvj{5dQOYxB^5`k(eOx`SSuMvh0EfqnxVK#LXerTVX0c8 zsj-}HlZfzidj-sCudl)Fg2I?Ko3FzaM?bVY*mMbecxc-EIk`F1E8?qA5qPX+hMz!+ z24ZiJ5vvL|qN+@BU~)h7edN=D&-I>VD^^=K;8z8Gx5H4T7ZD}72a02GkAeO0Q2X?- zm)u$vRJY)UbB$Jfrl*U{(8x!v+k>Ezsu}*^F)X7%!m+(; zecpTEmugvF-%E9_8A_FZDGvdL$!LePE)*>*t9A95v!~b06IB?A2nnn5e*}ICf8ftZ&q#MMI7IxHzyLKO(HXWC zu|x@?*Cb_ebl2~)FhLd8x#@r*NaNGDT7TF7;ZmhgQdV|OzRhL$U+(3n010+t4vitH za&}P>vE#(@Aem&}rD8%#wY#)MrL*Eo4{ZJp6v~Qn7WChd`GZF}BaB`oc70*(ioi&C9k}|VxKOU0iC0N zSRm%+?iDlz|8_o7Mu`6$H(-2asy;ox<@wkIrvHrD$XJtkcD`;~|?o2UDUl_1n zuDw~^Y?(Yj37z+Ozm}E*y#xpPDg0FABVUb+Tv+j(sMM+RolE0?oSs`&m*?3lgCnuB zf-jRsPICDBB}g(p&5wM3&qR2{?(cyI{@GF719hqUD{8)e}yFo@t z^7;Q840|gpD;Kn41^!D7{7f+3=`$HC$P;MHEugD) zb#`}I-|XXIpYNsZGjGnZh8qu5h<@BpwP!^UMDQc;9bv#+V%AN3fmc9>w#^o zfc!gvcwlqXj_^YafyY8ufpUnl+_~Gy?(|(7LzeqxT(H~vi}m&P*dkf;Wc^>MjJuB^ z&X2;X{1h0y`J?K!kY1@%;$IHv&yNs}u*=u7&}U(ZL1|km`@l8__uO@R7p#i(&UW3W@Bq*5W+^)#7A&lD_1ZL zsJTXkNL-tRaeMt6*g!P4g0?nrkU#T!bo1>zrbQ@entT{>;N?0>Er$2Kr{gnE$M?Nf z7C8d@9VDZd2X3CKKZon(w&N>EFXhsQ#(xF;-qWMViNvVF4=4eH>F-{q6c%r+vh9fU zmw*1iNL&_5dG`H7tl9q`sGyA2+e<=cp0Bbf{nly{=R&rjjhpqEH0UFbam{O)(&2ft?DLPn9I z4x0vu^?W@wjrH09hDRBT5QnAnC*`2Av4=@O(T5?2eIBV({YUaQ`;pARCdb{yJrM5s zzD$1LqWwmYDU8uZojsFpM1SUc|FMgd2-UDLh@J~bsSnI8spCLzg3OKcr*14Y-}vVR zMbJO4=(CAaF(nK~UDPF~~}xp=?^3JeCY&>R&b# zGRU57UR+AiPD!AK&TRwTb^ZhRGq(DDSRb#&kHJVuhvLcJ<4+!s0i*JG%Uv#D{i_%4 zFMh2OU*;FkeZ3EYuiSTvY+}ZBR5EAusKX@Y$(*(5Z#sAyrWaat`0Ogt$j<1`V)yrp z=B+k-POmUuQNs>!ZLxhJ|RB;i?5l{)8<|4Bw=5|iokSnwv#Ltld2sj|-L z)J@CsVre3Q+*2V_qfOKR2y7k#M0q;TqA8lJmlY|US;&?DYS{ot%PedH30ViE=#|b0 zD_>+-+0ItxmpFF9`uQRcH#&}=ttLBduPYqQ=#LHsXVCJb{>eGAosdO`6aC|~U3O=~ z2a~>%*Ue!h6w)MZd0Cmo>h;Y*SL#JV#P;c{1%H-0r3$W9(#&#|wEZ&ulms8zI}tIA zN)bx)6i(aj9=pnPGt-Z%j8_pTa+Kk;U2ltjuv=fV&|)yUVACt#adpnk(Dj(1uP!S2 z)-Qi&7DCT=EW=u@pKB@7WtcD~!rP>ebn2;@mV`nv&-II?uQWjyv{9l4{bH?pK zsxv+6v{{A4PmEoyao32d3kHSLAE2U_`)^HS#e+Dlbm!LQzOqS^O8KFRJ%;Ao?eNmq zELdhK=e-sz_^P=Dw?Z!F>Sb%P-$mbqe&VWS3)48D0aYTiRQ*KUM)xE3_Nexs1t8rD zWD?6v$lI38*FHN#HLB#V?x<2u`BS?%%2L^R9t*NqB@kDiNuHy>ZZvh$w@9r&)R~Rk zH-3z$p~Q3P+x}e~|Ml;oAk(;5tggG(&QjxX6FqOm&hwCw^v>By2&&jMtD_DoLwaz! zQG`|6;+$3>$}TMy%bPH8VJ%HA*^ zJgbVyKS_uOwiW5bh7;YRN%A}`^?}r@O>PHv@f|$Mc&Y^`5|L7|esvXH(juwC4P0rq zD32lX?Ru5(WU5#o2WE7Kd1i5W#KQ3Bag~ z#wXSLoIYgF$q%h$3BFhRQNIbzYn9$nby*&#<3#D5(c%r?jg}Oi*yFvlJ4T@s#QWBLsq2b+`N75dU)czO&0P7rl0o&@1@W+oN&lQ4LgL~~??{5aV5}Iv&fhIlPytQ> zHELm&mX<1_Vkh3YBUmR1z@j4EHdnR{*Fm%t9gbJKu19tUZV3W0^UB(BlZwC2?g|vB z5wXPsn^Y5HYZ5h#B+_@?7J(gvj(0s5Fuz&oYe40@?`OTJ9Gv~-vPkLkSQ8CXs9lIA;||T6+0+ z5z-3-hC%YIc_o^drKikaRDXg=s#^9@HwyfZ6>%cNTa9OJfsC}|DCAUpQ%8EqE0egG z&5UDq%PXleqT}P#Cpup6thHvB{v_T6i_fk2W$PR3m($hRT3YEGLIvZ$6W|%9{w^M1 z21v>2NN=u-R^!&X7dJYEiEdK@+Jw#wi*h@CLT7c261~PLhdVPUNx6}HLcH0 z-6s+e*BH{7C^qWPe`yFLR%n0tIxc;rGIU&pcAe%=5N1nix#L)cWKD^GsnqGJK# z@=V^~RanfDCIZ?R?2h?;$YqLrMYK>1{DdR*KJp1Qj~eYSt>2+2pyNm}gA|CImR+)6 z-9A#9`20>4RO=n*xyYz*WE@#)J5>8jFtgm}gW~Y?oM&l?$!MpL`72)k6ZoGEwy90D z`1%gZm*)+^_qv7cR-N3(zn&+{^N#8;Ed0Km=%_DI=e#C)@*NfthI zC0AW&_0DZ=)oz+BSTC1&E}6Z({?`wv_|GC3foQ{>+sMR1yfw}d9;zp1Y#uC-6$%Q> zXGv5PU8MjciI`9g67*NG0ON}Yl!}1og(P9eqHJSI+SA0?uM<%42_E;4^aghqlb)UF zzH$45-#O^kNzYvWZ+g8E?V971Ve8-tru_4nl09X!R|RDwG2|E7FxC$hNyLK8l zW;g*CsKxFlT$ZkG#;sboc7l5={|B-)o^8g@bR|N?AHDek2ygSzCh4_XWLLNj{y_4}s$) zO<@ewz&a@&X=?NK+WV@aU6nICk_pNhW~MHE@fWLKSmvBMpil%xh|{ii+N1 zr8@)t>{LD0_I?^LBo@L_6O_^uQ~_Br!*+6V^>d$M5mNSAo>0|cqNg7o7Uzi%W~zso znF~8*YTz7_qiv$jR{fU>3>Drv%R+LZ_~@mA+jURoh(fxq!&`x- zx)Mw>+z5tI{UGj;Kn91ppfS~z5*Y0&iEOliRaP@e?H3KU>CAop9OK0L{1#burxyvY zh`QQQ3X_9s$yy7dZx1-0BUBz|cHBh#>ftFby->w9FL!U2iWDHo|$$Wx+>hHd{ktyQrxhF*`X* zOO1;7Dxl5oktWhjo7C5fMChiV<1@u(HAn%z0`>pn0oEH>og`bzB@;7^F?yglZR?gCjYZzeri+WAIT^j~uuvGLIMp$ZoR%n5F6G}8u@(s}DtW1#5 z{f#&u-SR7`#t(8nn%yCb__VE_tu@V&?gmqHm^qbi*}8tGIUFure#5YHkQGnH9#MLm zrJDwavB-S~7YrC^+qMr#Hw!U)*G;A}c)Z_BG)`-RC&ngpf4%N(e(?N>a4|2})5Z70 zB*{F!(9QpuJKEvyeTJS1m179*3>i3a8v+OKJIr@Z_haY@gUemSt6q9q|dt_KdJBA)* z?to=^n~r{(Iz{guQ0?b=EIE0 z@Qy}xNQ=6}-Jgh@@(}TSY>xH;$$36FkL?Z{xctI0vX`J&JG!s@QCQF{E`M}=8R_&ab5cpi3J?OLvYV22!dJ8$^ zodMaupX0y@bpA3rI;$691$(;K%*4K#x;!9{M0=g@r?IHRpf{0G_eDE&w3~E4i$f9i zK63OO$Nq3>iJniY)-5KJd*c6z$YxYa5^#Kxhk~|m_1P|__E2wxSh(_+*w6z^`Qjq^ zHf2;VPg%REV{QZjvSpuf7j)TXgcxFH3#q2_g=t)zT0L}%9g-S@oeX_q2@Phmr#DCXgnO^0U;&U!^Ka0lS z;!dSbuKwpcxG0mN6Gc1d#d>>93SGQdSrOtmsRCv!-36?Gg;_Mn00dE-b(TO|JusZD zQr!W%&0P4X3i^>2-_wWf+Z!Ud(l9rqIWdY4v%QOq4R6wyIsK?1xoY;WoE|IesSF6| zj`3(ahPy1xbzez`v#Lr|M%G>fsj~)Wz%!Cva(w3Utti6ts!VnG?H|oW3(vDRc-veo zbC5CXt~-Kb%E`|8i0dQt`#+O13J z@9Nv6xuSHZ^3FOEdqJha>zQA^l9Sh8W&J>Ht;e|y)TnByJuyn{*V7pjrg4d=HP3{m zsogDsr%CjuTJdyad!_v#r#pNyt`ISxA)bhx|GqRZ(yzx$5~}7~&w(&_B(1&|8eMh` zPnyMzbtW&`=;2b?!3dn1guzo-553NDO_wuqBRNc)hk-G=#Q{T@?+ z|7y6!!$j9fD$8HMkxfB=Y;EfnlPgZ)v}&`gA06Y-B0+0V-9p`xEd99FpR|+YO;7M; zV$h;JTZa`Lf*!(>tAc|nl9?C=#|?h;M9sw6`T%XzZX)JRc;K70?mf)tSC{iC+q2@) z9}O!BW|O^wZszvLF)@V62Slk$gRMNvN_3}fB13GtIT}?_C+1~C{0+XQE?cV8Sq0qu zW{=mKADj^R-rHlGRZ)2oFAJr)MBO&Z+BW(R^CfVT-INipgj0JUrV%Z*z0K_f9YlV# z>Rd>zOIN)99{|EYJ-^SEOD?LgGKnqOXzi>s-CapZDb3m#umT8*dNSNXhFGCZ$w+&= ziI$VTEEiOe!#J+g@LZ9|hDwgBzy}twpw`X?aH=&8t)vu`W^s(5Cju>{$XE**!E#ZO z_EZzCBoV_+agj4z+|S7;Sv10)YjQx>tu8!nrmKV`sLe#MNO7i zV+0{495pqDF1=oxKYQGjw8DzY8bT~VFrNqEV1|Imj&IiQLlG3VtIXLsj{jFAuyi*; zG`|m~X__QSSBF?kNaYF`U<|_%hVE2M3O_)D=5;qQw+Ils!)B}kOGM6@0~@D3Y_6_w zuH~xHi#H_QJ!Hh3FISCi@35L1i(_74(NizK-q5E~TPATQqTB$au>X05w-p(5Z{O~n zJAe!Kq-k?@@BNeO{Pe4DlH%ibZ~7Jt?epH8GHmRVFu}YOmky)nA{d@?bnC?(%eaLn z`|u{YHloLKD^+3ft%prL{ryaLMlsz-!s_L)7*DQ$vpnOt%P1Jr?LjcH$M+r!ouz62 zcnJLfhi(C5iBN0`(JYJ=v8$Wn2N77W%h#kca{SHwz}FK5R^=@ritj_~iJiaz$NLKy z8^L!QLuN0GC0QOMP#HCcpS>^AqCYsB|uKqsra}tMCc6h2J^EYi}y2pkP_D=m4GCQ%$8$-jBkAPj$r&4B$eHL<|?Eg+Lp9xm%WFSqUEC9p%wJE#$w z{F0|$PO2wT)T|}wTBfxAj{v>?QXyC(s%tQCX#vfW>s$BYYff>O&rvwDBwJg`LU`}@%Iug$PntX%(!FV=!3qEFB6 zpDtT)_XDHscDpc^5rnfN)Pm)qgYJ85^hk=L7JabdtM7i~{Bl3}Vr`q0mg`r40y~+7 zv)&l<^hD3hJls(uqVX*BgL17ieg z#EI*wCNuvBvh#mRV!@Gm&Hq4B^FiTUuta=7GsR<^Xp&o7z! zV$@9j;`u}1&NbQ}MZSs81*h@)b5WBj24#}0r=lCSDgl`;_Gu4>{$Pm zpfN&dT^9Ss@)W?bV8~UcaSJ(1#E~yvV1lh~Gu`<)Q@8y0f6hQqp1UrGAP86@zWR7s zR7Cg(3+MG4KDt5Ess6g$ubbC_sRDGlp^rWRoeh-#Q+(@k)5|lC3F&gjO4AN|$bXeX z_W~>b{|M2namxQaB1<=&?wK5GTfK2mnQDuGsD}q_DFzVrc&-ebBgg=|Ex`n~453XP zZEI%$8v&Rbl;5;ad>3{@W0X+V-Zi?pIo7-M#<69p&%!zGUaBTo2f!MFXUIUkB!lg? zHYUljhI5&dW9^|gj&tLJ(T+Ao{fmW&9g+VWqJBRTslmB^FnQFxR$%R>H;pPMPN?ua zNz=_5fnzvDNE{Bk-DZ2|-HosVmB|zoPGbl=qY=@fWm`yxgKZ)?xuuY!cJvF|m%5w4O_habHq3)Yi`IP!d4Ri9 zUsUHI(10UTFgmzT+uY+j@=p8&;w-A`Fuq#^O)x$Yngxs{!bMntByf5HOd`v@Rj`Wq ziJVcxDhUbWtuW)ASkjFP1f#zEW&%vl`}NM zlb=L_CBj`WT-_3qwk;SzMXer!8~mjJHN&)0hd0EpmE!(C&sh}9X`(LL_Dj(EO(D=2 z&`;++E)S2WK-h6Pctm(yMn5RB1M;`%$fCcs-^M)(rSP5kAG z3nxc1GWLFb-#{|JPsq5q%nV;zPc8whF`^Qy&Efx!WBK@LVJyROH{yw9XuMY|mK#?+ zPT`CZJS=-DLWA$SXU!+?K|I%%ox5ImeHKUwK?Z{BvTrPlI4tuw6RATc!%4VVSA2BM zyFe|85M=&WKy4TQ3Aud|Gt%Cur!ex5{AuAab=&*IF2bXm` zE?;ef@@v?32EZ+F`!VPaP`T@Klva(B16ooq>Izz5dNo8_)gx#w)e?psGstEp$ zpZ@;G16;dL?^Ns#XC>v^8rX(c8p|eF%d^w)ClM6QJib?7>g@^(wu!5M?||QS8ur8; z_nT^&7)Mc5`n4NMPYP$7NWab>pr3yIQqOx)I_F`c1z*;eFCQ+Cw~Thp((v2Dvy&N(HWYr>MFn!w5Rb?OWgtA+3!L3z{kPEos|>VMUMb ztCPdG_(y6r35}|TD5E2XRj8CCgxCoFfBx|Eh+*SW-BVB=YcoI-g^TZA@$;U&fM}&c9v2hE!}16x zmPNj?{D7+y?mYhWs~2~^S6y($i;FJrlBuGQ!FzbJ7Z+0x7R$$j1d~wW#WEVF&0Xt- zWm}>i(pw@9ME*|*bli^lFS-Nsf1HjPm(2kJ<8oSdAx)A=(8R;lgZ+feSlw`r3+NB^ zSBiS`3Awdry{|Mmy` zI4L+HELb%zIj<%% zl(fQOPcqUt&1X%8*sT3@SUE2JNA1nUfDsqu5adgS*{nTzT*NdPVRyt^unJuAj~bFn z(rSmjoe}!$;6m5U1uYjVziy#@HA%HP9QTY8LX4RBiX1Hy({hT8u{49C8e#&x928)Z zkVs`>F#$c$&k%}W7>ZM*oE>GmXwMiy(rgq zjSGS_Y+QiggtKGY*3kI#kZ_jD!^clTPP+zSIX*Vp3(H{CSo^~!NQcH}P*hUpOf5uv zV>!HbEFX7b8F6MQBf$kX_tP0}BUmh_`@nJ(f>zuJ)Df7rx4PGXN#_gE@BERQ9(y;j->Ih1;FynH_M_z^l3P#5EHT3WYFD@ky~wy&@_Bw*{A2N!t!lim!Zhc%y*W4_}N$U-hH1oO36 z;mQ494RLRH`eR+`p1FoODO%-6M zD88*z9LE>Wo$l_24GT|cSvi|5S{fG}1=C~tD=%^k&R)25;PA0p7{KAokb(W7@x$ir z;3Mj_fN@9?T#bM%HB7&|n}bxzw5`xDL=;8Z3{?`PRsy#<2`u8i@=dz+v$y#{Ax0lLmW58MW75P*Do%2-qv99lM94tFet-y zch=?Je=G+FHB`p(sqwK4Rd{Kd-m&|)`Y`Yx%VDX#VLJ+;8R>h!6g5ra%l|t+XBx7W zjec-AG@#T`bxlppWd2ZHHw8mCEK00pIX*jgD- z14d*hL==MQ#CWcp46#9^2G}pWyc;MD^EhHdFrg~Wh@I(&+ei(M3mcSy>M#NfPb7ks ziSaZ!8ELb1G>9)X8W$iTj|`h5&WaThOij?HPbi9T2mfwq3ZadF3p{qs90Se(fw8yUW&n^5a@Cq|mwvnou3vuJ3q5Jhz*IPXfkT+w{6hE@ zcYXWg7B2=6&<Wr0v>*#!^!X>Pu%!V=`#NY_~_S3Xdp(z>TC<`ybchyS}~v^R<{+U5VobOt;8 zQpbS{t3$YsQ?!8&NN0#Uxs(DI?lw}r){j*YUq zs)%u~3I?U;)=+GJ9SV!34axP)#U%@Ja62eh{X%J=1BwWs&TnC2?`ifnC&{7h+@x_f z;lL!b+W9RMdE-?w|HCv?H%?PEYI4Suf*tFxW}G~I;FpV^Ezg7$Wx|KUtTI|!T8Apo z&~X7})RRlF^Qf)7o>*S_)i({tvM}KoHn3lBwk-b@*a-Q7o2z6q)M(n(;4r1zkqBNA);G8LdZsGjL@7Vn3_WusV;c`IGk^xZO z0;e&j`JloNV}xH^xCEB)h=x?pD4nz;`>Twr2M%1@@>%}kj^;KzCVpXA*KjPC+ys_s zKV)oUW4Xbq5g*IuTChyJV;O!0>1N^Ig=hC*I1$%tOt&ZAAN=;8{ipAQ${Hc9dWxC= z@#X)WpELC|Mu2zQljBA|I&zqnzL|jaH9&7v z!N6HBhC~azhcgo(I+lxOT)2rYK721O}lwTG}mVPZ5%HsW)6A}Jbq!vvYew^ph1xi?qt>5Gs1o&MgZL2$~IhO_8}J)A!AcH zxzF&@+C_->gfcu?Y!90aN4N>wp^fq94OcOYK6_Cy^ihV3-HveQ zA>5~M1nsFW6vjqdf7lXy_aqxkXxaN>1i;&gc+omh@GmQ7`` zoT~`=fW+}2bFmz%W z;jPOJBtDiY7nau|D8^#>Nx&6st)PVOjJ4WP0A;NjQjyi;24NA@e8F1KLzG^9>ljxYcDbH;yN z&gB{5aLWG#W7)#1B8-NFlp(W0W+EW}X^a9ZgS-5v6eQe9;}ljwV1-iXK@B)@U1`Ki z?O|3&3o8U}xCKy9jLCyWI4-n;G!LoN&CNwDsBD)u;GNhTTyTm~dvZ}RAr-Wk!iotj zi{(;{6X%u2$4l+eR!58#&#pI@w^=R@gcf^n5hk2=B$+J(s=x>$`A366k=iCs8nt}% zsO~+xCu-C(?PjOMcRF@x_wxPBC;aS7#MeMZD?--4i`Aog2e zaDzR+MJy-z>$glwL9r6w@z?@!=5t=pU%xqc_M#Z5T_VP57_6C73c7SOyzo>pSbui8 zB`PBbiXa*wjBa{d0yJu&IxLSyM~1ui{Ql>`BPUMrvFv`D+A8S=mg^}+@9NU&!^LmG z0W2go1AWvlEZfY!3m!|m{%09%W-QgEmw*1idqI$Rpv)fO#B!u3mN6RCIK<|26*G0O zpkyR!#O0^7uFhLB|37lh|7CY({wpbuaY?l%VX!FCYpE`~eqep^#lIX@gCaP#<$&iR+7EzYjn(;Aa*k~i-F|9(`G4o<42mF9 ziNr0afkIKVbNjXf12 z&L_eVXJQuujt8U5!G+k7f4wJK8MurR2rF0NCtgP2r4lB5Bgx=yjG!?QEv5eDD5t=V z057NIBpGY<;3B5sLTt#tMLjzj*jx`zE;a-&An<$%rDbwS;Msn2;S(ydwKmnfkq7?2 zkTO%T0Zh_O-4Y?&^xdR3sgbvi#nIzDIoZ685&t8ara2LLv(F0$Xf)AUp8R?l-1i{t zM!Bjm>-5ewNxcT=o;g@}<&;|@H_}{F*;q`obMq$8m@gdBXR!!+WrP2xgCsLDvpKIB z*ucQBW%I z@5D~m?ga?8F5Kz`WM`cKxkq4rL9Q$~M}^NCehSBeS7+F#2Q?U5SMOTfv9-%1Fw?BqLWQW;|Lh&%(n;Vl*F@5j5SuMI49Aqw^EoOK# z&7b^|$ukmsYh4~g|ILQbi&x~CInrN#3*>qL9^kt2=rb)3@3{aTxe!_N+r9wT$Xcza z-hio;WIZkS{Bc>gF*HcajLXJa#|46c1&e)l?bzPEr=+YLj1hHVIRUWDRo37W-;lBT z!zJLOd;Ij-cb0v`$zC3oMfJk6&EmVPm$>7jZ)xK@!EL8E%(c{%dEa30fQj^qMv|_E z><{vzo>;b_aQTj~rA$3D|$Bm{O`)n|2N}JC3j~2SKw8yRR(Ck@}EPBUQsbjD?U(Rfp1DK2G zFsS9Ai3R6=b6AX`+d|EI&YU=NZq$V5q2W@r`uFWMYS;twroH;q_%RPZ@w`_U9at_P zjzb_%q+Y-1gB37&{MY5`o-_XIay6dv|BOa*QSAt~$oIR+e+ogYsLK)oD5f-aTcQco z<3xtiwYh*-iLc8oovXo4AwLl0o~!}YV=l>z>Tu8)nev=CE&D0RgNqsc*G7OciPCLt zO^i3hIi-kpa?vri2}{3fc!T_>dUK(8Tm%VWj@D{k&$lOAp!$Y-MFa}7rHK>xMBGwK z1Q5+qGYE0O{|k%=R8DT$p~F`xigellxPfcX@FADe3MtZoAU9d`l@@vBt8`5y=Wm-b z*)1lkv4#oOkSSOhZT)%C^ImZr&~VtS7QL>qn3~L%)8{VKdE16BKh*{XaN`a2!>m?o zR!%N!{%2BlLu3B*sncJ5i91$(b>{q9IF?sdzQ6K|_?YO2hTcEtjaLsHJ6T*(>SAQo zSF%Tc1cKqPVnOua(Jx=}JX%z+(T0`(KEkd{xJ1Eq6rTGXF1OP{c$wVm5tPm8ND~xe zEO#Jixj5+AmY$iGN#||e1U_%Xxq8Lmm9c@`5`w~U+TlRJ*#Q(6cP=Ug$t9R{tI=52 zwW9&730r>-hK^grr5xJMexRMZwQ#u?@=(YruSfhRTt@X@mKoPyXVYW9zmilW6oF!9 zzXc;G6sIXW0+H~$JjaD#zs2PCEoNxD9VuVmt%b`iojWa-Q`~JrlOH=RIF!OQDO7Or z`@zJsdvD;A<4VIq>`{?6P;lM$|6(vks8nR_4E!7ymkX{+rL3&daqWf6KXG|XOnLEH zD7R66EWb75wHX#Ghvg}=gm*-pJGA@i<7H4-9!hmQ`|6tpBX2v=a4ho)BV?V%EojlY zpTlA}v2I#r)@eQ$R4?YN2{cACk;66OpjDn&mXglfe3CV_8tfNLMbE<_?)|rL{-3;K z^S=yZ#zjIAIE~v8JLGN{8#~EEVvq{vHe6s_^29}_Wp%6)X+aFjhFo5&NyGELt?EW^f9-Eb_k9_V#pS$JK(Sm)u&4_~YZ(gwOL ziN3MSUzh79VJtJtjGe{uBp#L#cPuMH<2co^@a%7VeKP%zFCy9xB;)~Lvw*6-qT52v zd(Q9`txY?A`E}o4r}pmxFS%K-y*z2!9FHx%-2u{@|De%i=JnO4%O%9dfbAP}xr0Yf zl$4hFmjA+Y#$R1d=hWpeR+rO>gBG~SOq8Z2B-3xe+?^?)00*VEL%-#&N^8678~p@D z2H9b~AS2CGfSq|WM@+H?jCmEu#f}iiRl$#^cyN)D(2v%*agmeuWHY5@xeTnd_HuHO zQe>DNeoH2r!CHZq`@x0h6Jc*{s-BT+Z*K%0RkJ7tPm?$OECHhX?`wCfxlj~IQ51$@ zP2U*N+=-^>A2uHR>g!*vRtrT_HxBSws&B{+0>Tt$E3har_Eh@jGez(!XfzLU*eqaW zkSI0EK;b&}%AhdUZMPW!DJ_%SQ+8j=$}t#Ctc$n?lKI0lNQ#eh=6rV4#|#O6-LQbc zW~N8iPCIt}=C*)Uu#OQZL=S>*r>{&}aGTefCsAnyomUYABNQ6fBOXOGP$nw`CX`=_ z406;`YokGC0u*IpL5oZHT%h0Kx(%pBnC*>8g`nKbT^vo4w~7nbt|fOGyecrj!Rbbg z7$-ky#kCfl46wiYeR5Lic||S70uYpmiZ>SXzJ<&1hp>A#etiKUf>%kIZ($#~WwiC@ zpeM$b;y9fYZ}Ct@OU2OBBGgtpgNBX^+~%pmR&tG?z(6oYxK7Dj3?3gd(hn>PV5)!L zyH_n+2)2(s`~G@m#(bkm(AAkkTm!Jo&lAyg!g8qM1~;5o_l7Q4xHKTfSs4%}D+E?8 zgk_aOu-1mVl4tD0R`k5$UD5NF%>P%CO3&TN`Co=tu)+_8d<{i-ser_A1UYYOFbQ%g z#>@QYvQ_gd7$a~RPqM~=G2+%?`N?s@SWZr82$m~uhl~X*17`hRm-*xy%L>49X??KF zoqk(uD$1`5S(kJrcC%hAS3`!v+h&Yt9&@IwA#0glZ`i);x5r11?Af(5ba=VcjehDy z|BsZ{Z{9w9@BmJi+qwI9f8;;3z4BAmt;MVEVy( z;`SROy7eE*joHJ)ANXM5ysH`4Tyd%ZU~vB)_uOO{g8C=zICt?fI1NTcgttoc@RPUb z%V>&3FkBW8F1Ucn0$Z@EOflEtYRdwIBbiZL%&d7dZLThHbz)^=#<>n>svij(Bf_oF z@UtWm9E>a#M zOQUo0Bsal@X%`VR(=V(fNeBv(VgjBD8>u3cGQ#%2s*FG=@s=sw^Kx=cj>P9tRhbwD zAH`^8xJ_^~ri@^|#o+cW26l|#eji8c6jMilW^cP?R9S^{GR@0`l+VPS>f>Xq2vRRt zz_^GSJ}wY{!Fd?M@mEG>mXI|ns4gti?pPlC?91-Xi(bi$A09De+5A~zF%EIaZ!P_h zx5?pQ8O3~KIn0S)n)j>l?oWNF3QGj1?i1g%Y}l2J#QfEJ$y?jO~8kFc+5JU*KAog|X}hA1^GI)@NPjFzc`yDAF!`!sW0zv)JB2 zYW{PEO9>Pdq=hNLrJ3}f__|zlWJF3*;!dGC)A+ia+YH1iSzQjJ$sn76F@nhoX&2d1 zwEEwAL!{baPcotgTyjB;=gGY4eOfzKbJhwsV+31vjY4RGqJr!w-%KE(C=jzY6fJk- zqIH0W0vHY?ht>EsPcDHDYoBT=fT{W^{qttH@P0*Gd)9y@0v?p^4^S_S!Aiu^&K|G%2UY%u76>(PSJ=qA_(q9J+*&eMw zp+9;9_UA#(z2 zKoHsr_Kx^iE9BpTI9M+h_x7n)DM_$v96hD<9{}2x=E0w3C|lSdF5{1>iI!R;7;OeD z@1Q339$mtqs**F_+%bZVW54A(jEj@9_XYHLJzpJb4Nf(c^S&jzPc@+=jip49-`|2D zOwClmL>>x1>)O%b2U*HGPy>rLG1Mc0=%OV*Y9o3;tsvwq=omi&n8(0R(3|I#Hf-eC+@yW+# zPI;LY(ThL)^z*gr`6gg*ET;jM`}o9iYYxkQK!;bVvu;m*C<_P!%${05-DcF)HZB$n z@)#4Y5H+ ziCc~I1>lnM#zi2tpSZtd=g$^4xdpCDHsG?Io@NO|AV@}Y9@IS0S=T(@t5 zjaJ?vHHy)#$CmQ*1u``h5z)0>TGER8nRk8pJK0bNE|lj(i{tc&!Ii9s0+H{T!4z_T zWaUWVhUcFs@qP-UXT6pWIoRKxo+mgiBHp+_nKuMs#>L9}mMuGXL1XB^{!ILZCyg35 zm@jYL(^Ud^U3EQOSQgA&JLmPuvtOHRv05fipZgiFkf#sxmYKbL7j3(o#_Y|Ui8C4x`#qb!!YR!|Bamb;fjCt4$xUJ}MKskWIrRzX7{RME4! z&VR@v35}7}gJI|IEirV%0!a;|c-X5v=qLPt4ETxT5<~{phl`AoE-#$3JM-I6M-a~k z86QzSkU<$1GuDQzWuS&ZJS;TMUcB5;r0{h)CfN{=9veLpMvBqpE?ucb{x?vUdqhZ=8|~EP ztRC`zKP&%xS5PX4XS9Xg(pg6n6jm%H(iQ*4XtXpU6oQu%Sb@|VN(Nlaq_kwM81W`o zKcfvE(1728rEfK@B0Zxm?3R0Uw1mQPq{LZ;TOAD}LLzt}fo1WyRO90FESFHbt+fv> z>bkiA!5?FOu)KP14lG`Zbz$nI(apmW;Z#}}fBZLRuNyFA-oT;rH*P(mRDw+`z_}0A z_{}Bg3F(12rl7egT~u;%}$!v-yEpAI5+L!&us^d9kXQ9 z=9!OXo&1vlBZ5S>?l$(lZ8#y#JifQ&+W9*L0I^t{7#9nNEfAZ^8OtF79!XN^*8~nX zw1Jwtx^{+0!cA*dz4`XyQ)e%@a^~HC-#sG+4*&*x|2lZ-s<-{SAV!H&cF@jI@@2ofb4H3EG@p(LAK0W>zjV6`Mmuq`$Ih-`|?N0!d7ib;~ztPfkk8 z&6jY&pCgA>ESjAQZ~uOCh=W92A)~%>QxOEs)fflm21-c!!-a#)`c0vO?yVm8aCwa$ zU$!EG({EHN@`HuhJ-Ql9P{Cn)us41&+8w(nPCK;(+SLZ4fD!wf@UHp?KFh!))P?WD zj(-$MlL*`<=6>m*67CVTg&iHfknI8K`% zckGnXrKCdOOiHquB$0QPMZr%m+4c4Jq3_Jf1*OyOKt5O%VPlhEt5xKpIXT@r8DJ~2 z{L@JH`@h>5I-sBMxZKr+8JA5v1it0x-}XTUS;$zq;j5M7o}J`MY1y~eU7#suX6Giv z#rVc@HXqA;dS~VvPmFt{qOxkzwAn{ap22b9RgWYYr%DejR~E^&5j`iaKCyPHsjAQo z%hQ}#CS6x#vZ-e|O;D%-m!0OhPBfMIzcDnnU&H4AKII`uMHy`m-;%xhiI^$S z=JGp?tiFF?F(YHY58Q6|I~u~pWpnoD_2HtXRK1PeqS(J`TEwDb@-r?Y#nkd=Q>=-k zh*}W#A^h}(WtwgPmN&2UiRA_F31fMI5SACu&FS8m!SZ`6B5B$K%LDHb!1DVGcv$w2 zd}BGQK9$54Q!ELs%d2_ovH+GzyCv=S)t$#LjP5qVZmxj?a8qRg=i?dE zePrT2PXL53@A?F8^M$xhsmYyElc3S1P0Js5|EV{c>Nvee(V_kBQYqxnPADng_4iTU zEq=}!kpKSA8DaVF|C~WlkKqds3%RFvuLmC(B$GMWE z>2fzI|2bW5dsu2eAG%y;R+sx3db}!VU}X|A#9IDVTF{atXmj%4G^o@xq!g_nAmG9! z|0y*Sf&l_wd`*Gy76q=rr?^l8x7k}7B$rjrG?_T0lSIbj)^2*;{2a}aXeL$yVd`a= z#+I5MFGWiTCg6gb0MM^xih818bhxNMNFEnEW26x0NWf-c?`V)fGGm&@Wgd^qW2I=R zlZ(?5VH#dhGc6yjz?ExT$TtVWduX9mhWS#e3GFvMJRi8*4vJ~13W;C@ z_n8A*W{nfwx#%X9QV|*6LT@nSH}}Z_L-m4{;A?N<4Y^jWf(zglgtQ4+YH#=*AjKSZ*iUr%mDY!hCb^3BQ* z!BgqfpS$5iG&{Ed(&>W(?aDRZLMPx<5X%OmQ4q^~YTq`MjUfvBam^}U005PD;HbwS zpT7r|zj(okS zRS}LT6D4J_{7;B#k1)z;f&ya%ThTL^U(vHE&HuL>Hvb(6IXZ6QhMZ4ZSrd+A?93$! z3-OY+mX<0?$w-XAtsjKIxcEyPmmhOh){{#KUiM`4>tE+BhdQHMvsZ)hB8SL_n-i@u z@RJfz>jSqzO$}dI&OX}!EU*2vRxJNaD}r`7%Ni*B-{oGBj6G?(t&mR(+H-FXp^BEnF(q392~d%Kd-l9P6@GgM0RV<@ z3_~GF9v7+_5grCEQ@I6&Tn>Lefdzf&*e54FGhxhw!(yT$;BqeQWuLA6Zr#SMR;x{r z5uCal{_MH-qh`WMsazWg7mT{GJU9RJZrFqfP86s_Z8z(a`#-I2krWW!hCP|FR_9*1 zvip;&oD05Wbnt`}us}nE9vNkY3nmnvEtUNoq*@)`SO5UUW#oTSmcEs9R~LP3loc+R zy_wn4Ek6f+{P`W@0>6do;57KB2`PQv z%4yL>ua2^+*>S1PmS+4M1lu;jr9Ew1u&oB`G_eRm^h4QiaahpubBbf{N0l_vw}4(a zVtmTv=ZnTXQ~^#XaBZgsrj0 z<><*Xk57DM;p}Ott&&q)G8cdgf+?1M{Mp)1m)8@^Ir#-Fmi;F_mS<_>JNm-1{{#kz z;7?L?V{&W>dT^0|i@|c4RCXdr^}A1$L4XFSu_1PpU(vJK&wtqJkBWPKY0<2^OdVrw zk#Nx>I+MuV60rN@;6@u4;4(7qxg|w2dzd=ahszad#)$Z*CYL`oMmIdh9sw7FG7$y+ z3qn8nTY0pxusnR+|4%H}O?Mj0&(|NzG)11@wzw?gSn30l!KES~rY%?3(@>UuW!EQO z@mYMjmRk@R8VsKXW{CXa@;ZTIw%YQFN@F6zXqryX%6F-W&0PNbR-SY73(j4<^6~05 zO#F_21iIX?aZmZ7%Q1827V2_klHj*ebkF73QuSn*6)up9#8s7iU$Fdu+wNV-#Awhc zllGX%7A|{<8ZfX^nEI8I!B#L^h@H0~RumGAp>HPWCl}gV8yyK|rqhfPr&RJS!R}jq zO5?C~Fwz)Pj*YnLgNqIWJB8`Nax&Zs=V9V2JT5O6+S>?l`9JpVJi4mt-UIk|?|sgl zk`Pc4WC)WL1#x;zfNf-i3$!>tDrt@tM!2s4y!6tML{5d z6vRpx6d7Wej53BHKyvTd@0W8|&Pu!?0h89|=KDE^lbw6db^pP=Yk9xy{re%^RSg=H zF(woaYi;Ck9Jl7p6r|dB?2P(G)>hj=!;@=}N~A8J2*$9m-yPWC_mra?fZ$s3AOO2( z_fGr}vYNMU-m%N}4PO?2U5I+pr_Z?sJNM#WD-sE}ZPiLvRmgI|bp?geo*IWqsmxhcfmSU`<%{Uf zf2UcZ5wBa9l7k1;%GI%WT;bn`LeusKGFp~y|2%f!@cv$$h<8kvlEgu^eRa%>tAEa$ z*^{hCYMx6G^)>6G`${wpPb}(5WiqYp+V#w`nnfh7Ih{aAlxl3tj>6hyY zWW@x2NVR{K>z_ir`GG{!Qg?Gq?{iRB>Hq#$P#sHiT9vg}5m~*{6r&F6ah8Y;OLEb= ztfe&3SQGwgpDt-^pXF2y`m-!uJmtHk^}O9hYvTv@;_x_*$%@5x?%spLCW|8y32*j9;d{|8DSdHAF14h19! zm6HPhN7X+*b%+fY>WKF82ZM_3V%A!(qE>*GL6;L-4a)5y*WNukRb3*wf7KUTKA5#) z=IoUc#xjhkA7pK65@C}M`=^CS>oB7J1z|*kMnpjvK}7s46W=pL4LgibDUn3-r-fX# zlJsHRm?3RiH$!a}Em`-Qk(28slHfKCCK0JAL&};6A|fIpA|h(QbqRxCt2`_uh8UdN z9fwC^i@cd5B#(IOoh7Ivw)SKak^C9_#w3D>h=_=YDE&%BDD~YzY7&vRVAZ_&a;}80 zxOm^Z1*`H`6rz%GV}3d~w+F+B0DbRXo;GdzW)Kk(5fKql%4!Ie8c^JMOaCB=C@wB} z=+QS@wEKC#0i*g27wa<5gdolsG_X6vhV;Nb|SS%8WhC-nrzz9d85>v=i5=qE4 z6b`>PZE^4gmSIGikYGs~rR2>VdG9>~W!xky$_*NIMnptJL`1|58o>|Sx>ZwDSh(m* zNgpDSnCpg&Fd@!hT0hG~#LqJEJwue$q*;R~6_Fg+atTy$UDp`Lzo~Y9kxS>Oqndo@Ypl${Z5j=j zwf51+-@W9DhsQqlcHV-|kwQw0$o7qCZx36x=)vd5|9o(6k6H^%uv7#Q5fKp)5lck` zd4aVye|aG)oO4!Jt<_T%M+FC+Fi0?<5u_v z^gj(yRDESsTuT!z7A)A{E(0V$aCaS?U_pXIaCdit4DRl3!QEYgyIXJw?#`Qg@AuYQ z@BhrHu0AEZ>r~g~wpw1UDfgK{=zPHms~IzLh<1f{DDidzG#x{a2}EdFW|<^fJxy$7rnbm5HUt}du(vO&~X>9 zqO>@Ac<58-GRF*p@b{Sx6GOI{zanv`HP%e-safW4f*lp1L*F1iR4B;nF2lGdEOg^w zgUxHMpp-4iW~mu9k*ZvF&0h9>uv+Bje<~#K{z4^$R+aC1d1}`gc!rXyy(lgYSy=nc z^3X{u4r>(aBd##TL&RmRt_dAx0D{GxgFy<($CNNi;Id~BG9=jR0nrfK6RUs8)Mlx< znPqxs{*&3GF5s|j-sB>%6P0fBzEd85om92vN2OIcZ8b&yuU7&#YV5`1EFv@HlNN?hiFZWo|7rQ14*0;}9P@-} zNPKzYIID29@YZVi#@6!ct7zkDz78(iwcM{>h0mdr20rO{ZN9x;ewQd(NHYLEDGEYq z_9ZzI6V*2I2VA^UfvQL|89OiP>z*^H&Pi4F9)p-0I2CD$*vqH@xJOa{i7NyuhvT%` zl+5uwgr|SP#yf92-IrCbTya{wP>(3}PVEacEsi98Uda+bFhc6u39jeFK%t!BPN`OF z8Z}=i_x!w^=V&syV{C}7?4|l}+Wz=u)6G+9>Td%}a@hYikZDpy#uABA^p6z3E~nK) z`1w~ohb=#KH4nug%0YaUV!OVD`yvL?_mjDRs;~&os}&;_=+qzs&`O*&&rb)>VR%x4 z9NwAdYeudV8zqc9H5AZE$Qt)tgq45>uU5oLts~Zm&mzJ?tJarfp ziL2kU_|VE&S1=-R_o115uPa*(3|<;#OI%p7Uk69JU-tL>d_LH(u!FRlBpFngRs`F2 zcO%Hk%2qVUe31qsf9#57$%$Zzm14(?40_oOFaWj2Y8G{Py0mV!$3Nfyr86vmB$ia( zop{>WHQmlFm`VJO3+q3;>?U%-BrkHNi5fbri zIXKP0xzA*^&}z6p&0tRh0y&|bkl@18Kwaf_s@}nc&-!EO4{17g^}47qeRK&NkQN5a z86y9kmeP(a*Q;j=#&|->9`F4ns=73K*NTC6CtB6wawdM9_jM@{d$gt<>H~M6?XFbn z9@8BY;~HfewcV$Qc4#iIaN9maQ%WLKL;zr3moD#)TkN@wp`Jw-SXpncqi%_sOAfok zeFY|ycxW2&j{5sinl#qtD?7df%EYP9(DZOeaT#eJli|V%`h9q%}g=_x5Jx}MP z27x<67&edH#fi|^`sM36A|#$!@9{NNlC{yA0{82#WG{PDLgRc!5F7;3sBY54-?Dw1 zf&Z9WL|gCY-JQp9qF6piTi~D{qDlqG$8OAx0l<^i8jNvDq^lc>r7WgKr7BO~I^#8HGhPz$~iXKaF!L@f**yk^W1QaX**20M*^@;^xnfI+~l zU(!gz=En6qLugUVgA8PUch68N;Pi9R8bp4|zu2GQpvyT>))>!zk|*%Y4-iEKgAcXI z;jo1TiWQf+6cuQmOT-n(KQ8^G!#?qSEdSgny1ogHL$pVQ@Rre+5LHm{X1QwB4G(uY`;Ax_O>9qoSlenz);aoY9`Pc}W`$oDy(HOZQjsD*Uw8cGl+IdLOWz0 ziCPKoa4l`4r=LLw06g7E-3R>sRtdBPHzI{6{d(442y5DSG`i1vH8WzL$!yqoe>-|j zxAF9WKs8sKbFm;7o}mq&>q6TO-gcv*zvl`q=TTZr=U$@nRA~S-No_8ph=qPtSZ^K<9Z^zHlWoYIiaZ8lyM@%vbU@o1MQwYR4$ zser{)@A7-yR-fnjvyP48L9MbqSkuFOChT4>{d#D6;ac$vWT9bHC!mHoG@LbDVRWJ1 zC3p@E=t#y0zjH-v)^HUiyH#}7QR8NMyq3q|d%Umg5O8;rqqGPC0@7qudNC_OA=%ne ziG2`Q6%XBHUVxtXOT&VgM}UdN_ARXa!}9==gz+6K;HSl=R`7%9JmdS zO5l}UK~U9kQ$A87`X{*JYVyzH=tp`OMa6@R`P(_0)4DoCkw6NjcB3gQDLfR)`ki1P zK*5qEI++?1Y4TeCwDneN!B_QWX0$GXn7pjd*F$hbfZv!lVLNQ>3ID<&HQ7Xl~pgx{_T1e*>4LhGyLP z)SORp{r!X{k4rvQ`W9K@gOsPkXonZ3FYb){c@z}DyfPB@<9wC=W)>mTIK)z=hpj$a zNTU1Wj`XoxZCoT_Mh3n8*Wx)@XQz(*wGkfx>iev84BW|5EGwfWmf}ZDTLq32@*t; z!M{Mtdm%BPJUK?zD}J61R;C)0@xca5t`2tdB+mW0Z@k*PTSB{XjLFr)SJo;JGl$sw zfU0XQ7?mhQGg}xhmDP@ZCSfua4WhnO1Gp=2_v};S20NzH4;DJD8 zWdA2R9D@qfV|1DOLC8p-MNNVs4$*Y-SgG31U9N9O^~`3&eU|Ei=z8zht#5mKj}RzG zbE7;0#XR_Z=qZjMumJP7nJE08I#X;af#AU}MofttfjY-(d$F^mwh=vRrOyy`+Q>0r zP-385MAwTIHLw+hSzsC?gQ^v;u{--jJ1S3>aW#BQE~#fGxg4MXAnoQt8U|4%-+8h` z_E<`HtuRs5X2kF)rzF;d-}HDTA1$)>;Mb-Qys7FgnmpObK_EGxO2_?G{ldpyFv6g` ziw+kya$9coPOAh_0lTH#o2+~LuV3{yTZ7|#1@Ak~ix~)80Y0C*xXrVaArQJ%2?C)l zg<>28CP&8*?~kXI)aGtZy+xbiS1H6<8?N;76kS1}er8eVul}bc60-@qMD~a$P)YfO ztA5_X@Kc73eLk z3f>UlyFH$kW(5;!cKIafU2Mz^ocpGnYfNgECy44meE$>*(+@gLolIiMkfC13H4(F41qAg%nYtoDCJqVd5Ft=Fo1^>p&Op(m_PiZx=Ac^-hu|a;l(t!ri&^6 z4>A#Ikv!-vUC;B#W)}bc_2V<=?;&J_003=?2c#@f4LJqjG-Bfn{YcGK{BG%Z=p;r9 zyQhxh*O1P69#|zEUvfZQ|IXb9zM+_>q4to1$Cw$$3i$_J{DXW`o3`_LMqN(U3?pK{ ze<2(PtwT=0iBQ=v0{YM2Z-aVWn}wMWIrJ#1KU8W zI{x>Amtc`F=toV8QvZ&9JUmxjbxYIt@P;MLB=vw^?+eFF1UsUM+yU_Y1+-1>eS)4l z3QTqmUlUIqC0{r1UkcI10wODV2m!W6{HMB_FKt2$vh-i;Pim6m*Op?Fy^Q=dq#9cnW9y#%*k3-5p?+aJ9=w{#u-8Ysp zkBxW!jE%?*0vF&X;C6}+h`n{JguuRV#H+6R<)^to%BUs*`Z!)i{^I%AhicmmmoW~a zqVz%tKNGi*-B;Zd(!{?Wa^w+1z$imHGj3;GZTOUsKK!X3viPa1G|7HN%cPkW6VQ(5z=B1S51mP}fnK%J zbNDS6L66|UJUs)UtlhcTYT1I}-n&UL)EafsYfK6SrnAjk-12#<p&qL6S@pp5hAkaKEFzc@O}i`(JfZcdpSD=zldZ*`Y} zrliBA8f2AeEXy^&napxWjxT{7AAVR{li!z zx22_}t&I;KW#6Htrl#i4AA?^XQsZ9SJw5sSj*gDr-rkOl?a_dmS;RGCu23dk?|lh- zE@#aK`exXTBD+T?X5a4AYqC=al-ED*kH-@9d|{^!jr$p*u;zXr{x<4zzT$Y;zy&|y z#YGX4;!p|#3b~3+AN%EYBT{`r29122Kxu8n+859O0Zt=UVrveXmhILT?PT@ zlo`850T5oA0&eGsXaeRuFli2~9m+tQ@DH8uH<}BR#^XP-rH16Zy#?q*XLw{b&!&z5sfm<)vi{L5j3H_P%Vd`>rKuR!GMSAVxPJt@&aGL%^{vP^-H<;5(Fm3!M zR0b~mmm2~k(QobZh?Cs1KP87=Pf4B%l&5hs}4q2z=`QZM6mec zEFE3*%#$nv12DXI1XJI!=g~}vdAO*vdhwU3YbgFeM%?lveC$f{PHf)RZAnr=$qq$< z#^xM8e7AN>wrbxfs@j`{csJB9HD@}ejthjffT$=bdd}KoA2w-}_%>22F(*qUWKfEq z09c#)$%ZbGZh6&k+v^9T!8CoEc$INF`4(~xbPC)|br887hayao-^X-DR;0PG1?wfw zuxV^%J3mzYX-f^EWRCEtRA-}0kB+M+_Uy^<#vi`60h{N^fgfV|%fbseop^*ndt_2j zstFA;6v70uiNRO;=%rb{+EB)xzZ20Es_AjUTeLajHMlu0wAD?&J7+yStZsDn1UWVK zc7G;q+3|n2L7h(k%U@6tTKQ zw&({)eOV_3{+c3BX;~QncP+OOVU(89pl#i+Mc;2UryHxp%FwEQPsfQhPV`PQpKb~^ z3Nptm(rQpuRxv81P5fcHS0O<$(rA$ zInI8)|GsK}*FH+J>^=r{Z+9kOE-L{g+}b$`1)&jykO3;L8Nta)a7TR_Y0o5l=Oi#- zVB&E-UGuq#<%rA{a9zsf0MPxt1`X#JU}njJqym(zw$)h)=ER!3Kyelai3KCXSYZ6Q!dq z+?LdaQ*w1MtqfT%r=fVry9{wM0{%||gbHk1Nc#dSHerN2s&2?yv&H`!a39tA1g7L= zfY6~BYAai0e{r-tRrt#p?S2z!n^f`WCLKRBXwUDHS8p>V6(Ag5AFoVkSkFm(aO?s<^aa&oSpWfX13wxwCFclWygR)Az=@4qxW$zR-)^ukS2^;F|>!#iXIYOT3zZ5Z0 zTP5J$OW;0b{a@n;3>lvb+s|u`(evD0xi{n+voJsA690k_j9x;C(R~{g)^S3TkR2 z6)_B9C&vs-bFxYUON5B2Oc%A9@yk65qi4(i;0|pT zMksg|gYqox?y~sn!b&x|iG!p=r0y<3G1>b|VD1_z=ZK_SmfiJ5n@Q+@v?)-2`9NeC zwf-ltFuc;*h7oOFY7OKJV9rMeL@Fy{&D){a5XgTLXGs>l>A1Sw^xf>SPjJOZaLtBk zw0cBei!xpqz161c`>B3&`n!f^m-K_Kr%>cZ2dZt#jG7b1PE%np2{ z(f4q=?nt&~UsG)KHpkIE!-~SuG*6gq>s!Wt62oTIV-Q>@@kVoO8YCv@vrzJJQP`eZx5QKGQ^DQNMs&gBO>XG^qAy4)| zKAp2EjP+l-Ac&13bDHgP-BKwTap}6^ngYY>tEuA>TSO=|EYCX*7fPAJt2<9u_Ur(f z4Xw+U{EPO5GxnbXXj;EYqoVI!9FEM71B)#WSor2Wrt01fsk zaC*r_bV9mDf&vC--zLWdO6H$K!iRL{4pgGDiQn&}}Gd{tfQttXOmFaTj=q%ih#z=6{!{ z4`OWJ!j7%WGw(<~A|E09?|B~X%F>RQ3!f4JGUk(ig_Xl#F~1A(V2aZ1xf9SPB3?GL zNYH)_pleP3TwVrC4~vG|`Rtq!fj@N~Q8P)uRO9ICK)J%^HswwXdJm=f{6DLE>66(U zt+NA6L=#thEhLe3VL9X!^os^h%Fp zu~9!@uU%NrO%5$h@II|fx5GV{@^D_P$jfP_B5e#34v-qjPWMgc^|pTl84R zCtA3;$XHm=$@>ZkS&snQDL2E3IJuA&hll@}gm9b(#v>8?8%p|@{K4DM=H$k6 zM5;9TSO5wzfIC5{TT|G+dpl6`RA)lXim777qQRq3SL<}0uVDCB9#$vCpBwRkmpPJXC7(9z`=}WJxiwGjE?)dg-53BTmH?1%1wS%9UWs$ zH5r+-$?PBa@D({_K!=SR1k18=5Q?u%nRh7uB{Zm*VCwM2Ag~Muj2~>Ex!*PEZof?+ zCYiA&lqBiJYO%$4Ub)%qJ~_zXX;z|8#VDq5!r^>%@f4JkkfgeC5#N;(Y~Hwip)4tJ z-}%~g|1-nq^8=e>*tP4)yT$8b`35S2g|s|cSGH0NmSQ0XD{BgC zP|Duua#QsF67^ujpXMF*FX8KL%MG%+zL|MIG}*wqi9A_6AYj8YdPX@esxP-v%K3aG ztuwJF!p}8&GdcN~@hXo>S?r4fy=vMX&z2*e$I*$`F^R7Cj5!CT2V7g#z0J$o{odl4 zPFS42=pMIkzht=Jb;XzZuodM26-S4?)RL))Dt^6lyYqrq9%8f*Bpn0xjNm5~wU6cG z=m|*$eY8`kW%_I<{CBDthscIK9}I>==7&s@P5A+Vca+Lqa6R>Meb{~6c~1fT{XlTP zX}qN{B}=GcVSET6G**s1f>|eoj_y;Y>-kI*Y4tUDseRBGFS<3NuPz=eeX!AH|INoK zKFmB|3MFLkPQLx^j71&7t0+q`;a|1TbsLiHef=0hMKsiMP-~Z4RDj`lJ&ycK`**#$ z!%~58AOhK3DqCpFg{b=uDkduF*jfv(Gac$tuGm=i?y1X@l-} z3W%FH@#pBB&YN>TNv`~|6qK!xOjR*_nkp`;xYwT!b+5124oP<>S0d=V4WWrU`{$m+ z>SQe1N-iwb6K#Krbn9fsSqWRuyQ`kyJ!gT>J;rKEKN8)a_a7+V7F2#m7>%ty$B?rd z>Q4C5A70^~j{ULYZtZqHw8dd!2~$S<7|R#vkoL(A^C@r!U1p1ASKT3nZgD~NvY|B$ zx^FrpQ5s66H+tBppa< zlWh7Kof4Ch0ELAidG+)zfvl|BFzETLWn0fwSNJdev)j%s*W~1?_tehq^0}EoMY$M? zQ^;vl2%aN6s_pM*@xN00%ZFNw%@w;P(Dl$F&?Sq-*pSZY~k zy88J|)l=J3p_D+d)8Pc1W-xj3R`u8Oy}p}QIKVoq>PpAcTq0H@&<%oNLluy zbpDC%D3-mOw7y%Aw+N7uVW7;Ntu?%_r0<6gr(y*!e$8QtR?ONv6bk7z%^1zmM%UmktDHk1a*^)xbdYF^%f%@>y#FwJ=c zM(@u*5pY+wB1eB-!D4YN!Z1CMuk6%JVp=47i#f4EBQ2nH@#!%yzFg31zksPToz0le zhiLq)pImoU8-U&oPSV;HBLhsCW_gI`QYCi$chH?P|7UG^j2fe?t#Ok5N zL*cL2HY>_31#@Wh+aVmioy-`ozg=g7LMEKcSI^X%h3@bBYuZSCmUlMru1K?0>`hF# z>-y;hKB<}pj6u_TVHYcgc8+J15 z_^u`@Uj_U8#Vi4H{A%;jjR9FMszt_0Dm2QKH*sP1TnEqgnrr%>J;L1B%JcWh6?Iib zSPU#EvtbhWy4kCHoL|fLNQs7e`Iv|}_paKVM=5)tP_5nW#rYyG9%gGj(4~@?d+pbq z>3ZW8kdXvm6C#NSILG9vQ@El*ug{L>9hyo#)=i;w3>S}nX8N&itF^`_8(%j&-8$GE zjta-p#I3EJcnycp;u89lTr>eOZ{nOt?QP%I5WM_t=JzPW>I9E)7YP6`V;1q}1+t?) zi0yH2@JO8(m78a89*SEJ=6eT%skg+|cGAm1HFRN(wK5)5I5ZrxN92%{n$PEvRZFHp z&#sJCPJ#Z^jr|}*XxC@Y45!wM*-z==&q}z4VOA5?m^UJPV^fIU9z2GLyu}?QG26y3 zeu#0_@i)X!0xidSpW!?I{q(?G-E8DRR&$}?&en+K+s^DfUz~?9<=|mIEMeKD7Dqq( z*XY&1w?%H=w7RxVghRF3!R7?P6w_Y@*%^{Y-^O<8Y_A_*?kJ0lLk*^YlB&{w%7UV? ztzI+WLXhvF2yrL~xLaKhcH^Ow7z?Fklc3~M^MLF>(x#E3Zk+bVVoz7imx*k_bf%f& zd06r}>P$d@u4kkhBcAJK1!UxQcbO^`Z3txo;jdF&)Cbps>4b!;8NV|By*YbcN(a&9 z&vR%ng11#gv|p43KMblz@_bS~$p>ocP%jWrkQB1@LK5cM6kb#a=0gN1p__16R6(8a z2qvEbN=u)}3%X&7D3~mqPLJaLqhoBUC^U;T=@b|Mx4Sw?rE;5nnT18c83!RQo+H7sv&4x;>DeG`Vuml0zkRck&Zx$5tq~lkpHMW#JihEYZCM&Ndx2a6$u(; zYj9oZ>@K1FmY)W;EY?bwj0BZVPQgzn32un35Vb;K`2C~;`eBE+CNQ!m{fzpC)+>Y9 zC-@!Vb-IQw8_8o=b!(~qRc>U%->3^?eDm*Yq{NZliQa|{vYB$|4lVj)+UY=db<|d zJ8hCiMw6tWhLid}W)c1b@q8T%0nb;=*r%8PyFO;%2`aQiBF>!@XeR;{Lu5n_U zz_XXe7l)2VS|{D*Tq|;_+$VUr+TPT=l?l|WaRVe+@dXj`&ufLRp zcZVp;75Hjg?EIbe>rDUdXiRz?txI`2K(?C8$X}Er0d_0kECybS0tK3;vWp?>gMdDq zmDmEJFiWlGjgv7wJ(R!|9pmtJ3P2No3Zv8ekKN(XfuPs=bV~t+4#g_=llr4dbkO=`;M;q0n-Tokmn}dc;7z{L& zICb!9%4EJ2^=vDa=>3xAky7x)nK1zthEnxA_l{N&RGKxqwUCHTT9OoQvH|DFL?CuO zMw0rrcLe`59yO!()EJy8yqb}P^bN1s{Zu}`?>gvwrXsd!M|Rqk5~UD)l1gHn&lN+0 z1A`7Xg*?8d7u~J;Tw#TRq>#~y*+hFG*M@G&Y88IjZ#=oV@PpwA?$5INW`Y^CH>jH`x&yAs(aB=kyQE0<*-fk5k>2*tauG@8OPK(_phwVKK)gjrU?{%Sd$;|av-|)R zU-W}7&$YQJB*`B|fQ1pUXSQT+lnRlv#7&R^hzmn-Ffu5q7Xo%-q*m}b_-egfy-@gw ztC~7{xS`&Ge3de*U<}I0x6e)(gg7;Q;I!irMeaZcY*7E6!{bZoTf*FfL}+oA_q%O$ zhxuo0o2H@cxL`UODTEAJv)AUZdFkjdazXD0hd!>kk0GMl4$^H_-b$)%#G3}*3YpBW zZ$qfb&vokWmd|10R7jGVgqQMy1ha75d)V~hieUWYkJ-^9GC$-6I9fsZIewmJ0L}69 zgEUL0VGO@C!mDcnW+*_B!og0oMm^Z=CxT%bF+aWlx#PL++s$Y9J)Duz)9lsXK@%xG zw~KWy73)9|gabR!n!)zmzM27zzAJA@E+*i(q|`#3%B;WYj-KCCVemL(jqAw+*~`N0 z>ttCTt*6D43T`yh#mQx{^;jO8Oz1}JS~L^p2ybh@Aa|4g8>-u0-iCUT)`6ChBgl)&bOd$%Xl`D&sSG$^qwCcQ2KkX{;fNEdY0NX(X^*OA{dTjDH~q_~zOib4 zj!D^2{$g2m%I5fcLcJ~6;f((2F>Ve$&(;h9;vj%PWsD!(h_-zMTcTN*qWu{DBh3-r ze%km*2(gI)Fgc2FmRm4RzeeI`R_F|VAd2nP$O6I7lv#)*KMm4IqlcIy@@-<0Ta%mD zZ2FkR3w&_IoJJ`{sW2Pqn?{X&E&TogWFR$Dk}0sdnwqiesJ8bWXe)x{XOqpQ0-ut~ zqx`Xe*Vvw~8*QQNStZALm)$|3e;IOIh~c{rR25!wxPD9kOpzR5yP$Y+Q|)|^h=7YR zO@sfDs>1akbEQ~;{Un7$wj?=uX!C7wd!W|2Jj<2z?si6Yu4+jU00f*gQ{*CqZN8~h zwky}w<);peBsv+Pg=iQ+TZqr8Acd>`IevZ6-v;pmx_>zVh9*c(!r?I4mAQ_@k+e77SM*Cq7A&l*$pr{hcHF5=P*z~Z#pvv%ZLpzh z85jCSddKEyTHqFUx~d+b@I@bz96v@{a-DWVj2=c22HveF0IEGY0`J&BMCR4{Rw~F~ z3Mb%De1z)9g_y0Bf9@0sa#TSWSpaIe9lfhNupf~`)XG2KtvzWpl1@@O=IJivX>q}r zSa-BmWuDnO2^Ac7|MD9`+geAVVh{%-ORo$ zFtK{vnpb~2)r(^cwZq1S!iQgPtua-o`>Z`^1M6|S{r22YMwdn#2w`?gW|Yf9VYbbR z(O+cL^+_FNG95(mel%A4fCz8MC+K!AfO)#{)tojpHN#0+5}lG5jn5kjqnDPVd~JUB zY%)-Xh(TH}**XMZVU}b0^#FzP*H1tHSduek{nO7PE+w)jjfSemUCueDs=BgzMj?PC z%^`%RhHv=)1O{jt_-@!#MJYqZ;jlJ_PY42HfWr?>G2k<1WL-?M?-_=2#ok>3aF_cPb7Ux|HZ+J&^eSF5sj zvU@04x7*3|?C$lxj&@l5p}cdFpu(nF=Ds_l#_p}ZrEg+AYXCBVsvcRZkJ2#Dg#ram9Qvu^Csmi4OzPi5 zn%;DCNxOB+A=^UVa6;#TKYd3>UDJY&2q^M~pbJSTWC|40VQaci7kMo*48-K{{x-~n zVFpOG(%|Hu@~XAd_H-#ZYbh6FP}u2^qv$fmnl?+{ZUQJ&Id>(OjVhW6efR*&EB*zn;PW&>`)&8VVr;mlN>T7+Uf`07wDN8e2y^Ha;clwb4z-Sl4#PUP7?ho za(SUl4ofpCw)nL*v(T^Uhg-yG`3pC;vQ@;&Cbm5ndxbMGnws`MXk!`$!`(!e$inu~ zkkB%Uq*cud8RiME>mquP+R5&TDG74yg5Ou<#J{Gjur#Eliqrb zwPEBMf5v{)1;QPW@oL5R!FAdnObbHrG9_G_0ride=Q1mdZGtJ-!9JT^Sc*Xe*jzHN zD1I8N9Dh3*Wq3^M+_pVGp6|!0 zGGwRvb^RCEq(tAdI~>u&j$3yg?oT$ntHbH(F*fMHG+|2l@Suh?_EdhaNL1^9dBU%s zc<0Oqi>5z4NrtP<8l?QUxtpWe zot+(3NrdlpwY8t*QuH~~RT=eVWRRr`ii(s^l6>KD?Qlj^fAiqd3#(vzRJ*YNDV4Os z6@-F%D|LoPex#<7j?|k+Xkyk=1e_U}*5v_dGI(Hv62I_xh%5}|iIXFLTvxa${Z>mx zfjIp8aw_ObjTt^2bztDtN+*CWklYLbJ!*0opOk>0@@Wb0dN`K0v{WoY;^&Ad4<{!t zB_#vn8L3AStRS@&FwBz4qxOB)9hE|J!U)&JJ`zj&TMtvnv*UEI7!#$BraoZ zr92@g_1m}9@OM?3a4Ns$$Y>B)U`VLTUai?I_b@bnpJ|JzjlmNKryM_5f;y0B(YMRR zb)b<(ovM}6?oUlo2=r3mXhPGEoJ!dX4Yevv6{Jp6ulJ0?yU@_k)YMc+uZ=`LfGZ#O z1WF9$f=2%c$C`zR8HT!h@sJ#I7+zbL=Yqi_kND!ZpPT;6SC!t5(g#E_*QZdN*c5&5 z_o~rSH+x{hS1g6G^oN1mEgRKMU5Z`3&4$j(!|b@GSy3T?A6Kp8b+$sUU%3 zGZ|Z|H=ms^SO0@kq1I;ir}yH*4k}+VdZP%q4s^$Wv=Yg9Pi6@mOk`e9cEgm{`U;JV z#AHO|OFm}soNNz7rG&3(KhB{H?h?l{CiDHF#)-y9vE$|An(JrE;8~xE~neFNq+lJJqXQqBB{wIp!Nq~@m;xE z&HlbmBcn*--C$oS6h50tf2X%(rCw9tm)%eN7s5%)RxrXQJ+YK}`Apl}d8T8-D#t_7 zcu9$>2rl|Y>@oIJxM#n#H8ZLO5|7F83D2!dJliq-_Kce1IY9Yl3g!iOs z{PnX1CE4z!97P{6!v$kN>hEI%?{q_BdNb-zfsGHRiRb*u7yR>yFKBgyWE$V@iJ+Wn zi^5yBuxt{o6K%_t2&mA~tg^8;ahvjwGdWoPb|Uetn=9|m3-Pr(ryw3B9tur6lUMvd z8=3Q+z{SSa?($i0E62pcOOB7nA|yPv)zb9q84N5_WQ2S z^MD*>q0h7xfC8J>UB^x67w42{=Jh_=C8a0aKmO zDGLs$Z)7XvW({llDldq(;r%@Vnt+V^G?(*gY@d9-^GtRzZ1IPn2PG`%6kr~nwP0ZS z)PA+?Z<0ktjW9k*D=VwT_snN@N>Nc!dR$pkz2o^}PaG_)S&h#~tduXD)lg;4+HMyj zE{7Oo0)&Ny8DroPnFu0;!uuqKt7)8zRO8X_67UKNk|NMdG&um#kX3|S_(J@P9R{wxF_*wc z@rb7_sH-BRcxupTv<-|W04({lgi_m(DBU{MTxTVPf0;b0B%~1oS^m;t4Lg+wIqgon zIfIH)i(keBe@YDhl@9$Hc&i4gH9f+@XFuXlFVi z#N?w~Sl&YAmA#S1DTVyuqSN@EDE7S96g#m?rK422P`;WWT(6~NzrpArh9vP^mvOpGAnrZ@A=FqBsER^2aM>Jm(^$&&Ys$cP9kw%)c)0~-WC-Kr+d3NF<@ z-L%!l5nVl(R1exwtlel4aAU%#)ktTKyw2VF`s|7*cTD?_P0N11unN$rr>B`sm7-?; zWIxY$Fs9!wKjmX7>6))Lz3(o+Ytw(J`uh?vRKh&IC{L&;46&U6@PHQ}>vgaxDCAY3=+q#s4U=rZN?3wss81N#A)Y{0 zBM?ig0<<*y&>@i@F?mYpc1`JaADpSv_V%sCscqwsQl0ngcMc9LaV>mYeEbIyh(+=} zF|Oi`kB?JRQ=6NcXE@fz&*Q6#i;FKWFB{>;zD3QMy-M@3$whe8$CATuhMt12d=9*6-iL zV<%?sr%m5G(oWY`nHH%_L}NHGu&}-c+d9_0&QKFQ_5LxdC@qzh0#k&3#G{)>R8^f< zriV)XAaANAE3liUkgj3_-YNPQ0dO?vO?jrY# z?CdJ)>fZ_^zJ2>f7xnDtG`!@`)crPAO=9y)7&iyn!hiNc?&rz3 z3q3m&XjVVb2D;9jo=_;m=5lxE*Z55N{?N z#?WV4pZ=;V(uvK7-|u4DFhpsrd3vb;Qa!{oYGrY3orw!ozOc)}n+$}h)Ugb(7~98CN*GR2JO_jX1#xn4c)BOS zvNAJI!6PIOm^T~EtbDlnuqa(i063fD{OF+t9xO%L(uS!^(67HGpTKe4P;^rDL>06{ zP>om=8UX|1qJprLqzRLz7 z{?a+$j^mES&9$MGTwzkOkM0vK zvlYlNc93bf*9S0|BUCi9!(DK2CFFr|D_xj=BH{!Z!ipt|(9~%fApLYYIO;OBLg+^N zpX9}mj^8$`@Cwg!caJlks5+auPfhy*L-&@ZtFV(ic<`{?WLf9;TJ_ApNhl)&P$l$m zFZeg~%cPM3TqSEnj4q~xwlw*1m(gf2V4|g|@t0PEL#Q4Z@74NidG@>}k!56!j3u>d z-?%;TqQ$&L!*&d&ZG_?xr6MnS*+w9_Sjk&L#@d>aIb&p19*;kDnn9IUhdY_DiiE*HQnaG~U3c-#1 z{3~tu<&tDAljj1}4wtKZ=(44nZDIjf<18(iInx-w`*XjIh9!v)-OEhT+= zaTxPx-G9B4td?g}R~cLojxJPD%YafiWM!F(MATm_CO`g)QE1ngxN|ChNZHe1{#OER zoj7AJm<_fo%)W^!v;0OSnv-glQ|^AI1!#Y_R%k?6Vws7CgzAhGgh-iIr9%7fzqXt} zq}-q3Cv@nNeepuuk|*&*b?38NwksK94}~~lZsMC8osla4*aNp#CGt54)xI zz8fj_d)Jxn=97rra^8nb^vdJTD~ji?mW4Y0;++rLN;%Ug|E_ArCV|hD3^Q~&lS`<{ zJ~AaO>h5rU96hvO-@V|x8>#e|O#KAUjyf&oUM6w>-;N^k_(60@A))oQbIaK8Z6?cS zrvieB81Kgu#!aWsW_`Vt^0980d*~s-67dtsAI@X+uQ*28%2mt>{*~8@SP|LOX}VhB zX?vv0`x%um%IoUpzL@FZ?@Q3=b`|be_8uD;vY1eNJ{OX;yTP|cf>RlD3Zoi6Dtt|G z_W#=2XcHF(;s0C=PmRn^dU@!7yN}}m)>K<2b@dy$oIIUeW?3t#)N)Q~%F>3fQ;1B{ z!rm1)CBVO~tHS#saVTre;^o?4*H@+#S*TZ;Wwg%y zf9o}r!wByn}PXbEdvAR*9}4Jin6ND+^bhnvlLahI8?ho zidL*f(-VxmZuj>I$Rmg16Q>6eRELL$7aJXzg5oNKJgz?|WbpCvIdc@y!$`#%z5No>Ef5~}0@xAJvkRgxtdgG3G`*cHsgbGD>UB9oxA+Y?$S+nP&&EuTcUpMKe=u>_%2*cRVGA_~- zH)d82SYDgvTJ0R;HA^^SLnBDY{gYo_c}zo5Go9p&*i>3%rKHn z(X7=SN&gp^1oq$1tT!|;H2jK-3nyH^%w*K7U%lAsu#WTaGt6{%FkG!%(V~8EqEfBg zays|l5L)~PH$smj^1oL^8Di+R``sW2Wp~@B7ap(GD%o8z^wRYYJD0Utn`=>QpU>rb z!na2h_9LpoB_{EShJL@D)DrF^JuM}h300(=LjaKrri#-~|Z*;rx z;}*f$uXw7dPkJ4Q$DOd>uJehv{*3`u%W5$@fNuG(!vi@zrQk%9gfl_tX|PwI{kj`i z@=)9~HhsCKtY(<(dfpJ`_)dnQHrChsx4K;Fh;SnzT}?&hr^mS_yXkgsK&onAIjy(~ zzsp|O#35u-W+om6hU?`<$NapiH%peh(8u#W2m%UAg2(gkN(9OmSy@@|=Tf~<-@?Lz z#YFngeeCr%r^v+AU^Ha^BQ}wR)O@~FFLSqtQlvSe*Wqn;dft{9gS%GC$*+zK{{Ua+D$J~1b>dp&usQaprP`BStky63VrGS$^SY$~kgb?4z z*wc!slMs0`lC|Jvca4}&g4BLMg_GPNOp`j_d*hV|6^DdjR_o9Pmr-s8zxI_UL&PBA zw(d^O{Fq{B9-^_9$K%hije9<{fFw2`?r&GBER@(KHFuKy2ZOO4NrfhsBj{~T_ihV) zBcM^lVlv3Z{=m5MTg4f0@eu|Z)Oet$>%QX0u4LS{<|nG@sA-|pttFycy&E~D-p}w% zqHVsG;_SR7=F!Vhul=?-60mBRVI^*iXTb4R5Z=V0?k4JGTkfKiPt_M$YZr80yXjz% zZlM2S{iYVK3iQvN&l_JnC;?KMW?eDfAsT(JSg0}J_g(PJ({}Ny)GjMsGp2+O4%_EW z027F|^^#pYJTG{@!2kbyP6QVx^LNY0b49C%{cxD6dgpQd_>L@P{_E${*LW7|6*i=F z!HqVUPt}NZg#chIs=FXTcqu6-!E*I@}D2?U_ITzc*?2_V*6AM7ZU@60~jN! zsWBS}feCnhbV%|#2_=V}d=^LNpk_^f>pl3!A^z*_w8vPkOQqfsUhZV!X$FaNrr;p2 zu@og|G#Oq>;jk=7@fTk=0$7g~A?Ue|8QT@-!+SM*6#Kf&fsFMbOA0B}mB^{gd_WC} zbW)9lP#9EpzyN84adPJ&Gb{&92~QYMFfa>~3ctb&_bNnn`lQURXf-Neq&yCaX) zT8dbDFQm3McTcYrV<)`>L%w%_ZfHYyD$`1*ZSQ0(^?1FtSZcOad*ZYNBBa18Q^q!opDI z%ap*c8NxW7_4lwLbLbEOQN?h|$hWe_l)zBSKPE`uKm`Sqebc1R-82cvhxTQB3|y+aw%c?g%XGBh&y zq9>?U{bCHKZMTh~r;CY8mpqMsfYILrU-i%ucDH@R&tP+?_b6QCwvupfL1?KB-h>?7VuqtjB%W|yg724|z#I5>lHW2A`y?v_KOZQdtiRN=)+Qx)MJHa(%)RN8U>* z+0A%3HxnE1?8P=XIb)A%#i@7|6;5r2=jCS_zGP}tWPN$(lqlQ0Q2m)mqrDQ+Z)V6{`>jsQ*JS%pFOHO)YSMC_GrWM z*~61O^MLQ$+1nf8xPI`=75pqkh#L&w#m^SN3KsIS880?6C=X<P6^cYzkZss06q%KpOvIcH{{h27F?4m_}8XYwdnpP3jb5Nmbp`UmzU~?qh=VzJL z%D!!>tHbc9HgqNp52Ry!{5U;^+yeWq?}e+>1Bi4nRPju)I+Dtfop3CV{|zxabbrm` zL(fgh8&N!!B3`jvjRIu^yBLvt;tK2~IjLsWXIP<31 z!!u8qqVMY2N7e;cNX4z1AlOhn|29Ap#?j%|KpIAlH~^-3aLm5`03$%0D*sz>dLfPCnoE6h*arP z0NLU7d81qd#=Yr{oBh<6IVAhxg!9Dx_UiYT0hcKU(s7*Fe%u?n^DFlZY0 zTzPU8$F#QX-wXPbPS#2<4qly%-iIJS&6lVM7*5!8jqR5uWeMK91`FSQ@m^0vHqHF~ zRTvY3GomYfx!KkBQ{)RhxPAqU#bF3puhD4&*CA((Q(<$^c)c$5*-g(DDzAE98P5p0 zosA#=u7Y#0n3+T~k|xe`4--45|5)aIqX>MUnIbV99SxdE3KLV%$EN+89Be z1tKs@_=SrT3gPdfk_!*4?Af_~WWxkE?!^v!{aMJ^FbSK$q^E^lO;;AfGUdi~zll~$ zu+Dk)+(T|_lJY3OO%nK?uHhh}cQ+1C?V_p-FtE?lJn*Ly;ja=BBz38J!2rSYf z_`iP3VXbq;dNWbuT*?fxHO+8x6T~HD??HkvsU_1Mg6C{CYcmmYWF{O_sruE3oM0D- zD83{Kca4gsf{2tC=g5;^x%u3kdNzcaNJyKyo;H9L*rG{&G zwaE&Bba!{RsHjN5_ul(v1h=}X3Y^bHys$?Ydz-wIN0C5AS#OJ>Q$9FDlSdKA*g3D- z0i=CXp8E8GGMkG=5@j0)X_Ufd$Ptkc4-^oPc+GI)!V!pMg)sKUv!gv_825rPs!1Hs zT_6Gy)ce4gbr5MkzOg`dzfD}b6L!43lm+9Gkd$%(Bi zPViS$`0R@8ZI?0cFHw1_5?ES_E6F&D621T2ED>3PGd1jjT5ceB561edRmB2qsZ`LR zL7fHo8*6+LDp;)^=U+{}-RR^q#PT&>$~}T|zVW}L`;~8VdK`tua=l%@us`_9@wgo! z^R)tkZ0+oJ#gZvBGj?4&6mz+YmfU~Zhp1L5E?G}zI6B8BB;*SQyi8`XaoMjhJFKxk zbV@l`u+Rv@Z!lgOWq5hJS?4U~Y_g#ET?s*-^=tEYt$i^^UlHhK!)BHV3U&fj>( z7gmY%NB~K}Y;*~SzxM-4P|Y!ek9))fqMLJC(>8jf~PzxS1yn6XEsUI5|?_PhoxXWPZW*rrfkXq9YpbVMzjc(YR zcLiu+RsLmxLX?slnj_knaE`MjJCq?oCqImLjV$1A<745fhQM#xh(kDHL|l6^-8|Nx zgoZ)pdJ274?3a$m(z^J!eYTQ?RoJ$RasBJZCPr4!PGLemsv@BVG}iA1j}$y>oIhj5 zOLZjJR`1roU!_H7xa@;pR8-GgdFJE^sk`*m#atB7$)buW;ET1QsE|U0;cbdKq0jU( z3VYXhhu{qh2XrQ40^h9_#YN#b!JZj^?wXz)DrtX83nz2c=R8Op$UYIVq;@ZWwAx3MK*MNsX-e_XVAO7y;Ux6 zeZv5#RO5=rlku%GPkS_7hT+D~)iB=uW{Lq=qgb}*ZT990C`ZB<|3GuyR)tP*k?fu;#T z3on9*i9-2FAD0y0Nd9|?7S16xsubX^PNy<1lS`?3N`9j>D3^qBV5kX8?33-!nby?N z)gBdlaqIkcU*?qsPGe`*9O;{sCj=bT3CH%~VYrwR+6YL);6s>w52h-}CmLyF|Gq3z z15>vOjrw5>3vUWWR62vE#tIj(9BtOk0ChY|c*W&ksvBml*=zEk=^6q3zZv)T_TWju zwZ=2ZbOn8xvd5b-;wG!u5mw`VfVax4G6q@2J5>O_7=!JX3D`Eg6_21OlCw~9K!bjX z37!Z@`MKP%?{C^wM}HwQH>{8>fQ|$ZeI5H0?R{;PE?(G)ZiGsG929KyS0e(RSz?GO zWuz%?f9F&QVeb-gH<9kwsZJRgt4g^O-c07Mm|7jStpxl6&`iwkhi3v7iTJPG`1^ch ztqg++1Nyp?a=C&E%2ux8ZOy`+&scE&u`fC~`bvEtx;{5aSVaSH*fi=7Kj)rc6Gmij zf7|z?Lv#a(Q+o|^WMpPmxcS}BNkMpbYBds|h@c0)KWOzB)-#@L_X*Vj&a=lOLLrTh z>CcodC$%P6LW0{#=Y|FFkVeIlV-da~s&?ZN-l-6*c;W+01PTLK zL!nq0%q<|KK;?c2SVi{!@aB;rJ?lXS8lK=8*yQd_Yk3VpEZof5)@wTU?;(X`aD>NM zCza`D+(Un_$FPq8Bx!akQfkFeBr6hRn9tYi#$OMuOg%uZXV3_TFG@i*L+N**yUdKqW#4HRu2xf{;TV( z^8uO{P#6$4VG>&NJ{MKjst7PA`=r|w(-$Hf!ylqvy=aLc+fQ`PC4twi-NM3(J33Fr z8B2!IXTcG^@1XP&g4GOx|oxo;SslfI3=ct@3Cw;g1cW9WxVVisb2nV*HA& zXcQ36UQXSN3KAB=6GF#R$5`jFr+oV_5w2%OJFdx!=#^7F-?9GH=2d>r@#W#$)l&eq zOit_NX^c)d*VVQvtNpnI_qMMyn+)~=$L!(?hmjormAGj%%IUF5sQk%^RPzxA5|bOi&puUt&9YO-&z39EyL`T>L5P}UG%m|tdf zL5%%?KsYNS7V&EV5JadjZZ9gLQ;|G=s&8m=gr|px2s9Rqn!38UsFImk$Cg2Wiq#K9VJ_Q*e-X%Ym!_MK5naE$AMrv4%$uzu4R9{#R;&I)$+F0MfrTGb1Me8Gjk^ zastfi>v^=?@b86*7J(^9gD29?{)Hq+FLT6hhDroA%7XB}5mgKfdOXgTUS3|5KPDc| zmz`D`AcVoRk$-pJr&u@%n{w7wEf4DhijIa8Jk)UlClIxS++WkR0POkR49qV$zy6i( zzus!r>3PqPf0YUvDhOgYK}BqFeAss~XX>3ec4c4e4uvNGurVX~Q(Mij(PA3+(_Xux z?uqp$0ym7|@ISG~HbGDoFurv#HUYTZsiC1gQ#+%}E?Ve-AJc!gdb;|AMo&U|w-jfs(%uRR1^qphvZh%#0)#5()lRy`57PQ8YxF&@O=j& ztlb~^-9dUvYL)u!-6QTd^s2!v`sMZ%g#V-N>@fUyV!0<%bb8l-e!jbDIrioog=XlU zbR0qfT{d=h!n^My*&HJT@w|BP78RA1QuLNHOBtyf5hNZSR_klL`+mmRa=bnTgE^Nh zf@`^VNB$iO5FsYcIt4yGK+vW9$|S*LPLj*uY|l>*=TeTjZ{+0&9UA!T<1*1Fua6qe z=3jHfaugKw%C>&XOxegvWbJcw+xa`lO*2){A9!i=d0#ahIRI!qg6>#|hk<{7L+tlv zYSN@oGTXnF6z%+@g*%D-Ury9+VRx9|tlN(WH!=@}^-TRSWPfC!)yLWxYH3Q@HoH=sVu65{(U`XLS=vjA{a!D`EW;Z}*A6VnOb zFe}t)JF&wbOM66d{q$>QYjO`7(5IA?!X1oseiMA6nl4QxRYOGV8O;ygaQNST@wBzd zOuv~XXg!5qW*XgR*jS1YA#cnOt%RCW4p#DFjT8MIN6o-55)6MId!6eyT+xKqx6F2p z?`>GjpWI9;W@FQtX&RIDZT08H1<}o z)LwX(6B#b~4dDLp5|@Y!PyO$XebfK7UpDrzS8<{zPexLqm~Afa@V3;qU!)Fa&Fxg} zPkOud4cliKkKQ)xMaQ_>waYm$rMp^h=?=w9MV17=Uu1Jv0KeXB5MJ{!=YzdN({j}3 zd)=Xpt;87{KK>~*imw4<#@tH4VT{TTS&TD#M2XlD)7Xa-xnBEK2Kc>)m+9ONz;(s= z4s7v$(CK3gNkBlrL5g1hX#_!||36oe?vu+)&YY>JaLJM)YFjV}0+80h`}#FsQj4$zq9SU*| zpgE@wfcl}AB0M`kPiDEKf#EKFxtp8vDSeV%07-XK+J};Q?CJnB(}iRM(+nV7vh@G> z%J;xeH99H8M1f*%F$Yx&9ch-y?*T-*owzt-P03-*Diop{!6S3p3P(bcroZ;?CL%KU zM1qstLhtay%?z5|rElz}vD+Ue4cEka#x@0{ZOsK=G9doC84q_jv^t}A9Iqg{Q@ zZ~qrSr*_}~VxKl>F#gKZ)47?Sp3ay?i%)j#`#py*W3+f^X!`EBFQx<6OukY*1y}NV zTr)?uG#I`{^E?Kd&U%H1o7=i+WR{O6ePI742`yy6xMBU`K!&uA)Ua(`A?V$8S|%aO zP*WP!82Us43A>*RN%Y&jsR^ruH-kE<yTD3p&+x7UgK)Ho8vR}dce8sDVD zg#fiuz<>`33%x_MNS$i@5OLm)ii=T%=1pnf7753=5urt>v;W^`lU~?0wtkQR?v}TC zaO9Z^QwS7%4-#E?cED^zr9_!}DZ#DBs#{N;iJf0c$xNKg-s8H~@?t}!muz<{9V!x40=ljd#;e-`+U_t2(4-9vb zq44jJ=Od)MvG0-l_l?DJQ^w2a^5PXhQ)ET3R|lvKx<-gayV_TzxcJ28W#c*f4SZ)V*DQ8nit_iU^y8N-7?nqy&8m zR4+XHf!6;yu|-rvg$`u`*vg7u>kYg5^kulu)f|qHg`i8@BU+;QkCb;Wq8#XjDI0^HC86Mgl`3bn;ukW1Jmn|2{<;tUvX= zJ9}3F(=P@RV=9CuFu_OfndiH9h6B@eTF}Mi>A;pyAYgijKk0ugq!);I(sS$(?x0k# zd0T(0rH|nR3JiPF0(f8H(-d)kldfz#dOo_KifcOfRjfGt#}XL zx3PT}NC1fXnQmhJbxb`2{4-RQqQly84B%0a1av9kh$8afukhA2hMF|cE?LL;x)}en z%Ps>3QAEEJDE9sX?0g3TjcTIpbi9LLU0SNa6e(fhl2HhLJ81TMvc1~`7p-FOF@U@% z!z)1kC&z@_Pf!GC6uplgypegr&Ej?oH0nW$BVC0qg!408N&>vuILxA}9=(Uj2tGdQ zqX)0+MnRl@>&uJzl^h_aqO~t&(tP`my9}4?sVGwE-&aORTErJ+5ef0{eYeWO&L-Fr z-!+7BB(Ts$a3t=dV?ci`wlly^wqWT8gH5)jk!A0nEKc*RW}o^)yGkD9-N_!Wql3vF z>fI05^oFqM#tLrZznX4Kr`tIjLGbSO>#Yv9wzgpDPw2p}@$vug#qK3?fJhRU>(qaK zvp>dwgQUM$ZzLpG5cG0y(_?7V*M4wt049kT?+k*qB};eSKpd?NDh-VFc=Gt!%`U?% zuT|x2wZ0d^Xvk5yHO~=y96X~muaT-{c4II{DcSa?dlUB{QOy_nM+;82NEw^(G7+lW zWsuL{p)~&9=JerSWo5eKCOr_CaK5aQ!PMmDni)9#Lpcfs&zS0;T*ivU^eb05R`?*q zewSzXYT?i`oz@D0!qADWGF){-eBObE6*rDUKn8QB_knQ}Meh+t6Kv&g%c|)H6x!xM zAPsItxvprZ4m2x4IPd;Ze`b}+T&Y6!`03?-%+ zpEkbKCv7!@er+DsJ}W$H4R7)%Y|g^(j#xC9zC5lccisisL@9M{;I4+@6=G=dp3 zW=FW@fc*YRs3xZU>&Q`O%@rm@E{ZXgVp?uhgE*ckZm+aYl8ki3t=Y8wg zmk+MZ#Vo4mg!q(MI+|*Q5gRU}KEE6uLtzO>;Nk>P)1T}>z zP%posn3~(6uaD2g!mq{!t4R|~& z@32bv+-v+6Yy7xT?34*(+(P7u4vy4&8RVxr45oXE%np~Ej!kwZoyCZMw&eBW*oe?p zYx|UT%Skr(D)j+iXoQ225l)8QNg$n}^Nd|^J2Jb^ z#@?^q{X7N-6I2$d$E#|$Pu(lIfIr4+|6W{kjks1xpcgtuQGFP~c3Tn`{eS!%LhUw0 z^x+888-1`QraG2=;$Tt+1Qq2Dzc_^_A+>-DiybhqWVhJaZ${%6?`>EEl<@%tA_ z7zvvK|KhJ)(h;8Hw{-P!$F7^2Bs)OjpzgQ55xQRSUu#bn%r5wYRb5pxZ2c#Qj+<|m5mSYwcm*qb zj+6F=(sAp*o3k&8J~VwysgD{|WLYS*Dyfc5>Erlo&y)&N{=G#p?W2c&(N?Ord5uzRijZP8$ha#4T0UR_@#IMDmi>3D}I@zb*H!O3)Et>V`T!I>R+ z%G8{FN-+MeU8Hm*M3D~23-XuEm5^gV+EOZ*xm}N&UpVv5czvxnc)=#LK6wqd#cxA3 z#naMYV_N2ED-)MrCe!#Diksep>kwP>8R5K(&^)O3TX#|Q>*$?S3^ZmvgQ2EUYgx-J z6W8zF(iTqw?uM(i7I3eE&PdLL%FlBrOmb=grj2p z^1r5<8vmXCF`L@dHFcpim3QBFGsZB+ah%V;{0b^c2ppbIcxG03blh!>;q=t~Ft&(X z_ZRiLF-x_d+=IUta`nc@%k=txcT1c6-K@25{Y9|P_{&}H(ILW}TC)7(9!VJliA7@) zE%=5;1`wY;J&0?+g39p`J-HuH6NIJ^RrA)u!QMWAG-q91s?{5y9<=8SO&M&lTkgk# zFv8=sU#YI|MdTg<>)dEyz$hy@d~#l%LNPYD3@BREP*_;l*w`3|8|Ss<1D6y9PEW5# zDy)7Kzn-KbRG)y+M|mx)vMhF48TpLDAHjQ>(*jnPJ>6gylI9`rR})uNsyc)VevBp^ zT2@6{H%7KhdmDWv9sjFml_qU}o1+gU7s9)Zo;c15ttuBRtI{TJ8$$JH-A?WP_ksCU z)y6K0`$Od)VS{kNS>xhJ0onYjyFTM5a}gTV3p6q1mST zhJiflrJ~lo2>v*u@zt8uKpZ4oL<4o}Tr5;Lqoy*`18H(@@m&7#4*#qn%b|rFzbDR_ z?9w#ZpGrb$rt0&1V`s078sN_KTOwZzF`9j4=EmNB9l3^untAG;!X(^sb8#DyJk61E!Hku-|C6o&Qmp!DLi|HCtz(2MrNa!Hit}CXjQ&S9sY0j1#?OG6N*Er~F9_FtuvHj@}5;|#KOqq1C5)P{7(E;Lf zM0}sG=U;NlbgF)D^nY)^B%-c`e1)M<$?=nLJC39245hCWGRFU@Bp*TYRk`eAe}mYWVG;gz0={A7}92_I338vV4@ zLXTjFl+^X07=|#V^@FN){aNrAS9H_}z7q>vm=Wp`kv!zx&?kKa{dw10exda7+u z=28~Ph3NhzMYCNsG)>X<*2=UdHIpecS*nTrhCwg(LDKDMeo^~tOJHa5ykfz zENsTfu%zBo$z0)sS(xLFeKKey4p@+^YxDB`T@U6|d(rVRT^0N_VDub^s-HsXm-P({%_NAr77cm|F3sXi>Ki8k3pKo-7 zKZa4acR|7a>_EDrzf-*G`A zvCvoan4w5CKP2nzUIAvXVj8Qww^Azc>x{rtu4*M%AN&40jV=Ji?6#E1NEbp{eD^fZ z>ye&BBrF7T+abEa-+R*sFGT%Pd)%ce8Mex@>JjpBeTulaF$Pi6B%y7p0ZfZxZoZACU9ytMnIH)F{T?Q_GEYYvi*xg%W*({JUPo%3= zGPgrdTL^Ce%NR;a3!n-BVoGhpbEc6`KL!@qtO?qja7$!7@%6C|O<{g*2>qb5O^S-k z8+1c5r-*Vs<||_UEof?0NwKwLR(I6i#TdQ+aII+XJ37Y|8Y_aEd}8XHdeWqw#}EEt z+1b(u3~>#5om)~8;Es+!z{{&;Q@H)-S#>Q)TzCa+j#7Y3{LwrmxvQ3xi3dkhgee6u z58hbu4kjAP5Bjh{9@4DS2e+;30)$gs_ECQOW^#ArF)$#4gwxIEvX{f$znMM~J;Mah zV-#$_YJ5)SM2`=(7x=tchY1Uo@=TOh9*5v5cDm8}T=T`!R zI@!<<_1W%=A;(yj>|wkZg;NqvmM(iXT&@2-)Q&V(+~Rd&ftU=PdO1^rH34nEgqE zZLR$L-7+M(1H1-7I1pMBfxt)54LZg=2_C6SR7NU2G=*t~_x4!P1oPg$6P$z74+j5g zSF>D>$I#m>Hf*=6+dz5lG#WbBk zpTRzNf}Y&W)^8uL0uF29PMe*ijEs+=Xkak|58PN!+0mF$tJC&HA4$qMztyzV4ur^S zIfl4NE^@(x(S>l#zjCJV8s~h(+PQZPxgY6|P%)YxKsLo0_0H8sv5hWs@Ll&Bd1VY| zB!}W{wDyB(y)OD4k4`(^;(uf_@~$LAHF~Ajh?f+Fcp0Cv+cXt5y1Lcm?wE51lu5%x z43ld9+to}}0-o~dg>$~S5O`=VAj!f^>O4cQJ>7+-e+U+CQqym_!NpR&&;9D9fq>n^ zxAKC(Z0B2jX)>VV3s}h!_`C~e+%FL_pT$N>3XvHXhdl3(iHT`~m%BusFtj^aAVf?y z4`wD;E!v*nC0w#Z1UL3mlLb8aYk9$@bY72ov4Idy*>k@ob;4SQJ=LgCy!O)ylj$oc zX0=wI{59mw1J1n~Z8-wDt4FCtTZNAZ9-?uIZ}DB3mH(7b0qCvcOIXa1?LFh$2D^Pg zz;@o5l0W4W0|$|4TrvT2K8I;%saSL%NI2B%9A(6qK}ug^&AL>;$qr)T(vLZF1c-KCb;`7y%C=(08!=TGOOQ#0l)firV_T0_P!0j^k9{K9 zzpb_8H0-*>Z*{s+pD$Fh^@C!?rK2_`81`6Tf5R3;)dUoDtTpPW`CA?PXHxi#i2$^@ z*F)7Je~yr54yE@5g=0no8go!2tOCPskW(q+uTD>K=EOqT?EJ|@y`;KC$K^TnZVdE{ z@AH}g+RqOh@jGh}hy78E&@A#%c3QvnMhuQ|Al?4`smM7W{1TBYrRgeW-|)f2kN`+;F0eLRI!hs5FX@ z%sA>gK8Qx(VZn?Z=X|Rt#ODqtMH*UW7IFoGIgy_gUbI>_1QMdk5}PpE7s^m27wQJr z&=Hu(;*9ewu8(YhC>=%z?;6q2DE&^-8k;7eg|@#I%F=nEdMyi%Gk$JlFG?YZ(487) zv&1rG5@85LXzS)d6z+W!lv#KHa9VBV@~GT!rms}`%#-UP@xDYz03HI2b>P55+qS$U z3VmE(8nihQJxg_ee!OncVFF;_|MWA{)6q>h&A_i{_05BYOJ-vUz`e7QsI3_YHTSR0 zk65)+T~%5Z5bD6KfVd_Vye#?{+2EwxxY#UPA2LklYl79IMx)AGtvkkQcnsRk^R*^McF0#|A3 zfjONqArEa zSzJUiH02rq`4F&yvJak}OV+g8{&z&u00@NZorU%E-8RjJ!@qy^y=LHN-dg^Y2Q_%# zxN>)I(tW($F(>T%3(oL43)C!I8_O~hUE|btdHqpNMrtKodkD1l9Up<>;_SK#n8fA1JA=Qi5SFz7xU1v;JC8y0=GDcV1NVPo%8fR@zCX?axU0CJ1{3 zVDOtA`?9d0;7dw}z;J=y;U!uK7Fq_5l%1oWuFeRGAPA{ndd)A`#mvrqeEq5xNgIWj zRRo|80Sa&Wx&8*JBUJ+K%5_jGZh#tjL>ymBfHD~f9O>5I-{_wB4THWbvuV~mI@ec~ zPgc6azM=GuOkNlS)Lj!w`*^4?2d%bUab4NV;xg(-B%y$4^ZhZ(sDv3Pm&jADv14ip zMuxo|Tjgs$`5Rd4AB+Y%bVh!|RFI91{C1UqOOWbD0fRP*oy*Vb=9~)Al)FKy{}-Ge z;NUDm{4Y4&XIS$U%cF4iaUl-bUixl>J9J-{v%Or9{m{u^k?{bR$mNQ8#qL{-3=H8| z7H5*V%BPFmqV4^jr1{kRDFr+kPuY_yz@=+1;ar9>y6ISSk#vHDdZbA(o?wc)jxq zAc3(kN#OI+w&fT+-rwxf%Z-j($BhxXvwhbeB2R-7 zhE)~K*lSwo#0q8=zZv(NTVv&P+~5o*YkEpmO_o zqs*&Y<9HovFbS24CxC!^Lq_5uuhINso=xJ=;jqqWT*ShnasUc^_vI0ZC*pf7E4NY& z&`eG7=8fnuv7|Ri5(c6n|A;A6FJwLEXPzJ4QhdW5Bg~Ft8#xXv>%V2;!(*MYn_H3K zlnX<^Go<2>9G$7K;i5XtWk}v9{eF%ij%&U};&HgcYW(aqT*KNH-*c9FGTl~GzLfwI z6?{Yt;R+!`*7)?RveWtqaj;@@SxCw;g(CYGB2g-&x>cAt_%tWdC{@tUT@Fg2r5Qx- z5)U2=#pU>!kG*lf!R782K$Z3VZ4LsS3 zskCi{e*aHqfWrM^1Y^D9eJ51~9i`~SbS5*l+a3O+)|}*|#ED@ao>FRQW)90-faDvP z0Nf9*|MsPG#{}MvQ+K4r$vFm zpx275sHFE4rSG)L{IS;BRp~yE) zFW2|a7I8F`%~^-Mwy(R^!dKUY+=^OLvmga6Kx5)a zQb3r|On5nXMcGq?o@Fky>W@&cAXy;+P@I*!3(%W>hN9y9I51}pPno;@MOAHrWe?>A zYh2~p@%4hjjJAAfM1K@KekYH791G(^t)axzkY>UeGL00QB70}`W~VfY1xTb^6;x#f zePBq6-_kiR^*t65qsf{F5&G9aL#7JKGUM@T=+(MwURsvFc2-scYeJAFELVn3GN{t@ z_zxsjApk~J`{e?Ew=4+B2OXlAk4?P2oBNaUH9HRjF5Xedx|w$W_rFaee(rA+jluv# z6wLNGF!rcL2mkW^v~3W|+Rp%)OOA+yq_r^jv>kLsd!iBo#&%o4g(j#j)X)lgwKOibqhv@6p$QBqh(AS&GZ5L&4vThDkYK&h2) zw=E1>&%L?Fv2IrrUM2ED#9^l-0w15|vSA)6vBPN;-hi2JQ@ zu?U3oF5a{mPlnEEP{f@SX;UW~ZdgK-e9nrUcJ#7eYj$`zi+3jE_lDLjyoX(daReGq zB&85{{xVyxgEbvShsNjk1~7vWVU@~_0eBH~X5$G?GYtblIRvb^LN;4!BxTV-W{W@_ zwJ{O*RD{{$qiGv1k1$W;6V%S8z;ZF;NHPL$C~BoSTT6wK*`-4GiYElEpBnmx5mApM z-%PEsYl0?anFM#K;4y@g&bM{?QW#pqfGE7G)v=Y3{d|yd*3d9On$SE)FJ2O9A`BX* z;GLE`)!?e&?|kN;pQZ3bkjAw58are+iX(kq*nxfwuL}cj0o59Eyjgs+x_lz1cF8vy z8M3uhWs;0`;IM%&S?pwRz96m32lgAgcQpl4uagFo5#00Au>mzlZ)Sdz-G}0F$IR7^ zys`g=fItKThxiz?k%uDCh43@F1o?^>Q&&HSfHIMNJ(DJF?=8ORo2I_bg~$k!+VnV| zoXn5l8J_gE?$q}_jHx8tDZ3m7Jf11o2Cv9%BtNy0A4Eto%y0Has4$BXi1UE}lB6=S zn9Bvf$H0{y_06t8#}VtjHb=T>og_wj#Z-t;&yeI%#+a|{z|Q$6MjHMWD7+1mP*21e zc)JUGJ++#ucW^SH&7~bPhe&|_({11&)5`;U^PKmc&DZw-v2>PUZFF514jw$XyE_zj zcXudmg;Kn@6Wkq&wYU|B;_lYs?(W*61^UhNUf(aSIZQIiB$+v9@3rm~`Q%9bHsz0r z1kX}{qEV){`1)5D4EvR)YKAiWm^vROPGd-r_d4u5h6`0p_b+EiV$| zGMB3*ue&5&0-11F@3O-$VSZ7V?j)Xi;;QOx2thxKNIy?--|3<3=9ti_Ego5kuCeVa zpBzj)h7>`38jr)YYP?6olO^X4TJ>z{^`ld3(PUmsuJx8UPcJQEeZM#{J}~PXxlPqfa(HvqG_Lf{do5!-R7ToQz_KtT` zrWpxgY@1|#V4Yso_v0iXg?3}W14qxxr3v&X$GJP$*>hY9a4%0%b(Xy6mSl@dMpz5D zdn0>_h_|>_29Y66lMvQq+QQ4VBu6lFGbA!D%aw7>=l<#G9Uo=Kj?j?r*O&VP8xX@rfr z8mRWtsMN&DL~y=~-j|tSsxkW=HIe7qy{2N6T2-tb#d2M6R8L9mlCm zvt;(+z8C^_dGIaQ3rm_U2t}8L*>Y|FxkrlOL|Y8$(qk-yaYCKQ{Hv0+sla5+ewfmq zlmUN=14wJxM6cH8!`yBP%+eKi9T4%{Eb1qVGEdmCZsn(uO{~cr(9(kOz|inuH6W!BjimGG5GQc-%p zYQOpYu_Wa$YLp>_i7vOGju(K!DeSPOhH!JWFO-oK)wB@r2|+}eJO6-!JR=T-%uN!? z^HvP{+g}XRY&o`62|gAk|A|hbU*K%byKH#l>WID(U(|F{Z_KodmX1p7p;d;3(qQ;r zbVB5Y`DT9BgbrL-xB&e;VW>zkXndPJd?p{aq3NjOVD2F*$dt7G1w$AIkv3;7G*gD) zbD2Ib$A=<=bUS z33*xiL?*lT;}cQ>HsDmGT?Z}06FzKo;QpvB@E=y@=qlE#0N5G_WuWVIPgUyou7AG> zamQ0&e;4T(iIxEgR>@%lC4sEU+{|qOJ?~pTd^5x@jrlnbCr95OskU~6>{52?R>o?? zBjle5&atMAui=BKj_Icuk!M<{H?KraBelcYJLcaRZ@4Cq?Uk0eT3TKB-GhyT5@3US zbr=w2ZxY33DZ$+iSu#bEc4FnpT9uWMBRWDv+4JysME^U~?_a7)X!K&{y0Uiau^S5F zg3?Z`cRJHmo;d^uTs6$`H1@Vrt27|menpqIE;RyWyxmUt5j!tW@QUP@0h!3cuV1~K zO8zT7>z;p>$z;!4*N)Wj4#omQC5#aTl=cq~lbcB?wleAtjDz-vM_Pv72=_ zyPps{bBO1dp4m^F3V%!*OCea7^LWz-ssIiv`*mLe7^W?nDgGr3G^3(*G+Kqo9udVr zb+}Dj1Ku3DtQ(pl3)TZt$JDp$vTM$gU}~KMDun3(FD3%ZD#z2z2JIIsAz|)shAtZ3#Tb@N#@i-e zae41tHaYq{pZ?-;W1uGBc-e&)&)D@P5D(I*@b2^St_42+R;SR`E-vgG6TX@Z9c)Vb zrHzMox@h(#)zDk7epQ6bOH?^&?=_^E~zDZ!j zU*|>70)z7i5qX-E`~?T`GyK5!b^g|2!xiYQW94Hh;O*e$OG;s@mHHrC)XR7N7KAn= zI2oI3WQy6jYH+~yf|EyUkL?uTs8YvHJy~ypZ6uhLW(Q#1Z%UnLsSEWW_X^75x)p(#T~A@MTryMt z8Pyn#DB;Ltp1{s-BYxC;xhUT*LRQk=-^1-}?+O}NOT{}G+493v)0Q#19m zX3>vqS9c{BWL@}}=%lE+8)61Rk`0{%Qul8J84xcFnlY8*{;D~;E8wvYwiE>gFYU_j znI6y9`M}}OaV54ffNP^ijU{ZwHR?t}>$uV&$()|ljOHQQmocVA%!;2j8wK;>a@}k4 zFesHB`>LlW37h9?UC`$B84uEdQ6jYIdu9^aw~cCG&1qQ7HgE!DqqJvX8%dzeJ-#XI z(|0{0TgL(|l9uLQi-)GAgxc{bcCaT%A*Ww7mS&0?MO4dJmRKfid;5tuS(Li&PPHW1#BbjRxw&x24c}!PR04!y zipl>x$tZi_2RUPWm@M(7b$|WSi^+3BVycxuw(zi~^{&A* zIY!H+8Orr?+At4Oq4(f3ZF3{reK$HFn9p9r%q}R1l~7y^UuW~UfZN$H_J^H{%B}8T zd701+^r)&4D1<>gf0g6BD7iZc7@>M@hJ}kiMR0J!qSeu}z~ga+zjOOiD}t3*T7^Ul zi@3hbqndNkChx&gD9O+urIBo6{TZW(9N{@_P|; zXByymU=6}PA)vRXe)epEoQl{}bBaX!zl^_fRK5MYBmDC$1yXONUU{4f3ZKK`*5@@f z*3`rTVz#;7&@Si7)JY}P9*}I`z=)x)m+OKqnUqK`XJ-GXJBAa;l;6ru&uV)%ch!LX zkX~SeJ$Uk+}{h-+b<&^Itf1&b?b1TQ)vRaBjL^LN_f4%wWaFMDlux1Yow z{2;9%D`U##9y>S}h-K`wiF1!)?ve)Hs#+?f5kKB@!tQb?B$1@ZJmPSPxoq!|3H^z% zx?E30Hu^>1ck}pYP-g=^2xh+}wCJJhJVIA2#qNDgV3zENYXVG;%H{Ry{h zg5a!mTy3d^dtssN9FF+9kb|F|i+&e-iTO#RV#$_Hh0R$>|M6(qW)Lb;M+zE zqSkfkT!H0*g&~$mBZMa-evg*CvVnWiG5iqJzXwo4)P`LL9{{dwk?@0ar+|wA=!}%p zT*8GjTwOAeTolkkkl^FXeO)~ROCSk^YZ2G1uw1RTSpkGMEBk7w#(#Bv=`OIFVv;MV zbOc(+8~`#9K7fjQ%09cA_X~-uS$t$e>`8d>JO68G57C0@$ZAv^h>2}Roq_eq7 zIi3?l7JZbo^bj3RCi?eZ%sq7n8~xdnq;aOMFW3ALXMU&2zxEU1c# zX0K*0$)dPp0&VZd68(Q&=@{zode#5-Q}M#;|I&7>hIZgMnM%DAeWdw9p2YZ6S>f|^;cTy6Z{EL1WqT9 zdA=9|0)BL3#0e4{TpLxk%(%iU=f9F({)S1(>J6NQfdYbErOSF0yXv$*z5~%XAA3da zmy0&LjM=?AkgrdF%JpS#lXrG$_LVuJU>%<@hL^!};rS?5#l42R_1N zO{1Hi#bPe)K{G7z1V}KrNe?ixGfQSc8^X#5epg-mHsiS0hAyh52qO8I*6rhn${!~I zu~if1^M0y&l(k7Vm(_6Z3Bb)TE@7FvM39@YLQ~$(f)goxFoOzY>YibTSC9O;%2F4sSdwHV~P(p+leR_Du{@0u-dESYk}e#cSsXFl~P!Z0&Qs^xAM zGR_;9-;bsbTkqn~ACK}|@$oN8^!sK}teK2sHXgl21|1(j8cTPo{F1(7fAO?JBjqsJ z9X?&rLW5;bV{a!^N-D%LMpXJF4l^F^dx)yyYlsvt*mDb0SI)q|@`zMYS~`Bxhd~EW>SCQN~>Gv=n5>H`s#LNI$&m1JeJ2V?W>3RS1gv` zLzkC?r-NH=3AUpKXulGk{!o$E=(IxloEy&}n#__XCL#J`Jk2OI@?>gzvR33#r$`YA zoof`8?=Im#9h#DJoPUvNu#Zr2tyY1fxmkq2D9sZWUndBMW=6h8!f7YyNo=BQ=|#xM zM|zzTQsC0wv~(9G0m@YeV`CDG5gZ14e5Swm3nEQ`_$w?T!rNW+@44Nz0+cY`YD8@& zJLG-eXD46Sf8ovTn!W4kFHv)x{6_SPL2*vBYoA_6PUY&!EV(P$-C7zT+DjJWsv>^J zqB~|I)MbVcN7P*6-l~CqC~y(bV3YzCI1R5jygabRMVjqVJ`>hhHooe9n>q?5;DqOp zcG#1qrvualmB@}KnAAzk{UuI_uYt)^8N5^nZ7l&F$WW=iD9(;*fmiGV!R&Fn|HQX; zGt?lm-aLz+*}Qt+)7N3yOgjlhXeK}WKKd4PX}_jIk29;=znz;)>J|i>fW57!WT->~ zG+Z<7mJ>tVA-=y4Ra@783$6=&&|+zmOcpJ@YQJ6h-kX|y6mwaW`t=p;ZL^9g@Zs}9 z@%4Pdc0!`ez0ao8?1pGv%pjRYP2FDXM9GD(P}OkZXK^2e9kPQ0rFrs?93f!nl2kB* ztL%Vw#CRgJg(KJ#nfMCB|C~_0LZ+zjyu&NA^Zvbp1(njF7oq6t_033n9d6L0qJ?KE;uJcdf3*zac#J*(m*@X4<{sKr3slz8pZ3E_7=Mb<%66UITkDvgIz8!qA# z@i)fnCWf#-8?9z$jm>0TQ*agutE+7mqCXRz*rWv>FW3qvCg@s22@hojFkN1VjA5La5 z;l~1FkK-)bc~l3l&v(9;ZDmyAHYzGjk)S#o1%1V3H!-RNm@!r|3|8Ts_O#)br>pMk zeuL32@lT7Ls020njCb9{lPh?|8fD-3zQ+nmV&#-*J!Bi? z`6`h*=&733nbBv>qJA;{8X$@h3s-<0X3;uP2$LUT*w-*t9X{7c-5q09X-GR?bymaV z*9i1NZJ?uY4XZ@lyEE{w8q0%C9A95=yc>0rFGK!Dk9Ga3BQzgC z>R_9unfP-x1H)Pjui3Fhf0Bg znxCiW?||H!EMMGF z=*W?kx3|D~5zuHF6F^<2mkdVKG%OkekDL?q5H1C*VuVmzY!_O%#yqxI!m=_|kKJvqPlPAr)j}GJg@#FL? zSxGaz(aaci6GT?GoOdM#nd=z$5?5(aiMTd)10z~Q+UuNARiQ3V#1Nh{L@At}!6-Z| zEl)W7Q;ys>q_C=O_ZDW^G_12u3(=pBQNyK3ERa&~KCk#^{h{8;A{rIKH@ zpR-gJDOGwuH1&s`b{i6-(^CGHHMCzgw_pZ1Fjr|F(Uup^+ct7Xt)y5I1RS>;0=9RB+T4fx|V?diJO(x{YTWzq?sn z?)e?4`z}`3?qdp_q=NP17Tsoxm>qZZjEvGs<@$CRt^)Us+@ml_tbX&4<`vCH4=ion zUY-7J!cRP%mOKuL)>~%KqPzE5qaSUwDq1DK1poYS<86HOqegGhSPS$khjS;s+K9jD zBTm5abYMvX;X4@#KEsBD;Tn^_i?v%SpAhXGr?SwZ2)&jV%w4J6k%JM)7@JHOjL&GbhdBv4mlX>XV-`F9@}s!s$TfJJu*_LLJMs2C)6+o0Q||8jV;^+D%12hSeZG`C7Dewj=(A&Y_m7 zSfK+eJqT5?#POIlhKoacCk=QRi+qRf`c4oi91!~@H?MocI!Bc+L_>41 z2g8>4@wNSVhh6K&1#aPX3Ob4cV5Xkg(>*KVovajQp&!{IdP!g#lo=DH&`_zP8YHTa zw71KD9f2HNT{YeJD84i*9K~wZQsCuQ$yF9PV2P@lAP|aw)2-8cJ1Cr<;v`RvvS@Bso^}bi<=eK5UeYv_?L1Y;pP~fb;y>;7$(Fo=+4k`|w0MKr*-0+g` ztM3bvu)))hks|26Dh`E-I#{hNIQgYv-Tp0b^Agv^`hq(=sAzrd4A^vL_WxQNTN_Ef zFgu=A6fd+~QI@3iCx?veNd^lA3v8pqQ24VU>F!209VqmBjIZ%Ymx#kc@bz&QW-Jq^xtNJCRq(D`TEZiRowh#cHq!!qy3P6`JZj$AxhzlNF4-r( z=(W|y9gI82j3IFo4R-SR8I)w=YE+K%8y#&1(*HIi zash!;LNvD$1vC#zC>Xn{n<#xv$HoVPeZ>L3m)Yj?yi>G=(EzP|MP6(ziIKQfNiUt|aji>QcX=!EBHW%T4~vOZ)H8)Q)@ z=eMs0TNrTvDjm|AdAu@&m+ZyFlKQr-JQsA=X9Ocf26OA(TC8(|_D6_XR^VR>THU_5 zwb2F3So;f%+r7`rta1hTLNcX=zgOH7PR)6a4~B3YX@*su8YJgE6Tk`EzJvFVqc7^; z$R^ny4+KsVbZ2lPSy)oA%R?F?>{`fU931!&J6?|~CTY6H%e(gG{YjOM+1sAI#b7cF zksK*;lEq-aws@+=AXyqF&AaI9FdMUPqnCVm~F5UQ2b&nAT%}k?Rawrhtf0%6HMNfmPDc|Jj70a(DsFSwwQOO`{0F z9tiQi&$P*Lnpi$;yY2DDfDu|_y1YmoYb*&Ne*Ne^>3>ghWUwWeqB(7_713JNCe93u zWek-^)dR<3wA*J@2Dam37|)y5BsqO0X=?tBM77382z;Bm*bY8A%H+cVJ^BW$ZG*(b zH`ko6uOF>-WGn(QLn*2CMB`qjr~Y>YTi1ZUz{s#i{PY|!0KA4;^%NOGJglb`V3W~! zI{%7%j1aFLzZxIdcJ^VmL;E1-5Jk9=67^#D-}_*+W94t5z183-q0(hMFM5^{$5-oKhoATwYn+)>S`G${+ zj_xYr_0f7si@Vo-0F0D@6u4oO83jWUe??{xVSOloXi41`zNL@sa1IN}7EuOQ!$m_! z0$xW$LL*6*k~JkM&%xdH0tk1=J2l!^P-LKzdMTw32=riCSod>MOE)=8EZh9uoy@d> zX9ZbD#?R>*r6|Ga1cX0oOuWMG}4| zcLCD#YG8@kxY7x5?X$Cqn<|3-z5U1BSdKkgs&(G0jV|UNx+lfn@(UB>`>{KyZtLc? z8soNxAvIvBwfy|JIUvG5lC^Gf(AEL)#_V!16p-FY6fup_2q34y;$B$7H{|yz_C`RG zIYF8m1!I4S;}`5g%cY0C2R~3T=Pl~zzsuYY2+fviQstxa0c*)qilpQJlR@!nAeg%8 z0%O0UmzWK8UEE%(g=h&de+SC!2{m_@;LL()>q-7IX0&_(erUwykC-uOMy|Xja6GkC zXfkmFNh6{VyOKKt={wT574Pdb_HR(?(ULnFl}aqfRa+4aDqYi2_CD51ADP!_zCdvx z*I~rg#|>Ku4E*_UV9b5k{Zwtk;v_$?YY10~s+JEJWlH}8UuV5TfLV8X_ z+Y!x}d4&GqV+SaWVyo+`V7ZRod2{0)_Q?^Klh3f_s@)Yjs&K>gM95M z)daPmb(%VZY0_BrfYT0fLly@2YB)x*xE_TK`|e9~*nJmFcFcgca1N!l%U#z+3IA6u z59ZGEfnGwC{*+AXD0J-i@^nA^?F#}7O180g7fZv{uw4ybqxhB0xSpva&d%pxNI75J zY;UFcW)eyd)5Vhy)g*J4W7mquw@o$~16GQ&f3+!d!rs~)ye_SM=smmKkL*eAmM-4~ z*mp=gReF2ZR73&34CoZuAs}Af_{c>3tJTkLOP8r4agUhWjUvb=B1n;F8Q@@@Tp?qV zKP*s2_OkB<V2fFT>11+V;jg45kB?gS z$Fa7ewJkCirq2Q;%-KYUzDVIXX5)4r1nfhRgeauq*gWI;45)8Yo?tx3Ra8UR6F)PKq|E>ZUtH!*XNvio8z{8rLn zYt2ltK(S@Bs?D1D$ICjgiXt!>@FVpHZGLqN%F;=o9JynN-N$Y!)T+YRJUD2urDOhK zn`!<^(rCL{TZ#SML&sM0Cs>yhi0cZLQ*xUhcu4FxtfaCVYRz?EOMhJQTU#CyyXGt$ za0yHDT)$MYfcFe)vb$Oxddve5c8PRYwo_jL7Qrc?x5TVPK*gWT<~{3r*v#R!{qKM# zD26!OaKzu}3?RoLR>plmHRq!Ph4BsX>!T196ahBw$nYeKe|D?NRe3ku$O)ykc45_E z%*j%hCGKY7drRff;9Q3BN^3m+g;V1#KeS&sh&hWD)}Meva3AQTn>rh6G` z@OhW6AO7#})1$slIY_Mc6xVCEL)O{0b@p48_v6lB8Dq8)&fCdt6lTyIJ9heNqcUgK zxO%3z8lw-}{ZAy8D~uIRC_wb2m^SBv@0iBW`+k#*G`!{dyFBunaofiUs?FY8uRct> z$97%MUn>1}(eTrDwf$F<4tzky21zd*rbs>=Rv?RB)qi3LkV-aB#n31N3kzy5pmi&J z9XnoY;V@eCB(&fmHk_o2*-cd!Tk(XE9X>WJtg_|B+T!TusLL(5SJ_-bb%vj|Io1i~ z6}k?V8$M`+IXAh9Ac*ZUFGeX_H<*j}L z$tM@R%(F@|$;v1rWOhE5A)6Wp5}Z&B8pVK`_rMMX!=uShSW1>@2uSE*YlK1LZV2zt zbTAh;)I57a?gg&N4PqnXF;ix{>`J{c9em8Op8+Z~u?q=;%XR>iQdT^Fms3Xc`Desd z7{A@DxenPt!%XolSPEiGp@rQ0tjz-@REs?MMOY#82~oGGV)eYyRz3p3sy%{OQP|doj zSh%wyv3h94CU7W^jiV@!f9_Q7x-PEV2wVN;08{1gJA1Yn1Mo_%27tTYdqXr=s@ky` zyP$3T;e$|~+Tt@zuv*#K!uQO|N(b7=W^Gw)f@EU*#tfPk#j$FvDiyK(;U^E2`~gYIFMB zDU^49AJFVy%NuwK)*sNoyOPJQWi5k)Rr@5A`xA^nbtq9Eka#Lb(x8-c!FbA3{KPS)R69Mb>k&&T2AI(Yl2@3#(ry-RiZJ zao)5$j7_}^)i#c;o^d8<^r>`QN%cE@A*;7UZ+Yb&r+Lo$O;7&SL4>|#lKq&ksLpNq#BIB5l z^cViPa#a$SlX=?=vB$A!hMpfA-R>h_{+jX_(9F0tSxchg{uf^VeSOS_}omwChsq5)5M}Q!M7^H#M5)@e0M?4T=2QGxKMKsE4u3BM< zx1GqRqJ76Uk&=YLp?fD_&)hY!yKRdAhfp4g_<>S1e{}59NNzf8#t6RvT1Dxy_u|r3 zDv?wm;A#m68$J}OxnWlh9mWmaFM!Yn5yA|UVxo`uu#$shsNSCPl)glw&*Z~~XX>!P zX-qmj*2ymvO@Iu75(*paJBmB^GtAVx>%^}r%$AY(LgDh>H}TtCLlT1;J``j34I4%2 zgz1;)AW+IhKPR4BYW`H$6)A++1g@W9?8-0#6H#d8ah$i&`2Eyvu}&8?1BvNX^<#Ek zPm)dE4CDh!V^|j8+jjZfd(dUYo6U+?q?oeLzx5{4lTT{8H*Bq~%18zxy}~$ zx&#u8vg2og)&NOPatLK|a&m3wZOp`hFTlViAtrWVFO7nSlsjeO#|(ck7P-4Kk%6$N zy*!>2NyA*xuo+&XyYs%^12H-=bjqzi$t|Woglh3c?~M-kglg%!e(E6#+yTLefDl4! z@;@`dQ)2G>zz*X;G~nR$=Sd6FUXLWIbj~L z5POg5^UlVIi7Up{*&x}0wY~|3(c{-Ik3n8A7nA8&PP?V~pl6|Hf1T?Z_?x7i(YU6Z zCV5a{IwNdh^-aeQ-}|O)mKkh5n?3EbXFg9ulRA~K>3eqgupcqz=rqgA2^+&*U{xUS zLDxfgCfcR45)4%Ue|%t7x92<4PA%HLdmDH55b9EMPO{EpR0;$4^(eB$T{Dum9MiHl zyo5R*zMj0V7J!OUg6Ix>I^lic%(70s>_t(XP_C8_0Ir>iZ#BsiPXc64E&6s|S zSI!c0j^+{}CQ!Kw|J^V55hB$z4t4~RAOOW#)1zpER5U>2=3`7N!LvhJv6BQXibKKF z!N-5zpp1R;5F16?uuN+(>au3krEl^*6TKCs#5PS1>os?Nw2qmoO!j@BJiN8{)7L|g zXHp{aFI0vcmy4c{D)ja-bthQR(m(j{F;_^`P{m_RN$599X+G$VfNm{iFn2u+EW);m zeLgI@1GXd`o@tE%5844eA3A|lNOd3b~>6)Vrhy9vSGp`ek~zg`wBaJ@d}p?E3Tn67-WmN! zFX1*b#L3DP`_qop9dKl5Oc*(!sjY4G<9*O4Ek;Fb;mn;I?*wwu$^aJDocuKVRNidB zmUJ!pus*P=HJQVYc`;|84Rbp6O{3LI`X;0rYfSVrKZh91A$AZytQ zU+aM_^hLYG#+&6sFBlYx$S&BxAF9&Tp+MvVjJ0qGinss_0S`pdRy%5wwh;d)1jnP* zo=CL=_tLkjD|tR6KkGS$erqBceRM76*mSuit=#FcerW^U9LN<&h0%ajHE?P@2^+#Y zvtB@#p}WR1hIK`~wk(0He#B@N*cqs~o=GRc)-Mh{T)3~TA=S5Bp`a6xaTi)BM2Gn| z)*ODZY_q!YbK!39+5<&%dybKZ4;v}_J${>8kq!5IE!K3twQwlkhK;(uekPx1ayd`S z3-bME$w{MyUBfWIY5Xzlv|O5@-$#Vpa8}Z83I;tWJrsq)aPbotJQjRY0w)kJq@l|Z zjqR^fi9+z6v~K_$C^3)-aW^H;+&l76o=(yBYo6E5$hN}PZj3CVsq-OXjRRw1$_oQ7 zF(!I?Ic17vWAzLhyK2TeU(pGGPGC^C%@OnzyQsW-2#7Js*^FB?%I4~=$Q*(hSIcHR z5rfOQ!G%@Kml2INB!>yIpcU(d41|4x1CYBMOK0HgrL<=skn>>8>1W0iX@)KVLj-|A zs0;0sgNzc^sc@r=f)YT&F607&X!=1CeyZVf37BQ6b8C>QH#EXAkYvQElnGIWnIuHQ z8A00%kvIY8j25J$RiO|qRs3G?fI<{Yg0~zr_?}3@oDc?O;!S}^8tYY;8kGh&wI791 z=pa8{sU9Wx>nSaBTXar3)q1uY1?(5yMlVBNDDDKE1M`vd#*nm#L#C0|rK4YF*8O)x zo_njTaL;ubcUMw*CSB2Ec~X?rF_uN2#-LZ z#NLbG+4)G1875_^Q7PpZ8MfS`t$mZ~Cp50!??B0|f%WL|W_Zv#57}@MZio)(P$5X?fD!Jry&kD(-Knl~#^?cRkK2E%edqqDC zit2cH(YQ_~8s$UzYk(C=VdEYnx)L=kIhjBj=C=vC4_MUs0bptPdz4NT6c#33rh^e4 zAz1PJf*-7ChUm>GARSGi{qs4XL<3`OZJkf{UyZER0!Tel&S16JV0nF|ftP%|UvnNw zq)>nLDb3WQ=sRT+d)mk0!K0v12U|!Ew|kr|KLdF@jrSSdV|jGI4VQL>bgiVjl2CHe z^&{w%8D(2rUlYEUG-uILTUv9mvEXZdQ+ER-kWT_ZhLTty)|@9BS^-5QfWyzncc+&P z?v)8uD`_RwkQAe3jHDpgL}(N%s}Ioh!TnyEe2QbI>WHj^36G3rqG1$fj`a~2{vOsP zH>i+76B(~uc$R6!2L48-9i+fV=D)8b3hHCBuaM#Fqbb*jip=L`&Sb_djM7nYV@Ol9 zh0v~~g7amVJFZP=nd?n{DI6TF+ZbPb0n_E?{qnBbg@FgF@LYb+{+xGVpI*)}#Lk)& zJ8kF!%%Go$)A+hnD}FAzwAU3X+p`9r*(N-?nu)E(L)JNeV|xd*>@hMTFk;gD!W7TP z`l0~|1LcjW=q_B?Noc2RF8j^%fYP7ZbaY+%0P~E;1Y1iSnJ^y}RY6r1nrb`{{5zh; zJx?PPAhA&UcUtE(A6Y0h{eZY6 zvSpwaO91{45}C@F;;dA=00?bGI)5g^AUJlq(#bp@_N*6yub^}1v%TujiK9UP`p0q^ zl3ZSaHY`zwqABs)H`ZlMLEVWqf5yMT#G$=w$1IPNs;83;)XD(`VH-uMzQ3}>e#?;+?>1XH&O zA?Tj?@~pXc<`&3DE^@>U3l3(ab8~-HXrf-5K?;^i>yhmV{RQNA1dP8r`7zzK{`;=X zARq~G2j<%=v)y`FZ)=oc{`GHVe=om+wpYJLviUgwOZZ6_C;^~{FG=#;fp6Zq@b7;YT15|sNsE#dH8{sQgOc?eCV= zRr)%eU_xgrdKYyijNQtS8=wJR_)Edy*h$lt#Hb7u0|G$jfFX18OBvanni}& zH+fLZO(P$wrZ)p2-1}Xq#q|5uO-ZRV`{&0r!itKB33e7n=J6$aUgSVMTN|KkAJf># zAoW@0nMAr;iBMEuP$M;^|D$#O$U?v=Wmr0R`<&Rc@4@t>n9+D?IT}kz(G11Nl!nCppyYrBF|l*@8Ha4n;gjbT-~WdX#O znfC1(n}*9&Bze$F6C5YR!K#T{uP?itEszrGT|$l6OHYHy-16`H+JZW4(3%Hkw!4-{ zoZNYhi#F6NskoF zEiJ|{FJIxf4jlyVcD}L=;86gscfLU}31SIjX<6Cur8Bz1rlzLxaU~hK){RSa5e?<|I>mmbi|xP=VwHXJrQZ8FmP&Tr>Awo;d5I08*4LZLaw*F@}KVY zSwqC>}u z)24uCV<`3Gmr*sIkjKA1_dKEKQCR6HCfvP#JV|Zqp&r*AX8s&U(GKp&hbX;_EG%mc zHp*UJ{3h5hKACJr5hoDYZ$PkYip;xCPMa?>r8>$nm`wAuSSXMi_}e2*AH3Sx86@?& z5F|rJMzmNcCN>3iG)HAcNAxWH+->L!i87%BsE0daHr~j4nWBrbm5}nb4kdj1t86>! z)5vD0OlY5WJ&LCC-2G+s$yo>FA$bUjP=Xjr&Nm3n&VFDbUs=g$X!s=acQm<3Rn>7U zz$yYUb#?5C+n>!VZ!(}wVPgo(e4cw^<)#S#ODSf*&?nBG{!a0-uJuLkkplPc5S{{0 zJNQhIH1Ltv6qY(pC41aMOxwH-BIV_ZGYvu*#Lec75)ROfBBx+vY$#2 zW*rC}eQe@a#?hXyB%N{UY$stlxC2>Lox=wuaT=KR-JI zK4+!kBGS$%b#SMtv#sqB<1JJV7oHHmx3F+_z+#pKf0k<(#u-$JZB0FltvpR>k;8R9 zyMDU-XW8tl|BI)l&Ewf8ub!s8X>Fs2nQim9Vnd11*1Z~~*5P`>)S;*Xs>~Qd2GZ}F z1D_y1wG%n*=*kl`R z1~9cFp1{;&GBGhd3K-Q}BFIF#w9Q2AVzFmVd}xgR7BDn4B=!wcmcs5);%C$;68?JY z$;F?}6LG&A_bVTxZ(T#ioH)C%SiCQOe`hxEfHe5mwMZ6ylb+`lmcUM@XH_rZ95Kyu zUZN*Dl>(W~k&eWFO&w3(X(X2FoNr=Z-J-W9Q}ad@(;jpw;zI{FEqSUa$>iD_8XFt; z#^HtXFwDNsb}yqgrpj;}k4FcG+v&|m#BY7({`7(r5=~dUh{MHf5}IBnDbO0S;Dj>w z&Xd)A;{JEWiTl;Z?g=?jwlv;U9(q`hwUg=6I-eb_<3QosZARFMgFkg%y%lSUIc#x{ z^?V#N#bqRP@+T*yt(IYOfZtB&Z~ z@J0VT-SAa!21|222PF@I+cFsj?jJ*rwzlr}+i>naJDZ6goFa^_Qo^JqJ-+0LI*b1% zaepYbu-O}qw~L3NgB_ww;*x~DySw|eSXEJ7UE%^kf?4@dP$0=lWdVpvH>AEu^dq3N zL`5wBUGrJ04P-yN^{FsKFo-@fab)Q6cGiFWDsTC=q1O2~rlrSkkqz_zSo+4mNSe0Y z*xA_G*vZBl+qO2gZ5tDNW81cE+cr0L&OGmT{?~N%bXRxZbzd@;$u^+QCVh}}*^u{n z*x}#u>vlk{+mVx~-?cj^OC2Stndt zQt*7aBnXBvkU8X&MiTSr4oo!W{ClS&7R>;hN6u1-n-rs{CjJ zBf-?DJ3Du=Z~Uui_gCSZuQDEup6A^gtbWRmsv=KRN3Q>t%PFJ-+|jb0?YaA{<+Dr$ zKNhXLH`>a_-@3Q8s1%D-|CFL-ZW=Cvmu9c!T6Y}0dy<`rgQ-aGsZ2*DTN-MN#fySv zf`~}U!pk2;8ddltV7Oe82PN3vnzDNWKLu<_yUn~H_QjwNcHN&sHzhBr7R4ixT>D}| zLPGi%GjViu7xAByA9_Jx;el@?C^`$AIGVzgTJle}Zfs&|d^kZJ?8+1~XH{v0F!TVP zEP>u0$ED@5$1{ z{CT%D#+Dq`gkgbSB1^S0`3U)@`FwA00(&5%?10vSV8KDui4!!pM<+%K)f9o7`}Hp zTi0D+1S*Iqx%VI2{j_48FvnC$o|How^189^&P#z~hpBMeqR3DI)Xu16k2(#dBQK9h z_xPH$ZVPF{ztIv$r4z#8iT(fjklVn~6Q9Z>^899m+61t{NW6Io;iWNm5W#)Vd#>wxRrcyA^{Yoc(J z0$d;i2AC?gG&(veVK`_mF4k>I#lik~HAOl2DSUhVKh<{alh=-tUl z+nV}a63WV1#TudskTpbyrDFRV0TTfc7&pA$KdgoaiP6}V%1R)TWNt=Bt`5UQA_M_0 zHet>PgL!>20s-}?e==Nk{p8`=@)BcE(PgN6{Q22`zR0RD3#20HrxcL5N>Oi3qZ>*+ z?g6xa%gQ+Pp(YgDl$fX~M-^u^UXh*fq>8|hqO-mkeV_1VivWMb*g4TaxB5CSM?qck zs&}7iIDHjpPdv2Bx&>KOn5nFppG6$SNJDb@2RIht=1#kG0$pjWh``WH@SA^0T_zIK z8-}#@zmy9BK_i7I2OpoSm$`R>PQQZgiEglUi(@It>BGJyxj;UaK-*{dL{voSQj{MBirKW!>sW|%j_&GpkG;gCEO$oL z)Q?IR-q6-@0|+==;Gx7s5!89Iy^hWOcwFAHrW*8|!&bXo`S}}GiFsch0MzO> zZa(N@5+ttZtWP_oFu<8Qcn(ej#rz(J_qg8fvL@GE(=#ZJ@GFqr+Su|Y80ynd zXnX%R7Bbq>Td<(V8hAhd0f(kdFRzcWp5PlrI9&cT*A8I>EBG(-o|`;p+%hP1BYX%lO3#4`*tp~V4ahW z9>4kBm=k%4jiJ{DPzBf&d;I}a8Tz6sgCxtEHWj&#D}+r?W=rk4`+=$#^X2A>f_W|# zV1fSr^+BMQ+GKFBT<rHMx~8UO!&-IQar)`$ zDNsjUTw9AwxZY^twB@q$-@b^A%@wFk1ERldgq5YGyHTqHB#B&4l9Dq3Im@&TtF5^! zTDbb=0;wwz@@B>h<@tBjzbOP;-FK@`$weU^nQ8W?P`tCIG+MzjtI$$EwGpO3tp3^3 zvnqL^-P-m=TdBJq<{nOL=qunkKjFxYQEbBc6^TO^AG(We%eF^?1w0+1T%m zoWz2>(O+32%3noyG%GcxDJe;Sg)G=lgvga2PU97v6^9r>D733IErN+(JclM}O!#IT zH>adko#;ZVQI~ZUu|HrRDvmaV^O}7VYflzO<$Af|)J3$|kJ)T(gcluuUo#;9l)Sz@ zoCOUHN8`C&twTaoPkf|CJaVcsFxQgbvS_5=%3o<>lVU84K?8|AvAfZ7lTa8U(p+0WNzeI^g)*4+b zv7IyA@RKoVd*Kr|MH4$J&q;Z7$~Sa<@sRmt;?$D@%2`*m~-gCUH;BqnGf5J zhCZ*<h$QxJF5W51Te>{RfT+I}+H! za(GK)Noqqi@Le8$=AMf&M3+HATcW5MqqiQbe`)O^Vjy_4LuK#&n=mF}VdUSCsoCL$ z=a`OruI5le&*&YsHZsIn_*X1yD5PgJ*rix8oaiFixTZEYv(`)S@Dz;Lag2#r<%0KQh76kdebWN{@u$i7ED- zk5!}CrLqZA9EA*ec3@qrnZp0|ViY!DKtS|Qyv26w>ptfjn;$H4ERhngZWx+S2k5O8 zTP~gA^Xu$3s^dGI?{X!%XlSR4^B+DZir{tU{WP_5F#u4Gd$0?XdqYHUr+A$erBKME z?q*DU{)_ed__&|JJIscSjOjVf<6N9>M(J1Dt5Vt$yB;=nwWD1!J)Vh20a8s0MB ziEzFPau>14O1i%%_W&D9MHRw`mqv%aPZ&^r>LrCY8s-)U9m0Z))YF(Rvd9o2VCG!T zJm0}RpnK@B2R;p6P7?_4J#Atx0R1J3TbdRU2r&g{AYG*)kqG-*iSf7#x;>@9>-jiQ zpOG!+(3#}iJseAvPN6Tt1hUjh%6;AJCMhO?QbHP9+6p)IbXJRcx0~&Qk*-2=8k%wU z`{5Edt}NiiItYY>2U2x_w2&Ew1n;}nEM>v?Dx0D zq9ri|64#3@L*xSq+)qCrFXugC5gDc@`oX=-2UWk{eKRITRFYFnP3Sq=T|y*%lsa_h zIyZ8qNB9kz4b|kogUSl-#p*>nXg~AtxO9$M`V~JG;MNU5yNG_=wF#Vh zK(BlX+qgFS7;3{#%VbnwKbNhO+N(M0<8+)%h^APC#dGAhzFD}4)E_GLh1v3(JvcXo zBqWY?i=yMlVfy^G!Nz(#N|6S7nJX2P_Y?G`J}+sIB^O=(>CMA1L@Ig2ST_awp3aWC zV}1xON*Yl}_&w;7Lp%+{N>rOe7eP0Q6DoUXas*(_fnAXXM=9pK-HrWu znTvv4OAr3Icm&i(W9tlu`F_3uMKxxoq&`Ct7+1ic5?R?IdODqKTX@(i(G+p?lb`*B zdrYvxgkP*h6aM{~ygNKz6aTvKIM^YWOyH~gjhSlx%Q^=e89$1$b2&;@f15hud)W=* za%%J3X33kA4Tx9}UCT(>jZF-5+n-Q9H6HrmMT9nna#i^d(q|q#e!z)X5lTps3*HDtgy|^=T(|pl1s`&wL(zzy z`3p&)_7IrFS|Mc4x%2Q@`GX{OaMMow6UvH~1hdGX3W$Tk_W4r1rRm)2HqE&>4r~xW z7}nyi?^v|F4>l%7$CF;ojMpBhi<*P&YLDOd>0AM)^kfX2KFx!-@5RuJ?^6RPI8;({ zax@|T_T{SnzsrW1;*ye@KMlj!S3rVIpk%m8lh{7pZWw?V4no~r|>jvfBB~0 zT8i$mi(LB+aae?}P=0(o%PdaW}CIqAxjHH|G_~+s&IojrDclVLmcqcX1zaGlR2-Ubz^uBiVCu%t; zAtWUw&o)}@fGNIscAZQX^JIzsX;|e_Ad6`eRXQEZ8W38#v?35}6iWz-OY$s4x8yEhcTCc%kA0Dhz*dBtM%;kG z7T+G{P5cPE6VQ1%jy({vcWO1hbZV!Xjh>WFCge97HZ_ZxE@y~tE z4{h9QdFX4viz(r>2U_uVvr-GH<}j^5w`f*cvXLn8Qll`W0Yf;c+5EB*EoYVt76C&9 zBjkgkHG1CUHN~!B8Vpflu^72aH$yZBTgEP%hJm?7c3%6~mNHW-ckIH(8z$>q#=7tVeK9gXA=j6=AE?&SHG1^wq% zi~BkdA*4o#mA&Q3o%<@^@P8!aJ9Z@jS-R5eX}ZriBWaFN-;qNU6QZ&fFLvJ;4vPd)1#*$69&r!C}|T` zxZG>(2*q_Kl$XHfO!IcBQRfuyM{!I$OlK2`0Rn(lr0(O5b4R$vp=-X35%^eG>_)>;T6SGct*xnCx64QD z*HvW`4BKK&^dn>n;PKsVJRA8dv&wDIC%jxAnOrD>V$rxJ4QpyOy1?KKXMLcaq__b* z!05=aWy^KLFL5HI{TipLe1;dzR8>r4g#CO<-@cdN5JS)*F?KF<}JXf7w0 z$XaYCRpp2*TPNauE)JIpr?|W9tU|6>$GCqqqpC|czttIDQ`NapNTWxogeR2tga%1V!04V<`542ntIQEezA5-d$YwDdVEf?4dsE~GmMsI z zGE$3Q;~Vzzv(08onm6P130*rgePKU$I>Rg{q-&zB(<7j{G%K#0lG3dMd6D5r{|SRR z2RUj)(_#4|z!W$v_;RT8g*GJ2_>ouW74untP!VQg&Ud z=pVcXBK@ft-4c?(-m)8jEaSD<&WJ#5{({$I-a*TBZvA_zf=estTnkn&b8CWS8~_gW z!a^F+%GjoS1F@a*{LcWRqPdnls&=C_y7#5%3pJIP1-;5FiDN&|bdYoD2uLnSr&Mi7 z{#>`JNlS-WIF5Upvlz|#OWy;;&nALw2Qpl7*mb^)m)YQ<<71DRP{F=`eFGDzb1PWALa89^Ww22k zOo{@#;w)r(ACqzNt|X0Tl_UC}U9=+P<$ghPSm9Dhs>V=f4k-BeB_aHW5QWW`==?_j zDk5(lFMeRrA{lfVC2L3k@=Tk6BAp6&>d~KPo@nF_(#1U(r&PU}ulkIM>)JIT2#W`# zT{c|6E@(8-ulC1_Sw9hzwX;_S9tBn1Vfm;I*_JiAwxxdTLm&Xe2I-}CViUFNa=tir zC~$nL{}>3&f>gi)QoJhpaJ+7J1$h|%3VLT;3p@>rz8u&e3+0N|SlK%$*5m=O4QM$X zAPD6yH{9P2nZ(_lrB1$dL!5qsYDD{~ftrVsEE)?mAtJ5SqC{w)fZ+Y^IBD32JdVOJ zVp>EqBkwq=`y%|>;c`J2$Z|)`l9F=s?~1&g$PNLq5MFE$q!7Bsl>6D2k{OLoNXnZ2 z_tPR1B0NY9p@)I(?*j#aPt3K97C1Ylu&+G;TT0v>qNUE$z zWrjH-puiHP;Grs*h$x%G_lkWeKyVX7UFB-Tg-s%-(OBnFNS=Ze!LSL^+Y0H}^xqkP z`;LaW%%WdaEfNs`W4*1zvVf5|vtaE8C>lxbt&>M>FYW9l`grIROi)BPaYpK0A{ z5g^ujjwN*$yBnK;#+f}qHzmzC;Ay66ayGUJXholijRQa)?qiK%h)NFP#R}t02?zchOHXt9FN$Ebg;d{&Q1(%u-h`2;iB4QRT0q7?{t%N%* zY2aX+)9)UM04gc`Zqs1&u@DO}{XI7X$~aQa(x%G~F^3Ek$4sKf1(Sum*+#F@68a3B zoN_L!WxDgewTpVsaJt5G7MeU8Bqvs*fl5k_5eO#JM9JaFRdts9ruBr@_v?-d@h;73 zW&g#jiR7dt2;Z4twG5Py5y3K~e;U289}3~<;p@p7bh!vmdtr>`&}X%Te~8^t&m)v# z{4yHxIf>5|_WupLP#thohSG3=;pP4EY#WCXoZ*uy6-S3&SFzHmQGkQ2wzJjn<`SXe zt0KWYjvqDkhHyecLpH2kE1cu^-}ong>p#4vDheTawOAoM3>d$gC+z+(i?mH~!*$ou z+#!ao;{M5BOL{S)Iw zIz2s%=VTA`vzr6tTQ~r>o~N^U$CN;=PbQZO%{KN*uOl*z_A67_DgYgiC?it10ZGu8fML7dsg9PLEr%R0KB##r0v08hLnHR}`G2}DU4j<$4AOiQA zXNjzoVb)7XS*{Hw+HSXIZTxE2{-zA>by>qSym1&X7I9_BvIy03>9Xt>?W(qL{g-Sa zN-1}_(`d83gJhE`9|u*XP)ZL_P}QHy7cG_<#?N9rn8akzmGNK9o){V&WL2SuPOZKY z__ODrOfu&)d1$Hwo$k~+G}0h*5H6e3lhol9oih%Tiweh!o`-W8NK@)BR4dHt5(oI9 zFmBM|;(=-@s>lIs4f(480)DC`Idbf29*6%-7erbOuSD}l8`YfK9zVL}I$aZY5Kxx? z?#I{)G&hH(B;GI)%M5mJEQ1po$cxYq5ULX91sA9572}2B^WR`_rxfzm%k-%L5H)sO zT4rp;H~eO4vq_or^O#wrOin@P>?h4|xwfgU7Pfu;2-myP{vyQvvEVw&DqLNa*CJ_a zPtFU}4l!fK^4yKevTvyrn6#t`KRqnSE5o+{qf2RPIs+qtw1iZ}bFtr9a+KR5!$l+< zwBw493F~^EpYXIpml7kfnx1XG_stfeRL1?tIL;UxTl>ng!1i$xog3!{1DObg^Ai`w|XGBC- zzc|~p{L_Utc8 z`zfUT7L~p%e>}7bEo;)HV4EY_0%=T`)ss$#Ed-(I><-usQ(aO|iPr5{SM|Jb?A16w ztD2api9_xvn)no`YUy-dvv#0#X`R%-5O1a<{B7c(Juy8!?&!h=w4-opI(OQN30dgz zx@swx!wu9QL3VmrT8QERer4-TCS=-zEy(8lO@qgdCVx?qbhl~uK1X#h_tSMejfI3; zq?9&D4K5$%%N<)LIvgC&lvH`#5PzEngUzCRR-l4$$G<~{(4^ovghKTGz!H?_i1B9N z_@|7s=PVi?pf$IWgqj+@X;oEYzXp-5xWB6e6B1N?cneV(`c90vJ}~Vm-X>nzLbOOK zRf^Fc@ne?2M-2y@J3cIUrWaPV+75FzH1p`}H>iXHp?+3L~O!JN-mLZ-1_xKEh|H=2xHtyXwN#3ZKB z!wo^tz;@6K&+jc6?j0bz6R1_01yYyzKhNY%mC*S(NDOa#Gda<r1J+E1-JR6s~{3#$adjy186@BVJbu6o~?acgKu|oV7fDk;@RBjBR+E%e^HG1L`@y z5c3mjnZF*U(?Yaj`{Bu}C=S&fSWx^r#2WU*ufe-c2hqXGdUF)S}u-=$Ac67GxCOE{R=WujhCU@|)cCB?786C@9 zw!6KK1QsH_CZ~2;?4&gcD z9{Y6ZHL{plwd3V z2+@NyaZ=|pJqQC*&go67`zMXC+gY_KtCw8Py9!@ZcOH|G^D9=*OGx?Kl8Gv!Ldr#~ zR?5GnBRR2@tYi#d7tU%?uE4TM{zl*J7KTqSEXc6tU%m#N`4;L?7rbBpcqdGOpBq%h zK2BVcdhgdeptwvswHbw>`^69#884d_b#wR#0KhDaqZ39=+|CLkm05l|wbk@|ttU@F zI?sS;oph(QxEUEd(LdWa-Ytl;`EftT6SsW$m>GND`}TN$^`6gc?by$Ar)Yum3&0z9 zi_@P3E0y;0vq=cPzsfy0D}q825XTI7pCbJ@^g)WY7h+2C^!b(2=V08F3qp0+$AxXu z6rD=^7_D?9$FZeIlLuocAV3VCMvj~R0hoM#J%$o?P=1Dp?oGSzE1Y<5q+~4a;@Z)U z{sP^U6GqNF!XaZTB3Fp(`ko!ea96fB_B;05o=Uw9`^)>bV4wOG4+M1b>7!Otg97~l zsXwt)~ z&vY5SDFOx$Oe7?S%i;m)$RdCI*~kVwn%GA z+nS+HcT%4wHk?BoaLeJ1e?)~W*9tDqdBk~`D(=GrAYX2(q<}u`rBTbWNrI!1Ng`%0 zoTif2Fr@dU$~#2kOB)qA5O2(zvUOcBcerrO@`}1YnUR;0>IXJ_&+i(6NRUk0{_;`7 zU=O|eU#)hY#$x)&6#9{-Q;7WY&4x?TPDPjMoLBdCf31Ea)bvJ)7F{C$KT8ux`FG@dN ztC=CVlLkhz)#?SWu7GuS5oTiW>QxWKX7S|Lc0~yh$ zVOH%t7bMBWAHz!ORTLe31bd_jjdN1N$XiVOzjvLx04bp9b!K_!n+@;%#oqZjRvTml>bc)=hrS+_dMaWwJ{+0?v z2O*k;kXzi|tM~ULnGg-5A)c*tNJrs31YxrtBQuLX>Ul0~s?5vBKepdhevS@utEOm8=06HWpUodO3~Va(Udt^7?zkd2^I z%c^^iFnz1w7PtySTat5f{D7HKXxQbJ$L6UN6iaQJ;)Fiv0`%(89o<^U4oA|IG^1}kbL*% z#s^U%1j9TCIo3?d+|p+%MAgI0rU-kktO!)bayeCu_~B0w+Y(|Jf>{{DP!ra!QXR=J zhYtfzbdHMh%;e-uJf@4sF*-+o&niccAzp42iJ1{INw$c14CIxDtqY z7Ekwktt&S>7+brFJ1bfBfMI5B!f_;fA;Vv|U?OKNj@yN0Ba5e|{R}A|^R*YOuBcLU z0#=_5<%u}p73tEi|e%jrh2R9Sx0p=V;4utN0J1AtkW>6|s)X&W`Z zkF*PxgQ?1Du$H?oGeBqhhmnasMD2& z3!h7fdo{8W`9tX%*fu8`(o3>u6{WtHpny!sW61Om8CB~`6mf1%@HZ{>CN{$=0+yyY z3ADJ?g}cxJ=D@aaoV0K*k>)_gZCQ-DfXdQY<$B|*fT~Fd&0~pp%d%{`h|PLu&#o~n z5y?9eK1;WlgoMO_FG$NA@_${=v6*#n=bw&fYPkbzf2OqJ>6}7bp?vs)# zGm5wwY&PZgdz^FY9~1Ht61{}SUYd>Opo_>7PTs6m13bAl-*|no4YTb#=K42xoUN-p zZw=*l^Q*@{QP&^)!~S-O2a643=8W>yo8ZuMK<5p+($T+O%XvAaJA=a8|V6SC>c$s%L($w$HbJQ8+Dht5qfZrQh*>1n?u_ zJf=LG(W|-yvRy;lYu)-o5uu&}JE2oPfP14Wa0YTm6E)g4itX$Tfu?Jn*OK6@Pk?}7(DzsnAm+)`Pv zj(dmtT=e$)Q?E@8+n9DcqF#P&uU_Wqh|57d(Pdd6!1Kh(L+Tgyz_nZu|G3kqwuK6q z@+zhDfA*h|I2E?x!2h8PWhjL+MF9CYpt6xpzhviu%Ls~WGllhyb6;JV z-vT7_)jHg0`9K9b#nk86jm#es5OAW!lhg(TeF3EGV;E>3tl#amLpllDeUT#}@WPmv_tTY5*cz)DGS2oE}@jti5cKmPL) z>5I_IREMp{}mg7C}Ax_Q2T69@)p z6aKQQRFq+O8dmt{DrdMuSmE%>sK^n>RR8eXHAIPHi& zaS|HLIYDM#E`Q%9!XBN%-Mu^_!58E0XpXJN$&@5kFL)~eWR<=b9G6cN8MPG+#dxM1 zvO|3u2^O*A38@D7s)-~efr~sHG%Xy<#Ql5uenDOADwxR1|mCzdxP=3f>0s z5bge2)rY&1m2 zA0V%V1_ccZ3v1L41z1zAaB1m?O=fXp*=2rFnZg*LxZ@xZZ7%yK;O zjYqO$$7*rESZs%wcq_4^KU&&%Tw>s)&BbX@Z+B@c42*Y6ZC?;O^uXoX_V}6F6 zo+(O(l!rqThLMWIEa>cR==g_;>Y1;9`+JfH?<$$o?|rgZlU>S#_rru(7A-_krZA#u zzpehds>NdHnC~GS9UTey`ubX1(*^W@Ys!(+fa^}YPNzA1&fs+Dn#wFktq!7J^pY~P`j{n>Z8@iENPXjxr_oDvD6_^p913$87H@oG<+egwQ-|Kt&L@D$SWl?jh7-m>6>32zlVZj_ty+rUv zoK~;|NWTGUz0xQMWpO_vh&!!_|0*S$I+OWqe)cV3w6yfJG><8uG0!t9A_fq3dCKux zg1F&t1%H=9-$ud1)1S)7m{$+_T(gAzC7T?R$3;{rMnA&xa1E%h^lS2cq#=HHwa zcm7XOv;}5TBf}0pn~jg&shqZSSk%Q-%^}i7^Y-rUZt;4pcAz3ZN;sTt(3hf z0bbxyy2$R+gmariQ?<>>Wo}Vxr4-R+xN<{|11UsQWT?dWyj|Q1vW3rGbpwU4eK5Lh zTOutkw37@!h$0oF4umI&`nN9IB9_0tEA~e}Vh0hLBhfrR(pCwGWt8F))9=}Se(RH8 zVFPn+HQPtRB00-c=i_Or{tOS)2-dX@F=Za0L`;bQY)0)ncpD-9)#k-Z4M9Slt;z}Z zd)mWEgzM78*b56gBI*n(=-!N?&hGx*=yoYKjI=c?ax>yYyToJRU~voQNo2X^`^lx^ zCR{vXM*6-Vg_w5l#vE|mb#3kJkjNOoMMXs`)N7uq^nFIb zx-{Axi`v<~%ye~i=lpba1K7{q__dTdeflTy7}}q@CGXW6y=%WCW13NnW(fbrMM+En z)e$dmp}?oYTvV|cOhGSddV2b66p)aB`nZ@11Z16Nc*XT^btcL=XX$NgUaO#Dil z>-hU#`^{-IA)~VSJdozrvy1I?jqz-!Oj6KQCdbV^TzH{4SisL+RuY=iq+J&e9gJ?A zH4E`84r-tmlEIJ$u^T3ctQCeYlsz|6S#THRn1Zw-#ELFv05*%UFJc~se3dT?g%OiE zcbWO|Aw&TFGAO5iNbQ@UTN53RQXib6ZidTHxpbvqF0+*S;otQ~)6cHW2>}D~F%}Oi6B`1L01?i?Jp9B44NNR0 zju?k^5F~;w-;%b5gmGk?l)jk>;AXM;{?6av+RB5 z2$Cg}sNvg?KcM8wd>;HP3rlXS+p>;tgz2Lacm^o3x+`4i1*#Y?qAbViV%Ny^`bkR= z+jYi0&HIEuT4%Yst(2ikgs?iJ(#(w07*VFdbJ1K4zw}=kW)6rAW+7BxMqpV>KV&2V zWZY?Ysk%9S#OkL<(;?66qq^rVc{U;8ZU3xIDM@iJ0_|lhuH{D1Gzk`~ccu&xAcEP) zLAC9l+<9I$>~j#CT%(awixj^3EhKx~swBhq@lqvlrEn=b%ICWzR`&BMn>F3od|Htp7Z`auR$fTN@+-_6l``3AHG0raw|1o*u`(Sh_BV=jHniXCKumXJ6nM zDKP?|4*;WPCjx>nD{tXlD|IZ&qlr7WwDc;uz(vPXZ#i+Ju8wUac?fSs8@Yvh=*-sk}cm^{v0Y=oiK6 zm(t2=8sQ|1Ro_!e$D616%(^hs)6&}))>GP$CUueOIhy?zjJRlF*?+1a;7J@Sd*y}x z9Q0BPUc0HS_mRYw&&fH1-@!{p3YV@VCNcMg713 z4YV{85o3!G*1IXU{CKz?8@oSJk`y6z_VVp6*XA>OhyuD;h%nbJ%1Jy%{!Oz z@N#lKz9@GLQ%Ja%BVD)hQT2Mi1JvTm{0lgotawN%Ox$ z5uqZ|g?kfXp-^vkkNybbe;z5;zpqC&XBij!J_oMMm|SL}b@;2#k~9n9M!U-6DDmP z2g{6KcMoo9GRVdmfxSGOXsIJkKJP16l4#U?6^c$OB1-@Jy|`$qyogKx974-|G5K=6{cif2w%7!AIDiDESZb zF!r5B1!|jnWt97*jfkqf+lSNEgI3pocA1*?7ISF~!$MxrmCd6+E&rR6LCWk-W+fHPlf{|~unS*ognwsKgn_M5i5tJBslO0uE<)>DD*|DLMD z9{Hw9Jn%5_e*KLGypy8_S3Z9Bckgc~?hoe|E1isSzSq^yst`OH{=<7QiQeCcxQ__` zP1`Ck&^;)(qC+l3UG+qO4F~<|{dD;6%BQPB-IeZad+}?mH_~QEsMS{A{%~c7K7ENz z?-#wzT6w9tL0#Op)m%|vSNX>dA*=n`e3=b>WPZ0 zfQ^({SXwyf(hyxxB!CqT6cQ{P78z_!viv;lSq0IBMg`UZB<#p{ntah?N2~4oDD$0r z#-|!f&L3^gI@zTkmkQG48{$m}m#Q+5D+UD=EC`!5wNIyU;^gkt5VOW0I-d+@Y?O6= zwM72qkh_~ZPBoz^Z;COL{QJu|)Zp;&5CMl7!ra0Gi=q8Azq7Lw*dhTE zIM6XLfKgc$ZEa~jjvnA^uR)IT_?dsVOg7qQXqV}>+e{G4$?B}tTLPt#iGP<%soiXT z{HFHErF9l>P+@}~Qh=1Q1hcRQJIog>!lg!I6Z$o_3DIztD9PTfhxYrZviyiU-`m*r z(D{Ut>D1P;xuH3IN-Ed~^^#~Ui|TX~B;`%owv3=T zBLV2>VFq+Bf4iu8cIP3ZqNgX|_x%83ToTdrB|41GA71o&ai!a&c2Lcj3|XJIr^D$| zns>!bKRs{IyLV3f-c}eW`K9W&Px)yG4AF~F)QA>>#hx(zK~G<$C>+Yi)r_le$weg4 z$-LrXxI^$>e3Q{<;{B*ixfIi!@rvc0cU4fS_B3fCUZwM7Rz+dUUM#$5_`kV7tKj*W z#%;^h@3-+^lkWq_0+WSzP~MygPQa%YeTI^rO>o}xB49uwqzr`|I6_dGuilor>`a?s zH|?)>62}>AJ?c&?Q2mI0qU5VQ2JFbCz2)>+C!)Lz{bE4(y=!7XZlsgu=mZqfLB2FH=0mo=OaNYtG2cH>wB5WgYO^0R z0bQ{%0I^UE5@W2Qv&^pDp~W=W*v#=*cC#_LO1IO-e1H!pbT8@G<=P(L{`DwD?^qw0 z@(PS{<$vCEB8?y2`Fd&rZt<*vvco|D3NXQJ8fbwHq}0&^b4dF8g%Tckp3|Lfycg=X zl6R`9bbj|V8!F4y1WtpC9UfvuW!IW72VpJR?8t!0YhuoBDk!Tp#;Ld_W0uI}*C<+3 zg}99Vn6n+1oI4>%=7(<@+zVTni$up-?DH%XVwE2Y(soYT7y1h)NfK;H^~;RBY*9f? zn)6qP`DR}`4I9jUk*t?zk<(_`W@?p>*~He^5WJuxG}ASHS4-M(G~MRpzNy7&xtWWB zyz+qt zM>2G;zUG$WGoGkt0Yyn2Za3Xe>sBRlSyGF^uxN7skEVAFkF5E=zr#t#>DabCv2EKn zCYd-B+s4GUZQC{{6LVrdeSd$?zhCy%r_a^9>Qq(lz4mAE-41~)8n~5*7Zn9B>E&g6 z?%hNk{I(mm4QIU`k~AGP5g{wTURAstcFH9GTKqh98A&hwE9i*<+tn}E#~sdcJU=O9 z9YgHZY%*x_rxyx3UL>FC6^(+3U)H<@#Z(x}H61n3d<-F

4RCjYsDc*0Rs5jGSBh zIn~oI;kQ-uH`?C4hvGX@Wrz1sHlH?u^$@nObJZ_~)g`47Ld+`#1U}u-)rJSv9BtBB zI$$3~Pfm1~lHtVR`{Kij_#J>+8M9^vT`!Nx%;k;})0b2+cPQnOqZi`{1upSf2pk28 z&O|$P^3pA=YhUSPcQ@mU=$gKc-g}JOS4rT#Op4=R>c*qXp>?!HKT!z>7!lm7_j1`V zyV|BVBO5n)gjyf_ir8kZBaUw)Q7Qo0d5?$hu+jbgu^PdGFvvUujcg}mfRW5a8@~(R zM=WLO82Bm2jnP7a47gUv}T8=8|Es7Z=JlxSO*ky-HP8vdi9p5(hlZkIPzi*H6BYS&&B1cnX z0kx2bS}}g;5bCCzcb7oi=y8L-5Es5qo7M7wIGr%Vq+4hA3>}qYon7V83({muA$Rbq z=XrC1^00C*cq)8UaD$A-ulMZ@rU+J0oL$Qx8ib@;FlG7>kLz3enQ=1{ zs6u|k(wMp;+_ZGbCsNRBPunoPV7W4#y}X% z0(JYvCV~j@YYpa6qlY-$KgeN%Dim_~UO;WwvcCjCCb8-dFFv5QgQg|hHSG8UXvYMz z^9>3GI+@MOOV6F3cW*K#95^D(R@vz!9V4eOS}3QPLTqjd z)+h3TBx=&PtE?O`TRsx)uyvBS1PXKTw&l+ffBh4UzAu~8Z;DHQ(~q^pR<=L&jNLir zqxs9Uc$Di_A5j>um3m|bC*aq2jO^w6i%Q?Aldm3u^p$z<;nhA(cSz`)*8 zDinzT4&<22hABN{L-8vZB;sFhw$+rDhJ}UDCfIPhhDol@Qlu@W`wNk+NZ=-v0z91q z`kSbfg-xRfb<(oHH7h|?N|Qh#hIPs1#a8dK&JH+;w%RE~rg1mr+hUG>S9u^Xj4ukP zU=?^uT9#HMvw=Csnh=%sa0rdAF78UnN6mxtFSs4W?-3hn(I=z|0~A3A;q*6s5rA7l zE%^5MC-G{frrgm9IQCsS?`({YecLievcgA~7*7i+V%=N7+YV@&khfiB`t<+r#6y;Z zT_Gjy7G7YsFd%e-w3#ptF{+0xy!51>q|JC_<+Bmj3y0-AU#!;=sXlv)Uu}dvQfEAv z#RuY%xgJfV)Jq+d{C4>9D$}7?qeMNFKM#6j>1x^_oFXaD&d;UyX3vDwe3#veRC){X zmoief`WosxP?J~2h(mEz0AxQ4S`I9~?8XA^%0w!HMI~UrD{qb|VC@jE-!cy<>JrPT zLZ)0$JnFfv;bylSOT&gxsEmQDpdh)Vnn!81*jLiR`H$@dqKOFwmz|x3E*qwyQXtjG zH6ym2mvQAer&9t$nfU)DD}M)@{Cd|ZD^CyujXh;FKopV1ObF)r+W^>wTso#{VH$0p zl{*(HwBN7oI?xS04!B1LytAEh~hg0pU zS9W>egUmXb`6zV%>A^qWUle+!k57i;NklQ}wfck)*z>J+d%Dq_xGrh4i%g$y_D@ew zlPKlA4kD)!jZM zJV*aWP!J_Ct-?bkD!}pFg zzZ0f@LqG2*Pt(1$qe`hFf76XR+T;++V~ZcG7B2zoI#VA}N6hHk}7{ zFP5uDuNC$l1r{tsf(C`|Pn-p2Y}R~IC}Rl_hWe2mfTZ%5gbCh@T~mU6zKGh1r<7@B zm{D(1OM@7?UQ-B(JCIr?u=C;Sygf(FAQ@}-pxU?UuKbsc>9se8@YU+uzcKIZRjm4O z4Q7PFhANN)F-0h_xw#oc5J*sE+-FbZ3O61?{JwPqYE>V7LNN5X9R?8sPUvx^c?9ss zF2KYxe=9*Zc<1~YSB)BWv)66C`0x{eWPgS8vp^y(qtuY#T4eMwb9f_!WJkrU-*7!U zioOb}rOteOoBjOQSuzHRp`p7~>+_&IHIx8Bh`&&jdeRWvtptmaRtAs~+hOky68#oF zi&SPNwC^S@&en*aV?yB_0)N?u0j#Q6MIyui0bbIA8I_W!M49DGCJPAd9FI1N79@H2 zMREs#A5WKLj_>R3I5@w0cEj_1v-hWbZt6kxfFa`)G5j;oL>`kS{WE5RVZ26oeX6;I zP?hs$upJ9`0NMx;1Q}dm;QbH#p-D{Y`XM{PPoOZD^1kxaNUz~#^9XqgG-)jRm9&r> zxoL}&6as(UH`WJy-n;L^0ZAufHsgA8yii?>E7=~E;hg;|vnI-0UA(!Eo+dvc7vMsT zvz$k8+S}XZ<>f(@S~6NpIWB$jEM4yFj`Nyi4x~8#M{Mb=MNAMFmWm^52W8jiUyQl7 z{btMb)YQdp?^mtghb`5!R+ANwq5b2O-04*^+#d2W_S&&s@AmDKVe|6tS+w&Ut@e@r zd6cRr=fg4T%cD~j#khUz(hC9G~6>1y}`knJr8^%?`&Y7#uPw8cz++Tc1(Zr<8-V1awyG@#r(|DeVg}m?R5EswVD(OSor4e!u#h5 znS+Bdm-By%#z;-7y6>bhhO5|LSds&);O(I~SSZ##_&?kv4)Ax;Wwgh6t7Sg)OV0P} zBb-7g1s1F>0{^_{&p~3^93a@wrD3+fHcL;pNI8-edAp5k+Lu4qrWoI^uSWB(;Y1k%vcSCyBO(5`SEWLV~mPfnhL+KrW!febGnpU#IP31-JL*-ty(wH1jI zo62HGfBt~jvX435R~aBk*kUf}BVSFvm+k?f?Z3N>V!C5Xx3gx8E4*8B2mr^*a1vmz zGNabv%gy}fdWWN6hKQW%pu0HH%=>hm-?OQ6p8Y7>ug|5D;>CmB03DrYxJVKhZBnst z;e6OkY56=z&}kKnVvIgNHd%z-XYyUdGfT})by1E%Q0(FlG&M>|dqOs`7>81O4syqj z)DZ9DE&>v{WK@TeE3m}y=Y9k=Ah$|VxVrdn4NUtrwFX2ra1=1^V#lXnzMbypnexdl znUGF*;ihJgSFG-ygm9r+sV;?7qza~!cij&6?4arH2C)Z@H=q>qUKu}z4AB|BI0KhA zCOKe$?x}f?PeH&V%p#c!M+-(I@coK1bApD5aZoy5Si%lhnsdB_g8n7Hl6~=_id8Q3 z(mUmMn>BOLG20izPQfz5pwk4A36{Ch1Wl;OuscSh1bZHtFnvLOqd8^&Ri|SBQOPl-hd!O71hBf}A3Cdg? zK3f=-s&4K1bV;Dl0ltZ!k)gCRNu%jR_jE@UMl-03bVz$I0A(pD6FPftGTEUH_~Mu! zeT_zSP2(&qugWz)wSW*e^z=9%z2p=)_&iS?5%cm8~VQPYH^50PS8nZIp-G z0LLx)L1A2Phx3Ij9;b#Cc@-SB^8t!%)xXet-^(Ls1#;jdSyoMI?bm35sqz0GUx~M? zZtpA>5zwKiq$`?s928(VY?64`l%n_y*HsCCKY@}M+-5#f;M~y(0@(x&41E>;4^VsS zQ9#sMQ&6)EP4Sz4FTdT_#98DF_*K@^-gyHTcL^s2FMJjts*h6qT&p+ zFdz4oRk*~Bq-YwP;-fnAnD>BM>@Mb&)RDrqKBuxeCR7rkSX~b7>2*!F!;-R?2O73B zvK6AkfNGG$D8c#{)6l;FqWm;#@nHrvfBL-4DwO);2JTR97TvIP&(?MtWFW8%h%z4R z3MuefA3@!Wx>5u`58y3iNL)E%Q=x8o|`#pEYf(f+QjUWLaQKvxCn~DVIk#ppfL@ZA%qbxkqyaUprdi=eQQApGX0RafPY((XI*;+F36U^-GUij?D~uGGudY_hXlb&Be7WPPX_97_fUV*noSi4Jf53^uGh9VUgvv#~D zTFbQe!&?KxK8w@T`aKd+o&W6n-U`rLNK}lA52sYmqq|q0_gG@K0GZM``~%tB5`94p zedKmGe|!71#GaZ4!)y^M+8`Q*vZ5?GKU6p<9U!9AbN|PMiKH8vn*VYb1^eCv9M3pp zgFG0YIKD`l97E?Dbhx!qmVn#*IHg=7=ER4yKjg9A=hM-llR(e4AHrZ+MMWZQXLsrB zu&g#h7RBL}TjvvY(yv}aa?rHLA$N8p8_JFHP}s@<(*Wo48`XM={`hb$F;z)#-~ zbgiaLlGRn5W+K|4M#GZMi>f(5uzV);ilk1wilA109F50qP$M6XSEmpul32drIaAwa zofOJFODgt@$3GZLfJ?aUAdflg}?5wLmP-Pygv$N$7h6Fm4Bb30KG%0j6+1=XV76q&9uADK@BNB#2PpNgerruvS_bZ>8H!7 zyd-5a)ug*r6}OYK_8Z`tcyE++q{ARr-7y8&ntRXrVZxI}eK(Ok6?(jExu(^C!HY+( znW2l;F@Lt$<&O@4Ej=DkFlIa`>p4=ur+|3p>(|+|GwS) zeF0&3PqfqiORnSNH)RaxI%T{+aQ+AjY^L`F_RY;O$~AxQ)!+QYL|9DZHISFX{v zL=sHGY6YOW_G)~{{Dpu!oBz%+!1gR3X(*jGAs`Q5)lcrTUE$o7Zb!0G78V&Jif7JZ z5=Eb?%vm$as}?p@y%CI(56%x33>$S${zh8NS~A*D5uQ|x;5ImfUMO!A5Mo_&skJjA zkY=9(at~hb>gjRdKg*D&$F#M#x3{sm^WgJlFP)llm%mJYl@=>aCb% z`-sm=@pg#p;F}TSNJUdoyL+4RVSMc)R9R8T^~wEYKUscN?s)Kb<12Fr%$PU>jSfHy9;qgOO#M|_T(0HPFx_50{bN(t@%L{w@9Wiw z@uORh!|p7kr>@=3$zOU``+7!}f-_l zEevl>Jf88;-b|5%$?|sY@b4jDb`rrKf~TzC_CzSLplNb2A6=SfT+Qr>k7w3ds%4>(fIf(yN76QTi(V8_4`0TCtY zVi$veg#HcMfq8vZq6f3sFUNx8)?BB5DT#?DFft%Pr5JX;X#SQ%t34elFu(B1>`_Ob zIvTqUIm(7}_~ska#vO9I)^2~33?0w#thi=hAmFyz0)BuRUEyA-Hf4*;yKoG$wA>!eN2B!b z@?2OtIy>J2fsul)B@)uo5qFfIy&x%#jI3-kcX2F$9|WE=H8m9#6}7OiaByG*Q&U$5 z*^|s&KZRk_T0LJ?hY{&&bJLRfU4<@YW8pD2=REyECr9`RS?ZQdwAsd5G(9x)^f#G) z^N#|ap!c!^G!B8^%K79~6CVc)rBj7T_skOU5@LhK6sahjMMgfNPy9F0Jjh2fNhn>| zy#Nnv*kWog%BqccI9QTgSOhvF;Q(zRF3+#jT-l$Dm5%TVQEy$UzO4%)v` z90JXl{hVBXcd<|UB+qW${)Huqc~xM28e*$Tf)CSn5wW8W384$ana!w1%?xIZcuAV_ zc>^1>cC$<7Hu^>o4S=$13tADdssyCbF^W9WnnVDcB@AO5BM?6QcUc(|w62z|xT{GW z^6<55+6GE7!7bx1JGI;ce=~_g>X^e&hnCgWQYAJ$Dn3#5VHa}oWlp!1lt}FJ=TTu- zR#w7X44Ujl9S+|f4EOO}RefB-Z4?=WJtLH@ft^1?R34lL9^OTf<>777G~Y zKgIPqfjJD7VouYWo^*g~wAMB@WVmRQ)?U5l z*9UcLTwf%L{uJ%#X2qv3?Wny?rcEMO>DomLqC>EMcC=sgS5(`6_H30?U|1(vM7Mj( z_#6Oy4Q^+uh$hqS$B|Y5>P|R(@$l|_IA*`c2>YGj$Mo_GBXZ;F+#0*IXv{UOkYSv> z6;y9yrg$d}LIC4(Qbz*uE*D?IJ6z;MxDc)CgMi%YMEm&c6cgP3(Gp&#uHY@-lD z-rlW&h^BIORC|f3Ig{1o3E?p8W;dTdCA{qB;QPQv))b@dV?RZ%cZQqkJl5&ny4`Zq zwC0z}hhdPf5WP#3Z6S1Zbi#FHu2Rsc(?Q^YRM7I$Wl)RAOIEf^gehx)4|>X|QpseE z@W}^pPRMBy!{h*c+9y4i1#?`lPG^8NW3j z;BaPcd4#qoRTBr{c->_zZ#auMOW0BFaYkb!z_5$`;gJr8B>ObK zz7jbs8{k69b@`jMzHQfReLGSj7Da0#1*BG%Ef+y(5qAvyTS06BO%q-wgpyS8C;=rF z4Hb+zpSt5wT7t<=i5JzZLrhcxhuN6EGMng%=&)(#9&2go071(rr{!+xr|1?o#mq}z z2ifKK*H>|2006c%2@B@L6ts&F-^P3}adhAv_9)n7`Fr!@VDg%1hgZ!PyPokkPqw%7 zM>B&%so&n%zB^R`Gig6`TfzT{Q~aMFF2Y88G4n6ockhNwpCT%{Z#Q0uUGX)9Ukm z*s02V0N#n=OiHxoKg2Ca0uz0ceC+A9o8;*G<2_~NLEC*U1nAqXPbHAe{dO7h0uMi+ zU3}Qm61%xc*w(vv1SDnW;CH`Se%clv_=c$c2GNz;i2L&+CK3gaB;S^U{4H}B#DqnDeNl9=u(6#Z1?EiPIf1RwT zwdt0v7iF*gn+qD1rTB7asH9$SeUqy;o}q1FvWyRS4aj>PL|!}d_~5fl@_bGb5@k4K{NEXl@PCj{ojSAWIjo>ZxpS% zjMdRGiZRC}-|gD|&Q$BVUA37$V28_w2yT~6ZXGvr0;-x-WOfycRjf_lt&GGD;GL#* za&G@Ga4C09(xtk|DwC6%`h?0z6Y%gM^Y!ENx8r_r---^!k=2S=9S%Kncoz_$K{^jp z`TyNHFPU{jGp97hP)D1wov%RcM?!FOK;25s1aXmyfk%!TlWFwhc7lb~T=>a1n>nzJ z%}sGMu(mYt|IMU259{)P+_><++Fl#OD2&lg*JT8Q5>#V1_)l0hefyj#{Kc6SV5<+S zdGmeJASg2E)j%poUbV6zCLP>Z!7nQPq>*Cp>eYy`*8vh}p>qDe0YeqhHWv^1@JwRWZ(ebjY-utQVyXCAU>$)rD?`H_T#k~5Kxen_|dH07V zhYn*9Ul$9@&+wflSCGw(&x$w$XN(|qQ7)gM%? z^0mg&Qx%QGiAL%5)Y58w7#;Zo3u8Wwk)_kk8sS?7OOJUUn5`>&vfWSsWW|O~q*bUA z3J{o(nVAWqe3q-078De`zrPdkx~*Nhk#bpO{?`#ZZ((k}ZpRr}0ICafW?6!2`x1pL zPQO%C7C^2%swyh?UcSc0`|FLCERDu!VAJJ=8>N!&XN8f{HQn*L>hbop{EAS9VCd5$ z+kA4H9Z@_z-Gwto^wZz7c#W!Qk?LLcp>!pGGYtP-=xh^-6`XCPu-p4Kva@m}=Y*Q? z-@3SbXUotMroZ}mh7*ZgX19!3jTMQpfzT-74%{{2nB#b{y9M7-!#COND+_6J)fFN7 z&AG0gFqvZhZ~6FEJ98~kN2zpk;ce}eyfe|IealYZjnCU4o{epZm0bi-pTRpqL{~Uj zGYTilTsT!Yw%6I=a?$RiA%L6#0S#wjYU|M>>$uVnl2XUy$#!@7AR z3X5sr%kn=9-I;_ z?o>4Am&yIbsAQqb$;k^$=`ZxufZe!j_uc27lRw!sUe@E9Vouabrv;KTUJQp<3SojD9wCTp3xff;x(2e zdC3xy>-zL@YpL~#XNaA zIhkQgSonL?G@0nwT_Dk@vLJI}f_bv;=xCAyw8}F+Xb%q9aQ61CSQ7XcXuvKd0allch>9`3j!-u8YEZhpOXsAuIhW>nW)omZ* z-}B*Zse-c@TW}!nb=SXa_Z{VOr&IXHt8Msx2yz6F6bYHoI3Pcr-FjiZP|_UH^>iVm z#M+9ws8rV@O`)_C#A8!ePs#N+0gyniuk-1w3Z=eio{aFiF+R0-NT(tKi)hRYlq< zdu|#>L^Oga7|SI5J)=%eIQrKaQJBByh3HCj8g)gwb0phEQ@Q6)BLT9sG@)&E`j8rdRw&glT9Q@trNeO| z$%M-KGb*E{n;QtN{^loat+DY7^L)x%m;O9u7jZgUmQbLBVxDTPoix9K8Sf-6)uDQq6eh zJef(|q)<72Q`iN=oPxo=@>QxG{So6ZQ@vYOdgjBWw;&Pd(AU(rX;_Cbrx6b!-4@}; zh8|BYK966Dx+ZZg3(cZQVZw9acK#`PTvRU%+39{ZclJa1XVmQSSkto_u&u*G{~XdG zv1o8%YUvI9y4cK;_Js9DAtGY!sgjPLM3equ8`}zuaAJ>gCul z;x8C<+p^ywVnT#guR*I(fWd*=YSnzR{kdLmPm?Ux==)13Rc8B%5~93U%1k#Oe>WR!R>-z`A0O9vE%4?lTQ5@fLQ)pImR@9~#I2uCbRT{feAYP^ zFy)O+SAP9i{V6U`6{dS$lSRr3?BM75@ais_T)tf4KFliiqy0(FjqrqbAoyr#?qP-5 z%pfE2jc*OW3Sk2Gnbo_KKiahMi%Bg3-PuQbX+5SRbR3{@PI#vPoemJwy7)!EqoUwF z>gYW^=Ui2O9K8`(OI9)STqPqg!ha&45W&@G;BFOQ@Vdg^Em3NF-eu}*?TRJY>lsS# z5&f!>sy=5Emm)Z#ON(nxF+%Xq;^?;#>B{*E(;Sl%T0sU!2Zw#O{c1|h`N0Y6F0-G; zdsUxQq2`t@Fr0 z3CXQrhTr>a?=Ls8_B}utnx)6f&Eozzfmgt-7wA*a>MVYr@1PQ-F|*v4AoAz#cRmKx z>T-6$<3pT$7buU9FEyMm$18JAId(0_h83jBn4L4xv4x+mW)@~c!e4D{n#q-JuGQT^ z*_?A*=CRN2qTr|C!b-*=*8T%R$1=((?oNFS`Yk@5O~c1FMLIqefL7g`E7o}zZv7Z{ zr}We5pfk(|HsRe$;&w~FCN~%T$F$sMYvmuG?Gq}hrx}ZJR+LK2zq%rh#pQ?IUr5pR zp&PiTatOlc_`I5+gT7xklEa!^K`zw6!EGvt(&mB%PZZ1X@-F={5U zx%mv|R64u?O=HuIr(Hi}px6gsAEc)S!O!y`Bg9Nh3UULAejU=JhEb9_9=^XqchIM# zDO6=3_NK;j;s%Gfcd6HQzMP0bnPlxWtWAo6DOBDrxZAQ2SuVQwGALn^)D=*Q^eUK< zb{Wy8w<#Wox!_=;V8#^8Sm$3o^0+p^G$)7LX@iW4pA5A^X7;fjxF-uDlukTawUbUn z!iVyQy_nEI@a(12W*%271F4Q_!^2e89Wj>z%7Zj zs+8N3+pIp}YGy-0!vg@h(8G=E*5XNYOZ2xCpIa5~96Z^wjzr}%5n1P*=wh!lgC=FU)wo1RSOI$}BL4AD*m(qMVG z1q3uQpOILnc@#oQe9~Yp@92*#X5&EV6|g0=M$6T7xg}YqQ#=EE+LXO@Mk|O?0)Z;p zNAmhZ&o5ID8~oRw1f9-oklqVv0#L9n0?rO^^#!sQqyV2;gnZdt5?})EB0;K%0K-roND`9Ii!*_}p>( zYdlg25Yq%<9xNh3t#lLh0B@Ba$Lssb-XLB_A0-u8(gE$C`}MZ_#mF0*dhDsRv;XpC z;`fDIM`#|*&yEA!_zIH$3-3A7*Jh%Z`kOu<1JkCpx^WtKokwT=;LI_fm45?77h3E& z`;`w3V&|xpsTJVnSS1wBig>1%@AK2*Ky3KQ&F*H+tR;DG}toY7Q zI#2m@5=ZgVZC0azTS(ZatJ2$@mj?!E%&-1(v-E0Zn#H3Inw#?DoxJM=o=MHR`D)cL zj*;4ktA^xzTNdcPK-b}VYFXSL#j3Pk2&8?d8dm2?H13Iy( zIf$afQy?OY1fmt(Pk#EtScRVo<(jY0Wb+0M2F(Of!gHg^J@pQCG3@x(A40ywMJeZMpaIVls@5PQMJ8Ah zPt=KDa(Y1F*s}T-OfFp{B=J#l^Zu3LyRo9r|M_K!+~<)3%r|8hdGni~zXPUTVR}pQ z`Upsz(DIyBQVUZ+z4|TfF+XvtQp)^P7*=Bu`xgq4NLsFGunWN=;1Mx63MV9qq85cP z2gr?9vhj3y(|mkLBx4}joa<61?}VQkw4Gm71who|1tM+dl4l~p;gI|c#5km9DlNAD z;U)X;Wd1LkW!rKkndmzBeBR4qZpGU}quccrmrLja{C%EvJu$W2OU~|(MR~Vy${pYD zkybNG@$vD*KS31?yy0xNssHGu^vF9vJJQLCiK0upx5rDG$XAe;3O&KZ^fWZieEKxC zh)9iI`@vwYgaL~p31&uT%pD*K zq6hShD>)B+IcAn_8cm@u*Q*V}yx1Ihn~Cb>Ngh}!5$K1|3-f&&DYjlUg=P;}N}w4$ zB}gj?i8ybCU=1W6qvhda6$yZVHX_~Y6GjxpBsnD$lE|}T!|%b?ayOmp_-R{&CIV9c z8#Rnt^2L<&-Yb_L$`T9{fBV(UDu9OjJsuuPw2ibzf$Xx#jujAqCi{zYpT#{y^_bmT zI~XEyND#s-c-x`SN~e0If&)kx8fDl2eNPP@elEU%HWR;{3Vzk`J@#2K0D|IoGJN%- zw1$4=Na<>)vRII@<ctlPSIowE>V8rPo9~VuRQ{B`h?RjThw~%V8^%Mqe)W4jmq9 zy=Wu&(S!ia3q-!VXmsvr035{mRf!)@GI8Kj$z`a7@ug8I8f<{%x)Ui!Ccbm2@FCHC zK@jAG-itvX>R-pN4w*4ht<-MUQ&c2rA=bzErEjj7Cs}-+3t!{uj7ZgcKfE|vvozw! z&&Y?R(Cl)mQ1D9M!R|MwVlsclR`J#g*p$|(^+ZV7i6O;B7nMSBQ3M<`o!6rtM~a@4XB&VA z?o>;9!vD=2Y0P4LQh>+X*G)iN1f#pvdvMW}Y5Ai+wW;6q78HrRV1F76%CrO~4I~na zsFV?KIe{g94_3Ip-tC30CWeI`xQ!=);1Cde-h(WP7xLfHH1rL*M#o3o{er*w>Vc*I zsgnLpD}wQf&wVntCEADAaV~KCbWtGO=K2~O^q^4%I0s;E;kpA<$aIp$49XvlI@-fXgE>#o4@M$Y7^#QQEXOfz*(ezrQnKlJ)vh-`L<6 z1p`R}O3kRlNFEY)?yfqoB|0WvVabq)1iE})eza{%T=^$-p#C~Mi~}8yAyR-2tINx`Exf_}m(uG1k~qK>hH;d@PnNAyL|DELM!8;Ak;(e%9akO?EdSoN(JY04?$0o~6p zCQfjAB&)9}D+?rj)h=k{f7z20w_Ph?3C2j7FGs-N2jo@H=Gxmt^?em2aQ0gaKj@vU zA9sBXHr&7y{;2u%lO*TECASwA+-MhU`5#!nu_+VU&#kn6aQ zCP2|VXJ#1iei$5rtWCZ!Us`8L1#J5!u!ariptkEUXyhP!r(PEx_%HeOgQG~x6k-)T zVpw8c$nTOUSY!d9!xog;7mk1r7Z1o2AdyI7$He2VKL}<}JSg3YR+M@_dO&)I;Q-`m zbOQp*1%W3J8i(F|xd>2Mj~srD4*HG1`bpz}4-{NiJtWwBA2JwWB#*Ds8jd@)}tqYWV1fjOgywYmdCjl&WI1xZsmme>g8z zunS9(mPw+yc4q!Q`=GgGrh;h+@qG*&qiRwWJG4&qp04OTj{9r%xv+7q?k8m;S zlm$KYX&FI128w*wt%NJrZNY%wM;Z&oa6r$r1SkP>xykE{13Y(rX706&+xVUkjMfW# zk1G|gtpdV~jeOs=ik7G_l^k7ET;1|*h4uWdn6<}gHwWzXqz;dbO|2YcZI=Jd*%Kk& z!|!?$@7FO@qu+f>+bt+UJugKGF}sz@s1Fwh9#ex|b^$L@TrKGTY|IQi5ojHG*Cs zTx!ID!M(kYW>o=7l7{1blVCvjDx>dc98{Ad^3=X|Pkwm79wQ=ApIdoyQbO2wLqVmM zFj5O#6!~PO)9F9%AZ~<|54Z5*^74mvLRGNdG8Ho?@pV;^o+%J0^f)0cSM< z;jut8)`F^${r2M=cznsGVcXhn%qf%~qIz8(Kr^Tw_xKt>adxi90c_#?e<{wn&)lBB zU)EAB_yV814&}Lh4V%x$OqaV(UjIbY4*H<#P?e;`+%L9`hoUp#sbpL}Ye~Ko4gY;D z&7!&l0B-_sNVE=MWi!Q%pi82abFH~qa3dK5kJTLl$YAS{HAsDEgyQjTc4^a7lW9d* zWg+sCww5@YgTREveBDT<*6`|Q;6^KjR72>}1FRF!UYhgbfe4H2e=-9nWGX&wrl-_I zyTC||7Aft0y%5=hhef<1BECKhA?4x6bgh}^V%V2@1ywXtTWyYB?^OxT{6!Q4&?qUm z!w?$-^R*gF5K1g(qMsyu{2SvC4oL`o-NzI$c>`pmz}@+xa9vH#}rw3d_cljFT@Ew$$;{zN;Y zt_6ZotgDr(&xn<}~N`A2{$Dt{kRo&V>rHvccw-z218mJZdio_qR_d*k60 z#V7oh&gnmGM$3w*r%F=QtYc2=eld@L)}RQhv58GY?eZep`NY*JvkJDS%EwYJRZi>g zp4Z;{x{^4v|Gx+XkNChXUpC|c4VUK$2 zNqaKsnjF`7)87wpK$(WU-)%6_U{Jt^@o;E(%qIIy%*56{o9QuQKF_;9LXaS77zhXk zJ0j_K6|fW+6ElpXr0DhW`rvXrGweb3?iYFTr5|hb`E{`KvpMbGlz9jR_bQ)%5c9jo z$=Vw-qCtAd!0a~V6w~B3O&dY}N3Uk({^PSB%({@cqD!cD)rn`pk=ni5-n>}deY3YZ zeLQAvWrDSFGapUnUoJufh-6LFe_%ZRB{S*iRzFuYly_GTj=92|N5@M2_%$Lv3 zInVZ@!k(Tl&1z&kOI`7=9!*E;a#NWcvb3!v;MTsh~ z$6nk?KA6(}tP|F{Fe|kqD}}A%4ta8_yqJPhI1hS3e1XIDU{jz{P6>X;y5GMmWsgaU zs(jO>a7idy0{hiLyi?i2o1ocS!e<y>q7 z#U(mA-Rv>Vf(Ja+r3jP|3|J399sv*7H88$|Aswqs#m=Aap4LyWccd&Vz)zeXu(b*@ zE2OMVy`aW`Jps3iwObJB7;3XC&^NwIupqE{nc@dD>p_hI+Mj=7_nGyi9jb(COBX;79n|+*6RqCBx3*>IsJ&(R~Qbnj_=fpL*W?au)g+tSZ>qdOtT4w8eEa7 zMMG66e@*VipDo+ShpmOHee_c2n1V5AT*$?h##WW&uqI*jq!tH4yHZd){=8QE0B@nk z07`f?vFJ=V|7|x#Nn#-uu3p~6vg$t1`(b%0ALKuuZQ4FZHSsv@gbqkh7Q;70apsvn z1Zfm(@gw7Y!LkMxq=yfo#{*eEdna~TBFpK>wp8R}@*2XiOWV^jIOpHB4fsT(0s~WZ zCRGXL;46<{pM)1ZJ}w-n6L~!TjOur~5GN>=b7TS-M$4sGr}T)ezD)Ckgso{}I=Mqh z5|gqU%%DhV$YF!n{b{iQ*+Q-(|7JCzPo|wJPw&j|tA3s2^C)33^;Y#d1>BynP1DnX z@r%7`<6_F6gsp%+$FcWQX}vi4o5p99D<=v%xhwvy>o20&zz2R({#C)fr9&s{5l@W{ zmvSrMz+k!4&`1+^f6($|&u?KaOHt`SH%3C}C+AHv9|uGiGMGe$GZQ<8P-tm^<2Zk4 zC|#>gxZq$Hf<~1z3XJaKv^4j%w&Bko7(x$X4h{@4wu)anfO9H^EFl64pEqJBWHT|Y zPr>!kudu*vEsCS|A#3yZ-W?iIi8_ceD`ggCs##V*mw#5;Gu$4dbLJs~24;TVFpO0& zC7F)D0F+xdhf1BtJkT(QPvIUJl&(-?_UG0T={J8)Y#QfV=37o{~1HKH~=pme>1KBwa zlhY54q}x6^6c+um{OFhsR=loGMI{>vWebi1?7(=tzM(k5h9O5nIFL9#FX&{oc9+0? z3z#n60K%SHTU)E_Dqa)w%LVyp{@_}Z8R*WF;HQLg0fG<$k~3^mejg93pd!b-lT_NG zO%+!PMlMf-`iTCrp!r*F%s#5BWT9$e%tz&3+F8|;X{9<#HKHKl%*VN0=lop!VS`dQ zT1%1cl<(BL=a)JqGels({5<|LRFc^WVy$S@-5r3FG80!ygxlt)r0_&Z^zwFUx)6ZYhdlJ8g z&q@D=0z%?7B(x>A&3ov6&pH%gBodqIv3%3{8yUwDGpx*O&1Cjr4g{^u;{5rlK}OTp z@e+kNg1`7?|55ivPa*ZLLCG&lX+~UU_KBjHH`y%15h$U8@`CKOUr!`m?cvzkxw{>+ zTKM8WEzOdxlE0{ir;=M{IOEYP*zS7tlf~~jRo&pspxgtW!F5;^6Z3F6s{UvNGon-XV z{4odcQ!_Hg{}?g{@+#+IBH-Ol2iGJ@?-GLy;bZ+kxh|0Ja$eF}$4@%dF&9^GO&qX* zlVrO;dLB&c#kRrua6>0M9~C1m-a`XT$(j?xKT8@Gfi@fnB~h-x((SRh*bD^atb?JCpFSum~WN#L+l zm|Zzk92rK5tj3Q(&uij|nQkMQ-p;DH={&U_oSEX1l&y!t*T>vb1gMToj>Ul5`bYlo zx4OQ15q(*ylEWGFIsA$1?8!IB;rlx5cMRzFA42dA{{WgS@DY^vYmfjpQ?MvWv@INn zzg*4-P_Fe)q^ppPkiq(fhJu`Lir$-k=n6Vrk1_Z5>LkWHm|#O_6_y%EF#jJ*=NuO4 z|F+@D+HAYcwrv}mZQHi7*|u$Nw#}_J+r9Jq9&i8FRMYW!rg^UWzRvTP3*yeAW5aj- zC4dSEkC+u2Mt&fy@{;;paS%!P9N0R*yA=5KQ*JT9V2{bsj8o6I-At7ra2vqLs-z7% z_zmLoCLwN6%4_8rCvgy_NGU7`ZYLPNPFQ~HBO1;hh0-aux9HM2Cdjn^)CJYGb#ZA| zw>_n!XcmL$U91U|F-MB5ZWXoduxt_5Cje{;LeeJ8!z4zGH0pY5?C0M8NLy%|HF+|0 zlYZhpA#DBGBk6Rz#IfSxL!0ksrcHoLs`CKO`oqs5AccZ}`_O)GmNGJMzhU}4jdgz` zo%r4iKvB|+01uv^jBO2CHGD%u+}&MmH?OG#l$aBJV`FufdzQg&Khv2*43b`9B0oTJeIL`IMl0!ScJDnP?5J}& zzo};5p=(h5Py)Rrc7?npvbgtTb+aOoA3R(ZFPz#H+7p#!_$sW(P`{VlooAfU-mxo)5nbG+ zML9YSHrCN3&HdB32*r1h1o^kA+^((gx4PEp9~e^YuN6L06B})-k`|9*C{zjO<&+V$?PyMsAXInW_o$bV z@_|i?N)fAPhDq9ljEqLgOUZP=og5wDkV9M?Qf?7%oNC=eLR%Zh0Buer zp!{wkmFCCyZ4^3DK(qbZ7ffcVPV9LYh zC*hTOK@#nc&jQSZ*bU#}6Ub+_-_w)RCxjWu7{nd)x0c^IPCpM$43YxW6Xsy(gQ7u= z5Ihu;NF$TT1%1TB(H+jy81xYoVSEa2>M6aLzyAMX2}U;gO6F1tEw;>0jLJ=TNO^f! zdRae@NMDD&g0*A+vY*8*8?D3%$kQYl*lx;e;j9~m;DC#B@V+ulfV^X>v%N~hH2d?i zi~#{itY>9;J2M~G*$n7V*u}s=r$K=KsneNt?)s>IBNSvdt^D+av#$0QAWBq-ScjA& z&+!Hh=o)Nbih~`ypEFhdaW8B17h0SW4R(}T5cwBpJXjWh4gqQfzEE2F2T?Gue=n*5 z_$hI6($%C1{RJIe>g6s2=1tnFUbL)iGlQl9P*RPG(@qv3QCz%cu_5M%1Dr=G6%45i zWUxHk9*St!m~OTLgWk&?M^fCf53#e~MlnLf zoaA@@3J<{f((R^rT_rD=huQre@(e%@rtsC2MIlc#v>yvyIEjDqwo0r(b=-|iW_=F# zwD>|}%kgV_wP}E2Cywy?IdOv&q2h@VIDAwyL z9pnO$%Qfc&VWP5(B_O@| z*@PbZDQ!afdGZ;~18!c~h;qLif)&-X=`L=I55+vb>a-HzF)!X?$Os#BuuSfdIzFMO zV!WaG<0}f(k1Ibkgg2i)tdEVX9~{VH9>fIAqR1cBgrM*RnxFqiAE)L^K-(f5v<8d{ zBM?0$d2oYIGg&Uh+k6YLI6eY(cTc5#3rda^Iy6WiOmmCkk*1W;vGIU_t9C`R_R&nx zi|@_eO95em!I{tkgQnRCx4qT!qL6m3TX?4Ds9eASjHKuXh58);H6|B?jsW9M3%om_ zifdjQ3kEtI>V}GB>0w&ckWhSXg^q^3`du$wmf6lrNe#^)LhuE^bu)c7d5#(rc;*p| zp#LrOhyRWVQ*T}*?<4su!N3Q+{d&3b`K`-!_x07@d`4>cD1$5Gp(gM5$JtZpqUOm- zk#Ipo^STcfn_zu_H=x1`!yMvC7d2FyO@Gd3kvWT=Wc+2!VZJztTgBmj!&? zE``L1595c z{;D8?v+*R#f<#RK?XUG?#!7H1ko)9@5x_8Z^b*dmxR?OAr6^Q%wa}Yg%qAA~qB=gs zWl?Xoa;5%RA`Pjy$B>e!+*86_%d&y7f!Bm*QGc#^T_{jCRQ35aQ9Y}j1N-xQc5-Ht z0(mti?#-fwy68{2bj$b&=N#kjFLgr|@@W9<2Sof^m0DGFj95jhYC1XVOP9K#HMy=9 zp56ym%Tc)#Y;34yD=WP=j#w;1rQX&;8~Io!>c0pv+|kTmYkHIn)yYe8xX}apO8pE_ z%}5b}LQn%l1J})fjug{e>6)MTi(Eo3?E06ZiR+G2Q8fb{sGs6i#|ID zE4vY>mnwl^hqs=!YH2DEGGXSUy3XmL-(`L$jew#E%zUYlqs~*H`5S$F?x!2PZRKU84gN&QLj;MG1fdgD_GTsb z;=@zphJ!20u5yE_g2KAXuYak^5rHE+P%2d@c7Xxbp~$mDSvXH-(+H_m_G!@Q5&n;@-$k zBoXknpZQZUx?)w9fciFm#v%Tr;O{-+kmT5*V~Wk=$8+)wm!>2I_?gT6uwAP!aAx6VR^lsjgi_ROU%Y%^lA?;`c+}od!EFMMP47;-tY0AOu;ieKjdU z{z^wHvgw90QDQcCJxkQ5&+Bloq-v68)qdLwN7GE};u$LVRVAY$w&^|~y{PNICcz`w zAc`c3v}0pDilupaGY9ZGo@o24*Jq&Y%66&943QCwIIiuUswP90^k1pM27P`H1_ve_ zd70D22yC{Hva*K6@BQ=Ixy~0!T8q|y0@XS}qFw8caE#|ob!uQTN;KJjKWDlxz+EX@ zHCUXdEh<43ZRF-5DRw>lckPL19k0>Oq|ubH#hW9aNy%C#qj2exy3~IU%DhgPlXFzg zp{~J?FltuG(f>YUM4iASxw z*~#{Dakh`82{X^%XRB`B^6^yYeK&#pzcz@)oTOz{gtTmz%E2o@#4Jk+$%mud9SI;>l6;cM=+8{5T&u8N8VfAsC ze@e7u#rbqMf1i0;No7dm`09QfeKijoDw)iyv9YsTSF-tPYH@QOlFv5rj{N!$lnNAX z#zk7XRCeE7klOdXHnZKYt+N~(N<19S_VqrTOVGiP6l)(D{r8-6k255(_OEhIRTE7X zf1VW&VXDF7*r_k=s<^5x8JKWm)r@x{0$P6jckq%jeWV=g^fdZB(#%PWK*5O7$+MVa z(XX^*u68Q*DxW$>aozozef@y<#egN||DIH>Uu6R*JkIn8IAkGZ^WD(K(^FbhBEp1W z%jA?hTi*A;(5QZ!1P|$Ka95sz10@RwI=tN(sx(G-=!n)>S?~}1DmZsAS0PKX{+tC) z5)YYwpqX|(9SZN_TGxgSIIP+X6pTnoX&UxEpVJCF?$^@XH;8IFkBjxNMi}qT!2=&u zR0Qr=`(`7=I%4Hd&y7huw=7JZS34Bi;i z3@LU9Vw0KKUC$H<8@n|`%ay*3OSzE@RDrPIhDa%I=tcB`bSgM|{#?=`3lC{} zud=KbOML-lKPl!a4hZh_W~ZX&B^Rk=5s7E-6HLblNvxht^ic||mWP*&{zNS$NeTo1 zNYpkMAWO_dU_2`FQCT|NU*^}c{YBKXz29BtQq1e)gK704^$dkX(hx#!^|=M^F|v)}gE<2tL^+O=Z7(_hwk1WExT! z3orajV(j~eWtQuldq2#wGn4o2y8BGJ@TwywdT7UHmtk@RG-;`QRqei5m~Z_ERqx2k-mbh9W6FbKd*tWy^1fx${^9kB)l%{&n7# zkYh!|-C#2dIK4*1;Z0A+<8wL!j`1l#ySDj#-(13n8f;$g#M?G~sxft4l&q}ICsM1d ztSTe(-LH3m@#y(tnZ1{n_Ix1NW}B@}ua74XB*5fIMq&O;xNN((c68C*=$n^zwWH|j z!co~QE3H1e6=>`X6?e_Qev3|$M}9cgT{OkrxK*Mvv% zlxFi($%XOsVKPAj-@UI}%{WwvR7oc%r_p#q#P;ng_Z`phIP&u2wvHbK=yEba{s-}{ay*nLVRXuQWXVwBKVm8=I7j6UjGYP2N!inT zvR;eKa!YCTC2>gswNs}9Tv8?nP`1UbF??)z>a<2AYsuqhcAL&vaN{ z`p*2Fw4H-g8IP}hH=F|{peq992sF&@xbOB1n*bv;j$I$;Vx2`GSMIl-S4C&1*Id4k zAh4u$KijwG!VUBx$a7LvRK)YWowBX|%1YQH3jsDA`0oA;$^51-fw-H=b(@dZb090( zGe4j1kL=0oe%{@6SWS`mMBw@6QGn0(K_xCX^?i!>%+mj6qjO|`6CsBY;1&aUB2j>4 z8zuqik;?dCcLc#zlpP`T+i4xNg?#_-h^2COVj5-o)RJc=TLRLO%510p8RuhlG@;my2%pIXxbWGrVk+u3Od5>3X^78;Qo>r@otTgStij=B@ zO`D#nWKvAvm4@#AY?pBJVZ9FwF^6i^>Kv zS}|!HyQU4{ueNq+wlB?bK~HyV{0}dM1%0<;T4BX*S3Hi@>fgKS&Jh;vr_4dP6sNOz z?a*_Q(+GO{1HrMXsDTEPrl)8GmqViY8E-k=o+;O;s33xcF>olmllKoCq@n;WIYQB5 zIp+5XCcpPR_ePtcQ3g)6yZc7H*SzbpvJR4|IOkX?$IIz&jy(w%&O`_tV*kQRYg|(N zD~Xs4-@nDz{6TA(xaUu{nsx{pUh2C_sP8!(uUQHo)@R8;jm?D`HzXUDh~Z_YYjc08 zToy?Vw7+`jZH?R2*4eE`0I(R?+`I&A2u4LY2}{aLc|N9U(6{e_PBymM?)F+8PoVyk zm(5^42102VXfN6f27-Vn;A;K8Trm-uOl!#p>a?h_%bJAwo|@sP98y3zNgXM6sdA63jzLX7IxF$EwSgPYhOdesqSc zJ@h72=_!Z9Rb_|}-i&lD7&l|c4`oaZhcBHOO&be?ie%>qvx4u1&7yOgBPqLymKzia!P&M15XR5X3;uMI=dbruCpu@=t3kp-4pi z57>weNw$fTg!8}Ehp}l^f|Ji8pCwrlg1>(qcNA;gl0N94SDk~9z`fnY<&ST#vQ?%H zo!PTM^6}^Jg%7+vbImxp{{<_msRq{tlS7WGr1P7;yc)xPN}-0wtJ=JH>^45PjxwU< z`aEQrsmoxaB5wPy^C5Oiha-0h1}A{Vp2h_c!R8}7R@g>rl*AsP{lIoVR8TV=`u;i@ z((qf=)Ci7`|7P+Zj`?T)`Ot>Bb9c|M!eC!cb)A5?+PFlvvF-5r*qlf#5|-XUA;NHA zi`8T{!`d6XdHKI~D<*?pOnh0MpVwZ0AVmuUIl1fAR!8X;>DDz772Us}%{=5R-_^Un z9Ni9el@K@f3r_E{@2`E#-Uz(UVfrXY3}Mg4d~T=eKlb=mMzY!SML>rPoZk5<&dm*S~pvkFA?#Q|Y(A z`#!|fcm@yCJ-=T4=-kH+M(iP)x9nrnyn%q?WEJI@G@$Ae$DuK;>(U*B&ONX4cYd1p z9$Q{oR@Ym`HIlHfbZ4bH!A8r$Do4Nqj`Ca;S|I$N!hkd#h94pfYOwPS)YmY6HbC52 zG3x-v|MX2n>;*r$MW_Pi>*FQAH-ft&)a)m9n=xw2K3KlYlRsbx5q|k*m@rd#IbDgp zMT>EGSLi=)boDonMc zH?dhQ>NBi=IH^7Ul%`agidfb2zKr9s>$~oK|CAR^05)y9fhWFFT}UYK^^Gh$2+k~e zNUPWXKAd3-HFHMM`J?~+zRz0xP6DVw5VHsrA822%EG0R-%|`{v@AMvtepm1LfhPX~ zxqrjv04;{%Kmv*^Yo)HR+sw+24^d?LN7UfdPWx zu;+cJ%fg(Tq5Fpfe1!S1taXCVNnuK@(3z$!&S2Ont#9UElxds??N}sgk*vpC%o%9u z?CK=Lcb#^cl(d|TOi>Sb%N9OA-LpCxojEq$Dnn=Y7FBx)C^1zzR=z;H4~kulVmu)&XP^xxl5z;|pwMR$ zcF8j3PdH>mc>Vf|-Md4axZ=gGz8RtrG^ckbC6=om+V}MDDoW^xaB*YS>c4GLCQm0p zq6g_{epJ}LT1kETqr%_Y|G?uWP^!nC>k2;Kwo{lj`Pg19i6wTNK6E% zQU!vi!AWZ_HBl1xe?K^gH|80n1%<#_O$6tjs9=|qjY-R{1yvFKT1#uILmZaw2tI6V z_gBpx@%T%P8^u89#BJC&fj9u#y44iRJ>#1M;>u2-m_4H9;Sty;Vf9pH+NP}SJg*E1 z37MLT0UFJODYG5uD&JSSgTmwc}9l7A1n->uO0xQt{39$m3P{huKQk*7*R-* z`QzXh=JuTP(bCbB-DB!N=p0NGiFKiGl=ptZ0^BIfH$@B+qnf3#A45v6P-eRUvwoLE zwb{-q)27}?eB~VTN#llbQm(}K-w=^oipnUm9tPd;?Cf;b4{cS`&E3eOQ*rr=go}aE z`n_a`my8d}?U}SYzK=tkN<>h~@+hmnyCAY`y={6Pw2Q*Df7_5I7dOx*rOo%Xd(`Lq zCaXm26JvSSnIZ;8r9I;Q4z{$nN=54*>Kvl3)Ie+PWCD%GWQ{J6RsQF*-Mb3YB_L=Un; zuxMYs+#ZG~a^7;I6&(u(oNC);&pY{(1h8=7FOOz6u7n52DGTzW4;CQD38p4Nh7Pg= ztPJ6ukh&d64&IE)l~Cqr!9fZ?5fvkZLi|Cbp)qouo-Wfy%>PY)rZ?vFaV!SJqjh4O zrf^F~X-aX%E}=D5WQtTgoK6nwc0;%n7|^^RG1==q;6MIGd#h( zV$$o4*Fk+AupE&smiKcWQ^^xms1fa)HBu=|k4_M>f=7@$`{GT#X2++@=d1q2y^5Y_0JI%KKC7>zwe@Fz*1^JcW=6^f$J z&Ksmkl!?7aJ8_4I;}NeP|0o|;4=2lPD;ya zH(t*Z24;?5KfR($1B?W3ETF{8$Qu3R`%!`pH!0 z_Dh9@<&w+2-NZlEM?*lk@SpBt^nMeOWP_}6JC;lwl;D=>e%xGt*LzH^fPr&5+`QQC z=Iz$ZwxKZB%1icjT3_2|w3z{Kq_?^F_~@vXVz9V55ecvBwohawC7m)*nwpP}mWGRq z+tTcY$La?>(@OAnsX^fp!eiPjgLN%^d8OYE+A4b0)$YUdFq!Bq)GUOZI%!Cw-HPVk zrl89fEpe#64ZnPxf#_QWAnzknJ%yaSBw+HGxr;CIPtWttzrH;n^@5bb(~}Q)=#12S zfdl-1xH!p2%3{e2&MKb&sQJ? zunuzKdI@oL(`S2D=i*+80&qAtB&|3)V*$s*c0w?0q>75?(h*_Un6%1HA`%||;uwDl zqoXa)e7GlZ;$uwOLz`pi0*!d{X|3b5i6~;uK772o4(L9*s=vSxP1o1hpWHT2Ti zoQ{Q&Q!uC$?MX>-1awcBQ#dTbYI1!J;oAfLG2OYBOHS(LX<*q@*iq~&DZvXk3xYxg zX_OuXdi8RGMEmnMDJF% zX%#&bY*)rZ2-j9uNd_BnNyOqRwD;L*-TlbVVLJk(cWpop_oUBvWOUIEG)g`@%jccs$~qW_7XE)wIs*Tz|7!JSS43 zDdc74<(bqX`o2HMZI6={oa>hwGjab>g9i*RRX5lAP9WGQ)j?U5Qx7Z*KiI(J9n{jo z-~?dvL3;7RyOuzKsKs}6(vteXo|QvjD2Ed&7tr7V7y!%V5NE_KTy8FDMgvfipfMuh zRKfWe2&6QjHQDqQA^-fXvYp)I_g(4_E%&0Ip~<0w^6f;lX)v*-CHILUaiPmGWh6v~z@Sei zZ`$T|4@8=(rM_`I@&da+(^h*VxJ|AKa8}GWNGiARk-)nZkd+@Jco>PSA6OS7kBXBs zSd+E~P$`pdq4mA)aTkJEsn$Al>snk`7>mR2vfJK3*xF+$KaN>s0wR8Y({QDw-M0!& zg22?O(%M$mO3Kb)-928r;?9uhF>cn*OEMDy z^ql`i%$I&vWJ&4>R2J?wbXizoV272Kw&I-o*#f!f5Srqa-x&VOjR&9q*QEZrSi+Z-csT5WldEQ#o7{@x3q9z+VHu7~?-eKWzE_K?oQbYTZJn z>7y4N(HOyv^gyR3$m^Bzg;cf}jlilw0M#7RdRY{@gXw6OETkkYTU!Pb&uMGg@gj1SR+u8hAG_UFxT-0nuZK7K68G{Jj=o-uuyqJdue zk(b7z+oR9JIEvP&o`E9{+PRZabPStW+u7i86#@wD>0UXBndUuU5yBT=kOL}@Z&^7w z*fKH^jKyE9>ATZb=|Mw0kR#Hu-TdEz2Q*Pe(~)3aCmSjyp)f2Y^YIFbmb^W>pdoAk z7F|~aYb>ts-rsnv`wM!cW4a!bpG9>aGr27?PxikqrDrdEXI^qeX0|)dV+&ONrr>YT zHG_!(6bUJmOkZx0MTbo4p_Lf3xIjw1OZGTOAuG?Xwj2DafYj8&LK0-ANBlGFGm&tF z&#xT!m8BKGW<#+3HC?I@w0M&fL?N4UvnL#*CLY>4C^gjvE<6~i+)>E>+`T(QP!e`$ z@=8Io-F>2gANpN18AP==Z$Umtil-26H;^+M{V=hB2SA|qgK`O;r^Fh#-D#9wZ8qFt z0knFa5F1FPZEqxLqztCTZ(*w_txq`QK}lI5bTni|C&=J@>D7WYymBZAwc$7xnE0%6 z%D}9-^+;5nH2gi7$m5XKk;dv$l_Y6tK}u4_bYga!CcT8g=emEsW&?!;Ze>sP7nNE^WhnH1en8uu>4C)lzwOcJ}D#D4X>PN2aOX~MJsV_S1$XL=MYOoPFo%O8wgRZTw{0{|t(J`}+_SFo}b#+b6X8ryU!>Utj zK$1pId1ot-* z8PkWB3lvB`?izH^w!23}oFD>IQj=$hl2)Wbm>Mha-9?Rpza?{9PS!FeqjwHRvyJgBTS z8xm~n@fS|zUuRAwWMmXvcnHWKx2}Z`+<37P@oM`+OTW^I581MR6%s{2hj^i~gOXY) zZiItY?lXX%QepUt&JHF;c*Q>bWY*3tEm8P#Shz$)#PgSO5`X#=ZO;s)sH1mxQfHU- z73^8A=4Zfw9~e|=${R%Z{qj?E=+ce|v};Idt}f3p_vvaKEF$8Tf_Bn+3R?Swjqrt0 zKZ9e(R4M+o;!+32dQktNc*Sr;srxV@X<>$p#zOJ5Bl`*@hq>AzQuHR89bP`UD3g9xzf_ zHW16x{k8ta;;%(QXR_ePc}@)oRD(UmG)9M-(LZOnTqFGbOF+eJmSYyWoaZ-v#rmMs~EzNMhFCX(h~ z1@lg$k^PA0j;l~Dc=g^RlgChPt7&NXTkYw9G4~q%*Cp5AGdi|!iZO4av++Kyv>s6B z(8e4-AnSk1f63Tb9m58s}M>8r{G^uFF)Bs{5#e6wr- zJljt}{K$$IMqHoFV1Us@ZHUQS*f}bA1t^3$5n>^%r!+J<3|b{j;Wj#i7(4WS{vVQg zCTUog@Xu^w3!N$G@aPN$>wOoTDki$0@is(BVTa{L3jHVjR;6E@b=yQC3F%SPu49p* zh2~+{1=88-Bh0s&2!oDsG?@X5flV2uhbcQm97w`A6;YYDf6BRO!v#!LbTn?~Y}7mS zYU6t**nY7@DgD!Y#`z}s0Uv+%U*Lv;s8S}UqLw_3lY1d(tWqRpoXc)&Rg68qwDd3l zMTitwaZQxHraeO`xF|Yh(b4j6B{eN2#F9&X!HHvd#Yyn27I8`le6*gk{q{0Y#g3V$ zh}`_|Y8tqSIzA;QsHcPL9~ogJT+RV7J7Q|~(>QsJyZ!n6eR>hQ7Jg8wH2xQQVkD< zVeX~!;Pe3)aa2|QV_8TJ^n>7w`^^TP6OnIj*v2zO<)f;$tdqvkcp@xVsHuaWfQ`(L z3QuurJ$5n-gTjS@e0F)kRN&?EK6V0qspol>+7@`><*&5d5bOe8nO2ah6M}%pO3Cpv z91#A5UtLuNCR?dzXIER9xq}*L-+rP_J55tZ%PN=N)YLdDaNR8yy#vccD!tr@#AF+b zLoFTApPE~g=7=FJBwT8ttnIc0MbF-~PAff~GO>qN4haXo5DHtE0EwX*2#ZwBTLxOV zQj{Ws-<}^{|1Db~t{$zPlrmD{zeG?}L33QQS#soDXf#s^u{TkAg_W4@*fM2W^D@$W zUnXG9Ys`%`*-Vla8F8#DS^#?f*|5bm9ibejqbbO~K1N9a%3^1j8kU8Oah_FTt0gwl zCJi&z>ym2c@}2lqp8KXh@rwmPw7NEjX!C(J()9R&C=MX*`$%(YuI1H=ILLHZY72x? z-K)WrR93zL4NCTZe;ZvP|Qr6_{0N2fq4 zr(XeH6VM)#X`v^A;*rmTOrn-Na=|73mK=VR4uL2B9>DML zj?d&Y*s3;j|11@o4R(u|QM~a)mZrfHu)`zi2TD}GUb@HJFV-UCLBJpZ|5p7yCV?&^ zHNf(UW_h0dj?dAb|6#I#uODCqW+BJ6%<5j!>UydyBwSTcR?DgQFyogD;&d!=(3Qxp zzz>zX@ncSb>~ftYv+5a^M70scD)?n-(P)>rQ739sfvJ0Io;Iz_L%Rh^5*lJo zlCpHBh1=dAQie+Gc@b~TQ^PpXi_(IqR=-rUOgzqMYCjf|C+ep33?XqY)8|vZ5aXdV zu{5L4-#eC#Khgdvc|H(@pQ>8?{hEkayd{jH4)kSJtwH@cq38EXK6tjya*ey2Zp_W& za=B7-qzQvBqd1ArpPiRyzhnRm<(+{C0H^ZUXi-xq?iga}AF(8zra`c5VLCDbtJtle zZi)&T_?zg3@D6%qWS~*t@|)8I)=6j%FlNso^DM7B^l-?Vft;YtyyR3FcT^$ZqRF5| zgm=x3K(8n)50XZk-o4{R=E`xO;~dfv19x=!l14YZ-NgOW8`>7=G#NS1X>pYcF@6)> z@?9Ny;k5S1bJWNE7&i~UnXl4dr(^NaWbnc28%oXgIxplc79<@^wh%yhj17=JWk;W& zy38t%l6*jNGBs0pc>-Iz(E0u}8Y%E4YQ_Xvf})hPZhZpoP*hZUKV3lHax|$fr@PRq^JQ&N%w|Ad3hbS9*IaI#kneN27xw5@M{7zpJXc-lS?2o^+luf1?{I0%jA7JxN+L(g@Kphl?OO915q5Ugw~C8ndL_ zmZ_GU!K_>6OOl5ahx!+-l)6(jEl8BcFG;<&F=|;Qomr&JK1oi$Gs-}v8BPn+QAu^X z;uKmOK)~B~=zgpDgnF%oZN5+}RoUtD+Gw7aqrf>nUOP$OHRY0>$7^{v7z(#JKedya zn~R|{iBCt*uQh4Qq-}Ti+p>c^gv7|pk8MR2N$8sCcX?fd_Vn#1xMfHWBLKhJNfKI& z2>q@AhYCelDnRH|bp#=gv)BnX^YHF_W{CCs#e%8IWw7qU${X4H* zFVJoSKbUPSdi&lE_XE0;We2t3t7P*$?Y_?MkQf^UQY#5>Uy2g>I~Q`5_VlRnQWBJK<=l)|Lt z%B4)ErYxJ~C6nohBDUo5izTcvG77sSX`dQ1BMQ-J`9jptawc(Qp#ccwOmky^C!5Rt zh4;xdg{_@4ZfA=Yx3-UKMd#Mn_xtwSx3gUyw=aPxS@!OoQ|f`fS;diZf{I%)k75yqRDbFJE&R1O4aj5mbW%T50gWK3j^c`X*p}_AtwV`2#1OU#~?(ZHr7Zl<~ta% zObqif`lRs#$CTefJ;pihYAzZ6l-E12crnHiVfjUEJWEAF` z}{+==s-&+iOiZKODGk29umF_Hxq%-e zI?ACO*LJm)2VCh^6D6SEOw}ja=f?&^@Bn{mWpScjE(gt0n_DR?vMRNjPS^4Bs=(Ld z{TZNCj_Ko><~s^hkw4p>5TdfqMLct>8Ao4!!iA?z% zyf}J~hSP*_Y&*43ur4HgY+b3;)ikkY;GwDwGVuRvfHz>@;IV%A(^mFy55~lzOfmDV z6~Fw;<8;=o4=f*MWoG&##{z13(~>Fo73otE@C$+@(BHgU-ooih9wlMMU@yfQCy0nw zR&z2PN;8l*f1b+}-3(yjpJ>+cF!?hgO6rrc=PJ!QcM- z@XE-8EB!Kqk}oZzL+|%c-$X`chBze*LX>J#h_lBZkEn{{DUp6%`|n!lTwWcD_*>WK zm02puHyy>sS*oIZDzF2x2;RemBRU(Q2__&%qx~mFU%vqwLA}bKx$jodACNS)GQj^B zn)D48ap+y{v$@-5&ROv^?2Ix=$)@q^HerC*=J%-!Os44QSUEXeNT@ghK5eHCaOM}G zFSvlwe~YHv!dqpN7<<-xl`I1uATL6Y!6EeSAXtzYw_q~#PF4oYf_+);bw#ceOHZaE z#U!p^o68q`c&9=P0Q*d9FO&6=F5bppVnv)=UhAO9YoT_K8u=ffumM?n_}((7jl0MG zA*QSWA;ZHLh&i-N`esSVm~ijE9{7_ric&~_{saO>-mkl!cjgk1qTNTRQd@r|ZKp+V z0By%BrPUuk8ah`6;e2aON{4u@TZ?I>I2m-$gYK=_7yo{tGQT|ydlI*uB?B3nJ3Dgl zC4XzVsIES4XC-hcnrb+{KX;u4;PTNdKwSE%NA;m=W=NLu{Q0dq>dB7B~ z7~Ns~oKgDRO-vLi3$F%I-HlCo&L?i&$B(CF$-D`K0Zfm4KZQi-=L^|is|y_X=Oh_s z32~O%-h>l+cufP|+8BBWdHAWFk5PWaY`@3Z``rqvn!JpjhppWL=i4wp5%6EnuevCF z>aW*>*Z;=h_i@@r`Awg{=YU;U5*3pK_*@)Uu&(fFgaGvW1cFjTAm7sMB|}LMe+qnT zP42(JxRyZVeVuj0rHv9ZfRjjF>}$x08CxO8udeKvF)st=z#)Ojn5(xzubfewxiz>E^N^7ehUPCWyw+{KmM+>8|TI^XQ_B!X&+nF7wyE`kfIS+?) z5_GX_D{1zwho#_<)*vazSA8$H)}N(I=s90r_A3`Z*>`UHGebsbbgZMKP_YP-B?k@d z+44N^*IQ{yimTo0V_&dGH4RvbkS#!d%xt{p1*dcXb^{bZ?gLfDsTF~?GAtrIHy@CR zAtEH-`dS#1hxAi+g)~zKhXi)nO%Aaa7fC(jAr`pj2IzNJ2M|P9*cGdxBU&)a=><%& z{-7+-wD>DW?C&=Hgj*J9Q3^qP=)f+PkpV1#xjs-DO5YoEAuH`2O-f<-sKlN%=Leyr zf>a(VIN+DPDU=|D8dNsJX!$0f3B*eK=9<1%d3f^&@?FY2V3lQ@ZbngQ6+%QGnK)iV zqaF=iB@+(I{z$IVd@#*mF-kw$h0--EqaP}m?2c)WEto6|bapVpGBIn{@-mz(Ubes0 zNltJ`iO*1UhR`TAsKn3)yf=KaZ4@*&k$c2(DmQjd+j~z62S;$oBmffhO{TolvVQ#z zWf4~YF?oF_Fi7G%3+k3d0n9dO8_3SxTwOY^v4FFm8GpOA{1uB62F;si<1vTN@{6Y9 z)-NhzCd1uc5L+&(#*T(#J--FZN#FCCv`wK}QwsUsulrDizRK7*15) zwVYcc*iElV`Cg4I|Cp50XT5_T;$-!4^RUezZD4_dJPABw4ofCL56NX!O-i>N^mBr(QP-dL`Yk0|r%kjIq52!i9m8?Ou0!qpyYS;eMKs0yKR zk2MCD=RX6Yn1{P=t^D2=%%DFz1WGk4;N@)A-;b$L6Jgcx@j@VpnGL>UV_E)f`t5(G z&8$b0H@`UELwnJF1a2jVTCdvrUt!Ydy)4hJn9-v^nII)vza#h%;BaR~_?+bv_g&b2 z%Bp{qbqgvsKOcSF7K_FNPX6i)f~s{BAwnXb@#lQ4kjqpmApePY+}%-9HpG22UNPn1 zvS{ED8;SZ8SxL)MOCKgOy@6?v&yYFeA|vEzw3geSU*Pa|3mBPik$c%8)y#6ADJfN0GYr|$xpT+W)f_*AX-Cm&Ig6UuZdBVBv6-9)6+e5mQvG@mM zk3%c0PG9B3P_IXak+dmghs${WDpdKhtr-s-{Pb0m{;+KGV-AYXEjI4S~1hapJNKnDCj0B_mIcqQwWJcq@ z6ReQtT4GgA38^7mpIR1W&*F7QvTIMlF`gzJYAzkkQ)i7DXnl6`IPE1TlNlv*TXN1$1%g9)>cI}GgH)hLi z5;3aY*mCCDOP~Gm@hJ~J6@TA~kVw5a05D4}HN_XQx7HP%f?JqDdx;-nj03_Q2Ln~0 z3xq=W2$H>mAP0FWS4r|I+zm?$jP)q2#@R~bO6XNi>_t~4PJW7C-iv3(U|Klh9Tz)m zk@tT%cnOCT+$=#Ns8p`%$}Zy=!~y6gOe=t-TPEB=z)kcUUb}OPkGR zi--t&a@7Ni7v8B04+YTLV)^{k#a;W3H#N19ih=@zRVtOl9IgvVeI#l8xZ95BUdYM4 zK%|O}jyQVwH#4TkLIzP|V(E{vE|*;m4GR_henBhsRpyMifgZmY9*5SfnMvQ|=M8g_E8*tX8}#}ZeMg72qN1{? zsnPq@&_>6Niil~cDeAVgzzYOuMn%Lc}8i)XD01LcA2oM7>Pz!Xz zO9ZIZB8IlPxd~oFtJMnEk61UrCl(%@B~06E>s6$``XAwO(8i#l2%W9?|ARU}Ey8XU zl}(0n#Rv$nvkc|#&zzZ*pP%O!F%xfioi4n!wMCL7z@PH(yDoE|E=#}_pwkaB<8R5N zIK;(ZY!QlE(1M|aXBL7F@bf$N*4JhBpJa}(5D*rowJBH>GBXVDgDn@J2@Z)G7ZNd6 z3^rde+PbaYu37}31?qv0o21$-vwMNTC>`Q72x>%G584MS6G6%kSaC)GhlS}tL?8j6 z?-T@*pTYSFWM_cvBiDkNgQNuvZN>l*DLQB2;hIPcLptT6mb-< zSJmCKYc!yqjO#@ORC3dxmz>0$6%-Hi{5d{1OegGAMCr^TaUqHoE5Tii|Ac+@M zA_Ree8ij0jr|a3_XxYyRW;Rt>0fQloBIE&&5URfm&uI4fI`b8 z4kTr}gpQ&vgBkJkl`G#HNn8kx1yVfALPKak^Z&A;LB>4`p#y4N85#*ri=`O>z$}^> z5$@V30NNH2l&nIeinT7w)_BagB;DHD9FNC7&skCgz&Y!ZBuxw3bZu00GlaDy&Gr_7 z#HK}KA@BVkfBy5vn;*XM<_9Fb&%LL$ws=*VWzIPO@u?y>bt0k@O1Af;!HgflSj^DZ z0+=*Ib|NH6PDDJ*(%`3KN#=Dt%R)nF#6L5V+!Qp5Fh>x24bZ4L5mK@WmJ9%E?PxS| z&ZTL(y}h01`K>lrrNBpuN&F7ze|4M}LU zNN5O+F1esV2Q;HOG-3wB5FSV1e^W)&!!x90Rk#GKb!lo7m!w(leNImrTG$;qSG1y( z0bJQTO*0z8?34j`mruqif;i|sfSr-DQ8rp@*%r~*f8;CaBFRd97ecr_XMjg_MnTzw zp>y0ZqFstIAXZFJh{lo{5H!mmaUnD$3Jsw#>}N(o7a``BRUgG z8EY-w^aR0508;1)=bUktoHew75v+4*IwC!=Q%;h!_+hOztzz3*o`xOmO>FOi=H7#7 z{2|j_TE;hBlEj512@OdS8jR%v}Xu}^kkXOlFOOoBiTXL-tuIP?6;r=B=b z6u$WChpRu{m~Gm4_<@ZR_nV{X*59{_VF4Ipqqj4$8K6;$G1EZvs(FdPEbEM;67Mm%@wv5($A zJ1M{Z+Ue6TyjOS!)7FLIbNg|7$gc1J$Au zv%&fL3h2eAP=JQah-XhdIxQc+Z~e(99{Tq3&vQRUh$MiBS5=&dBYeO{92ViWFenQQ ze#GqHZ1|Ae%Ynd>{nhM25@boN?guRzsnvuQ8v2u=-8=hpfJNj&XwWRWw3@;pI-#|) z6j^T%5$FS#0yJbski7oe&Dnz(Bs@lDLYWyTnENuo4&TbC=MN&;uH>>J|%)9@x=psuwPB$Q=sY!=^w5 zZbTmx%3%a(tbh|CGvbp^FFyY0gD0PQq`3U_vu}R+_4m=*+T>mLo)^Eqwz+xR=i_K9 zE$ofo|H{WXQVSp^RYVXQ_3gQj6w{>Teu}r!o zSzo{Bo@4it34q8{5e8K!f*sEmfkKA(3{>3*);r_BZftt46|r6sdIJMZl!ca2q#o^a zQr1w>qZ$|6t6&{>``BLJJE*}Anp&kc-oN%3zsSSLwS>l{5}5rToS zr2s^qybCh0r z>D2jiXNtn5%Rjw(_QJuPh{^A8#jfX_X4mGyIBX{hRo{~`AUc%%2uWy2%N+SGG$f%R zE+qL82tVs!vn>pZ&BmzwC0NV=4Ve)V$=Nc1A*YH6(1ud&P>sfag1hkWfDvcx@x72I z(V3L7P{Q5gf}8>45sK%-ZRg~ z)@Fx0%QIB7m!PqK**h07sj4gA|5m-Lo35&E8t4}^G|&JdDA1s&KvYpDi8GG*ZhSlH zjFU_fXEe!8G)A4#8zYI6+$58j+>khPF^S2H-s>x)QSgZZ0*y-Ze!m*%_p`dYYOi}d z)74$or>X=S#0&lV4j-q^Is2^MRr`E<)xXwSTT~F$qz9w_h2sws05o;q<#Lx!t#Wz1 zKu=f4fsJdD?~VhPxbw>@MvR*pDIMEVyYKMMn#O(GOf1F;KtxJQODiW;PMlcj64|?N z-}>t6y?gg&@g~J3bE@XJJ??i_zti2_EiTvDXPp%c2G^}y*U`~IR>qGXUtC-a^z`(s zTD2;Ry5#cuBc+q_M^6nzMl|o=(zv&#y>1_>WX2yVw62ego@`=0*7ePMH+FZlkR2b4 zg$lGlWw)>cYC*{kD`zmGP`7NAjWz83Fe`;4m^y_iQ?$FAni|=-iI!$Ql>7;Vim{=#nyyx|;PO&3 zi||-?a6u*6sI#|I9Y6j_Oq$Bn5jtXvY~4uBUiK=AgW1du z5Fjfx)HKlGd@lU>EGuVlMxl<_DqF0}_OUOm6&J2^Iad%eu$$d`*~@8w-|wF^Y0}iG zQ%8&#v1QAanvFI4_U=uq1|xG`$fsB_LaXH?Os+??Fn+S;8vcdlN& zIv$T>Gk9OliN~KieEiwiH0`PBYHJ`9eyD`0=tz| z-}r_#yO4x6wLJ6ev%gxhBo>RM{kj#(3*B?iJ?$Os*Ij!Z;PZOF`OR;7z1|ydx{<7e z!{PhxyRWdY5NK#}WPR~?I$&9;?hoVeY5=}UUqWh9}-uym>X?ssHi z%z2#mb?s9W|MO1i+C(ObXEN&x8ZILVjdk(?7Q@?AVF;CwX*3xxv!O0 zQpqFF`jfKw#7kxAZ}<(TI0-*wkr!9XxEW!P`prcL+Ve_v}$E2(_pi(f1$E+#A1 zw7aTdH8vIFD(<@Ln}U#;`i6!ZZ@7U|lyW`)Os*pkQGxkpSwH+u$iEjgIKMW$$dSv;-H9`v-P$RW9UPP6MbKPTf&OzJofJyYuPDPag$gUcPUOcz%O^K!LvSML zVj}eDQZi&{EzeH`Lt=zlsNqDi{=HpGfBPD^2a%w0f@BB*&3*F#K&-@9$p#ObIy><9@8#!Osz%RRx!@V5FxwVT&A?%x?I8dEaq>|rBH zF8tzyumAcxhqk`UaUvXv+;{K2*3U1|Xw;Iot)E|1^^7ylm^*jwpI>o>-{<@BPkx-X zw9T0EG2)WlyLTI7fRQ6d26J+14<5Arq>PBjb=O^2FucGL>`O{ZH1R$-DL!-InSXwV z%k6GCu)Tg~b!XGzNLgj!gjtc&$~iYLUj6tzUCs3*;qv%q{N>k1O_>+(j@Iv3*SM#~ z<@M!{nKpdvnUxE#_XdV-`O~jdO`6&3CdCDNU^7xLI!>7iW6*z4`H9d(dPYJK7yv3D zmn_P~!iC&%hq^s%-%fQkhYw5TB%L*r%1J!@u-@}+sjnjolP7cOr8G3)^^%*LA<|HL zt$32GOq!%KXELdhhrjEzg3rg-zOLuZqpORxYuUI_ygsH)(=(@W{q;I5k6$ejV{lS& z33I=q;%3jAjGjk!M$KTsJ?ipfVjO;-18bykqL$3T?PtbK>h|&OQo+I4g`9hbiid{n z9IB@6uoO>KG{9YLlRpItA@9R2x9`P;?tfg+FSVu-q(~;Ade!cqhSi3~j zoTv~=Ir&MiLm*SpPb)6u5bT0xv;-=YBJ^67Cxli~2!erb*h6|6IJH^G!hhfnuXwhz zy_)L79G=9anarF-<-kc12V*S)z zHM`1+UR?a};(NY*Pkmke014Ts*|lreq1r>~?x#RwV`K7^p+;lz*t_q(YXvrvj@GtT zPGJN^duTtzdt{(}z&ID9Xd4Hc*bTUGhbYfw#3*Jg;(Kqh_#kU>FqVbqa);n)WP2^u zZE|>oPAX#7a4Hw+;^lHrN9y<=#q@=Gj|-n6c9{1L%GyGmSi&4Pz8QLx8~?kqlsBqcIGrSYDGh=UAMNSxnxcSM3_QM^ z^Kbfk@s!zfKL3^H7k??<(|ug@)vK?*dg8>1Ev+pN{L=$g6eu=NKK10n1q<)E^Ug(! z7Fi0#%9Sf|P+nfHMtAMpmGtrhXNas=5vP}2a!KvM+9#fP;_v_d?~O5}!tBPX5P6K!Aj`-d8KR%3%m z+1ZPx{@EAH=YF<+M|It{cSt4|yGPZvr;t5vVvvhuW#ky#Uyj-Wt@ACw6*cw@3OA%4MQy9;|pKl zv!7+%I@UNkHTeq8z7<5?TgtW2UHC0ofQS226o^ME|SX-Qrvbo=eMyIii9{`At1e)OZhS+b<0cG zK!~!l_0~6~-;?o@Gf(dnyho^cUA_~g2|%!pSLxrCvtTk;?&ghVcH!WfYp$_;IV)=B zpZ@8eHg4RA&67_)X$f0*{+BQJ&g!?{dYg~RIdkVuo-(<+tNZ)k|Ne>Ko<|-{_;Sw*=b~Rw&P4xA<+nl~3JML#AB4966y)O{|9V^uisS!eR0dI~awtLL zWH2N~7+w0?SO3?N=VP%RRXzSu7vS~!a&m^bjv_(BSt^2)j(m6-TTML|7#3de*Y_5T zp4i@ac=`YO$CiV;izm-gRa7(GJ5oB%DivYHP^|dh-|y~d?K>0e?p*Qs4?g{kf62`s zIda1EgB#Zj=EDF1f`P!o1q)R5(Vsn9vvFf`zg~Iu)yY$){MqG~S5;N5T(!#i2ag#u zMpdoI2vx-nlmK?_+DT@7KHn|3-h%MhzdqI#?NS@kQ9NydxIHbk+iU*#vt-kqO||Qv zdSvb`i$_+@_2uOCDK##a+fv^Z-M0MkekB4>-M99IaPj!knU|GL|I|P+q6*xw7kNTZ z`l&~LEqbSNCgegp1972eD2l8VEm20I(^S?7=~%b`J6eIC{!~cfP%V!N@q%Um6?PB2q zRpoy#m37H)82sl8R8&~G8(efDYuAX<&zV>2v};gh-7jVHGXx9zjXPMK+L!i2tayY)i?wtPzC7t}CGqJqc7dX- zUn;fLB(wbm3dZY%OR2b!gKLCS4n-LPm;!F7MgAm8A3`(oEP9E}p}jJVMFI8j32K#cv115qx1=+5R*6S@KvtM6G4z zdn}&I+&uCuD=A`xsD0%0Lea#OvB2Z;SfTI9N(6A=z=0qB&maDe2mi<1Idk(uc`eOJ zAC1k?$6jcH(>oAa;TYZl1svnQm4h$Kw-)L5LzGU?Mb+|eBr%Q!ERLIUyg2u^bNQ~%nI4n?(DKW?pZ_v5zUm?oYPVE(Ha8$7 zV`&rn-hXStIhT&Fy3iIQ2Frp43xdI*HF*q}T?BwX{QmdLmo2k`{czxNxki_bj>qGB z_Uu6b%Ey%3+Jya*Oy8?NGrnT{>UZ8*@#YFEy034TIh&NuxCr#XyUU!bku)FJ)P8t( z?#MC4(-!Vt{WLbiipGVC$D5vL?Z!8qciUIJtn}#+x9+V3`umx!nT>z882R%5s_+mhoEpwQF&70Z1TguD%)Iy$q1}CFN^7;R! z9i1#$BC4RMNgwia@g)i@ds%R>=>scRRu(2%8CO9?1<@!g-o(kWWwL0I&YVSlJ`Iii zr5~nUjfu1BC$e){|5K5LoiEeTAUTCJY-a%VTWLQ;?kFN-6%jN!4Mb6PEfXBnZ>D)S z;W3O`$mT>}@+&B)AQolsn`!?BnQ*a|%pw?}v*`pElNL?_GPEcgI$Z&&5oawj4j^?< z2vbwTmjVdT$?3_(dWoXTWf=}Ov3WPU$1r9g3!df~hZu1TWAGv_GNQ{^rlO>>nN7RZ zwjv9k=IInMLTxcZIPDOCi!Q!MRbP7fWupcmnfKp&zxGh=sF9_sf*?vSeyahj54NPV)Y2xb8d~!&m=CnHv{bLJCJ9S5zv`;1+S}WI{i|OYHCcYl z@#p3b8(EHO!_M`jqi$z)?ugODE2j5NV8h3q1+*UCowm$!+u!=>h=;=D+X&ER+IDG@jXeGa#az)omb}Q4LPD-H}#xUh{jEq3Br;L1C*;i{p zD;y|lRe8AhqKl_bpPnp6XabHJO~C0z_Q9MT&GgIsZeE+kt$sqonOw^{Y=T6>_ET2N zyQIUqGR){AoxV9S{tfYitRWpryo1IZMk;ao&^}*ox~i^Sw=R~>Eo^A0x3&{nY!$G) zyCX-A48oU7L2ymF_^&+v;MV0!I~oqS+}=!ap+sN|DCytkHrNK!&0FbIhs39GeT;uiUs?pAt2GU_2S5=qW>kH;~wl*<1^7HeJYF&N(hwGw(B|zME z+ig}85*(?kYiMi)@%wzEMvlbJTEu4S)~(e^-;AwK{iYjlvXuL!k1suN@F0kSQKLqk zX07-IRf9^jtq}wV-K`C3j4vkwl9=Aw(5{W+5HY z3@CXZg3^z`87jaAKCT!~ceMG{lP+w14Oq`}>LSe#TlBxbzIbQ4U1PjO?hbqncQaT>U zM-?ENp)b(}QKSB(zWD9FbY|{Lv?U{oVSNW!27#n@IPIWodvTBHSp(+-JPld>97 zvBTX32iEsWV@xDJPQ^Tgo07EHcn#{1#|5Bg%jd*chVT3Acq1H1jq*kI%!C& z-bHCtHU7l-&rX1X0xA^KEc;WhmtJ4anEJXp5I$ZC3x`|lcNk+{c;SVv?rxA2U(OgH zt1lN%_;M+XsH_?>aZc-@ox4{(4FaMT#yF=u@)1>lv|d&WX#uzDu+(4z1NsS5^#@a~ zQpt{fqM|uPQl*EK{tPJ*Lt=zjN<>18I6;F?KJK+V1$1y%r_S$^a+NrP{ZK~~l!8d& zLd6(0N;+I0gr)@5m}pxwHXg4(Qe57AXiuyw3Pf9*)EG<9@dk3OeLfnB01$~p)R>0) zdLavf^twAwKJ`?=t11Gx;u591*Yn*>0iMwqHhh5rLeGT|_) z#NxEJVM8d7q9V3$CvJM>164vH29T4(jW=m5#*$x3cQ>GbQ87kU2hJj)Flu_O2mpBr zE2htiAshmbq$W*`2sT1l89m(`Is_0mG&iATghDC^nNjl*r?iqPaACSQxF)5o-|maX z>25_5^(==K*@+$oAZqs|5JFO$bSCvBR7Sj;_Co*|x|&gg-_e&rBM2vhAyR9D=YWEN zC^kqC!f+T20PUzk0HGfZD2jA~ADyj~!ANL@Bgh(|mQ$DzVa3FVx6mqyN|QwtZD+f| zV2c!r2@?|fQH;H*@SzA0CakDsrIj{pLJ2GJek&RxOcFVo1GLaOjNB{qim6N;$GCdx zce3kQd1foyIHd`PLuwSECBvG}&CSiK8VZFoggGR68tIU}2F6$$Ae^3Proo)O3F(>` zVo@6XM1m9uy#xpw44Cf3`1cdarKpkZis=<2&;VhMf>LIKD^B+T){sKNms7QW96I6T z1cRYa$nrw1dYLn4%{ptwjDSC2Rg`$@siy|(%O&voaw;$UE34tA7nYd5SPwPn<)9E4 zh(jyA#w(RI)44wW0oyRi4ai)QIhfJ+YV0QdoUo7}4^@aI ztdB_KrOu$)Z>ZE zk_Uhf6a>9W8I?Gm5BcZ>Wi9f$oWf}uiuTYWpisg1@91~jxVEwFF1ZVc5mPjeP;y|P zqKbY-KRcfVX(x+6${%0l6_A9LeA`Mls6Yi359vcLhn0|Rg@lzHaujvrx>xVDniz~A zC6rj(IXd?lo_Smz=Tt;h#ZJ>uRUj6N2~M!)P+N>hOn?2_zJBJ@pPqlg1!+^b{`ma! zufF=~fCx>$-+%YFz7>zf(^={I=YRe|Q&Zz99TuU(D85*~O9oz%`(t#{k7kj0VswE9 zG=gA*p9pANdZ+(<#$T)t39bg+_LAHs5Xbgp`o@1|eB@2kOF{Rus+E0`I%W`wgd=ME za>5D5t~GYcEw_jWHam9guog$NGDJ`nKFpW9;XC4X+rHf0pc;>%DZU(l$k_9*3Pwik zuYRd%?}p^gf;wGiBB(-7;&=u69mNIP5!+|bIO2w!0oCS}0`xlNFK|LV@}jVwj~)4_ zNfqMQ(WHhVWaG3e6)_}63~^EtH00RsC4#D>RjQ}}k`f2uC~cXk0}6^N;y8?W{K4L{ z020Ux2lFDJzFf?A_b@a?EH|-Meoe4n)K% z6)|$;$UnXGr;Rl=B$27&mfIIVl>}DKp@^WGH)^c7Txtw}s>{OTGd*2^+v`WQ&tl$) zauSo@{=WOGy8S`=2#`WC2mmGE0z)ru@Ip6z=oyN@7KqbJGaP_2m@-g|2n153{PMBb zU9om>xiN77RopK8KDxTFVcb|QyPU=be)C&N3Qkc}61Vz@R(yWCq5yt>fB6X!#`eBp zRE$%4jiE{~aQtf)xc$ugn&y?#QAhP-LMq-sipv)sivVixxX?HNipz!DN4yKbag4i0ubIT8&+^&rY=4`#IYkKs0*Q$+ zo8#nmxqLofcVf;^o8g6p)&`0?e>u;0-b6&o$BZEzot>Q?uZL3{b>q!vT$be2W1R5R zOAZOV1P}#91c*=$0&4BJa47}=wf5ck;&hP#H~xA0wLHo?sH>L8KuN{x@a2w@U@&N< z*5bg*ws?H$QZmrd(Q&Z0)+J(^2#eaqtS$em(`bZC44!zOXG0>QhYhU zIy+|Oq&T1M)1H$B`rCSdoq$M<*3`GNGpA(Zu{l6zRL1XY@h4Y{&D!m64 zB=hCj4XC0Pnzng&M~fQm6PT?9L3gZrxoFZ^bvrjT?%&bpb)crJqiv8OVpgbvHK|y$ z5hBN=M7Z28t59Vu7TdpnA0Q%SrDevLy?gfHVEp*;mt1m5U0vN1PyC)#)CLDVoh`|$ zpVsYISHJ7soPy#5?=Dwk`r5{Oy833Xo{m;kMS4%|Tlb0W zRia(=g{QdPSaAw2;kUn)0fD-TqvF=lS)tELSE5O4$^-b~i;|b8)&Ya?zx|#Jj8w8@lYiXyVlNKZ!r2 zu`YHlPw7in^C_uB3?X!+)|J}@dmw^r5EAMY3O)9gK;9J&LsP2aun&1s^d@B(I$vdn z5a-mRg%(9gu$Hy&@m?_{%Vn8KbXd_SUEOpI$eDkR=T^vDX^W-QQ3uFCE1Iyf?p@w3 zrg*t5Pbd*iE4(N#>||#x2m2I<9;l(_0eSF8`lEaz*X#AGWR<~iDq>}4WDf{cP}OKx zSDz9AsLgZFJ@?j%w?J`ldPtA!JkAn;DjEi;}eL0Q)4)` zY!h+pOFEh3lfRbd5JO_bKYVG?%{N>S$_pOf1dUWBLQjCoF!-A#-%|ulTGCSxNLjdB zQ%XuCnf6!8t5lk$$=BZx{NTowH*3;b+ZD-I}6_!hiOLBri5C9-%eYRp9{(O!9WWslt2jL=me#hehR@r5#&PyeKa*;3~mo@H}N>l zO+5IZkVG(uF)4*7FT99}6M6rAl7eah6j4X9kt8c(S+TZw%xQ%{5Mw0SN^`RqV;#qa zH{Y~2A%V+<-!H~|xEO&Ejv9A>xnHJamh`l<;t}dVWPG4PJ1Ok7 zHzz%?O_%{e2tgyK9!)eG)Q#KPV#JzhdQcuDi2y-^4k&M_V9>*IWE)$v#|kH`G}%@> zmX$bhrSA=O5A$+TI%@s5L8T*#w;-rbM(t4%eU=4ux-g^%R z(P)&T!}9C?{onuH@&M|G=Um%zp zN_$6tUdX74cX#!4c7P00V6{qD78eyoB9WufM9W5xj)cP`ICS{1J!4^o>RHE8EiNwZ z)9Dl6t1q%j99c_|S<6t9iYjWWGS0WTyS+&2CX_xBs<%SfyTx9}lUr}F9h_u-C zJ{9e1Z=#PDdKm`IIAIv*Kruq6Dhj}C2BP4GN_aa(CQwBn9Hzn9l|=1^zRcW$0P0d19Op^MR9bX>v z?$m-wbT;wUBhs*qv_zYF@97Uov;imn5XNXUsV~t6HHtq&U&MtsSB(;F8f=)NaPk?# z=~K~>c%37(;e;GerTu6>L)nZ@R|Fz*ii#19Dn=kgs3Bbs!-P~!Q|c-hDykSzr`ss$ ztu)zIBuu!0h74BJwsKUwA#W_=5*Mx#N={)hLXANr6bhvkBSN9Dsx}P}Ba9l=#C+;} zRD@JCQNZ$aUXI6L;8@-PF?JJmUhl%~myp49NCOF?G${%aARMIu2Y!3}XA&cPlifXlSq;Fw2+YSZHr+d+@;r862u=RW6@9XO1;uCr_F3)`}IWzMLXt z_2o>TFXtatP;uVp;yuwVFF&TLBq0Ks!cv;R01yJF5jW&Q544hkVsFC9K|iu;A*p9n zv!+xinslwBwIf189LJpI^<*b#3^61|eEXY!J{TneP-Eh;nA_tKk(08P=in6$6%kbg zNmsR!#KBCcjW~~k>FMrjYt9J|4@L?}M^3m9)t;`7p3e5cm+G(6Oj!YHaz_B!l znBay;dND%7ph8p94c#zFP}q#@VE}ptl%P|Px0Bb@BxZHR#qiT8r_6mgL^3{|fD3%%$g&ZAwXCPb<0Tx%80zbe z&y<=of_Y!n&}drsvEm2PevnLbHllIFMQ$N!#faPj1v--Y(oruM4QA+z%Zon@hR*s^ zaQ#eGWr#M2gA4MY4JVqX4q-7XonQbkoRT!s6eI4&RY+l4F`|IsiXNfvXu{Mbqm@R> ziU;>_hNl%H3Mg<`$wn)!1_K!4r)mi;D^4L6k9*wi;ROY?2M^+4`0(MXYOSlCHiBKr zj?u4^_#||qMcf^PhWu>Lq^!swL*oXOY^!ailD(83txjh{%;}={_DuP(Kx2TRg z=PycUT&Tuud*wHsO|<}x5Eoof2u>qjG^BJp+&l&4lZG6RHUjYR7q66$l%R3!O2iOD zV#M64@t^yP*(7-FjSWBg>9eoC{(e(aJ4b`yc6$PWoM29_8@H+qx>UsA^D;zG&C*Ul zK^#}e(OY!}PAHnel$!k;-pMbmD48;A>l@FZCRGTPvT1Wr-S_?~MF$-i!HN|tF1TR+ zv}w~K;jlAtv~tpQ77fy~R`V^eAnOI8mjW267-YGy zn*m5G`j^UB$PqfRp)*SLI-NO_Idj;$O&m2unKesQS^2i80_qZsN?x9xbrvX2RH>){ zh#UUnNsvA(*j6-y6?&}z0`Sf|Tzxg8iYOn;Zbx6vnn55yLj#*OfshUT@R=w)8mnSu zuz>c!x8uCbx+2SehMu88riM zfX)W$Hi8_#308zp2qK)?sAd(1p$B%uICO$atuO#Lj02FZf{;Q0qBx-v1me&P!l_3m zoz+@Ble4RsvqiR&iU-eZou%kXS?MS_tVs4pLy$fzQOn9KX3k;GR@s)$%It&{A%Q?1 zc`dZ!;9Sl@>7l1qYC$;l5b2CYtE;PL&73)B?%bN18YKn4-#=sebX8rsawRFNX{3BO zN)nPqj5v)WzLfr=$LKLOVE_~K5}<`Wjcn^XAEWaS)y2#zV@?BGL6RG~@D%H8(0!@n z9|$vgo_;mR@H%Q%%8$Ef1<4-%{^p7m^XJb$W7@QEB;xetCRJ9>?DOS5DlU03S zDMKIvl~9k=h?0bXlQ;h5M19S1DiNuX-J&0(dg5HY^MtSEIfRSTfMg}&UzaZX)Mej# z>i@22XlPY6dK4tCGM}`yJe_e$P9(#mCE1@z=SkJxEd&3Ly=wt(>demHku1rU{I-mZ zZ7>G#Bil)UZ4v;REFqy#lCU#7p`C`9PD49Q)7eg2XmOf`Y|>d%+JrV;mdR|Eq@AXu zVOhx1-A$KZ-Z)`dYyt*M4fp|;Y|9U93)zyT)8l(9Bk4*=V(l?y`23H#SN!*W_rE^B z{&UYgkG69sM}}SLxrJFXN<$7!&zoVLQRely+s>ZAc%b3J1-sp*)9F^OT&Ye$UG0!|?AY;o1c)DmoJ5j!ZGPho*?sT5_Yi>g z^GCp(Q?^K!B?M#YLlp*-Mcn@+8B#!KVz1-cSt81R_(_eIenS4-r@-8C@ucM7Kmh2M z1O^@f%m~GNAPMM4P>^ORK)?WS9UA!SYZ!o82*i;i;@GOHuwRC#IwABu;EqED_Pre1w=q9fL_Tmcj}cEEwv8tuDx!!gdx!n7NF# z#tSK@#t?RRM-T~mywpXe0;ULf)DCOX#%xgHz+$ZoX1}lg3$0Rdx-sB>U z%uE8y5jxD^g;&J9(B~n3|IKuii3_mMNU0%HqYxDaSccGN3!Y_Gl$P_WiO6Wf z$rH3yu|!12g@y)kFV%bRPYu2c`9PSq4#QG<%yz<&>ucag>LxZJDm+FYbqeseo z0tnURe7;d#E-^WxE_bC43OX*G_|-?Rhizv*ep7max#{pnqr1B{yL#H;2OTCbun0&F zUb@qOxj+J71DZ4*oqYF+7$rT&^l8&%VM<9(iBXa>GBPBgyQKCo7z}Z+6c)~$IV(9O zgoO}p1maPZnVB(brm*CzEF2}uXj&wSaeVzQP zCyGfz<+;~jFv0XQbBc>4OXZLE>TfI=8FpT){jqPv10cbeRJ#17w7g=k+hxCS3?aA#>H#u<^^AWb^!e}0!@x6408Rxv zwG=b4lJ3ZhFz5%}01ALo#(9Dt_4VlNLUuN)s(9NrH6jB+7RK&>=bi$e%nm3OtmcZ%cJ$uNb2%tF$FRka|61ZGAc9g=b zE4n~o09c*ZAU(z~mSifc1dINhbw)IOh6}sN$4WOXd_mSZdp(?8uvk%E#b0bAr++L3 z3-Rz;2IE?dLJ@%G8k#zfXDoro$rtyKI++rZFn>K~6~pU7OYm5gc@dRm6K{Hl zwnb%0g1HQ3naGl>`ha#e;_{f0;yHdU5Bbu07wz(aCr$V#o<%$(I=i~8R%=yN)!T2s zE$Nxm<*%Z=Tv9~)m=D#|$eMdwk!Se)Z+l{P5cMAtrQ`i3|JveI1byVY6?A{t|1zDR zS6v%Ykv|0wmGEBA2{Y-(x(K#KY&{?lQcq<0F4QBV&W*BH2tHUm;oANB@lxdAc7RdShewciamk? zb4{gKr>_3n_XCPSIDhu{e>xVESoi5peS$HkWbUHMzj6-_3^;BiXXPfQqyum?U;O3n z?}K@~e5+&uGn*}|zqxwVs#VLEFPHM1>MAZ@XWkL-58i)Yj1D1%sWUS&U9MY_xEp{N zUnNY@?eW+~7c40PhoipOFpxC8HO(2}}h{ z5a0`-hA;*TFb(hlmnfoc(+K1Nvw#F(1S-5fY}rB^H*j7awr=Gv2YR|Oy%6Rk2x<;u z?>-9h0@Z+nvVKB?I9dES-+&+Pq;>0f#R`ZkL|YpY3@FHlPKR4gd~Z9o+Yo}U{~?P- zAQ0;HR8%nnAT>K}XCO$Evl$?mwOkq_0xs^Q#-9Y8^LRBa-oPn&czP>49T@6HS|JjY zv0OcfOPaBCG@x!L&0WW{SDK815W@U3!b(OOuv+QguXIjVe*1t1;Oh9^WP>6z+ObRyix<9Xs@}*O z@-X!ucxx9NJ?NQ^>1HGWsKLR#v@e_^ln*gM%aRuhOCS3FiMD;4|11|dV!v>pyAvHr zFy|sy4)MZ!2!~GNSCc9H6VKxH`Kqg{H*VM zM%Pk~OQTa0NFxgFTlrUoITZz~`3H!AdivL991gL^72(Mu4t2on!1>d(!wXl)k|7kw zsd_PQOhMk$ywwTE5PH%uJwcKGt2lTmSpL(HuY&==EG(bJ%OkFsw6_sIK`?$Xs>_*I zul_$>t~H7-7aux0I{x|HcVB+_WyuQhH?O|xaCCP$x+Hx`&Xg%oAgbdBAAI0r4yVg) ziK5HJEVTaM3JrX~77{HEQ^8vq4xk&D4w!*H;B(Lk?ULbd@k8FpS=1EYKth5(*jX!SPfB zyZ38(KEMOd2(K4@oWFQIG0AL7OiD;Fh$SLc;}$ar%tW`Ny7>P`CbnQpgMhUuBsi#7 zz{4dIv&R0x;eu1fk|qp`PsG=rS~InDeo|^iR$+;fqp`QM>D;;h-sN=<(Re2ErB6To z)Y0vba??`gvq()y05mr@@A~-T%Z-g8Tw(|(!u1;;Ssh+c}GA*yflxmd*=370sj!U(zr}l@<4{mQbCKXQ$AA8nfEl9~JQmc~; zwl`lpzPsPvL5)pdmcVS41~3L~Xse~m5ysMb z3?3&HKg+2FNLR+u=T-@zU2bY#-pl}9?mu?z`k%{}3BMMuG&Q~T)>{(pvADckl}S~K zBxvZfpa1;O;lr{P5D;0HTPHrMQFOT|xTC~6fGxz8OvPX;AWh9Rew1)Byf$^$iPzl2 z!{V?F4vG)c4C=%!$ZTJ9i3^ZX$&3^mfpUgF=(XC z=VRyKt=`@qiPUhpT=ot-2Xs)k2os9Q572l3nlZEF{*KXb4-Z|c|HrgcGk|BlReidy z)o4tVu!|Ti5gZ(n5xfUx9;Za|+Ef%O0XX6Y-`;2TZw2l%z(X!)z^iKti8*6X(4Gn! z+omvaD2!N;n3|EAoj2HRm+%dY6K2mYX==KxU2ny3EAeSMBAd-VFwl>C1Vl;cIa2d! zu&Z@sXb>?#mtahpQaEafaJ0eajg1A!0@45*a0?R!LT(N+G9hY`b1;GwaL~?putbN^ zh{8g6JZNi!*Nb}y1WOLgndobUC$_QZjYunm*MpmFfH#g1=p?gwa3Gk2?yv{xFAlNt zzzoF8GJsg2Wzo*%#)%+`4k9V*EBVa8JP~(*1AJn|DSdd@9cvvIQYLaT-~fgY9SMG8 zgbU~cJQMlXOft(@-0orEo?@X~2$Vs+qmm;VuQA3jbhaFqtO*(*X1UvS|$NGKnBnX3{KS4Afg0=K~k{0ZpErE ziVL~?UA&^0dOXarvKWk`EQ+@#K|p~I8x;D|iXfiqMw3aFa$(Urcgmxj*^&zN)c#)~ zKLC+7+obXn@QMHPz|CNgfczBWR6*kb9vCCMLqo2eJN{_ZiunL4*Ke;m+9+-j@mnIs z_B0#!q%lIJiENAjh`|x;5j3_<%>T>Y8Hd<)lu>-nySuGhNuWgp+-(uI5EGmK+?Y~= zmSQU?lEkEuNJIpMR-{5H5GzKJ)}p1VHdVo(sij2}1q4!yMYjpsMgc^VBDy8TBn5#s z*_v)4zIQrqa`SO__A>k4+xuqT+w)`L&CI=bAHY5H%{zQEUyo))6M~(-al>3_Mp$of z2uAyZLm<-2kLl?Rb94WYG=!v)fD~Ge``39RX=wge$RN9ZxdJl+6$8eu^KzT0WNuf@ z2mr1*Gs6DAnI4{?(GxgAN=mW7gm_l$Q)~VhJoDL_3|PYRa!kz z+)k1RXR*IpkjB< zsMQo4s@<>^TxZ#cBqL;5%TvgV@Wnf)-gT`DpyU4!1oEf5zPa$kQ?qAZId|7*@0gmp z?k7JxIzPYQ0)o>TZ0P*!Z=5)JCg}!^{)0E{Kd{oP{i^DuXj?nd$4>i9f|3d|+1n^5 zBE232^LOJU4M{}O5R!&gQv~HW}W9l^;KaCSxZQJ97#}uzmtW=GhRE2I-O^ zkfj(jO(mCxKp!DPXf*|gKC>cdO~CG8Q(L(Z83(Gv=yijJkQveG@aVCVZ!WxHmPAcm z43dj&01m*)e{BP;v#*?QxBpjQeCT{Kz%lS8Xu~y!MAVoc$!0yI$S4$%bCrM;$C_$2 zIsEkkh|$qSC=HZ7I*~MFG%pQ7M5V#7zE>_t8qoaT1X@jDxe-eFx4+EF+xrRM?wce zCY@k>MADH-7k>jJ9*(pVQ41pXG5RFx9!IQ#MwkSF!EXo`NyEsi4zy@q%W-Ka_gT{5+EgV?2gA0SBH86ThCuCD_63A> z?Gd|Z4ZErOIC>BOfNIYgND#dRN5hQ3`1sXDF=i34Cu@>i?9tI#@s>UJ&WFIc>>V7Dw)qUB4$KU6s@4n#jfo$QVDpPUM*iA*kdC z?aL7Pzxe|BMh|U(7jhy5*Fa1`Z6%EwC!zw-{Z4g+t@uwxB(CKtNNcp*h(dN8S+>ct zy?)(<&zShu1D|;6r+4|khacSIyX)3Xl;uCoskzd6EN51}nZ8u%I=g{NNxnx+0j9Nc}73GIjJr-Li zZ>XI+L#@-Hk7*&}OhUmRn93na1SE!xs2a4v24Ep+2$MzvU5K1lQ(8@NC|6TNZw3Hr z2T-|+;9Vu`l0DSplppTIfDNZ}BD6uHRKwT^n=X(2=;>RwZt(5~U@ zGlve(UWuY4FCBsDnLYaVbLTG?e{_)}2A$XM;Xc$Y*q3HTt z9W=4W40T^YxF!+xB|K^UTu|ilBz=kJqRO*I8M@8fy4$>N9ZghEzy)yxhtGx34@7&vqx?7vIT9)MvS>9#L9%8^@ zGbAK{lOcrg5+l8wr;hS?!M<;?o_K=eY@3-!6muXzq%!Ef7@v_eeS*f>pSOcd2#n0 zpZR+`m}0}tYu2n@h(p(j-hX)fndi6c>K}*z)z#&nyXU&U`_=;s7t{ma{Hu+>cy{Mt zG9jg^+F2ozV#NC%kI(D#o2EzAip9oXcyTult5?idwM0y24kBn;+DZX+mqu{!s&FG1 zM(u(&(=>tj_}GOLN6AN7c~xa&3l5PBXQG1_@?IlSh`Tp;H`LYDHP3IhF~sOS-`m;I z+1uMoZp4sf%a+-Lj~qD?kH-;~wzhhGzK&xZV`F1XNmEl(ZEYJjcCVtQ75 z>i)*IwJ1QeuP3h<5vpxm`DLZy;G)m?D2ZN4}9@~70Xv-dvJnghAA0Da(UH-|AAcP1dhA8M=rKJ{{Noc$NvJ&Z@PB!@s@C zc|t8E8&%Cquej+!!|TVPe(ANq@cENRUi(R6Yy>xkvGBUjI>XXX>-64N&+OYqF6N@w zD<%%;A_eG2b_nC-MOQ0}aX|hcoS7Bb`RZ4)>H!Q74($FnVkgN(O)G7mAy`j3);Pz1 z$H^U739?eVlr>+%@ZrF`YXOE%viB!Bg%87I53<(S1C*7Jh&kc3W|Chu(2L*W>V0`RQQ}Q&i-44MmwAN=k$qk<#_Q^!v>$ zp-BPBxkKXP-TjtQB4$pih*E$?@}+u;RfsT6)9dvmeDPR3cF)~ck>Wd#ZT{ycz7A}>ea(-4{5uh+S1pAJF~VNZ#jY=L6}wqbPB0KG&L-9xVU3846kq1?GMx~Y>SUZ!@b?r%~x3Yb|qKNZE4^5kT+O9 zaHcCzImZ{Q0KMpe;i z3*AFK9pjOKP+d!9Q){rMdHJ1>9(nbtSagUq4AZlC{THg|ue9RhLuZbKdrz3Ar@UcN zMdRXm?YEh}z^Oei;id(>MiCf*UL-17qcp-C^k$VE!U$7Q3yWY34DfGX;_sRu#pw4NHhjts&4@;$PyhSV zU0q!`?AWoReQo=uO`EQ|`YKzYICSU`E*cvfRrT!Io{5*1T4&FmWl9zc zuSmp3JGO5QpY2Sww_d&Ax_cUz-7s{fbEx|W>G;sA6rdA%S8$LHA%uQXsX*7L>=JTe zqV0+z`$ShNo=Mk!1<>N#W!v%zS~n_UiwJbTE%hsu+<5$~_`Dh>16}OjEaMRz#BN{q zS#4Ouf*U!vpWzVL}mp{d){P=a2q)03k(3t*82Gf1lD3SkZs`!I4@+)GJ zXIkzMa>>`^c7PdL%d?a!B1tie5r)U(HN-GPs;YuGobDbd3j}SE!ZbZ1f}*MkD{dN| z?(RVxswx9kB4HRNxGHE0B9p>EL{ee|=%tLs_~@%BNb;o!3TTdcpT1!EnvD-tG&GNf z``>%s!;l zMpd_N-P(1cD}Cg9@4eSDzvbHNu5DY^cIfaST-4Xst7=bA4+7B8&;azDbzbJcmaaB# z+$hLzUinQd7E_0;@33}JJBAtUJJa>nmh|57;epQI|8m)#k5chM=~GG^fhU9Qu#G>Q~_lVZ}N6qQoMpF^Mrwy(RUlR7~b9 zA=;C!XE?e=gcM@~9Q+Si_o&t_!y6zzf&<+{=6gx3kRu=||N4W_MGe9-9gktAUyR5edt6`AxsRxDMWsbZxd+4qJ?4mF_l z#=FYvo1z1~?>_gW{S!Ax@&NFMs+Qk!uVH#mAJ}zx+w*G0-B;t>3kZlHQt-XAy}jM< z^G70)0|yS^V*B>(Kl(pEdj9$6aUn=ueVtl*uJ;@c4UG+|oI7`p++2U%^>*q?JK^Pl z_Z@QziXgbCyJ8)1;rP3_7`||7bnu)Qo|=X2Bnei}4bExOc&z`#0bHE#cn8(0=2mZ6 zIcewu1>}U_rXRv!KnvLkqRW&x@}A^S9AuI_EnM!*X=Tv{G{K?gY$bw1IQuT|{kzyo zM5LPx!lxM>04Q%%R~U!_XZH#&hE5SZhnO_BGePU!TG34OJnuXyBS|MyWK!biuu|h{jMB}}GfPR;uD>qkdNU;fQ+6h%=7T-cI9Sycn7PPBy^hR$@LTG^B` zQPFe-Ff!N^8x7-PWZ-OkG-8;(P<;y%_@NRMddbc?P_$mjhOSaP$YCKmTf#T{k8yGb z@Bc!2-UZUX&g8_R|0rkn;6^RR!|v&L%Lz83N`EI=>FYq1Iaer2j=d!3_VezKWo*L9 z04>F3%$j5u0jL6nb7ZFn6rHQ2W1uUOksTM9EeJq4<&88d+DWIo7wDiv(IvXX9j2ia zhGV6H2DcS(S#e=t+^Ki^@V_B%^wBqAz2p*?h7$RorbM6_sN&y;xs(L7L)MnrQ6YFnW4&3y~mCru^wuq>bj)>j00*9`Xy{Z-{h2uyV z)03!ERr3UP2xzLOfWg3cG;-k8XHi9%KyyCP3DDEAKU4k4I{xDKpQntMSCp$-gM)(w z2JGB@_uclCwEtN{kw^rm9!GU`wW7CdxCMvq)7_n&o#}ILzx{Tf-}l;Uul4m^02Hho zVuU9ULKV^2XoPIUMj~K&{Grs8vLINokucd%%ZiT<+he@`awaH45EMqqii`>hWw>E3 z(j*K*$Ak?*l}xnAZl9g62pL#h9R703=YmDFS2EhM0AgXXG9D2u^@W%q7QqhR$aFqM zwfO(isCxN4P3a{osNqkpGeTA*Dyo|4%k!WLhLQckEJ0E-frW|?CX&@PA`(%xLWEpm zMB(>z?CBONs4J_b%ZiIO+S*vw!`VNwGu^sUTnK0mUsk4{QkF_7UH@muEklc3T)6m* zZ~}S>tY%LYAu>Z#MU(d4KS)eCTVZ-3X!tgb8ol1F@01VmiIx=6;Kl9j?ilemmZ^0>&D#_~|Ps)oZ63c#|g z9lzi4`fIP-haG33s^@xoaHy@RH4LM_zyE_?=h(exH)$+uTeh^V?M(NXUAuNo`n6D8 z*ph+TBoY%TAw0fdsHR!Oz#%>wR#lHbND}*8Rnx_YKvlgzG$(1vij57cs-syX@qvN` zv9y+I5FB6(6ntdjft6svKCl2#(5$yj!8uTh6;)9cK0LK;V2Bl$zN4h$4LNHjBsP+4 z2~yFF7&zeUrx0&Y$cdn$S_G9#iZL+$QbaD5feHy>EKQ!MO}j0Rf(m|N0s~zJDs%}Z zEJfF&_oJUiKM73~6Yg6^h>)Uj;ve-KX~~!ukKUDfje(o^Uc4$%kBc~ z8lQuM!{7b(gJ1aa_rsB~8*gZv5F@H8gG^@isusHuXEVh#RqjkR3!-RxOU7_J*2|c84Z!)sM9)u}>njJa;s6NcO{{wa3?kjU^CW zhE8Np(H06QryS8Z2~ePkrbqP8O^j~3|F1j=BuD@u+-}AVdVM=<$i`dp)_d$DNr-YB z$pZw(%Ae~aMgdmV>-uV{-{GAuPJk3i7U2A@q0{fyr5YNS-z`ImF>7V+$90(%u~>o| z5zzdj(oQscm<2*1$mDb#A~Q{4C58m`2zuJBHXb%pR6@l;l(^qlWx3B9`32AQc z)DJsJ1<@Qc3tUrN2_OnavnlzxkV01TcudnU)qxL*oiG9?U1fS@jo#i~vSFu+sIIDh z`<-{Xx=t{GlFPK=@sais1*#iR0S#0)rs8Q-K@5+_ipK%N^rQ!~1e}x;&fK}__Ux6J zz=V_$s02Wr6G^sfa%3C_sDec>1_#9@7%}V6i>b?Kcv$fT0=0|{(fJA)m_E?7NTLP+ zlu#pLpjJx!F+5mta-yit1|>pE?;Ou$2dqjiUp?8E1x!c)PyqsC=t_tphv78Dpu%Zs zfyK}doyA$Q(1%Y^MG=4o8U++>q|rbu6i`$UFP<3jG?&s!D(!=8WCUFJC#*O$(jZ7) zD|2bQhHJuvUzgWi4y_auIz3KZ;^LpCO4t7qm%g~4;0DQDK&HySC*(&8a|;1vdec{y zP|cGn0+=mggkjov{^9Xo*Z~(``SPvg=Bb~*_U*?u*Va~bop@m3g8G)`8j?J}W!KvF z#lPD8&1at7`SPp#S1oV;`XjeY40z$i-QvKA<6jU?I!2YUMNRS$fO`%3yG&~?Ov@2a!?p|hcqe(%&xz1sigoa6owcq zyGJXVNsMv$S27+UgYn_ynh4N$jDh1CsO9WlQ7a{5S>sr7nJU^;GdHXVLNOxDMAY@S ztxH&!Z4^{fYo37~r2;uFDSMV;K|*k#0#xzA*~!&F!^loll@@d*a#Dy|>3GZnSax7c-%gJffnAtA*u!zwyq_n2d)hML?~oV=CX zX^8PUua7Vyq~Tas5H4@jwNq%?e7Z#br>U8aP&{bw;=fn z3y2^{x|Nx!M3hoR%nC6=6>&;scsyRy^nC3vzW=AL-oO8!U&MtSo$|4+P6Wa5MdT zB*Y!JAqb)hsLS1Rt*h!Bg+G9Zs)g#umu@L#JQ5E3d|sd5PXT=K!7m2N0sta*)r@#7 zZYPNVOpiIIW{#zL+pV|VeACT9@A=-XTeji|SazdfnA^5(j}AxOaUb@9i}=_us)&hU z;N+g{*#0zW7#=@r#YToFPqED8#)pBE2knCiM8x!APZ>>3VGI-m46tAf*(s#s1z?mE zEl>^T;UsBDN{LWr9a^~Dg5hP^XSH@I@lg&wD}yI-6B`CaOvFSJj1RMKvyg`A2W32x zH?m36y;3MnRA>H|LNEC)j!{rBKtN#(c|%CYlj+M^p(wd3`^o{)`HB}RppW7pQzk%7 zO#85~?3bI_oRPIbu_6q+6Ic!~&`mdaajaOVfoWj;r*Dol)SQ(z*4h{5T&3>DWpeI4XJ|plG&*gh=^n?pHV@BnMEm8MDdFe zso!73@OZsmzds(2edoKIZPDSY58ryrO{=P_LO3$S_BYdF+TsL$L)#s01>$i_gyJS)(-b; zML`iM_t1XhtY)KN`}=XB4!DSqL{JsM>kr24a~IySAgZykFiB#g5mZfokZgz;rq7RR+#co@ zBYZH58+@S7FbB%erAi!mU$W;6Nu8zIiyqa&^F**>lU6h{7U9sd5vO-8@y%Vsj0dwzNVeaz9O# zm|oW)!!?xIhX`)cind9f_zyuZN;eQBYwk)!WF|UDsg@^`@f7_BAtD}+$L|kV@x<8p z=)geae}Dfu`R^6=XK*OG@pIq#$H%_%@Rx4E z;khk4zw_AUeFsj4LY0PLicFuRAfQ<*dAb?}5LA(=uBQT;MYvSbC`^^mg7kZ#Py}FT za0q42oH>O|{MUc|p$NdLRjcm4>ux)HB?3@iUng+l#ED-#^9x1O;}uq~S&b451UGHk z#AIw;L!hjz?DLyGuV`Oizg>g_2U{{wwL}bWuqtbHPhYSSh((7G0Ovdm_yUy(Zp1Xb z{t&9^Qy2pTAOK^y(EuQffB?`0K4BbPo-Gu^3yLm<1)#`5h%!M5e!uR5J=9pclW2I;c`GnG@t=yLTQqcwp7) zRSOp`3*9TQHO&vOYYK_;TA4K_4n zbu-g27B5~51OjJBl8BFodpauSwpKT{Mf*-O88OVtd9AA2fATO%2D^_ot=`}(uMSi- zjturNnaai`hQ~KP8i|}g#RPFU2aV`lWPnTr8UWn^~GAc_VEEMW=RP;@SH0Sn8Azt~(wl@inG8t$_a)Bh-; zB_$${aGAfNhEOPiq{1;Ib%M;qR1u{B4L3#+e-Qtly=&`jo&vN8+TYNhP#~`blC*$TAOYIAaEc^FQ(1!JSXLb? zQf)bo;)|}NNJ?6ADRFvc2IOkF6ql4N(R6e;B1Sti`_0bGnbCeaXJ$h~prR^)fMJ+H z(+rztNH>DIZfLq`=t08_8Nm=GX}UqIgsQ4UNr;>w9z>FE@Kj#hrgna&;SUc%3&R8r4i2eQjUe|_`Cx6o4e_~)@ z0NfIh+f^>iLt*zy#^x6myZ{$J{`kF3 zYl$L-Rgwxw3gh!8w^+<^qEksX2;-|4#qMpPr>b?j?DQfl@}!^P=XNj zMWGquJ$$cZ_yGT$f)t^DhM$XHKZwC%J?Sz7!{jI(yk8o;s=;bH%vxGn2%@#6#ph+? z30uoh{x~QhiGtOQnZ5acTNPvFws|$o9Un84zcCyp6e>m5-<6RDsA(FVBG=j3X`1F% zP!PSri=yj#M+c$oC*2VVo|g^upfomi6H42LXF;s+We2fj%46i8v3Hhd83zq14L=-D z70ySXrYI^IK}fp>sWu8KbdkPLh_H_5mvZ^pjp6{vm@4yU6`RUZM5Q|gw18%)Q~>d@ zJ&VU1lSxjbC=o>>svG^c_*D`?!8k>Ln}|5-t|-8q$%QeVj$-Qr2g2nOk6Wf=Mn^{n z22Q=y-%oZ8!^6Yt>%1DeuAM%8y1TnOo3)4jGqmyks;ZD%2eC+;#Q;qobX|}> zk@4|ySMu(oC`APU7|QGDBss?IjZx@53rYh%xC+xlz?hS*%)zMfJCIJG(L^i;eDfjL zNeKq+y>MuNBhHTH8^7@5zO8})q61OIBI=~1M0@BH1=(Vw*D@K~hgLv5+ILhp49U%T zT5Ok5a2J5QZ!dj)eKLOQ)~yA}MWS0Tikwgx+rg1J3v2};2=E5r$Oey$D6r>*ir#gf z+OrNYf$SwtRbUq2UcLwd{7Cp)F~qM$wmQ{i#6-K(l^)s}@4%e|EHy#%d3OFo{3r=Y znb-@x18jf+8-p=HJ)n2{<;qu_0?Ijd&KG4BEDBIcR8ZDnjiBQYpK%ystQTEIMWEz+ z|0bchRRd+VT^eToy6ni4x0ZR{xCnzjfE;CXZ~ou0G2^XR`BeiE`}(#uX1=<5HJi&BCnqMVLYb=urM-P0#g~^;larI# ztPQ2k&M16^L!l$RN9cu$4$CWg7N-!Fo@|hU%!5U48MQrHX3s%`d5i;`RIfDrkUUio z6;QE3QCK0P9OCXl49GOaNYn2fQ5FTQc`#ghf#2NPdSkN#VxZ^s^P zbo9Ee8?U|k>WLFCA3uJ4Zf;(9UPO5B?%JVYl-zhY` z#^bSSRZYrfiFdvjJoNfmy)km+%$xQ~B5S3LmJYQ+2Y}eO*Jme&!7a;k-`yYmXZQ1` z+n?!=9C{(0oCl@BXo?^z>)G`5mA_|Fu@dd@B|<-gFu+L$mN12A%4_p9z!a9Oo!9J~ zu??}!B@_%69+{Snm>*5RcWKcyStJ+?y3%Ta^2`}-u;85;j-7V_O#Thx!g3)P0ZyPX z=sM2BX7c?o+#hjq889)k zEIZoWRo5hnDN($B zz_l4>&a=okxX6e)U(5WN2#FG6Acrc5rZTa`J!R zmbOR)0m&>PCrmk-8XGlTTUlPQtn^loTQ4oPm7MzGLUQKzx}DKN&7t-v4U)`qe0E~! z{@7J8m zHx)1uRk}CQKM~Y2NYzu@n%BxHtH;n6&V+Im@=>U%hO0DNoYP&(DD)H9)BeP&^hV3Q`=M zv$nVx^Y3o9^CNSW^ARwU*X4*{B33vJf&blvzZe6&nzxB)0q77+#4_L&!0S-Yy3Ax( zmOnKh3CTgcFa=W?$TV|@s%QWLafn~Wp-=HMwq1R8P%dzk+de2&%qI1v%Z&F+){e({ zHpWrrAFCxJ9+$cdYh>`~GG=_@)-v3@H**4I%VTD5{;$4bzWnv}#|-Uv=I3XcOIub- zWV1=dIGn!_^+3u2jqz{p7g~hAqdjd0dfK+R z#ceWa-K5(-QvRsSOC$5dT}7G0J-I&41&Knsoyp%83%KYat@6nz3l>{TIK?Gsbrgv6 z<4LGmy1RNFJS@=##N6)N*P$Ti8fb=v$42yUYrt$wFU_rGE22CUwZUxeV7WCbAr`+1 zB}7O7DS#|UshW&ntRlACFs#*8s8}>zr`2f9MZMPmrB-6Jffk0N29PX*tHbvU1gEgVr!K&cR$7(y#-v9h5rPWk)5b_XSF}|CUnZ5acYr5(G*VL|!8OqOH8%WkFe|%Jh5P?+%N<&~hM<7+8 zkfnpFs2Mx$3|P4hyp6jWTVBE@km zQ-9c!?3qQ#FRxjIOnvgnh*wWM{g*$zE#t|Q_4?^QB$8I4A%6ecpa1SRKiek6@Ri%= ze*MQ?B_l+vQZmB*OCf`uNlTq`(%oc)bMJCwgoO4rY4$Fb^7Cl6eD3P%dHC@D|Jl2m z7CEXYI_Fh&_k6?vx-{8HvI5zKrh*-LCB0MHuq&xV?EAtJWYwnym@DBl@%izb@$~7JsHI;fK`0AD%F5y z#)uk3)F0}uDn_V6VFidsDMrviLreB%_--1fPH0N4gO6?1CK6VX=^qwzdov0 z#|~E%`0)JKySu-R|BdE~y7l5$*Y@`A)=3i=1Av=9->PN+f;-7A$$DrqP3ahhSk`M| zS&}$w!nrX+G#Nt7SH!ed>z4(>YW}2#m-SN1pm78Zh4?x8QiC8hc$(thSF|i`4Y1Yv zI?=`ow4~7h1#DL-EcLP$VuValMOJzBwRi5_+p7pLo@{P!AK2d?hk&~E!k0gM`q`y> zf9);0Xdo2D=45Mgd#BJ`Jp?cv^|bn&Ch}@C8zd;D3tZ zo*E3ELQTRGHQF1=9a4iw5dw)unP&#*#q@xs1QOvMmPZ#lXtd|@OrspeivWN+Pv-_g z2#9t&+89DnENW4OYH&Td^Bq9BZ|;@Cno+}!vi=%kCbGj|Ce+7iHLyHmF+#+Nt0RF# zJ@{glY)c@XM7~8a&G))&C8ibgPDaNg44MBHb0@C6bzwFZ`0mBP-TL!5iAT>x0evjVN zpmqf4Rcwq2*uG+>XoS2(tN>b!v%Q0%A{6DSd#Xga0h_3@zf{E#icqM?5&|}+Izo>y zmTFzGiUCrT{?f6TSvOJRF51g^tUup42fY|5txWs-o}+**Yq?`L5`Ha}m0f8p|B ztwIMKxcLH%Tq()ebcqfk;arnu{4;^}Z_D9~n{pZzdH~nPnO!v06 zXjxzMzF~=GQ+=8aCg4ox644(A4(zlw5h86wAs92l50uNfsNpC@JgEU1s3hQ@8aqqk zGO5A!Kl^ElX8GzRvDgA1r!)!!T87GKG`jQ0-MWu`KH%K6oemmllxy`O4j$Zk;q1xh zo;`m0d4z~y6)X%zgO$29(Yjll^O(XZt0id zmKPN1)BL2z zMgpC+;QF6Oq$AS2PagTv??a%2C|G%~Zib3bl&kNckzU-+ojnn~Gb#b%`4`{#;^LJ# z795}m#bk4PYkSAi!+-b)+YLtHO~@MBzh$if|Mcr|?{p&M^H}Lf#;3VZHbM|-b0NZk zNY|es1gSx4&`AS*rbIIiJ|a<|?JEw3icpk8@l@%ObIv?-JQklm^ZMoQb^#y&z>Mi0 zLMW<#rrdxpu|b>D9i*8YqtjLy(A>g}Zm|Y&21hX>xOXaDK=X)PyS3xL{ZgcZCQe^2 zXpHOIT!`6iq4n#V@{rV^5+M)!G)3V;cU;)!RJ2wdh`Jsv9a7VJVH0zCT9kwLRFVDt z)2EKs_wT;{`IYZ)jK`CrEJG3Xg)|UQ6r)g-E4npk5)BPtsmesxsQAJW^Kw8ZY9NPN zNdQWX#BnnVn8Gt3A4m-=M@T+q&@I>vGw4PpmKuFUI)c>TX^OxM9Yn)e%JdEoa6#J} zW)T>eNJj?^MLFyjAtF_vhgkghlS|{tW+g_H~hPE4n2&s?q zc+esO-b@M!Psd8i5srKo2{Gk-jv6!4`ecv=`XZN zz37GZGLv?_XzgS&Eo9c}cb~l{)4TW8t%0l}S!8i4jspl{NFe8!+>cH~Br`H2A_o+Z zEEWj2utbE1dpIooeC{VcKj%0i?(RjPQmT8=Xga2uH4Kw+0YUoh;~obYG{jP55P>@j zG69Sg%ZC`}lp#H@vA&|gFv}`ElPk+{h_gWDJLWokSG&3_(C=}Z5cD-JI_Uu{j=shl$ zxma8Gv7B7s%4V}vpinFrhS_X3YSkK*q$bA0@*Fp^ZgZQkIULIX%$!~n*&;U!%Co7k zQ(Ko#djtTOCJU0_j3z>p@j7Si6jZ95!p!Kgo7EY(FnRe;Pr$Xz3<x(vTkY+BK>>&iF5?9BfFG z3C4e8l=3M7ngAY5q`O8-G-|usSr5{$00x$Y176}KM@X1NBwF$6Q!=~pRH6F{6}e`7 z@cywt-_>>9;6ebf->_jHYPg$VmdWzT7P{w*9r@t1((-ZC{9Ln#Q$BxA|P-!$8*RTKd?Agkorp?mQ%$pzgyo5LC$8BtF z-uW6`J2fQ9^c!b$^Hi*xPHBksr#Blbw+0OlvLx-3HZtsF%?D3vF!N3ZyrDwCH2awC z&3mB0cxZt48jaogWx4pVtugEf$vENw|}_a{05*KGQ|txY2C2 zu3Wi7L_?G{29^QfPk;JTKZ~t#&d!`UbMoYyg7h)vz_g1nf&;1mvbAmG+n>mT@VATP?LPwY>G z)+u&8fLVoYeVzH*(c&;W>zvJFZukTLYJP3;cCwX^?T>X$jMIvtne;CW8BBjNZq%Xn zYXWWrC9&H_A6{O5`|Y=f7rcDs%7gp&wOyyF>8Z~@`<(cQ@bbrN*BU?n9G>q5mLvUV z`ox9o?2!)otlIjI|F~C*Tt|AmV1>gX=J-i$YbiEcCV zz6rHG79vcBjf+lqEcK%+LT>^1wH-7L95gWTNgmzZ|71jxlG(YZ3R3a^`#)R^$%wNb zoVaxP2>^TDJg2d9mKucz01z>SpJ1FH{qT$8!m{T$R=rY~T|~rwN(2C+r!2p7VfM%= zI`?d=zW&5%HK!JjWT$2`#p&Z0{>9yI{@$){?~zj4r%0 zV9p29W;o}qR?Bgm-oljm+3$Wg8}RhiT5Wx8&32rHg@xJK*<3z<;iC&*|JB*7Y$n^y z&K@ouzo0R2n^oIi5p5ay8FOku&zI)Te6e-=uhO;pyN8!fUdYTGLMdt0#cfmqCVtK= z&J~y6Wlcd+X%>8jMX^UOy#9F<;k3~&a~-M1O}|DqmI^_wkk-lAagIn zny(QSipRY2Ia>p*xgzq1yy5d_8MW=3TfJhP+@ePIzF5nELTrY(GA>+AGOs3gLX7P5$I`0H1Hjes@=mYdC{ZQH$5CIfi? z{SS^G_h})^wpO3565f{%9V(TkX)X76zx&-^{_s8JTiXGa+X0s6X>oP# z%$J1an7xBBRh~KZDKM^~a_XB_^*NQyOfLfG#Ut-hKGBQGR=l$3oOBS7H94;_W;0ok za#|9it#_=<&3-JSe=tJ5#ZpbBpLHp&0=2_sNBR?EIJcnFY_b z9)AD-73Y`pGmG8vA{lRHYU$YQ;WrW0^V?T99^Rr8%3Qm5Jv%i+OawPGhu*&M;Nt(g zhd80Hj&HyHcIT#~MMUg=drTFl5Rr^Llk?)t?5vdX`|rOebMY|q&Ye4CL;i<9{DE`+ z?tAb4`Okk&P~*n*@pB0DVfmo;=xUhR((ZF3H+TATktr09zPEkvQoquF%p`TkbGPnZ z?484M^L}>cDZanIKta9DZt<#puDCdYn)Oacy>=U-iOR!j$ z2n+W$iZ(iZ(w%+Jp_8qfM(vTvEqOy`G7va_-qsje75@A?Q`&x^YM6i4$uE1QH{Iq6 zcWSH`QOv-Fa}H`)f1q#vXasQ^o?kTE>%2F= z{DD1n(xpryDYX5Ygb-)Xo(0CpV)oLdOH#@(|Mu<=GCF-|fXRFyVjg03#ZLu&g|*n@`Wl%pT4!zS-Vd@!EZ?2MTnK`!b_H z*GcaTGsSa(CbrK6SU%>Mcg>j2#w)^DN#O##c~6!kc);pRgO@7*l$eh5=Nt{Nc3zt#L2l|7nMrVw@uiek- zxzDZ73##z0^WG)%QjCnCp+Fg}{pk%W8+|g6?+uq*Xcyt?4_4;VkH~a~J zOeAzO_v->IT1~B0JC{@8Wi9UI@$@Nu8eO|~jb^WyU0>+UNHdvfnz35+$)N%BTD5?Q zh>~<#+xNfs3zU|aFnT*9H+O_i>MJ*6Y(L2sv4Dw)fC3Kq z90YIb zdO#mqenm$8B4cW0;fT~2x;4IW)j*7(=C#<`_r9p)mn74%7dOQt)Rn$RM!-Zwss2#Z z9|>Q~j(Xa@PGlA#gm4_^;>C+nMz564LvBJhjOkJ--Bf#Osu#;s0hX)PT1*!@e&RTQ zMowE@CW2B}kygp*WO}-k&14cLPDeDxy;e2AGWKG*>SGxR%OH%N^2^M~XsD`>uPM*! z_0edpKk%QxxKS*}T9I=S8DW_RnrM{3hJb?rIg`XP3>y4NbEQ9GQ-Fk*CI3+h~D zoN8$p;lgXd+7Fpf{<#sY1#<%reI76oZFu(28GCyyZRD^#%#hVsE#L^E)MBmgO@EAC zl1l~}Lng-wwPI*G{W{>h^5*cr;V`cBVM(r7x~@NY{P@zPi=G@YJVscCAz0R8SZ2bQ zJN+42gFvr0R0d16?j3*X0lc znE}S!v1Nu5G&J)k`+U?IBPd+_rLo`yHV>dFCnIHzyTUOy8M@CH@{!Gc_|sIvby>x^yt=e`8}ej zI!W7kA`$@+$rQYIakXBnB}=ZXtUP@9Ff|_$0YKOF*sa{$Q4O(0BtjxN&8=Wj6o|0s zW{TXj$WEj5tgUCsDy@yj8X~!PFk$w-0dLNu6TZol6f|ox)&jbev+-W=W~4jsfzr%- zCfKjhNS&RRrPD4dZT$zMc2D%0yAMkB$71yxlU8?~YJ7yWx0%}ns%uPPOrs<-cnyI_ zgrr(&Zw1T9fQ2IwI?O3$OiSfKbA_k=57bI_L2?uKG4KAyv15ox3TQHrq~kc>eDe(j zfY{pF8i{2@^s%hyvKEWUck#Q66d2;iAFm;*L}Zz#l*u{E=5jm3a*JAFU$*#Goz(z=sDy-N#Lhr; z)IB;*D@n@;2b@4jmF@=mk#OJHeX=SM0YHOg8C&eyW7_G}8`jN$yQUI)@ z%VC6%?A$TjiOf)9Txf{O9XSGw9{)iG(xyMdwC|4*dH(Azxh|6{@4HN(*Z$O5EUhfh+)S6@xT8M*Ka(n)pr&hhpPNf z|MvfyfZN0*9u(aS{VyPjdl}VAIfu^Gvs*ueztXmP-z8``$jm~z&gP>#ss4_h-A2T{ z6ySu?Gcx2pV~oz}m&gdu^BT>je+ev~&m$ta4$=y3`27>}cTFamBN35G#sVxcVFrQg z(5QLb-`Oqd!15yomcM)tHN8)d3;pCfm39AjSteIqy-|28urbY zJcC9-`4u;L2&Lq|p%+xP?A7jzE=z)_xiye!+>ldap2fJSGBdKdDzyEWbRnjurxB6% zvPmsKuDnCAOyCW%jM%wUsPef8%j@et5^cwM@F3kjpCF7XAqpXuvp$xcfmq(40%mcZ z9J0C^;=oAzh|;sUnbT0k3~Oc9mlzskSH3Uq$H<8B$!lt*sESl&gKv!AiXM;=HrTJWgGRqK`UGa>$&nFlt9|vytA%{w z_8rnRaMa2~63gi_V^_m@dA>U@*PdKQP1PU&q&&ONM0eLbOA;Cf4jN%t2a4(7lM!pr z>5_iQv2Jl;kw}Dzf+Nu7G}p0xT@HJFbVz0j(fB_?W}<3=C7d$^R^yNV-~nqfSyVEw z_?BM)9MGTYI@iF4-`|X5)NCFqTz{X_M%7C!w1Gnc`kFS0mav6Y~21AXmZv+|7 zFse{KL=~0vT&uZF$>$TZQ;6tU_1^N>#j=~u4}ufNvQI)9!*s%V+8Am0;Ffu}!VKWw_3ycjG6)>^yu?VS?#pqUSu*Yvj z*Iqr#h$Q6z6VxVA!!S)wTS|PL!Vpbh=U9$%s`r10BW4d)R3H@^@Y-(^P%f7lW5fuA zHmbx;A3b`sJYNO?oRqL48QO%p+`~YZ>xBjgV<$2bkr6nyWyI+5AA@{Qk(-9nSUV~i z+wzkRW-;*!nMJ^ucOgauKr9>fuc^a9RJ~oRxK?`)wVv_2?e5*Xw}M1YgNRtG*TP%5d`<(c)ok5*k&-c!gb=wL zSx)3By#Kj#=f3>%OV0Vq)2H;zWb{g;q?GcUZjPRd46~JI2NRecTt#zUuUUl16uFV> zT~I|t;KmCxHb4;tRqh}{pvuYUnQp)z>Da#`pi7y2OVB;H>{%!5*8A=DJ8k=fEsOFs z9BlEIu5#rTe62+(Xsz>J2!zh?l&@ZpM9e^QT6N2KHXv$T@2!HABYW1d^@0*P^`i4Z z*$k1Mv!8>OVUR4#`o&ldp+N|x-&U)2>*lTScwv`|Lx!gLz{-he3(IQ9umfvGK}bm@grE&CcHcl!ms?&weD3`DFaPFC z#@NbAq{|`hN@jrbVAaiLTrV6tGyB$O3m^XN+}rD$*b|r*E1Q+O z_wM#iqC@?y7AXWKqPlnQUV>Qc!?MUu({4B#`1=A9mLp&aW2Da=VLV$VP=j547Fb3^ z2@UKDMu25yz5N2qH+!(Gk6dBXyjdAKVZ9Xj*P73{+klw-L-1C@Il`DyhkkF-iCtx@ zUu8Qzg1-FkW=SnHMo^jxhhHKHXm1P9pbFKmvR{R_I>i#{q<8YLmu?aHP=EMTJWaMj zzvH)JXht|We`Rx63R|NZa37z2tD<7pOU&@gHAUCG!!l4^1VD3fxyB zTEvbw&VUycAW^HEmxhzw*iFC~g%2Dwg5UVbNoy%e&wijSBxvtx6HbDd3(1j56&2#ePNy0xsJq=8XpZqnkQx0%7*Uzd1!gf03#Nez&dlJJ2kw#! z4(QJfUgID^ndmOMvgCO)9<-RgDPE^>cgG4jaBbndW#rLoL*=HC=zQ!A3TPC=SMQ$y zXowMdfFsJ#cA~#ZL51hWlpCLF2LCtSc!L}+x|gBVZlzL5#d4$32%lBR z2UxDx$FxGH&E7uz@B;vN{P;2Hqp`b;i(XSW0%kZ?icAd4pfRQ?IYeltkDn)HR66bY zlbdlFWPO(^s|I2@AfN{&e|sH{1aPs%CoTVaUdc9W-Ov+nEOdv^03!KEq?2ICQc+ux z{3jERh^#44^scTIRWYc9MJ$2_A;`kJ9JvJ9yC!F%X=>)6jXZbX3Y}Bt8TZU%@%Xy9 zUV|DHCL)8ZBuc7u!aGrA)m5?jD+Gqq@MSR((Y!pqKQ`xr+$2-{dOUgS_GtPh=@>Ew zmbG*&1J_Uv#>;MuKHz|f7(|toN+rWElvHF8(L3f-6bT|DZrr$$s^FbDb0(QDr6i-d z;ksNn?+Bq6i-ky+>w(5EmKg|Y6_!s^Fys2;>u$Ra0D5kUtR(b&DK~$@ZC35->Q2Xh z1pfdW9C%_{X$-+)yv|;cz746^v3xb~m&KkOO;PMT9WW92(nCc=N$i)H8K{lptO(Iu zNEODmFF-^VK0MC)z52976f+4iD(U|YBP0OAyr@W|fsLEY{M6z1f5$j)ZEZZib9E2i z8}@YxnPiiZ!T@6#m8u4jF$LXfG#g<~As>Cu3`#3O3eOG0ptwr33HB!+e{${mwV3~^ z^z2@~#;&c|wM}8>+Lfo28A+*<-3>>Sbb26;?Tv?w>vXqWdD4XgDn!rj_MhfbZZuKy z8sl}Y3?;Ti--}WJUB=y1ehx>lxY=U?!E7)e8MdbRe8i$Fwm7KQE1Ea%1Ys2X-<(m( z1KPP$*>OKdX#-+p5#3(n)&>`O)p#m;dRS8ux?f_-=|frVkti7+O0DsvZ_5Mez<$+5 zUB^Yl+v?yNy#F)TrtM!u{Uo<96=n*Q83YU zKVqes4}ggg3$DCjOoYa!k9}a|XOwiS53eZCip!MS_kqK!oYy#^HQGZSJ6ImVL?CEh z*`;FPj-C^hew3u#%VS56CKoF`*BwnpU?)Xb9~aAo z6fC10<)*PmOvJ$l6Go?G7J-N~6!!+ z|3PYi!+kV7a6wdG75xo9!btnQNCAjT3+%UDG!8;VL`m#}7XbjA3*9gn=M$N4 z0T^RK=nU8%N;-gsI1yGNwsS`EG-^3{M??Pt`wXa}G8mqWR`;`0vxndNl2mw-ck$J8MCsZZcB|Nk2#kk0 z*48Q=Q3VE}>4bFbE(E}1A?@7hWEYIPW?yG8pfm6yC@8Zd4q}@p@u5H0X);5ORE)J= zn}K{MbT1QH`2#1r;7J!YE}K?`^-q?FA!XoqK2jzspPP98of&%wBIQR-(>dh;?|`-S zOwiF6&xd1B1+;x?8)4a2DA+;>*Kxya(k6Ai1K2m;JlR=g#S|=io(xvHkTDiUqCNBW znN!loa-&fn^hHEW2Qd-U1Q`HdzI-`hG#+6&V6GXWBFt{}V_6HZ?BjR9D9AXUI`*MC zwV*tA`{56yk|xW9SPplP=wSIyKbCobWp|jAs%eP^Y6`@LK%A`nD;L&(@Z~=_mv!VH z=%T}*J-=v11!D3)!ZCkf83!D?&ol-IbmC~vMdM;GqJ<_pTUucJ!7bLVLUnM{!&>>y z_-m6(kB|P0p%(!XJz{l5l|0*VyOGff^3j%M;>tpnE+8 zGH^geOvo=`@=5H27ZL0dY%s zJy9W?0U??-0Eb0cDnN+~tj<%KZ~-JB*D!-x>-8CZ#|1JsaQ>WQmXx&F#%051;zA9 z%FM+fG6Um6G@-DfGxhTbROegwe5UY^M$_Q8t)04{XK#U$kx0A9`Q zXCniP6jO*OXLt`9vu+fNoH0YPy1utE?w4(-UwW?)VWI#w>>-{S*cIYX`^-MY$VPxr7y6vb+pc_&7 z0e#DuZfJ;9r3(b`IxCQL=fFWwxe6&rk)~$13DkM5mnij$+qqAB%96pHV%no7{(AR*L^G_ zUFHKUS1K>CjF?nC0f1_~`|djc?D3;VWPhRh3&gRE3V<;qBh#^L(r@~=jgcvxI4?3q z&uUa3TzirljrCRCk{h>7VYwY}w$pZU7C9$k$QUOaCkFY$Ul)CnN z5JoRBiwNx$D0WXAWtr^q;bpRnGtG=`TN5DZ0$r|cWwKe)<%W#^yO$aCx(>ORGXCqx zl7l=8bU6invDCza@jr{K&0b3AN*GNzz*vDS0a8%`8tjaugMG1O#({%In8Yi;mnV)% z6&#H0V=hKOSPtVA0HBYXh*b%@21kqwvqw&qPrOaV*6;tc`S|XnxPJ@~GIj+1u3a}G zc1`~B1^=>EtEYku0E7^(>n3l}a}2{k#CE&g*O2nax6qiHC);edwViyTIaNkPYda#~ z?b>=_=`ChtgHM-wY_c?qWdJT++i7m~TK%#*S5wlPl6sRRyFnH`LXxQRC@=UVVhS?=?+`qLJ8J7&(6#2f=pdKrd!lpb2aLh z_8M1jfygRq$f?JxWXpEN2MI%{Z0;m|2|nv0Pn^$&knv zO2^MLJ>xVg)rVJ==L`dY1YTouO39LBsaT%!u{+I;MY%K;d2dGPtv=c7U4DwF09oO3ckWsH@} zb5EZ>-OWOx5W;bs)SX`p(+qSuYY$`wfN?!_%r~bO(Z{2bR%`V5A8mpr!DYP8hJ>yJ z<&Xc+hF91X2L{pz5dQ2O7qCC#MjSY3L`nSS_VPTS6ry6I>wyO2HTsc#xnp0`g)- z!`?+)5L?17NI5O_bsfBZHG0NFu7L}@7AgI#&Aw4}<(54rG|y)34c@z$Y;CaYyviT(oEt{}L$PqgLj-Ca)i5=SzSxBjmNj<2 z2tiepQq+|)7JPB?tt7Gh?Af#F>FN3Tg}Zm}IgTBRWQmB$$h80vY^9+Hyy1Pi47iC# zYM%lFq9KkRJtn1h!i6O?~BXdNudZafv)vP1G; zf1pbzLP|{jhk_CiVfeJaB36hCSn~YMGF@={MrLnWps>N-;G1LYaKD$WaO9`mGqZB$ zyUaxg?0zUiNJdziWie}VC_@~?L_imFY%*gk^s^B!^J@ULsKudJE|13YNN$)Cnu8hP)rv`wiR4TNm#(a5xOfq7)E=RD6LF4e@t}a)D-Hrdi zIPIgM=V!O?U5vYLFd#BQLsX6>-8PId{-cg+S|Vu72G(M!kdvlT1qlxDZ9eY!4?e|X zniRxD;M!~#@8C(|%=(=er!mTiX~ z)38g5VGpYxIA}~hvEMc&bC6)fEl4d2F-HtY8B%}&f{``gMsp(!i zNk z<5Nf9({t0PJn}Y-jR?HPzy%a&AGehe-SbM)J>=4fsPJC&fbyeM1_eBK-ixY$zbT+s z_N(ZtIu#p~NMj(KfP6X^78kh?+-&^z%WsACAKkq+p)bFi%#tdf zwHeoHj|DLifnN*;2Q}J34EVo&*de09YXcLwaKYw1&KJ2QIdj^jhcut?*r?WcB2a0C zL$Y+r1=hI-0{xvkrWH!9`HYWzWArgkj;~^zegW#2d9p;9r4;LzU#S(M20YIbLYzN; zuGcApsxB`tx0)><>3y;HfKsUGwpuN^X8vUd%c+wF#U~>s!gZaiSFX}l_P`+ZNX0S} zI{92MBioPVGcS-EwI}kW={L>-;}lcfXCerJ3{nma`mxNx2IOeJ*^lKJ=`Ong(ZC1I zgmTtH2-u2kA1srTf4?M49`WhN+U&?bd3J~l0>DW5*8qDD2)i0%`F(XZ_(q$x1?m^< z3mJ^YSRxK+6HO}mL_CSPoFR-gSL~)0u8A2{GBI<(K3`I$UXtRZcQU8)N>g|AJE-A= zP!>X?bEGDm_(&e{0ssS1wo4r#_GEw;^hdmv!C1~Du#7P*Q%b-#7U<=;cdMKI8d?H$^6os2Z!csY-nOchbiHIZ0%6 zKr}E7)6F8(ltk3PVyrRl%Mn}Q9m;CY+JOm=JjK?X!0pT!Vzl6yrvF|CFgOrR3kzCutFtTNE zg@{~;lfV0y04&IUF>oLnxx%U6|L5HYNzb|W&EJRTnZh(8GNB)N|IsZqD2p0b74CoGEVy*=Hq#_fb<{B= zFGQ6O8OL>9@3wCkdRuxUsUOaHSVb4{f9B=4GV_j;UzADJoLGZC)#pdU3RZ4KL4`73 zJmM9P$U!!@)q8sVq2BwP)u~zjjQ+d82qdX-@2)n&bWo6 zUjDG>HhskDc}1X6p0;t#pq$}v3=zBMo;RXj81wrD5ySGy0x!Q6dfJuCS3W%ZA#s0S zeDOu2(X^~~E=yOrM-Ly7FBLiTCd_vU%cd7#nVeoM>6chGGgbgIAC8fu6*cxn8nPTt<$mL7!ltLQa9((qepk|{@jn{ zOEfPBSpIw@mNh2IZ-1!)i?zbdT>0JqB+8NQ=FLB+LL>g3+g^{$(n}hdU;S3-D>vBG zb4w~Yh>V~uHUJpW8bldrJ5SA~ugksn-upD8T)5!ta_zR2$(Y$pXGp0x>a@FaH%9U= z9{-hR)Ber0gAzA#T`MuRArs*^_Rn|jP$cI;6{Ty@9Ol&5QF_eClI1pVE}a&A zgfyPrN_}be*0b7^>#|)B!oL**5*^7?vHI|`+pL5&FcJEW_y}I-AOUrhd4&v_!}K-X zSWTb)jc11O#0cZ$$*htENfnvR%tt2O8V&%WY(hkarg~%S))p0Owj%E7CAPA6ph=`5 zGAS)s|K4nGaOHu>pjq;n2(QhEhS<85-Y?B(qH^7E>r4YMib^jiL~yE%;$_+uKB`|@ zAws1b*)Q>8F`J-Yv6b!W_T|f0>h(GzlEX#0JfF#C{LhJgFJi=AEK`rSP<61Z3t`(f zL2|D{-&xgrmuQI$mB)Q7mw<6;$FRJ+6k_?F$!i=Gp!ovJHq%#i%M;Pma)rzNw%^+W-CqB zykuT_!XHabQu!G*Tf`}<(trl>6t}6$J_GPs1@R!J5$R zySEi98@jNNlP~`I`Vtn?*Ej2WLMY-c>*2x&VTSh69(LW!(?hrPA3yx~)max_o|<5* zTI|6`s}^t?weS|pahKlUb1e@p>1Q!?o%N>S@LuuraQwd;hfmk?zF%e5wR{7*omuNz zF5Ds~bw>2}e={Dt$DQq-nx_|D3b{*T%}@K;*MH&lH_u0ow^rHl*rlamH-5&tmh+Qe z%Pt0*_jZn?vHY1?|MP#V&1LNKU2eDAcir*%+27@^|NN)v&4{Mm_my7{zk|IN_55#| z2Abn>X*36*nTh7HZNU3o=*@+*_3MCOt^_{i($HUGZ?~~%Mg8`nJsjRl4ZtrW;AMjY z@QCEqBN>v?RP9bgSqU0$MwH(WG9$cf&W!Mb&nj=R(64ec;u4U2xTmq3nh||M+2QbC zs2H0b-pb@w3L+uEHB2_q=R7Ufa_m2pA`R)n0qLT+kkMl$Y1xr9^!&f0w<)o{TgKTM z`haie$H*gKED<{|qJ4Pi%?OuQY)1H}%!suK8eW{S1Kw7ZprO4s)?eind<##&ynMwh z2|@&1Il$CNs-u-{i+BN_jv*hX9+3&i8rn?DHld5`(vU7DQ~E%<$Q&}f(5%#siliaz ze&41Pun8-H^wpf5*l4D<5ty5sM-_`iXyb@BV?%6f$p`{A6ui5T3>`_}vx1k;(cyW3epl7`^ByiMsJ45kJ|KMF}jcK@pW zPJ=d%Xfrm%6)hP}iSSy}l}Xi32T>BYzmY2;&zU%X#|t+?q|*ztq1Z_@*h`Lybb^`0 z3<#Sc%;JzCX-FCcp|>dkfw{LSz?}!TBA878=bD1mUY>>IB{Uh9ipXrq$X1S4fmwgU zO^kmf<7JhGoCt@kf9E3q0G9yP=D`bv3`qk?MADEGF*=A2EkwY|eg+H5l%NrtbcN(4 zv?VVUp`;31K5N21$KL>h#$}L!gu9+cXlfp~zqSKk3iXjXh6?WN@ zxCX*ZvGW2N`&Bx8VFO$;>EuMnq!XG+mq60cdsJ$H2x4LIT}L*xRD{#k+7KeWiUd9{ z!UB9$@NY*KKrox}CheQ0W+`@R>{n=jOD3IkA(KuNEDcFx>!2aHu&^usx%gMi3+hFA z2~D*Pp}08Pd_=?dH zDnfY)&0RKxAX0W3&VnWH2dq&$l(0Ch>9w*7v;vthnRJ>iR0YcETYFzgLs-qy5NT-u z>o2T-?zQp~+Dr{0AAd_AnBlTb?D0uBRkP^3XjRKxe#D6ABrUhLAMm#xd;IoQ-wjXA9EI8GjP>QCONn#Y}ew z^7m?#m(Wyh$q4*uFcvR(6{aFAet8mwU-h^&z$G-mC6i7lWXOq-G$ajmI1(eQ$pKg5 zih!SEtNI|q?Edl++PhveL$bH!)tGV?Eg5IczfIl{HeOZEl=oW&8sHLE#Q>K~Iw6zJ zw0fnSh?Q8K($G9_qM~s(1eZxNM*~=+CI5l@MO#?5_t6mIQ^po-u|YwAk^jI)72s44 zNdFKaf>a=ZbcApO36ri6H1-y2b+3Fti0WI3=*Tc_Sb?Qv&d& zT7U`H5$#IUtpA71y|Qx5X&kH{Z8H7(lTRsWo@R2#_YpY}osIhAzZsaaUC20#*kbq zZ)uA}I)l@q*`(#;j~ERZPMLyY(PhWM@I|ueA>nnb*tobII@bL+wPcjsYS$?7yh^*> z0@743F3xY0Fpp$zs}B%U#&XEnazU9WYX*P(lq6Zh;h7H)2p={*N=ixG#$p_ zlx0>##BV)dHSug3&n7IzfDb;nFoP*1psd8n7Yhdx2qAz38^Q6Yx%jL`NkS$GApjWM z3QfU*;(~~2!ffUCNs2c;4qi6hqiMv1j6+5VvoDv5FnB&K!GI=&A)_L@+?FxylkKO> zOK|U+BvT?*PUFHa6cH2C$QI%z0pmP`86Pj>XsAO~i2;d#Wdw&;f;G zNN%z)y5%ajh%Vi~@E3ytfCCPI5u@ikkF7`cJ>UEIe35KoNVLq#PX=clD>g?wdB{Tm z_>JE}F7EDDn#e{&!V(}D%+u)$X zk>C_7I?05yKCe=eWlDs2)VniFc^42s*`RBbO2mc>kCoVk$524HkABgHM<6hR2}DqZ22|k)-a^E3 zTsVXpMDQMhn{o~0c88p7a(tvVel331P&T zWh8{z!8|ER!Yn2LxeTu`cn#UlPI|nG7%wOh4qihawdD|WMn9CHlKQkNv})`MCYzNK z&lj_l3iU4ERcIzMONm@+gK*=O9VkSt+SWtG67ZZonJV)648Sb3OslW71)Ol*dXvIS0dg(401zlG;X&N z;o@E@5yu?A6Rs3K0Yn|E?p1$CBWswt3KJxKOR%AUZHU@dhQ;^rZe#~PR=Xy&9 zdW%!Zw%=Pf?;0hZ=QW#6RWc3Y(W8f1KE=t&vFZ)DMs}-KyQQixUc5LzKL>#K@84Ie zwZHxCKa4R?o;>}>KNh#9;<)WcKZwZW;&^oO3KW?3jvdeMKK`rg*O~`U2k(EU0Am~= z34{K-|6bQqOs+%>;k?KhqYe+C3N7eU;OB90Bj^=z6I5Uas?f|8jd_$Lpx5J%b!$JM zDe;)&5xFFUsnYozG11I^p7TE`VeS_Q;?Zo$H!0DG4-pJj%L);jr9@!krN~NDv0Ot@?j5Z> zCgOxSqFjS$g29G8Xg~ySA?6xvS)al^_Aa9p{@|rj5HNee3xzIS7morI)qmH@%QdXn zJmgV>Mvsd#&aP-($=Mp$P_~@SyGBWOrwa^+!_(7KRa&isuI6l%c?o7)A4G{A$7J`+5I~9(u>#ar ztgfAJo_N)IJez*{1AF_bgypMfGGj&sj;J(3?~oX{=YR!YXE zdefGu3MFk&=wm|wL4J)bVRRvd_YmErU)W#mw@{2w^psCnj2KOr2(rtrrG4qYXGPbw zQc%S|9D6f&ebn7KaX%fQt)x z852^VAa-69aEHrRmU#(o`5?A1#8E)8krmEc45NU6&V90rS@u7^x%`<%Ap(e{L|B3b z$~-FM8gmy_P2wa9k^506%M$6VntV#hW($vPWJN2{FKZLZ{lNhrfGNwFfDd)(Q6R7b zKo4eLqwb*GTG#OKYSa`RFkl&d`c`}_NuJaKk@{#AEVoo-jrB#y&ywor=F@oS)~sy~F2 zL9J4&)Z5eE@qC(wY7&F2<*g1>00_vj^o1%^U{VY+WjP8d7;F?21!YZE3%DuKjDC{? zM=CD0h_MKQaj3ZXA>){dMMT5&>m3$U@Bsov#@IvidGoG0QZLF5doY!P^y7)v8>XgDlk|j9a-BBgPk} zXnG&C2rW)P6yWysEG(4>v7&-DLfOkx?80Npy}|_rAZ9rUC^%MRZv`Sa|0;!D#Tvg= zVuYeVL?qY{5h?283OR)mfn3>2xVDv|0Y`RBn4EATSFx_TktL&K^R7{fJ?(Z|jD%r0 znM?%w{r=wmUc2295mc40;u@8TztioadUATY(BRX!9;!eZhb$wFBUFv!QGhrOmuVU( z>)_-Urr4m6tSx&9xZpwzF&28&Aaz9r8&puzlvof4FBU*R46dRono2B+T6ar{K?H|< zBxD%M$dVKkxsN(SnU~<+)PvZpBvVpI4~4LZAceG8h|1)jEMZ}lL?Ks@M#LE7xQJA0 zjcT*&H`>|f$>4nS=>uF}i;yk)k`P$dFF@H8W!#b2E1j?b%Ag2^1;60l;S`(BV#I^l zyh!0tAkYP12nJeEhg#lhz7LasCjfn08WwtZ0BB4M0=T$7{)DmSGC_WsV1r!IN^&JD z4$curpl^7Sl7g&hT{o~~lx)T|O6M5zfpA5b!)rGFVMdFn#iVIlS z$r^V5e{`)tuUcof^YAF2NEA$lr|)Lt0oO)#+ur@6Wh}%dk>wdn<+gI;uAy3n3xPAg z->bs60L4@KBN9>os8j!iUoI<6KIb{0 zq=1WSt6&d#_<#p2+YKswylBS@5TWxf|{TY!sm}DJ2L6uZd z<2WFoljT+d}?TmW*OhHo0V!fpj7Q<)ZPGw@Hmg!*$&>O$NgOIhjV#YPB*k z;?0{kwreV5C5kub*urr^NIK_V)HvvE_#M@87Ae>hXD=9RT2Y?%v-1!-ogYeth=&_3MSMpPIy=00c4-nbM&imy-cSF$NfTHOhK*o zNiK|wadiGNn+kNm&C~Q!mZBsxhGULbi4lr|SUDPYxs+l`N+JS?qA5u}1(kS4zd{{k z%K3clp43(%3PeE_wL-=Px#M3yWeZDpn;%4(!%>KoCOsVQ1zC&~6(cB4SLzXp z0xINJtx^0wP2#LngzHtR?VZ;C;cPNY(;$w&p)vMde6A1W`01dcli@stuRpb}{*o zaLo8CS=nQ^PJPJmoHOiI6UD~PgfEtijk-pO$k%m^5}6kxP$QBBJCLJFnkFKq-RWdv z#DZ(2X*w7Vu}G7I^@yVQULS-~nUFhN& zO-I>*g{EmZ?)mLKF|P3{NjO^+qXiTaI9=W&V>yy55fzw052DqICz=w4j9D8+SL~)l z5G73|E_4}U6$JxENkc|w{7#M_=JR+;yi|#h!iqwXW)8^x@VzoG!QIXWQ6iv%sGZz6 zCqev~!XXHvC^@zs!HUKi0=k|gG3lRWVuW$sO05|TFSxUakidu0-$DX4KPEW@}SXc~pAL9T8nD601NKMu=rR)`S-)x1u`6fPj*rqRp8 zZbxQt1%o6hF+kod1N8E@%pv5nl=O0nuz;*;K`PdD_H04ee#*QAcWWO+i6C=|rR~FN z*MV5s&C{M+OtPgY`yZi#F;1Gs_M+ids>X3alPKbTAaj}UTE>}ez$;|)g~v+lB||8( z#1uuizoamMIy7L$A_8>)#vp|l0pLOfLKZRk{xt$wSs`M3Nk|3^+O#`#?3@dOY12{E zt_`86qF>L7q7F`r7B+eDOF&VBxr0lFu!U^iHA?=My>stv8^@yf?@FTNcbs;&(@udt z0Tc`D_x~vSSJO^+CVixdoA{|0dDl@_QxmcicQR?l10N7-#F99Sa4&s*5FHK%1694a zxcKz(6Fx+O;o*T0EXSOko&Dj|5F`x4(v~!kB&{eis^ocrct)7Td}6wTxO-4!v&OTo zbD&}i(vlQG5WrCs%tK5}uO!7sfHBf>6gg9AQoyx+HyE=U*uR$$-RwcA>K#0Yy=#Ps z_rJ1QXlkwJtNJ2^|3(G^>T%RPexGlHup!(f2oD}Sj^ftn_(-j#f{NCMecl69U75|0 zKe^}$B#7fUilQvbitP+3qA*I5L{*nltg~Bi%96quQxrv>=Zdn5^&%aJw35q(rD;}f zx3KVXVe!|6Ip^2{A`-{R*I$3na=j_K+-AV|VYz2<&Rw!t2kSkL>+07&C^#r+KvuKNm?~z9awRo(BQi&f`t*kkPdM?dB01zR zBq%5*Otx1dZq9Pf`6f3CL7wt7BKp9GY79B~+K&to`IcoxAv?8`3$VkvELWdX7DfC0 z{}x!}a#*L+QPs(0g5XzEsM_gd+UsB9^z^J5Y+DA^CR(h6Kw~Gfb;D{&3{Kz5yah=Kxi;g|oRwG>2Bz zCg6M}q6I+V@AE;eL?}$FrrVUvswk+iEwrBEf87X;^kVvsBI80tsNpCx#$6u7^i#e# z?5YxxFc739E0|xmcPOIQx>m{`6Cq^(g2wKP5vqSRNoH@Zr1JGrD-73KMKl$TD|{|+gd3naz0>J*yIo*; zUCQUyTCRpL91g=U1d>*A{^gwO5XbRy3KhoVahj&hLq-&k`mRE^(_KPUJDugiPOn{< zhzt*hVeoZf`T3VGY=KLXPW|ieS(?tz{`emgFg44bg*?wkU%vQN=3RzaoW#R}-*fpQ zP18G${{@r~!9zHKaz%-_2f)PNnHA3AAJ78_vy~}*7yvK=-Lx>e75tb%M0O=I$Bc7w zL;QjlzwoYDc~{~Qj}*>0Q&bSIu-;tV-khbRT((VOgu^LhWD6F60?_=(xD2r>#&+-X zV)t`-vz-bO$bSF74HiNAgT8_~H=RxeX;xF?@wnIPb$h)a44fxwZ;LPrJKc_nq8HDf zA0Hpj=W_rgNs__AU@$lU9bb%_!BZ^8w#>pP9z1+8Ir+tvIRFxOhn;(mP|d27HK-8; zp-FnnOaI~X+39ha&FZ)cTEhpSNmO+{`hY5{NTGxf?!yQybpSyZEMyHOq7N2kFyCD7 z>!z-NjZl9Y0w7BO0bwNV76)w(K?~-&Oj&`W%$aqthEAWKCwz1iKuFY<`)%%9ii~lC zLe|LTUjKEbbo&=H>K}F;(N0J*d-z^2;`=>=c%GEaS&f^Z)&KupWprmutk3H;oZT*CsEQmeEhTW!2vBqf zck*4%W#_K&6%vvC{(pNcgZ==R&SuWJwW%?gOcVs^_xcwX=X^i%Ec@@fcTb)?X|-A} zU%t#M7!xN+OocSfs?$KVnWvLaZ~H$z4^1+B{K}TOvqc!U0||g^GFqH_JAkvr!50DVPOIb@TLYW z4wr4ijBLusjB(we2mz+gqh(ta=M=Z#%Z!s|dX_B49QXM-VHh)TQYbDW5durG;521b zVU$>UL!L>)zs_H$=1k9d$KM$@e=mXE2f=PxMeHHsD-q%w&TgtJrM_-;@<7!TRDDO8 z`mSz@uDVo?etSJXKUHVLD306R$w1`SGiZF=|WM^?_8LIE*2^1w!c2go@F`Lw>T0!i2S&KVb79hS|P1i zP<-eegqfGis=Az;0(T$(C47WAIEbJH0tKAIh$c`tgU?WcLIQ0FpnwxNyV_w~(kxR| zz&V@cnTYIMWai{=cr#{fDGjDWCm_g3Kk@NB?{DsY?6X{K&2rVbdRG@q3RRXlboX}h z-Oc5q$gfr+_WS?su}C1jUJupDc)Te!mUQVNRkYvl-NdEx_0iGk>1k=LF>$xsi{tpK zPsIE8A6_55F3Xa2aAiLE_$FVBowGp{M@d&Cutl~w|1=vNH$&7ehkiIp$EW`v-|B^N zTSUq{ou2$MUrt#I3#X8Rt9>GX9LBz^{3E^JMf{Bmhb@>d7>)UD#z(}ht>)|yKJ(3J+F>m~|+FYMn-kY_Jm{h~#&W!>I_KCLQ54k_o;K(8_`;0{)xzxeEG$svdlpu)>{--#N<^cq{9ysJ zXHnHv7HxhJQ#zf_d_KRcFpHv^;x88e7zm+&EqMYk02I*R@zmYqxn^K@Gok0)%(BWE+K5JrbOhbFacFWAP6x+V96BMB&aFy zDZQIjOFUbaNzz)G=sT5*zf`2y))2ln}>mLt}y<+{}b~shN5(QQDb|lC@bG# zgDpx!F##viS5h3SHI<2U{nLejNe$`nx;h!)2aD^UVIMGE0585Q^}K)@)3}V}GSmP^ zW4XDaDWWM!_N8DjmbuX_`fP^s=f6LxLbxiCEY9cE#fXSEY8!ug_eCVq$ zp4z>RT)6P}wAjPpBu?8~IgK!;q!|>4mE}qV@URk>F;3^gT3(<;OyM%11|XUunv$BN z`bQ-qp_9xMy*#gk<={p{(MHhIQ|mGfQ!Axa`op&EXZ+MXL&|IA?jQQuCCKOWF2aOT z#9t{vq0YDPcI;lJQX#?s4=2+Ks;My!5b01NMs*n@ zQ3YxMsKJMZi*&D1BAP~JS%=NM3#dz2o?R6&j3k3nsT^3Age%Q%RAi1srbIlf(zvBT z`}KTr_wt-jru(>rB*Ph@29qW2&$;q{q0O7NhHUn^16u$2 zrOl{?QNx;gb^=iAz9q6F%6+FHDwsi7+3*!M3vd}wqpK1DbVX_qO?i-iUWt&tP5OT3 zjI^5D1<}j%!dOPWGXrQ-J*B^ckQ4{Vr*(2fA~PQ>KOzlQ!e{J{ZYP6EPn+ye@~zQC z5+e7g6M!t|mZaO#;>P5~#vB?ca-c9C)VhrI63rtGj}JYe#;q5?WYi#<^3MS$*k&pS z0=Oz7v5dbFRB%c6Bjwofb7{H6gM_KiK;(gP$JS^ z0JvdU64OyY?@A2-HHfBMj%dpeKAV^AyKrcx(-`}^g}Q`~F*gF}@l_EzR@s7Y|a8(j+svVZREIgPhd-Ul_7}0mY#hzS9_y_D%p{)JWGc z2sLOApZ3mx8n}$dfEsx47?~RA*E-BDH)uyrglsxmKVFj;lu?(kJlu#vNd~CZ-dAx} z;q$Br#Vs0>>8T^qmOTD>oQOH)6SznxRcF%CCO|rQZ~|Ng)BsR} zXiDc&5PWoBR1po;!p(05>JkyGW{?>mzM z+K6<$jyE8WF9|E_iyQ5P_|_!UNmv9*PhlufBA`XvWuzOP5gNJNRl`sNYNW-xFO3VL zslAh7t8CPK>h!rHdU;+S%P1QG0W~I$rii9wuTLgqlhcD2{ZaiUqFGzMetF+5kOj3Gb+tS@PlmA;mPysG-++4 zrA5?$uyU+ml9byK!%EVHV94k$13(R^F%B^h>11z5Au}9W_WJJ&QJ`@_EbASKDA@?` zKYL%g+&Hduc@h9OE#Atmjyn_c>wEvl>WJ@_U0x+|1CV2aW}qaHrgSZr+;w@Htsp^w zse+iy=DwO!-V?Y|9HN%nSRxvp}M8^EJ{1j{HE zG6KMCb(!Nxh@v=(BfJZvby=3^0cEp{KzY7l=H@UF-;*Pz$glg z&Y6rMBDBy2*o@3@lr{aOg0--9GsJ4V4-$$;V+b;$l1 zboerF9uo*qPCW&nf%;utPSG1lhZe?de>w;vagPlNRiP?T)~I{!V1|q!@GeS}2Kpc= zArmo2=HnxRq7gM}H}(&}7uIDOP>tV?386Q|zPZP02r1JfaXmQCa~vD0^W}sPTI;Gl zzAvYe8HQnX?Zys2|KslYUjb&IkHiRgz^|jN4+=EpW4wUB2oDV)^5@7`!wbJ5gD4nJ z`+uqbMC)lv&^RsBX(^crM7_C;^1_3vh%AroudI8 zUDtPczw&a=+x6`7MhFFft$F_O_pyA9*?e|>evWss*=)+YyKrWt6gSs5)|$Wn-T*{` zzFl7v(cgdn{p&0NOe8KYFBkJU2w<-oTI+|0hj)ynjI;Etxh5Qcnkr2LZ3yb;u;^G1hul|@Z21f!BU0z+y=W~L`n=kkHm~S>m z@#RR!*Uktq?$IT{*|r)nPyp*~PC)=OAi)SU@Ztx)8xBFv#A^By+7UmwYTP{=7z zFp$s-`sXHS{C9`csS{E%6UeiJy$KqJujToH{siLfc50Z2>nKUt8dFy)N#Bpb*a9P6 zoC_hXsfAQWzONWywwBAXzyPYU>XjKq5x{k=+mlL4L8P^|##{D2 z!WffgSrW%msc+xD-QM2zMqa3No-D5jWOQZff{l?XmT@XooL&5tKmK5Ac8o;2{HBr_ zGn=|Fbx9x@B_dAZ`8fntt4D;0ff*W@js;iUwggszDT;tOKmd0`q-!J~bDudv%;xxZ z1rVwVMFDM)#7I-bG5-1sKW`k&U0vN8a~xkz2ms91)Ft}R9~P0mG0{FCA_5ZEPJO-{55&L{Ab@CmS*6gMIv(+O zP|1jCJu<&DRY*`@q*TO|pur5>n6=U2h7Be1aA=-a!y(avFt2Y{-s2w-|Utn1p(1i#tEI7yiK?(Qzn za|VO){QMk6(O-Z4MWP9s`1im64dxwcJXu^3sLogA`k_5r1463w{JW4*vbZdse*%6~ zlr9_-VTvWQp{`&C?UAwI?Hn)_u#qfe5C=<_^}vw_hQe zAzq^1V8^u}@y0|{D-;i%Dy<+S=HDTr<_m0o228{?R01|w-$A9#_O%Q)gSP*!#q9@! zK2GCL5Kd>&{T+<`t1Zp|13yiFm@F3JKA21S_l_@W5!XQc&M<6G2_# z;wOGGcCo_x1usXGa>qY^H5mi}f<|@AcizqXU6?>byI$3)xQGc1uNr>xIcWEgQpRx% z=GAJoST3_Hd)@h%26G;5DrsJe_@hk$dS{`TWXNgckT#(2gBeV83NJ(#Cb5&aOSoruYH zQjnnG&mN)DB>iVS8vvK+da4-MUN5E(MD zEw(}%@PYk7Wsh|^BQWIG2EH0XGe`U4exbHJ7oC|z7g6&q8p?|)dWhp0-w z?Y@;Qq>Ab)ugm;%bd3Kq=8OQPB4)1Z8XY%*=CIm{BxGm98oP*XQOvLH?Xd{S2uB>~ zVjY@WE;k6E%SO74(p{Su0}NCM;p6wBZNs(#azJAj#%X!STKGM$8i77$Y05xR6jfDeV*sRSijTtkZ0pqu z4tx7@^EY1(*u$6et_xd({gI+U(Wg=xutDYpqYqs-2^gRndeHzKy|C-xTR_+_%tX+8 zQRAbPENNQH^N)a-CgOy))9-|(B0e89tl!1zu_`v3hg)k6s7qjA4j(k(BS}h?%$Cfy zczFzX@#BCG(OYsk7qbpZDYmS&cBe=wWi2bE+Ouhz@a`KI_fYuE)>v)KPF$am5x}M{ z&<7X$z%okELB^>wUUhF_ORFok21LIOf#`}*YV@NH$Ov|gi zr&o=MiSVisdQ*XhkPvN6huk?Fg$r14WW=Xo+?P}P`Enja0$^r)!1G@XKmRRI`#wHF z;~A*>!XlDxi1|d4j)H9)?08nG_B?N&w@JrL`-XWf&+T>cyjptH8#L~ z#Ut3-;`!e9>sJ`+b4VyzoP(%bKeN%~-~5hVGJ?TO7zYtuoL@*O&_NqxjUhk?awZ37 z%d^&WR#jCLx4Y{F&{k$+OX06KYv%10h=c^c&0enq)Ml)Z5Zzg~&tR*ai2fl&!Vmei z7Z2DH_6Fd9*CD&rzx$`a*nTO-fB_*u!NP7?iZnx2W3}qO27?C-M4mUyLL4KCp!Jr2 zIbQ&Ts)V)V+tFM+QZNQDFZ&vt@+lDRC+RSdds6{mYtVLk3pN8kiv`|h%=gUiw`Yal zKIyA?l>}gWAs%Kvl9x|3kr808eLb+Q18r+Rp&Rnw78L;r*-n{-rNKIsEFh@wcBW%U zVTMJ^Wecw#U}ADwZu!qk3i$fEOgWOKrT!P z8mEOgDHAazXn^P=2^#%l2sF^gpFjM;!Mq1ys*+U2snvCrufB*Yz`)Du<=J7A=KyrA z;UJYFKpXwkoNPYUtM$%UL8PQ?Lnp2-ufBi(PD13HO<3x=D+b}IaUvmAOnwHfYm{iw z?f)7UA*2#Q!h!t|(NpGyw@i_TJ!IY$fF5pMijMHHz9o+QRgG&8Yeonc76Hc7BbYIY zTI2BnY;k>!i%Vn~q8N(>efx&_3{{1P$8Fn5SS;E^P=GL>USAgmeDegOe-j)ZgzW?9GBS!T4znyvFbg5#B*C%p$-bO-;;khT@$4)+yPjYDE4%ofEUu)A-+TVs z;paa!+XzILx~tt5IDnyQ5FINsgGRb|&~SD}*dDfEu!a7F1dR#mbYA6@pg|!!K(tr1 z0|C6-*0b-0F~4`YV9da!**Elo1f`2JfQ!{r(1(v*G6GVno15?GgEso%{=VzXUAiEa z%QH^V6^wvVios=BzBJZ|`__iXY&JVTKkts3u@BGBuR|Cfo=u03{+B9B&u#!%UFXlY z9iPJ_2p!x$ck&R=&ZBhRu18&$Yxp5@Fxo`|^UiMhf(ZKtvUwY3cbC!t!*){=N4UNL z0acCLThG~xz+^0!kP_<+%4#P%4FhnU%Z5{!&0aU}R<2ffd8Ux;h$ApJ*T4P-rQR+s zWwWIzHXA%XepYNT3B+w-h#a=wJ|(xp!DWoub(>#`jsNlYAS3w8F6xORGZ7OAK(K-a z00TVlI(8J+6kh_eI}%;mZ~^3h@=_Y?pVWvD-{1y7jp`P+=v0s(b}SbI3oK@sp$}`U zHrVt@;T1C=n|ar**NaHqRj$;jO6x z+Ha?UCx2=$G9m&jQ1+|FQG+4qIKyb@C~TxZaxKrDpot0Ul=CnpXprY3_$Ub)qm)Cp zJD#lJ?S0pk(e>$HpDCU#Nyw_$n7Zml`;o_9+mnf0`AHnGiilDQXscHe1CffrsB69b zXrN!y9Idr&fe9f5389o)ESJn&R#k6(VD|6xoi$QwYq~+NU}kS6;P$tML@41wG-wMX zI(b7p(lBOzm5m(;+8Wv#RySkrs@(?KV!EOT^SMi)cn-)T40Bk7*$hg)iPQ#4wV|6- zrzpxTx~@HCEtbnh&}xOEfMqDzv}9Rxsj9|ijpM_u>nRkhLHXQs*8h;)bZa41@1nY2 znvY;G2+Z5+>ouD30ZbUzWjUO+N1f@I0VS{|*v^s7+`zO8*8LMf5T5e`MNsX&LbPQX z00M23=qw>5#BeACg;LtaP6{LCNf|}y z%o2vSLAbk!?aDaFjXuIbl$OSEN0bBB)P7PHxWv6x?7 zT|GTLxpog`>#p)PP}ilbOChB$^R5w{ANzL5)`GoEU9L$`ySN7Vxns5_EGyvCD-YP@ zs46fwf|3MThRds_@$rF}(LyOFk)UflKKXgj8V`?PAWN}apvYnEQD6AUEq)P!Es965 z39e_i=3JM}CKT#+di{+36PO4G3iCAx023e6{!anT9<|#fJP}C%2QFDjFn|SWqF={q z;0zEz<_;Nu9xUvy3gjM3rGm<_K?m-hOgnM1Ype_8=!1sYN6H2p_QWdN_MlUhHLq(_ z8oDcc2?i>ZKT&$22UcK)46MA@0COFui? zJ_Bl?dVBtRaNBKcMNi{~^H8La2Z4U4@>B56G0H^v-NS^_0ez=Z5fd3PF%d-DN95i7 z4-gQeJcQ?SgQ0y*G*C*12d^G4&Hz-K7iQ}&^NCzUS6Yaxs>T>zjg1fj4oWJ^RvXP= zB9c-vczL#5*aZNs>xaiDCxC~T_0{S{Dw(C(a=9$4${K?XY|Yj*T2sFKd~fBapd^H; z^4Bdi*=+4#NeBiugR$Sk>x%%Y8*3248n7p%U@h+NgU|_v@nbQ|5Jjj;1L~=aSXnYJ4f)&cc z_6aB8uN{avSXbj+BuT;yA}p6nc)&6fC`nVjT7?pW^o{X|_t2M9N~WzZS60@3z?XBd zx_sRbg4tBLO6DX4k!03Et?`a@csbCQ6M*W%BC3#k2umE(Z{r;aG&Y=q9(020x>Ko$ ziHw*^MFezyKi3e{d^m)A80$4&3&LYCNY9 z0t5-++ND&G@Uiz4`J*3vqcD!uABL?>r#b(*YY&yv5n`1 zab5PyHCO_HeCx2)s5}_~`)5W*;Eik%24<-Cq=h}Q6yC~A6p$cTHo?k;{m4<-FjMdXF@K)MLR<-mIvUyn;fuy^4;Ui%akQ9*;ENLobUfc_TFY}H zBc@Uj9yB_Z$6CX4!BId^9A3FUFUKPt$8r1P z77l5ao}Zo9eklzFpeoDz`v-VyI!?*dwKhgeDV0<^2C|)B60+ZbNy%W|Cg2%NLJY^# zi0vUhmw9AK6pJ2+E<3{jx$hIg*f$|k4v-NN0CaaMO(E#5ltTzX0I*nKHUk4M&oFLa zk^n^3qN+O8*mfq1lF}4$;*~%fFu#|A!Q5`gsS_zA(hCR$TcddNQxSpkRch-wlff(;sd2vFoC=jaIkO& z`{WMZhuz?*-pcV3G{U8>Pr*b?;NI0Ucz?x9lLTO6ZNAwA##hd=OerO#u*TrH@V=b+ zurD_bX3OCdzydtP9e3>v3<)7XxlIFg@S<@t)u55)u?F8xM+}0XDM4ct6B#kB<;ge_ z!mClJtjq-PaDR3mBXMG(JIoL=g6s|gMO2RVwn7|D*}(_J5ITFqx~*Qxh?Hb-UF)qx z5@t_*6WKoITPZ1oV20f>MQlx7$S9RjVs+KSrK<#h&nE;-T}I3#q)^K08rzUj3?jB> z>uL<>&Ip+S`@}%qms^+t0h+u-qni)2|FpY<#dvOurLUaB4vPm{>V`*}AHtNNF_95dg2wo=o5!aoC~OTQ zCJ6oo#5aMN2UVz8JrPmwYn6ziWVU3s#q;CQO7V9j^Zp=^Qpz%S#gHJSVn(esgLl>o zAVFtmXG*GvhlkLHWmyJ*yHz>h0aF%IB{EKRx#8`BD$d9;3r70{1Ln@=#hKlCfhMSA z=CTcoF7|P|Xl3MfZ16TheQde{(vFEJ_L{mpcVtA0^%~ah%s?9l;n(jha@5t})L)!K z2)w+Yu05g97NKN-7_%8YKkcf96peHSYCkc}zSfY+u_QHup9TzA17q+($QbE4NI>T( zU-nW`okJzfR;_oL3zdNc*ua!W_5-AIr;Ikvof?M_LP^OCV~h>>m2J1u6LV5Da6;^U zQmD}{urY=$!()Ugl~Nv8P$*N1Mu@T27&E2_pZ~?GPM6C-mt!Z`f=pk*mlePRWP}Cm z@407AASFj0BTkX7vEE6?$dD1pI4a?TAtMEf1W6->x1=yIYo%~2#e2<5oW+Rmaqs(g zr&A;VTNnca&~LuSLMi`HT_)O%G?fn4>9TA8jd$iGh5IAvz#u2Z^2j|S1gR(j+Vw0b zFh*QeRT94<*=%wgj%{D=-daAym&>J!oiDd$%cH&=2ywO)Dk)d@Z0)YmiISFyU~9Gn zl^q#T05({ylU$pvbe) zC0j#6#`BA6^Tft-LKV0bW0mCGnmaR%nPScAE>A<^ti$Ov#`#12e1`I*nQ z009a}00g!46_9o9-hUoRUMNc#11WKNiO0w8^`4NXZ@Czo-1oP(+4|t=He)<}K-9K0 zQqqLd1bU9Dq)rOrm}Z&l##pcYS8fl_&XH!YjIw|+o{5;v0mf96}3xh6%HgqwU* z6veK!q-|=BofOsN^ENxKV2ZV4QmBzaqLY#r*bj}EPZlJlOr8ElPK9-?PyEF+U;zQl zfi++|8xa9108ky&7g7WPES&CZFA)hK(ZEaltb4}cG*U{G21Y_&;_?ZP1{PiP!4iv> ztr#*5VdMK!1j|cYJmN{iw5pLIU0}i7O7W9N5HVs2af!=kJl8&Kn&y}(CkM9 zd?_au)Ok}asEPd+y9h%1*es_a56FYJN(h(VsF&66#0?gm6D=5;q`0Y#9e2 z^yMz!@#XY;zTD+Lz8sMdDkdS4#cTg(ALvRHrE?*ba{yN0f#?4MSOdmC%n<{2cwHpw z*a{8O{iRewPJ#r*QQQ{kFxHes$;_h@n5GF4F|*csyk@)`5=D`U6aZ^%QI^cMkBRVY zPD<*f)YX9FHts!zXTD5=#+PLxCNg45&=|$xRU|@!!S99r2d_o*i|gPd3n}N9-~BP$ z&BNUZna6{E3n_$UYqSB_5wVh)wb5bRl+ot#@#*~hOe%GGb!m*T#t7K}4zumQ5} z9mWFe_Q@|0wFS}bL~a{GwY#R&!viiZAQiq{!x&ftr9h&s{Ny--5C>(l6JDN4T|z}z zEP%yZP(u`v5T1J2iMc2WL=mzKi#g_V=o*%xB-$S*FA%y|$r)7I!y{yu+gE;ii(C*A zga*;9*@jT9QEyK|@!)DqNX)*$8nA(g-W0#y>~;oWcHP4}2#NX4mNDDhq8GnbW))Hx zZSXG00iDONYc0d*Bj3~STf2`G;l~E-XCUm81dWTp2tNOdF|c-8EPy450SicX2%-`w zx7|u6Y=9Xc+^6f`Kz0V8cALks?-`GiNqNM>IW82`H(a|1hEY%g0zi(<8f$zMUW$ae z!Z!nLVPzwQ7~D!>cv#p+Jf7pcWl7p^)mE&ew;@G|@+9||!#`e^iFOWMx2{(@5`NizAwktR_mvDeojIri_5k@ zh)5_mgmqPzx(uaY1d7 zdS8p#l{OPZhKB>Fz)p%FFD1}28s!=2ACjQK_-dHQh-n24BHzT!pOu_JLzOHEU>aF} zqtAvM9{I}5$5q0hM&US=lni!uwDVnvjMdgKKwW0MN3HAohlkm0mZqr`;>{lOTcxEu zFM=UH*|1zaL`}e6L_&}oXpOdYQJ0%u4ocSrZ&)QWAymtt^D9hU7i(+k!Af;`_qR~d zwOBxR){Bl%d&pQkJYu;(6hn$;1E4j^0_%L-lj0PJN4~UnL5lA*00IQ)()a!9UhxVwo7E-n< zW=4JSuA6j2wAMj&N{0VksB34fwUh!NX4^=ieWYk9RV!sE-!p9d3_+o9$`KQ&{dbM@ z|NJlJ`G^!yfaq4xFdeHH8V}?8$6Xw+^Z`>Se5}Oh-7^lSlw#bQ{}PJ`v4Ci42n}7J z*kChcFK{3*1*=#ul;A3rAXT+831tak!S}-m_Fc z{1#u%TK(EzB{LbPU4OJg>SASe)hh)SSar#F!aq$=0yPF^&#&l7n!2{$VwJR`W!jji zbxPU30%Ksy)>t7$tD*ZikWL>B2_hzTV#1h+aP@j)jS%upU%LK$U{TEQJfAp0W8YE{ z6X^f`zyHA}U;1Bq#&{M1n`6eoyhmh#)}1=V5it11Sd7={|e)|NMLAYe|ui5>i83SR4=R zjV#DXAr>07^+uE5%dv+P5Dcqf82m-09Mn|nq{c7a=+6zyPZ@XP!}ER`W2hMBG9{R)^l zFM1|uAV#1>__SYA#R&i1iV^;7#9fC!>mo*2{No!%#U24Q)<)EAXAXclr-L>Zh>^o( za{A<>>W+m_BgEVqCL@>2ks7^B2Gj`N)KA|3@uqb5QJq(Y4)Yos{ly4#zsX18=IJ0! zgHjP1!_IU2x|HkZ{pz#ZuT#()5_7op=|0$f3fn@BXgf7>04y*fOs9jKz7U>XAVr`< ztSHe@i9n>wG#S8x64A?>!ken6#yJ#0mma;=_xf4AJi)J#4tjZhA9UjI#r~k>#~uco zh8En*_XKVwD03?#^FTP@3d8}~Cc`ip30y{`doOPa8YNJp&S>absW^s3+{+;J^2`E} z5%lu(_=USi{0Wbo2ur8R9R# z&YpS6J4o*gi4FBszZKGbT}ttB9)OEMndi5 zgrG*!I|H-M?aY@VfXyL=&WlXjsR3f4Ek)*J0bnxfxg1SK@TS^P18S7NGX&B( zHPnmi+T5)tF^f^= zmek}0q4R+4R5C-c&)OJ$}LYWQ%vCNTp7p7B1iP~{VkGGw+Xj0lLZVI=u-mBz*%kL_H$xw*7 zEeWIpv&pc)n+lNb`b=s-4S+YL?m;rrSM~DjSSkWB0^2ERzNutRd`|xRI;*Hh#1HsS zd)wK+uq|%o$Ob}0y-0_pUX_F(T&PzCGN;O(7{F4fQ7m6W4LehV{U2{iA3uKQ2!kb= zHTBZaw(UlWv_Yu|(ljU);RLfVY17cJFGFdV9gA7|(&k&`1GQ>)7IWXn82T8QS#~jW zpmR8SB7oeRe4)lfoHU4>z8G^$BaH&-`jrX7WYlpvQUmq6-kY+GH&y=5;7vtp^lPS} zi_RK8X@AE$)v{E=dqyu$#0YwM<{);^h>}DkxvYco9s5oBC_y#>ZQV)`^Lood3W0lD z6~)j&2ggjIkyg*R144c#wX6q0BTdU+y7(93f}blc6{1V`-ZUh1NshSgNC z{P)Or3Z7E7S_!0Wi}*zQ1I(NQn$j{_&r7a*RU?g};7|M8}@nGK8D zAAcT*ukEv$2^#H`2*d~yG`>@bSnCe!8kUZrNBDY3)YwQ8LA+>WRy1sG>M3)RY1!$f zKyr&vcK8d-yylD7>T_z;@#6g`xsRk{iz+u6P8Cc>BA0`aP^3mVHvNteBPp@hk``9O>}ccNtK_+XB*mHo>ErQ1#lm`T%_ zZ(L3VLWEOeG8t$Qxm?y*P(uxv0W}uhl(GMxfHx&S*>ox&m56gJg)WNO(aVzpmXwMp zbp{l!_ZsFC&whR2;{+WaoZL#aaw|FD8rFsw6muBAqZ9RcF6ly(zq@ zC(75_&em7TrW^=ur8MBnd<#bU`6NA@_`NllFMZblR-h`)fE07yXHH9(ZDd!ev>2t{}n6dCqIUNiZ z3p685p5<9`92KCg4JgR7d%jCz8xQ~j4s<0BifH`}>OmM`NB!Y~SJ43?7zmK89l(;| z9^zWu2yZ4w(=Pu(g!uyNb}j00ux% zhCATT8gDh(DG*JNYQ6sR#GhzMhwg~@mdgOEbNQhG5bA~hC@r4>A=DKkBRhQ1JdxmL z;^87=3WJ-hpEi4dc0{a$;|_?)w9aT-I2 zhk#Q`>F;gnaEDyZf{%+7aj9E_xhl5XM1dp}xeVSfmqSq=4&*Ys1Tuus^sCP%qs16$ zBWC=y0+PuV-h8Mtz+ zx>@#Gke$OtSEQ8ooi&zxLWy`eXuQCPmxIQ4wU7WvNQ3O{4-uyeW5h~w_v^nSsbV39 z(|L?VXu19?=u-kPOCWH$TvpYjEf&&gS(cCaL;8HI`t9vPT49s^_dh@*ffP=cCPl&o zK%VpbKBzv!bha>U6=>x&dzvw#0GvCikbw_CV;JJn6`6n;$bH@zaKZ!UA>y!Zwg7X=0>c9mDW*pO0^DjRL;t7av zo3(5L;K=XTSz|9a69z$B=L|Jv67RSsc&8r4OXGq#;4-2U&J(6`am9Or;|EX-@p8F< zwb7=j>_fa?-zD8lhRfw_w5Cn5pCNdEf6wwNTf^mYIa&Zc{V(C~qb8S6_sC^biZ~Nt zPf!%O?4!M3Do(i6q$ZcsVEh-Ifv`?4&l$@hP&!T1sVI=ZzyJH6%Rg})KA+EViZ|<{ z+&|`z0!cit%aIJHH;gH#5AAAn2E<#lISNRG0CroRVf_&sav%e~3yDsKh%pJCn}!C$ zA})KFmuDo$B52gkb$qs!IRK87n_}7{5f*W-ZwN+wD1xCCaG@d_ggP@@H z_i;Reh^GrffFREd;U3cwxyyZQ*TB!%f)UBL%f&1R$|poI|61asQ^x{fFdev?3< z{w}~3&=9<6MF>Q|*7Oe`V~^y>7?RL$`?3@v0m4WF;)IZJb>uM;0U@s$M3^BGVZvLl z_kP#rDJa7e7+?V)!rF{^9gGuc<_e= zu#9oKT+RTCk)lf>SP0QVczgTj{rz3?UYl|ioq^obwj}ipm~(>AQ_KH%)3IFUz>Kk( zJ+jK>j8sKNgCh)6;2D6c7(_I=9Pv-;#=jxd9R&&QNKG!|3@|sj3~(RDLZuLcFaB9b z#O3X+*j$uhDJX;(g3;`9zP#%_=lm(UTt&kMT_cT=h-wWX!oUEKh~U=Tl_ad7&&?nL z)~qU!Iy|CfmDhWN47^DZRC}2O2@9Br;P~htMbI#Kd^u>mz=)TF##a!3_XLemt^-!_bg>X5rH?B^2o{bQ6N0BXf3cCIg!B1i z79@YaUUSMNd0v&F^Z68~7*qU2OTQ4p6r6d!<16!&^GB%*|0-S-c-hJO~X-{~+ zAEW~d3O<9)|Dd4k5tjwGrZEH}&VU@Lfd~LGN4#;x7q;mzOhpw-o0@z?TnxbwiKYKe z2-6B80C|Ql2VexTW+s%8u2tkLB^@>)Oz;G4nUIJp3ty00RlphX4K##PN#DFxK_b!> zo>3?lP~!|c!E#GKB&l=$?UMf+dQlJ3k^ASfVXD2ppp5`lv@rt93yEk%V8sZuPO^`> zjKMHp@Mho{rz<{sE87Ljf~6!#{nXHw3s){!u&i8Exme?Z>#ufV*WxoiPB;-if=FJ@ zX8>tgt{=7078vdA?ahqm^XYoMZmi7(5NY{8O5M~LktAHNA3xMUy2<71{rLe2DPcw> zR=FH>u7>m*AyE+jj3u=_Z4lPvGOjmIt9BF3E@RDkHpGC*ku(NSNV8y&mb6UM6hmB= z1)o77#$X2N`f+VW5SY#EpSOQNoKNR}-~WADm#cWcdz#-L{s_xJsw3amU#wP{iOsps zYJLaOR$dfDBUE6aBVP?+a5o9cSRBh1I5-=OI1ATq%?trkGlT&MI7ozIn-dUA`ppBY zpWR}JMWp>#n;U%GK||8_a?p5z5ibV~b};^TrIB$20g?M>nQ=N_U?x|Z-{sB_jzJ9m z7c*26$rz)ckB^UvL=?72X))szrx0Vx`Lp`ISPy>89~ELAdCC?mK3}C*%OEK)*LZrf z5PhLgsKw*R%Ph|TQOR?nnT5$h%$|Wethfby02d&1{S<3TufWU|!U#ZqY{};S0Zjy3 z20;n$s|I6+Dc6_YdSv)kOqNpD32%l-%z|n<65a!*gt?Qoiy;6oSl)sW;e;?X4~xT7 zbS;)VNFN*UTg|Eh7Lfh66aeL0#6c_8Fukphogrg9qlu8r{(j+~p%aN?GCUGZ)rq*mhIu<+vw$Y}*W<$53k`kMa z{uSiN!nN4H5K&mRW)Yz}SuEUo17*wsXEFc@&=!fHBRf6@3nV$#L)j&MV(q1G7AoR( zwC9V+?-eS7y9oqGS?m2l2KLX4(}^^!5U*VIzSbYuetZ1Bbe0MPVvG>vo^melq54J= zmU(%9fB*RSz$ei(O=gyI$|>&{v~|dWcNQ7Vd!-1uN;IPxBzae)KF2JO+<_1idTMd+ z0>(x5AdGh)|K4CENsu5&0ji1y$c;u)RF<1@YKTREjiO_gJe?Lb52ALdU)C(3G*kSmZ9!M!yrVnHt}Y^tX$Q^+$pv zTXIRgRYvomg}k7I1Iu0k%a8jui->Ez6FSQ93@>?x8(eK_G?DQr7l*3?7Cjj_VG=as z<7XK2s`_X|0cqK5w47b8RhYJ^QF=gXQ-i#VnMC|p;_np@8r{fcDz>&I1QwZ_wV)$! za(QZrNUOWYhr`EFu5G;jBg7yer|j-7*P4P)qLk9IH!M?5>eToLb-BKw_3#&%ciI%d zy6HtLH`oj!GN7@i1;5lKQjSE>Zi8Zy2!e#Xb*&%((M6#G767Wp2OYTpSi7(S$FY~j z1o9Os;wgH85wB1Y{7;`V#v^i?J*V_>m4yBiXIr}c$giKM0$^r>a?Xv)dNeDA;}#Q~?hZ@lmG<(7jXR zCV6|e27@4Btw_BbxxZ>nCj#yu)=dO$>**PJHR<+r??h24l}4)YzWk#VcGxAY=?pD} zp`=3^;1{ad4r$-H5#QIjk^BKZeS_)32PdX=>9KBwIeruov|p|mc}))pTSZbDI4?=s zMH37Jlm}cAGN1t4H>1O;_;)ydhJzFdz(_L!%6ZT=ITHvLZtRBC&^f+<%&)6G(UIgx zQn?$nmCKUrS8`c|ZE=m9kp{1;@*A!HWnk37gPby;5F);qLMRHDt{@0|d`l*53ypaQ9uGh_~|! z74dS@c!i4iR#7bbGcx+pHM!lAl$MWUxzB+z z&%=rk4^jmkt@P`ceTRS*$tmBisiFf?l+7$7liBA;S z*qu{tDy+!_0X;hY$3(*Vr|||9Amnm)uDDg4VhDlwLLyo4juJ?MPwH~*O8lHCL*OIO zeS@|&P@f+ZIO8I!1Ay95f7Dh`Zi*1#?g6y?&G!Vq;aQ$9dRa!i&hq3vS$Je0aYP)m zBm@AWS(r}o{1z|&gww_11o9L214v4c^wrV%LJT1U&%UJP&|H9Ey{q=3W}8(^+hNp) z?4W_Xk^3Zng)9&Q`^Ub>XHJU;Wv2k|99-D#nXohF6ozDg5y5a;y;wszq3Vu$HnxwF zg$C>w8dnAUbye6U+NLXY=Nn496Xg$>6uyYu6%hmY`fx6{!o6olJBi~AoPg=CmgnPX zX0RRVe!LT=1uAWS*o1YpMe6Gy&)Dwl_7tZ}1F>2YmJuLVty=hj#Z`bK*J z2zIiA94t(SWC+bVa%`ahq1x0SyF(ygA$&6YV5C`y@pL|4-rfkLl>11T1fd6XxkiE{ zkQS$Ky2SH8;q+$H8D{8-dmkDV_?|$)dxtD{+ z%QE8Spz%kjw|qFr(&ZZ4NA$5N`?=qN-7*U{oo>P+Sco-7N z8>#BMeAwdy8aDH2%m|(zj6cN2wVH2IYMmYerqb^2I}mWTkj zt;``(uFbwpi-q}BrmjRhm##yev7vsWuj?0fQ z+`^C;a3V}l9@`3;8AxFh>MOh33Pvo?>T-<)_{xGLNSeiI(gM%U6cAvGT z7dE6ZOq8qf0tk{&s}$M(0RrS5&0Lp#j>1Xs@iD_bu{RtU&RKwsDf_~s2kWMy{8IlV z9yn+merUYt1xCCaG{_F3djM_#K|#mSB_33ye3?PYv(#29&skEK&LAyB&*^!)DFJNB z0`Kk77y~+zuyDbaj21(bl$He?n5a?RjaG4amS@o9sU$R!zOKK}J1>Rs1#P=osg`4)k z?H{t<P5zlHkq&_uwOt%;it$a*c9MIj72;A;u}j^XW7% zOG$r9mjjfO%O#g*RFZODJWLl57N+bD=*BLTY$^gkwg=zgGQkds;K&~*JJJ&e!VbY2 z^-~Zoc;}m=TH$Ba1J(>* z$glnB=A%ViPaVPB>(*q0wKl{94g`F2Uz?|FfRC}S$=J>?;!Fe~IP%lzdFMkB3K9YN z@X%SYUFI1FbVDGyH4u{PBH zUND07QXiQ6j!?J3MZh74kFf!ET-x_S;{tBD)KU?_U=Gj70DoS{MM~Q2cMLaM)hk(& z+#Pq50GEF3=RtK-^+${Jd%q>MrMJ9WO{N?Fhu^EkCZd!Tyw6!vYG4Rric|kNY$15k z6S~}R2&I&a3^7d8ldLReiwWaAd5z!yai=7hM3UOzhZNa*wX&g(6JJ= ztqgw!jq!d7TEKXML^!rn*Mmrejgg2}74XPvN#4b$3T+*&?EV84G?YwN@t~?Mw}tO12v%OL~+Oemd!Q z^(b}LKO`V+Po#Ws{Ev|cWz2#OV@g6Wnnmj?8qCs2mwTGh8kvHCndR(H=yC@n&j^7V z+v5)N8R6G@0*QETAL{6?Zgk>)W#-m#L;QX)?JHEo3ygS$iWoa!JdZsbBM?0NdMu5g z&(H&;-zY9=#|RW~7sD5JMhHOwfzxT)cUmUbNJx@vso}L$Uzaq=M-HumZfi&$DiIHx zIS7Ly&mKC(#(!rMSO<~kHr3ZrI1_?;ME4Rh5D9*kHb)|y09GVoTuet_FeKFVi+i1U6R$;81TFWPp#P z`AB33oB$pm5tTwP0gzJ8dq-~unvwh>iRSJuktAzPAJyd^htN6)@Lrp$>3q^A;(58D zU+HqgBR0g|DwJ%6#&Yz)EaJ#VgM6Lk`8wM36)NJ-+c>kc2qs%b4vO=>fF7LHQsHtFOiU%}GGt~X_juV9qgmxr?%Iv*;4rpUP2c(xI_lx; z`}s*ox8l%;bGM~8ChZad`xM5vpqr`DBnwEEt792phyb=`4(-zR*t3O>^IjH?@;@PY z$4G=9AQ6R>0SwRZqSZW=Lh7wetFNAFMA+x;igfa!I)7^Ljj&y8)nI zx)8JF`723~!vzF{-P-{sASpL8h^IVbC!pJ>M>}G=%PFwP&oP@bATmJm&)C zw>i*621BrNN|HHxfV5m0D5HO8l7SUcbu`Aeq*_mup?+Xdgo ziBK6c+}5U6i2?-w4J3kN@L_Pl*IAyQLoYDmb(SajRf|b~i{K*=DeI5iTv(V+79)4+ zV$~kg9|dHk#!wM1~(D5e-~m*l7=jT{n%2Uv#x$RZx_n@zelOATyG8niP_- zmH5aKV&n+>JLdAu7_qYW#1fa3E9|F>mK`s2O@JGBka3Q^ab9F_{^y z)dj~bz_pRl)#cA{akwCYC!Ch{%|nV25kO|{w9GLbbD8FPKn4Lrm}j-7&CiT~`?rq&hmH!?)&QMVdP@R}#8hmbhy%H7 zn7oYtibT{Sw6kuJhzX!032D?j+B?(}q(xbX-R2i)C5793+^uW zXLUJ}W;K73yde>xh;w;fZe!AwMj;u?`VXSP)1Qt+5PTHgV*6`Ww5+z`aa&%bist4> zfPiK%R?(~B`;5*GVf(LLK1n2B4jL~o;^m<6JRQe+prFC!5RceAk@0leImL_&(;1DF z=ehpN9mzsm*Z3gS6EtY32OQmPCOg^*ZWT{CgNz}RpR0L0Kw46A3139xCkya2TbMvv zJV62kR{X+Kf3m6Eg~YYpXrVkDWG%61m)!zP0D;ri^SoN__0&%Oo4O(b681<&8qVF+ zU{%={uop0)H=-8YvFr_6Wz1zqCEyH55NlhedpXu&+;MDT>O>WWd@GAE=?nS5BS6fk2xVIp|OsdBNp#HNgd2S|T@@P9oQ0rNbc zrpe6Cr;{KEG%GywN&v{O4w~@yj{im$aK?ZfOOu;T)Q1RLFWZC=JZtG+Prxddr{Z~m zI{xe3pT0d^(##4HA?#Cjk5b8*-SIfNv@FXsO$CpTN=2IGj@*}&^t3J~ zY0ZCH^G_vz^W1~v8S-9G&u!Lef2lN^gmnP%xwHiV{5{dGq| zN1{8DArcmvVrr~gM2_6O&(s|n4}%%bo$A=ry@=-bchGnd$%t=8ud_T!O8VVkmjpC~ zCq{V)sLQ23Bm_z-xp{{Gu8^I&%m+mvwNg)W;TJMG)<2$Yfdfmrrc}yR zGaOA!ZrWu$m+OQLEq_b*`|AQh$3sS?NLQCX!=)hw6Cz=(W__Zvazg6iVwd8hcpzc_Mh<(HO)-x*X9{!6~YDPi<R5GJQDVs|5`&2oTUO!{CHDDJs6FwO z$2>el7&p%X^#qOmZ8$g$&P%|*{{FWggr)|m$#8HYNM=quEiD0*ynB4BH#!XM)URg-w$fXD!*6 zusGRqLl7h40ZRdzM!v>ao1{^b{$8+cyx-r`QU4z%e*#ff_S>(;P4>shtq%wK~9uYhB(kq4WN7c$1yX+>j;3-iXB=mr5I2sEjK_- z4MLnVQ3@N1L_jZ43fQ*&1)anbAzY9#6G2UdNIK(0UOG)fYVwMuB1Ea=GkvUcIt-## zoAek0gfFb_zWxp5wGWCS5wnC@Y8g{|smk zPfR5%?cfq2IGP#`snh?)$x0jPCRj3zP)%s8nlk33hN)31)G!d<)qqYT-GqC2dJD^2 znGfE}6M%TqTgEcnO51o=5{~t;T4+PTH?N)0Org$ah?}yJE(xGBPNfFq>KYDHW2lkN z;X)8E3PYCRX|QcBnk1r{GE5CqWBJr2k-wlTCL(VeieV-~3KJ%1I4|Ln#t0{9$jXpk zqL(aRqfUN?`Q^1*kA(Wc{*~v5o3fEEmXPR%(NB%RYp1D!Xp%S$hVtGeeaAVt4Ae{# zVu)dCm>Nr~DHODaG9W7#fyAECfNA&gv|ncpV}uhla#KZaj}RLZt3)#IG}tM0Ps|-R zWm&1$b6`Yju*|l0y3}z2Mp46%gNxBKYz*3r-1}yj8iqKqnwpaM&w++3kTgMWf85VI zL1RLfXA&9V1dRoPBO2@x;hKc(?3k)_59(%Mp=ISnM!Fa_gtOL9gZx5`3D-_X(s{?i z6A^c`#$*mIt10p0Y-SkJPeZ6-SWV%{OHpl$SM8%Q`Cgt@Q(O6f9|WdTm1y}b&)xy? zI-FY3lHr|YrDdfdMojDAawOeg^R=mA2bbVE)vB!kromeh-&Igh8s~$2~$!7KU7Vv zPmTJ%enMhH2p97uY6`_J&-v68fKW5Sr6LxDHu6l^9ZVAMT{W1jouS|J{zxv)H`YjJ zP!-5R@H5FpSO8qdem$NV21(U()d(Vq{lGKTm?cAW7@6*BY6w@L2Jkb~)Y8<*8{R85M+#Sa^u`Zs&nfjP{`W(?9<#N5ydyVWTgOV zx&TzwGWpK$fMul)^uN>L1PvCfU4cwoo?lvxr-nfdnF5eWJy*j~=%$7aJpY>-@31wH zI+X!!weWJ}IT|FdQj^Yk2{J}FL1SUWq>KD&>h-nDNmV{l2R{&&m4*m0Z3Qw@14C+| z8w>|?Ik+q<2Q3)}CtEV?`(26}z$q~<2uFQ*Na@4u)No$HLdFOuXtW1MNZZv;ip5Ew zM5C;Pf~ORPA$v==_es)CtI9Xl`K<_7^_YC9|06!WGQF~}h> zGBHU6rh}30ji6*DR2o9*z=gvi`<$RLaK}R9QB7jt?o*D^1#tLobR?bYxoW{8u@E(^ zri}h{*I>Kz{BJdN9?);ms27!BrAa~!gi^$q`O4PW z27Gq+DXufg3OpjO1XBfKb_r|#|#5eR?ZtPWFuW^hG8|uhyQk9|L?_5ti=+6 zrV~fj7#)S|oN96e$Qh8O9^QE$~uL2p0@iLQiVy!#_26^;Wg zUc$}EjX%#GQKCuWAF!@N@Qh^8z*aaT-@J8AQ~h20X8N# z0vcPb{zxE+j_c_K&@tH@97r}?|BhZrQ4gS6{7|Pa9!5(im)pBweVbbp0EmGr>6FPP z!f4R+DMn5^{&Oz#FsF|?t5*VgQ=b8ek^&XN<-Oz`T|e6j6uYWw3ObC)hY+B%;cYTz z+DHayR5f9ax{#3?kJ5+h??Im<*RteGgc+#QW!s<@>P}4u%>PsGyMd->59AZeMXRVd zFX83~F+Tv1?6XJYCJC9 z?st|98+M7U=Ep#w8sekNn~;gH*g_hQT7>?QMWORROald=-hMvh`@Q@LHb~`%9U>Zf zN$#xCj$FKgj}Q6#i;v1U6HHo#CI!6L`2y8^F5upTg&LE~1KWo9obRGQFPHIwqXDyb zp|cR50ASmG$x7_aDl?3(L$FGzNrj#avp5PXSLQ(B{Nq11(E^4C?Wp&_Ub=51bnO)Noyj*rz8#W9owRU!b}$LV`~8v*PW$QD87KSX08a5=SK z;MXM0;Rza2QzA^kwWH8AMN5yp_~ywH)2!uC5JS?V6IdY00rUTG>jOLXQ;~FSNYDs< z%}x#HCEWZVj0X*<*Md)V&zLJTkwS`B z`RYYJIE=6z+as`jeL#RzUg0;Eh{8744fuT&dd)@v>U*&iZX0+{L>a0JXKybbgDW;6 z!2Oft`(z8DF4oenfdis|%(~$3!;RR$dz6*B?JkNm#waTO1WSa7EW&O0#~{_qWhqe> zKFk#bA6*>UuYnEQ-l~9wBDi$_(Z6;cXe%2=Nt7rbN^hi)8&j^{X;oh@2YbVhI|~ zOSt(#T;@l$21n$oGq4nok!RTU=De4JhN=#-L@-HiWRIPDk5G8LGq3@`M<>ihPs8jA zGFLwUzB+2C6Fjg-0MZ$hWcv&)35MPz4nn66WhHlqz{6t6BjWrLpe%RldjWtqu)YO<|g$*-apSmkxgr3 z+pULRyrBFzBUSn|mr<~@@&l47#775Z+dwkPN^BZTYFCJ|9oZvxOGNOs5(Q-+nye5O zfy=0K88w1SE6+UsBbOhWko@EYBLzrq+{zxG!3S%}o=>r{s2c%oK5YiE%E3z z)eni<1Lf$4KsIAR(!cKD;&(wAa^-_;LgAxZ&2 z^#-XxRPs^ zpD$o&_-r)>Jn|DqUI^9Iff}Jyp%_Cl;c~RB?Yz39j0Qrfi0f_>5V!+qmIjLW)eZh* z9>fusONGm+mfN;R8?Ef>g-o)Q&Y1r@5SZ9@yIfSEuTUlGyW2)f1g>JFb6&!2xwR9k zZdfQkX|vpqk}6f8TZkmrRbZ-LSV*ZgNceXrXlQ(sg_XI9WK|o=3kOMfX0DjMyN@>N zHvkVmwH_^uWW!Z8IDFpx&KXQ9=TIEbKZX)&BtInpc{MWOwY?x)L8H`-t?=yb+Q5=$eUjPuwq(3A&Sm2v0&k7Y^dY9 zB-B~mqsj=KoooE(T#gQ|V5cI1S~vPMvZh^DxA%h3%hIx{07wQy6Yy{V9N*``64BJ7 zn3HokTE2(>RY{7K$$n%PL7a(XXNmmkFZfwe3<45q@|v1-Bj*2hU|j2H7D|LrutZ$Z zNawtSn@uv8t7I9tn(#VC)#j~80@Vh{$|dl3VO}e2i>~>q=_w$0&ZC~x3K>x(LJxt~ za-?XUo<;F{)5F0|0S4c%C=(=FRx1cN_&dt>!4PQJ-3tnvtq2;C2o8zoG#nAz=JzDj z5}$$KvN=#U2;-7#2lc(3%VdM^SMeH=8ae5ku4m2vQy=XFYu6J&b5A+`5$~g>1u`X* z@{Y^Zl@p>fps1&p^*3g#^P(>k7BQbZ8kNW}_TrThKqEJ!K^=oF5%PnG1f;03gmy2y ze|gV0_ljC4$cVoIEL$Nf`;leH=YQg8LISH&3VOXVkQ;XMQW2bLes+RJ&WxK)GRH8; zCnOh?ac~e)I3l?**lVUCPsVVc=a3RnU()%bLiN1Pul+ zV>{U4Gh&k=gi+3+O;)NKRC)la53LsYf{tFAX~NJh?^kGVo8J@MjdZGnN)~=EE4hAU z8{y?#&SfRW*spVz>4IKGH-7x*zlCH)WhPmM>UjK6g^uK|ud8CKDe_BjL+t{NGL({W zVH>xD1|O-hN))=1^~4su%|Ij*n?!wMlw?h?ZQHhOo71-KX-(Ur$lqMr`*eB6OQ40wz1{1S}UU zJ+S{${3L8pGt?=s;#{rYlG|;-Zg0j`+gVr$8o7{0O2_^IxR;hZC74`MuFap z#2~I-K-tVCqdtm|Q0`v5numAY6YUZg$@0F(7^{@nA5;y-qSevM4yaNDQa(s<;%*b& zZ=#KdBMy-WmgJXeEDrti`4%TgcUcJjs4y`7?o<<=o>>bi3NF_MruNDzQW3G~ZW#Tp1Y zfVVt=76Oj@KZ_imrBmH0qyt+#06OF0Rg(Ai^qP;9VsA>#G9CiEB`x_?3g=gQK zmocPJPxqMK$x?}66GE*O#RO|H0}ybv7i9uj>5(gfM2y%zn~7+XdQ)?UL4+zz?~Wqs&>30G)-HJf7seFnw&M82`$p8)=odSq>sCCfsR!o%WRH z6Ahv!;-di7CA98Tl#ZKqo@7Bd^QIB2C;Y-&=Nck#Xiqa+2Ybx~>RnS!E?0oU-8vQy zh!YR>EVQ*OJ{kgoK)eyXx!z+lRXm04ost&f{o9eA0N75pkrRT&{|Zk5bR%=Km@Q(s z`@N0!+)(-fEI7q}1voUifH9Th?j*sEYH|M$MAkKzvER8BLgUfDB|7BKrar#ER+O;n zHE;`J*cP13O<_|W6;P=Hk6($_UnGKFHD0deva_7uTll{(fet9bQm*^cq+Y)D`lD>S zkh%OlO}!B~p2+K6rSgiJa;Btw$tO^QO|G;N+~_jKDI-raaB{pO+2-7!&y2XSB!f7^ z^9CM&-k_km4dlr%>Cg|lgSSZ7S^53FqwvqHjuY|S#U~Rw_v&!6h&rl#5Y~xltE&7r zgW^$6#Nd~q#5-lxT`SDlvT^EG7KDj{ftNiMM)`#UN9RP@U8Kg&PaS`=D8g5usyuW8 zRRnyJC4@(e`5{z-K%sXl&Yg*WdoA3D^LS3!Rd~l&n3PcYi^_q=?jIjSTf{ts+$bm-TinmE_lWV_j5gXT;aaQVbs+bsADHD9*tt^I)-myc^K7v0~ZR6n4{AAGVOT1MXrCRl+b`}DGNBdWS`Eg!#_oxg9} zM4DBgZp#Znl!C}=YfHNwhG!@;*g;Grd!1GdYiXNZ{|G29p7?%-)`e-zRhzeo{QL|ft9?QNZ{O1`>zT4Kwq-u`2iLz1@dfhcwoxu zpT7ZQ#i-8p;+<8GC>*yZ9SHs?^$$0IjJ}b6>_m%S_JIiqB}CjSUU}VVwSOPwx9TRc zj+f_w9pStAsrE6qG>w*&cuXl@~vyn3MxTB8zZX8pyu!`Bz$s9jKN+l0-zO@^VTfav#&1 z8m3XuuAZ2>wz_gfL(w0*QAA^meFqtdb7U7s?9EXp`wAlwyz(&ElcPR8k{Z7Ff}*rf z&j585Y&aGb71}`j04Kh`QSxWczYW>h0nPp2#NZ7CG{gVf^3Z=0;faVic2^sFH1P?O z&~PTa{3I#7W{eU5XK3$I(7KF$L$2=0SPx>Glt|}m7@NHDdWI=nK|aK~IbjRBTp+nb zFC;k6gTsTC8d-XJFjik7^tY_2`dyr+^5!4!M~d@|J-l>)yViu0#G_(gzztiLP|ZKo z&nX*Ixp?~k{(|a$zVm+QF$HaFqT?n2Ys$dTgxeDfOIc!CZcTL@W}zaYWH(_u2x@%bqK%oNXIZsRRlrl)i0WfeT0J0M_-7vcP%yM1Q zjQs=}imO%hlVF%jP!5~)vSZh`U260NDp7iQIUp7^dG&cAS&x^V7Jh0HhmIEBanJ?? zFT~dsKmaB<#}+#|NMjSV6OIe`ORXfYEO$Mk+|~8{Tpy1@tUD8$l+?~I0BNerr*$3I zhgSgReeZsmi4jkVvnUfqNl&GD@h;KO63f#&hG?xgR7UJcLVSI|8Dz zayJ*KXfQlsJYcjkO8|%Iu1j3%exSk zylr#KVtuu91=Nr@&y@5KfUMP&!)9=?W^d8G6atJ%3jnjWIsiP5MQn~mEx8)7D(a)K zRG!w%O$IgAA*(Wz5<@ME|E}7UH2V+K7KG|jxQDPx>Z*zvtFnXtc;}(U#m2=u4&Ysq z-TH)^{}iaJsHeJV%mxW`rZ(lHjI9OlZ{NGq?idnDr z0i&u0I2~LX4?n89O4d;++?4eH8d9Gy+qn3j3YOnV{~2SWGAf5YCa3iuNdN0&p)tbnfEp=v?dt-FPOE6|H+cqomi$ zmb3Q%XYK}^ap8VYfy`y zuW4~Y)XM8k@5k=6yO-6`;J*$_@6!U#+evkh^~op&f$z(cGD~Q1VM~?_wAezx|& z$e&T}#VHBEI?%>KI?x8R`kl?a?*p`ypSi7H6Qf3P)d&25QihM;faDsR5I0N&*F|{z zc4{(Yiosq4!#!2F`~h(Ls7MeUXi;bacBc!OeBNTv`V_?499uHvf_R*$7NcLB5lDu} z0}M~OfgiuS&~G}j1``6FePbdt#HLM{)Ufo~AP`$&xqpSD20~!^*96yie>|Iw%(c0_ z_;LS|{cbitP1@P{70iw)@i zjaN_-Qs;ejbj0#|=Ud^GI2vg2Tqf{n=^sWTYzV0*QJin>OkACG=$5JiZQ(+>{1m6 zi8nG4oWaN7GrlnPL#+kUx-yXZmP+&Zi} z%PQ2gMCKn=t|FyU*ulXF<`QIvtU9J z%45ZfkNDSWw;tN*;>xO%N0Xi~+ACi8!sXF>8$GjUE*Re(-?GFHq8mx39_sD?tOTh> z+K5_=ru%kK2Rj4ND}+fxw6ZWI`7VRyvl-BeWkfd_@G<@cMR(qk2GXI<^^{&sbaDFl zJX{O)TpUq@tVPl4K_I)hLQP`q!$!lTVtPBr}qJ8~^%YfpiGjQm9Iq zCA+Xt=&_Ko@!Qq?0OCFP)0>3sZ~ahD}q!ZWcf+P2;}cWh%C zx|=EPRG-aix2LyxonBozXRN3AIxI|i&E~cQ)utBU*HB5AlYva5V@PDwmMIm7P$p&V0;iyyqGHG#dwF|{Io=;X zp%pkYre@K`)>i`29{wDFE}!}*4RS0nW9N(g^H&^!NWU?hmP3Qe08C}Qqr{y2`rari z@=noeef)C@5%VunB*u_(Mnr(+LO&rf%)wOjrx8dgmx_q2LFn&m`mCw1vYBHiGnJ8% zNA;R+&Oo!lveBS(AAeLSUY0?LtvU98YDTH?2HseBWBlV&y|c0?Z8Kx{B^A}Nes1?U zRRlc>L$*&5p#;;w#0U)ens0-Mlb_g*4}ZRwswB_Iy7R0CO0e6y%I1rZ+=8aSq1MF6 zHCtCk#H`$oFD~1peu&cGy=V$5BPqJ{>@J(hGV95wM>U2Gsv-m33wG|4()N2ht>Rs> zEvlB_b9sA~J{)vcl+snO#tL^hU7vLCYD8vqy_pAFKcj!nIzHKPGq-j+U|*;y`ff6c z0yZ{JV-N-2hm|K3O9Yj>7+)MFOF?Ip`62pfzn3G<$jMH}^w=o5siLk)Ya)i|p>-wN zT3w&N;JpYS>0wm)&AXhtYb1oOn$%2qY881G6YFKmx$xf5rq!fTiByi3qu; zAN|Jl)o{bp4ZqWz59)TmZGn17js3aYWp@X|4JdsfJXgf)`zosWm*R0g5aRc_IT%`f zT)VeUvj!(Kse~b55Y+Z)<|ZgZ7V2`xc~wi6u0W0EBG7oIdV12>%TNmj=|QB<_AMVK zIyAxd_l&0tC{Nh!P+P2B9T}ng;U#b|D}^kHZkA$+*Uy#rm)cnuR537*<3SRB6y|OU zYz>=b&%*;wW4NGv#GT(u??y8*4Vvc?<$3;04o`*r?z6vNpN2#+zeX@AGlDm%%jPFX|P-;k^w|=6yeTp=J zy|Afu)ss7`rv_|OX$NmFxB9EX#ohaw?bx6#Ymn??Qc!6vVE+alruhc{KVaFDbk(*n zJB!$u2!B!F*Jwy8{{(x<`TrF>9Y((>B`EqHb#yx2CSL03HU2lXtEymUX?@k{c7683 zKHWd9k}}M~=UsDFg*Idbqv!I^n0`)8IxL=Vhfc@hLLN;2SiVl<+VUr!t9h_(KKj_Q zY^mrA6uUrCWk$70vb$TeN(V)QSlgve> zm6}nb3TiDgGxLJWeI*^zyb(#2kN2_Z!~={zqO;o5r4?;4{H?RH2thC6(67& zEEq)L+?cVMK5l}twG&0ao$p4|iCyz~q(Sd_Qj+R48fhmENk)Fe(wqv`_92hoD*h&s zi!L+iQYvkQJ-b~ew+Xh!EEIKZeN~OtPU}6brz*zcA#!lz`y9p3yphR|?nc-H12#!4#?dA@*&3Qg0j(OO44G~3S zSv=Rh0Xzj;MD*s&gf+8)18Bq)3&g$j;M5w>Jtj7knvoTx(g4i|(cGl{0dH{*oeK>D z6w8Tyzx-)VSBHxm&yO?9Ud36*ZK9~u!74(gyk?N~OK^#)1Ec@q@_GH|gL91=$D5U4X3T&*K{{ z!~1-=O$%H7Nn8dMF>;0`G)l5kBzQHo`ICu3@0kv!yELBR(5*EEIwzQUz! zRu44*{su_1Bo61g!#{t}Uyz*Bn6Ym%UMmdgTOtu@ToxG-+7^M&AX_$Db zIOzK{XKA00wW4Cl2M75=FNVAO$g1$dkceP30eOHVCgGO3wC}0`X%aE3m1J|m5JI^~ z5N}eI%%t{jB!+4Ae=qz@qV6XuTOdQgxne^?S${aDJb*#q*u=1QG4u|v)8uyJvb!l^ zP&7seXvV8CSiKABfyNsrS+^q>)GUEX1jPllLF_H*MQ%Yi2B!u5I5~95-JTi;C33;X zH!vq)dFnDLDa$4_{0Bq*D88ZkK|jYcygtlQ2rNQwpd!nE?1_kZNqmJyFE1Rp*+4tz zQbem!!;}@@LfZT|I%8&sX!5kvloYuTe=P^sBl;?IlHUT7d#mX@_&a{xWHdNdUu9_< z(x!o`Z*r_4>0 zpNZ9QP-`SfMkTq4Rc^iNLTn%4-Xtp-zO=m>@^GKk=E45YVyH-#gBc2w@r$auHKaiG zH1;>H_s^~27&@JMCliR8gfg|vv`X%JOWCe<$IYk4@7ft@Si-5eSs)vjYXF86QI7G)mfWMT;-}f4d|g{%2gTCqMGO_*v}G>D z>266@gwK-q9e~WwZ=S>;w{dfi{P%|WkvK6rWFq3#K;g8t5fTOHxJ_)IR+-e?AT@e{ zxZO>k&OgkK(ax5&e<%rjfK%`M&DZNUcc|(%@EHk23ZXp3wf|^k<%-OvVW;V2LNn@M zvq%znd*T>tW?~+@JrQ_H9y<|BKEljlb2|whOv#3&^A*SD5N+Nj22Sk6i2XbTbV}Ac z4>NM-(&)YhqOeM^p=OoKVrgwkcK5gh9Zj|QNXKo?_=cZ%`gCN0KkoPaD6J@}f&Ckg zK)Ijoh#~_!2r`jO+rqTx?p#0{8|QFt3M}<-42L6+@>@RTaJKLVj&mcj`cC02c14%Xr zR4#XA(sXx_b)pC?WRmjHp+;Cr1UkSvN#i#rpJXsyqB+_S1K7gw^HN>up6cr~6U205 zwJuxF%8FWDWoK{QWsgRkmnZH;rJ{{Trr6_5Gz}d{U&W-h7V(NMb=WR9Kl454RK=Nx z64r9) z-rMpD+fR*)QFv-Ew>*>M>VXQjl9+Kcemc#$Fp{z%o*1;5w&A~Di;$ex49S^uL^Eb~ z`sB|6W~pv@NT;hc7i;qHak))=YZ`_tq-hQwKl{+O@BDnR7j%%w3{u%||4@tyda+_R zSJwhS**ctyigByFS57!@wyPXB;G9B+YwCRc_s89rJo8aTEYQY=Ek09gK6VisXYyhL`F_eDo zKTDd$1M!@Y1||K5CJhssE)U2Xi0RT64^G>}#=h}g%|V^dp^}6oMj3g}Ht3#8^E<8e zSp)hB!DVeTDHzu=gIgeh{40gKM|!**oh^HIX5jde3M=3B8e3TI+T_0IllU?{UwSKK~A&~k0Pv^qQ z@V!7oq-HB?rCVHyy{;}(cHnu^No~N?Cqr>#oPD1+>u+cVq?G-jzWz)T`RVf={6~h{ zN>Lp1KA#N|H_$r?FsBw8%MzR)4WpY?PlecUpUj4Qsvw#mk_tA7B!At9(KG}DYGZH|dFN9FW z2dADTUT&1kT-9>qn`;|Py7|$xR4)>rZv0np=9LNA5uZ#}F(MTMXS@_(%<3elR$WkJ zjVOJpow%IToV$FfIyoS$@NeRtIz^s}J&#T*i0^2@?KyPhzFj z_oZ}aUZrM{pDi@vo5dARw7nV8()}3Lc;nRc?GY`zh@1}&<)pczOK;c9ba1_b`q)4l zcK6aH7S3fK4DV36mYy;ij~5ZH-(M`550HE^zp)_@U!ZobYTwy=bLr7*vgI}UNG(PW z@&J*d9ZmuZ;d?h48>gD0tmT31zF0Fw?&S#y3L>7~1CD!u)*dQqYqQbX_hnQyS+FV# zO~j6vc2{a|PBYkyh)ipkG}vz;Z!0ulYT77NAS{B6H(L=Avk@{_@QZDLh^+6`fJ6Tv-tI3p73x(fqND*dW)LjeAmrOU{D(grhTRNry}8 zv)+dLsPip2LV5+O7!XvGt5kzPcnXtzgi!kE6t1_30H0oM4_^bU6mJV!R(Vb1Z11;i!ft=; ztnEsy*$%byrrg$2I+#?B{@{C;9;}pHR|DGfCHYHF%lA`Ey=}MmNycRGIA?lkWtilJ zDL5Lme4~XeFJv_5B?Gz#m^fRzp@C?gM}r8Utxl-#oSw(GwSXYX)oQ<}R8hmyIj=`zxxW6L#*exr;Yu<(Ai)&d?RY0{hhuSsCT?mT^6)X-*HNKl1X|M zrH8-Jn929zWjmt9q;h*aAS2X6DA~o?$T=#ICyETBiIDHB$akMRG7~SakDJlO8g_Of zsifPG*rcF8Q=J3L!x&$^8MF&c!6f2*F1%H%`;yKD%ToXH;&{EpF6z&*g{VF_X|x1Z zt@&d5#O;K{u2@Pe+{dCPVQ#({N(#D(2z!tgK5=F2o1G;FO!&3qevx@eIz2b6&k};*} znI^8;i*4v+umOb-M#`y&wg&V|#3kNrSyTm=Z3|?Ny^fnkOUR`V9ja%e3?3pqQ?!H1 zHh{y)3#y0UPeF4Q^|P`UjHkfX-lbi)QKX>Ph7s)FXr|ItV_6By{_3> zyCp;8l}KCL1KbDB%ZX`3Y?gcF?ktzwE;in!Hm7wJYKP6#Qf$24#>Chc>B4!g8u0(*-L}<&Sns>4aeM+%C$*M5_A#ez z&}1K0BHu+vmv6_pR8{}rQ7tL7r1XaxmGw0NoLAkpg*E+5RtuCC7QwB0*)n~o^+Tms zZ&)d#hAUiNUhaq?xhIP9RupIqXx&LeyVh8$%+hK~a*4vz!ox1An=TM*md)Y%5*@!G zra(@ks=#NA+9cZZi)~`3`MCmDcR@HZp-MXi|Rp6hufOe(JHc{c1S#1U1F&`C<;=hgh(U^k(mE5 z;xgnHB8sG#7}`HbZ4h=SG*RtmO66=WuyCBfrBw^zL6_%?FFuXo3u}q*89~XF;ZnQ3$=~ZD1FyS%t zaKLn*7C^Q(9=_cbd7%hLu`6AhE(+KaOh!%zA;U<};*|Ac38%q7?^wTe-)kiity=x; z3Rq)Z5(e<&yo1svoEy%JKme=JL#qqTIiNCcx%V^L%@O`JsUU}7+Eup4fn9x+~pE=-5&GGQIn-O(6Bw+vwASAbol51xlaAv%;K;g^_8B+bDRdQXa?-)zMAL zHhP&WS8&;F_(f9!LcFeup;{&5hSoWgcq{{gkHLkLF)UATe868k8_$EPg>^{=T4^;8qaWCOu0b&q%XZm`3uLJ zF+LZXGk>pMW}%vndk`W$Iq~Rm<|jZYKgH_sH2TfovFkOr|INu2G`}Z}A#R@s8dyRh za`Zgw_WjMhVx}yF2-JCW6PFx{_-~Ps(Yk#q!#h(EBHIN^-w^0NEgbdr-SJ%@B857G zT@z59oVEEGfCq_5m_vW8rpj?%zVI5VFSq&M39o@%Mn1wXFvKLsM}__y97D z5qq`)3rX5HI<6Dpz|f*?B9x1xvs$L{lIuf{x@+cfFVu6#O%w&^m7uAPOc+U|Xdv)Q z^myZl`a%jlU7OAqtvbtuo0jc$7A>KV$1?>m*50^J`@9hX!T1&=QQ+99yWdM&P!j%? z9>nebu(3cfanUG+;8XBMR;Rhkjs*n6naYM~Ln6#Xvxjr%>Bfe^FY(`}#EQ2YYYWrZ zIJ57a5C*}I!fq|U@K=bVB1x4ay{SuTMna{X7;x)`kR>ZlJY|PtW8~~hp{Y$sxCyN| z<_YrO4y{*ZFNT#@`{6}Nw6i8&j@jVjyxO+WEwznR(WVHoO)K?%KIlVTQIz2apg33? z?*>8%M$fFdman;a;=xE;v6*pvQ)kEs#FuFLu?8m_V@00B4~jB=Gu>VS@{YY?UnEF% ze^&fwDFuOjF2_q_d!_J9+LB$Th+>n4J|tfFeY@-p|E|*ig<8Ig3@HlsIG~`|)pgAp zAQPHjert)@px5!Fg#d1iDRUyxL|32~9m8yG$qX{^2XQ5U>W-+HPfm%dI*$#1zKaz` z6u7@f2io_RrOn`JW#(q*i)jA5$CvWZOW-?LJfY=UHqjY*(SnL$Af_&pg>tuaO2&1f zygsY2zNSSd3^Olk(%PgY9vR5Hu1v zR74CV?1vh3Q@@Yj6h||K>?LLb4tc6>*tc1_7Ew_BK`s+O>o7idy%1*pW*Aefa_P~rY&NM$eE@2U#b zBGSZLu?$o|elB?UM?n`6Y%(=&EMi|^#v&q@7xa32eh(3lQ)m3}dTaVwhn3{;wCwFv zB8F4iUCkf;MJYB~a3h)CCliSef#gl&(lv1DFCjFe+$jf+1mGkV#Nz|7d}EqWmtf@J z#O-E#GTClJ7?4+g!}4)O(dqS`fTdfu@{KXq&iU_x9HXK`87&xN9z;V)G1G`}xy%5c z`3LjT5+q_t^eN@kM6YA%se+Nh)Ga7`qwtlgw^(2mrUCaF%UsNmhU{87@}DwjhgMx; z^bZrM4B=gh!ex~vd1yqI}#yL}Zrh{=!{BF$CSU=z;JaP){{PR?qI zv-&ZovEbQSKj2?6lD+x8_q)m!0+2!>BuLO03u)dMh(=F#YH{Lc6L=qn!nXB8%1Dm5nS> z@XG_16(^zCNUgkYZ}nJ6|6CC~xEDpagWd zGA$mhQd-Qz$SL+%W8E=iK$}zeB&zSI-Sb5yTy|6B*qSCCQt&&9c?7ikwE${tE6w{l z^p~CaH__3_RD(wzrl@}Xs0=T8HjeM{&j~Hj0T}1jsE-;D|8qoL>8&pPCDjll9kHq= z9MSJ90^$S07g~lLrJg9k+T;Pqy?IB$@9d^3QkClkXaAgQ+ZnBOJ_eOsmDNlgkkUzV zm8#}KZA9NCQRXy^2j`yQCs(h+c0Ikv_*ps^XV-zn{pFZM#~A{&tqc+=UW=m|X6}=| zcn$oK<<*p}PD4;+i^O18?y{aeSUkfEeahs2M2w9uLaNJys!O9h9(6jxIMPXJXgXEh z4%?w!3qUL<0QHIj9`%aKl+w3r9k1<(h2!miBe|^3RpwKed2c0$vS%W@h{0IzCSt*M*OaMkpVIqIy&q({YsE?>~=z#fCe!(X8t|@OO~T~ z326wZ8Eh1lNKJ8^hUC!M9>=3Eb&2#^)imR>V$4gSH7vUsT;w!-oTq`Q_lM3FwX)I9 z(B2+ta9A(;WPQ&Agypl)k7V$h@CnV@ItwnmQTco7{3FTfr+iGA-orwc$4f!ulwdL8 ztfSAEH$E7!rKbW9U4v+(G`aGuBswC<-^s-9S&lq7Y}gDQt`UJume6fhso-6V9n?+R z&Vx;NMc)PTUZo$&7~MI%RR&e2MZMxBqu)g0B3=nZTP3r7n&d4lJNdAg>7WE#ra|_u zfy;SD=K)US(k#zHF`$d^heI_lSw1(7wImu8UxZfGY#erAaUuzssIWL!h7Dtn|N% z#YtS9KMf_uZi9$CatlZhb7V=8R~JUR1k@EZKvG7fr`=`aMLp4QhUV=ha-Y^Z%^@Dn zFvyPLq11Y=oR>efRPiD-{)Ihd#kkeod~CfZmkcyp3|aPKVZRfqittQS1S{5Feb?C? z{!O99RN0Z{X7w45LeYxiNypyUzNtk8BAw2}p%EMmciFan%{@BLwK3|iCBVkEveM}{ zYJa>Sy?qbLvM6tfF$@uy_CPT}fgrWj@q|T5H~KU)wv2>JyF?P^@TxSTW2R$k6;Gw_ zLKice4$i+g{>Vf$OPJ;}et!__@d*kvr+}S&b;^ATPgDD&pt;q1Jl!z>6UlHOyn-7I zbPM0@nX3?o9mM5m?a%0Zp)a}|#*CBO&4AkcGE0t;Kg8<|oTb_DXJI1^a)J$o)dOXD zr@x6!nP0=GpCUm(Su-~Do049LI8qmRz)|mAME+kjm20J-RI009%J=t7#@iEY4 zf#}e{js|M4zut}SQJOoCQi>KgTZ4v@ZWi@Q!d~^W?C|Y!S`*^h->)DC9^3Z1#tz8J zfFK#)EBIi+efLDix_v;eVo5kjE0TbVK6`Jk&MP>GhhpZ;uJbtyu}zRcKPaDQD(6Be zI4xFiR7|4Ntr_kDWh>Kc>iAEJuqSTouO?^oyiV#7QyP!yK5lL7 zZCce`wVY-fMFSH1VPCJNxsf|JBQB6_ z67NnVUh1}fNFn94^pcmj_T*hfZV=qdakAKKsJ@C{x>edtT^zj57pvdIOXf~w1~rrZ zHlYm_0-B*-ibd1-k%o>lTAA`Wj+F`p1rBY%!Hb*3dNq}a|I&t7l2>Dzh`PY>&_VMQ zWeu)sO|8XF(z>XYrNxehlfu~Ji4j%Jsg2K|W6W+)K7LZbPgFBpWq#Y6q}QW&;?MW) z>1RJZJF}$EA~@RSLA1S$VA@)xyW> zG8d*h)HVvI_>ctzuUtw`h-gp@_=Jm>lgcgao%GW9CYtzg`tJ1?rkHKhQVe!+N1Kh~ z{+$+rDnaANnh;MB_Uzh9OaPOm2fyKrB)VaA-+0^%##r+{aQ4MQ4Y@_F!p|e7l_j}f z(7&!DetI{78^gt}i=0@-1|Y!x4vA$Lp8|U`AYvA2IE3Z20lBD<#A{ z<`arr6O(t{nEc*!aF1J-CWF3K8X#)xI01_$jdrS0?S6lh1#g9hik>fQlG`YuL6|=k zV>=ovkJbYFBvnALh`U=P;x1dM{$%mvq4yea-GU+I{Q6oVJw+2!?EzxI{<|mA{^@+R zUx;Ji>Ax`9_m5Cg0GEsVz;)HG)W_sQNt^5T#jcO(kC*GLF22p>619(RCjX<@@k_g! zmyJYLVggQm4G<~QVrK6r8~#~7SIsmsBqSsQ?PEq(2V1318-OwK;(6j;+*0wC-1Q9J zLkl9VWCHq#z5h#Wy(wSEDJS5VYv*E0$zZx%@Z`h(Kc|XxI;dKrS@)?_nPB~BK%ZCs0#fzSZ3m8v`zaYIsZXd@V*%J3+2OLxr(aGZD zu#xIE=)x?hv>JSqYNAm2ExfTXIhgj33#taOibmp5MqrDvRQ)-}H$v%RBZOkGkSv3ffSEj~=YPGeZB$hj4({ zWJr558pRR3=DLseGkcqi3E7Z`-YGvC#u$e^!KTaLxMU|$VG0c;1A1c`AS~wz?FNrcf zW&(WpbVKyxAuAlzgP2*31I#vX-os|EMIvifZCtyB$#`VC(rnD7j@Pd%%V-g$h)~LA zQTA!$z@zDSU!JJJ!1;meO%Bt}GKGtw067blKd)F>NK;zb16&8>A(Z;WR8Ko3#n#!( z*Z4WGAfv93C@EeSnsT4j$#?F#q9etV8(J9GQ|jG+N*oy7!-xURggL-Ak~v0>VdsFG z)Qs*Wk$7~p*j4q~b6hC9HH{BaMz=5QX_q~)eE9IiIzfH#BCiYI0pRAlo)Ez2GnZmiJjl?6` z7pqa6gpr6W{zcE0=&2(dg#{LXF4O7XfJZP7o=$3Y*}(^AJxorW7YZJKEt~s@vyTEelGW)&2}fpFF8at6kU4h!R>z;x*1p$EHnvo4|s< z8eI$%yJm`UNP?N!Q;#XK+8i+aY_s`H??B2^>b=KSa_I`gwYey!=jZ0E&O;L@U0_WW z+o^cUMH5&J1a=noKgC4AnZMySm9g$fhM8rz)xDa;-6k4utamwS28=2#5_v{4M zr!nl=Y^pIIaal?ogFn)7Y=-%2nX9BP$rN=%qOFY^bH42#G-bCjFGxG(^B%&Tr#G*1 zX?+cBlbHL%ellVSRTv}AfQpZ^68bd?rwG8voorw z-F$?}#xrZwi>6Ph;p$-UjlQC`B~s2xG=xB(eVFHHFfg{lpadVJidAX#9cues1n%lk zkVr_1>3!$fmDWNB343p&~p~9K*?gTjiQnHK8D6C6ttx!Cg+k9(GrE(a# zP#=Bjh*1%1r4gIzrUh|;t&=;NYE}|zVGcQXC(H@LW?haAa17`Jn}}T`D`v84Sl1b z!(+6M0l{y>cr=-tleE$zF}_yOlv}AGal^DUHsq{gQ`fVpNfp9UcX^kvz-ea^vFxD6 zzS6*Er&@)vvc1Ll%XY%ufgp2WHPIN9Scrou$^wIrE2Kwq{3hW~7fYzO>pD;1aTwxX zl3YmIs4y_neiRHrXpACi!#b%=%pO4g3!KuE++F8o_dj?9UPlAsCHgB!y{BY4h(gjE z8(bskbotj0{seIF6*}S3azk#1!L1Qx^Fj+qJ@e7AFxwBrTx3HPrk#>o(&Kl=qBcL| zmYvNThr!LB$C6~GI!~&l$z&~ga+5730YU|#7fOX6*-SY24cKLti{S~`NC%cgj19Jo zoU^R^DBGFY+50QxM$`lo`JwutVa4qki#NW!aUISkF?MrqhffS*M166aZ}aFi(8iUs zD2{7h`^jD^#%g7~OZu(`Z;}4)@zpDgmq2O{)JUp7Y|=C3f^Npi@H@bGVYvk&LW^?x zzT$5@4tRKt|2D+oMKy;*hk+(KN=}3Ft(>Ku;H_HlH3`-g$CCq4+!R@Vdz%Tp|Av@R zK)AHR*Kr0C#AAAD!q+i{8Z_D|maRxROAE$?ZJrc(fE`UOYdF#3HV7`n(mNOT4~^jf ztzpZY_7{Yx@bd8B|4zsj(n*O;TgBUHDAAz2sZpzHtyD<+b7{aek!;aP!S~*3Wo&ll zdcka?acPa=evvaEXS$+dJAW0J2XXA~t#3G9KUkMwAO$Mk@AyG)y+`Se2sz(n-^*;|!-HBp+FxM3GMf z)FPRl6G&abNUev{Fdu_fniAh3qyIzGTZgsvJnh3c!AWq3;7)OOx8m+riffS;x8PP> zin|wgFII}XI|Yin{qp%f@B2@#D>>)PZpL0Ss8S}p&uzG*Sj z(~tlBG&1>JA3JyQI<1WPeo6B@+cuJG34mI2bLJg;4`=W1;v>u z#(LxZUG$OI1K06L}*k%SOH3l~hC_n$&V~?xxW|*}RNQuri*Z)P}$57&GflovXz7hlH{Qn`pC)6~DKo zzXA0bVt+oLS$rWVSDR}0+ftt&r2zSWgpZ3NRTE`W9FFotm$9dU@I0<{n$`Nr4KD$7 zs211I-7KG6(&Nz0L)z{C9K$AC5-I6Ve!SBfL16h*($Vxa55QKp@Sv0P53L`|xY8I- zX_U}2N7@%_2?V+i%>ORS6ues{YiCxcFP^$Wh~cNv`Q<ez6x~|BjZ74CBJTTz@6TC#->p484i%n<^=5Iw&jsV!@S}J38I`jAY%(>(@nME! zT9G-fFTd#6{4agM%*YSo5SH6xZ!UNE#1j@SxWyx7Qd7?}A`mX=uliAT#{J@rhAa`4 z-%)TkpI4|YxV_EDyKm67?N}=o{=sri$##&(X4Ihz{L#F`9JI-C$4lY|0Q0+K$CCdt zVeOQ`HJY=cSKJ5w=@Icw&7YDR);8;;L#fE%>`d?g#LI&hytIuOalik<(QeLx23}Vt z`z^wiy4Lc;b*)VPXWRz+HtHV?`d1psOey*Tr40qXzO{>*t#tjV>fAhU$bHK#)#HeO zxLQYQr(JAzuY7~x##FBn-}`q|XPvm?3J^qL=I4{NkctMp5u|E$TG=mDh4ovrex>~h zAzxUs)>3K_<0~^w8*f!~uyZAlaV#mZF_(Bd_wo8PsUU6WJ5U%Vhpd~;RUCa)kN(pz z`n&GU5Prk{ZZoA{mO>MRi1LeXwf&53nnf8}Jo$5wNUE6Fy9Y(>;?cSLWPpZtJ!z-j zsK(pbv^!!LB7gWom9~;$Rjw2;Bj7%6gk9c~;zg{3|Jv_jd#LIXg+|I0RatZNo;#&V&| zVchTKgzq|I^*Caja+~Brauh4XH7y~@VC zwcm``hJzlph;169ZTXRLy}uj@%623(H6XNbuE=p|C36oyoH8ks$rrYPE`$^Q@Y66kH zw|O}&Co^@NR30+_T{w-c_qW7-nE2C!oCjZibGD925LxM6BV$qZ$LM5_7gim4ys6wTL0?+DyB|+tcQr+PabVPs zw>O-YSrDO}K~wP^KFZL+ND{FSG!Qb)KNcV#4Y~xWz8ftS9RrX64gnTSHyJDsgOV@Z z@A}c}_M+>)t-^Qh_+LHQ=&L@GC&dz&QNopx^s(=&T#7l5mINh6{0&PP5VL z{q624;;{Z!DqGD{_pphvHJ}B}+s0*V8>Y6VD)A$6{xK^j$Us7TUV=(k&C&gumx@B@ z*86@yE}-oT8cY>V+!pyFxqL8SZsBO-wz|WR@6G#t!lw`u+N`dgxrUP)v7tBJ^htK{wzFB5LwlrOO@ZTM;jE-UTEBg4O2q!W-tX_rMEwwUm2oaqR zqY*VeC;!REk#`g{meQeWTTvv!+EcI2fPY_QYxSyWc_gh_wuM3o!GM zUoY%a&jaa9%{v&?FfbAm@~6a-vm|`yH9rI*l=AA%^GSrxsI1JD z%~8ViWdgTrgg48Xo@3JwPSj^biIe5CG&^4pGDFxROfFOQhZ{na)Oerq zqcl-`)3he)0ZDpP>aGiEN(U!PH!r=zrKfO}WNq4Z86=LaC41&7u$FVFX(D7 zJaf=fW|Cd_9X(CPg)AZ9@Ag$Syqu!}cy)c@@OCZsAeW|Xc8wap7e@TE6e%rty#{G$ zCkH=`U1p@D8GZb`PmM|?tSWYxS#=BX_ptVPn4MkMta*+-+PuC}N>2`1DHk{wWUO74 z>dMDL&KqowMkofctp zj~WasNr$-8oA!akSlermfUF21OyNf}9z);K_h`d?I1M8m7TB`SY#E`_f&Uii3 zL;5dpxbKK@(p5C0bZ$UgH6LD;EN)ulQKK0T1YJSXvN^6)lJco|c;Gy)^NqX@HU+TK zH!!Engr=q6&r8bRHb@?4d0^gwWBw4X=cAl;CrJy=4<%3innn;sL#874a91f@cGuy& zy@i|k2|;kD#yg_bxkcSHPGn*P;CaN}m2-$?VJpS!U(=QgferGuE zIJ=$xXEJJ{evh9%C8ho%JJ{&zi41Zb!6=m0k=UXw3dEf z8q@l+S&)c~?9#tsEu&{R3VisGOCQNm9J36eq<&3r@P@INCJFRxsI09qeTAZWrTys| z`=#9dGWOJQLb3!iB*RwSzP^%^{;p=4_M^|E*tkUnKg8>a3>=s}R4wcmt)qEAYu zh4XOuzN6yf5IoP}eKug%3Y$ans}LC{Tl9HVP5;SGXJ)m%+mi-T`hk=`3chla{hmDY zt*pVE-`6#t9E<1!ra{6MC~|=W)-!n$QZ4{V z{Jq}mPO!mUpU7nV%w6;$9UVvsqLGFnl9V@sgPlQ0c15P4l;}mo7UzWJ3mj=h4-KW0 z%cByvK#KgB35zX1aPgWwdpqUFa^iU3+*Mgo`J#E-O@Fb`T)FmZeca?(-@cYCdYtNy z@@>>`^#J5bwn`q%qGykYVgH^mnV{-tqOmZSOm`?^v9OA%fMP*2Ek>mV+;Prlb-~O-mb(rGiFu-q_HG@b4 zQTE$8PK@nWe^}hRuZ+}VWWJjFhEkKmcQHX=@2@4Ckcn<#>why@pqFBZ8ms$Ii!Z?q zVX)|7ap9wGut%y??pZkwH*b2_zb4^$MaD*9i2-dbX^5LlyB|AamScaC4afFHgBA&=Ay6s%p!v zev_2U_CcY04lm_9QsQrS9Plj9v9QE$l%1+LCmUWpp8VU>x=$BO+CCE#6!V8@rfsf% zUnVVG5C7grr>6qmNZTZSV&l8D^Vr6B-j@6?5%RTJXdM+>yLC_Ohuu|n5~qrm~Ri zr3A0-If@Krq@pQ1kaCPqBc@^BU&X{8vwB{4P-tO*R@a?@JJ+CQgOIj@n3s<8*V#o= zzuSw8i=RINPEf3>5SzAZm|J zHu}5NV3?u8`w=_nj;znU!*R3c{WMaJv@6_#S7o;O-23CKaDcYSceRzQU@l4-C-02F zIvu`PM9Q3fkNhtwB<2FnV~sO#P%x&1Nw;##j+BnPSS-iqM*qX@SIb{`ruj3QD zJ=;HjJie@#?hA{l{IdnmZp`&3fZZ{b31@ur>g)Kdm$U5BCbAl!>@fHYn-%O~`f_bL znC^e9`_6GkC)G~j|K9PT#Psb}EKXz`YD7+cgqKtPclz*q?xuprB$*CdepXhzDhCz^ zJw(oST?CprDwN7*@?LxvXZ?n$RK^{{e>GDzQB{~@snCznk6C{CcOA`idk+qf^ibVm zphZ!hs>Gs|l!ZQpdtmw)+?Aw;05duyI484$m%5?cGOJ988`#Fi5hNwgDiN5b;woak z$5Hxx(DL~3a48|M-BOkFJ?f%B36q}1_AMe_g*TBgT5mPW;C)D|6yzcDRZ}-bDmV3z zw$WLf^MCwT0pkx~<0i!Mu>r9n;wi)iLvt~^zUo$koUIWu{HVc%RTMDwF@ex4s&Bkv z-$w4wxvoTEngvhVQs{tX1PJR+aLZv$F^>Tc7r))}QHiVf+sx&Wvu`cN#x)`*EdGUC z_UI;X9kVRDJLd55P#vo1)214^Wx!Yh3cj;g0RHkHwqr;9`bD^SO|{~Mr1Ent@6>vj z*xsk#!S<#;Y~rgMGm&Jwo}PaY+SIK!e&eda+zSQ8DsllZOdPtKb;rd!do(6+ngHiR zL8onjv~Te6(0))jR3R4l`h7GPY&LS}fLaxfuq%wt8YO+Mn}!0$Yw#9|ycoq24u~`A z`Ih&;YCrUt3=|ecEG}gkEWg~A{ec)OdxZt+OC3JQaCi%41?u8N&uDA0F<^1Cl%h4+ zZvw`BG)q#3u?L|j%XoMN1(wtjs*H2~zT=e53=_2k366zFqui(a?CfT>8(KLsWy!Zy zfJr1AwYj8L7rc}hp(JEtsH@ZHn2D|XpO%68@&>N7wIEw-g{g`%Bj5#Y^uGa8(tEK` z!|O32kfxL;=)5cGHyC5}B z5Y!NQp}LC>`zs)nF&ak49TnsF=~7qhK@mUxZDAVtiZZBDj(H3Tvu?Sv4oTp=#IaVt zPNQ_=+rYImamhu}G=n=65s(3UL1nd-P%4$Xs!`clZqJ5e_CEuo*%>UuwF~<4U0PC( zJ%>;|*Q|KQGGRT}90i5jn9aL;(9b95jW@L?|YdSt#;Pe7BE_Gnvo!)@T z-T+4@8+W*0A-|O6HZsduR9Pt^y4Kr6My{(zEohL3Mep@$R3V|h1t5zIQ8C&2ju~RxKw2Lu9n03*; zx>5vjRBtkivs!Baj*X8oB+XFL0(}N!8YwG14B9Fn1KU1Qe-cq}Tek5-%M25pZBd?d zCVKVfH>s8=k-3nvT}azlN=yTajf)It_p_sLf4%8q(N8GCUQKf*pY{J(kx+x{LLOem z1&BoPuN^jIMEI3!wr^&2Tb`B)sBOHF+0*Ak*iB@cs9g;7khVf+4a%MNG_>crj@T0C zHEcnzhhZ~lOS%FX!6?l6gwor3=b5+V+8bA2mni-p`G0OS1#wjA&y;p~_E@4LZ66ry z26QQp1rOZO>1}WB@^N@4z#jdMkfkXEjAQ`ZysCo9SIy9-=0_=nK3O$s9RU9=4YuT% zCX+7b)5s)h5`T!?2$E6qBs|9Wv820QTI+u<*odkBzfs1>B`BY##BweajH*Y(!)YxU zrrC4DMbhR3ilKI&f5;O>I?RWWmjz zUIgDIV7hh9=5!GrLwL%?^Ch-S=4`6Fn zix!Nh-w1z`~NB!_c zHb;&-_J0Z-A^cIzo@Td`alG*=c$%D_ipoNGR^kZuX8A7yMq`)RG@#Xel@g4G#T}AI z4{`vUsZ!8TZs}-%tqAJ)nSVBZpQzmP3=7$ABY@rtw;uJkbsz&FuHaWsO;oUt_F+Ei*$(qVEFI_j5@aj5!_E_ya~1EJ0#@`zgo z8iQnK1A_l{qURKgQj&hq$c|^SrEDFvb6In@k(Pz?n`-$J9ypPA0$)${d$AOuSoKsD zeLB|{ann!|2}mPhgTSarkRVb(T@^MP2GbfA;qy9#0LRQe9r9G3swzJnv5k(Ys7lq1 zFOefR5!(uJVA2PsA``22^TzO>|DSDeM?!Gq5rW$H5imahf|E`P-+)s=Xu~tRRov5j{l6tMH_sIuDK6w8NCl#T zgNdPnkJFRLZ`T$1tBv$eNm2Y$!n%^xqI{C0?*$J&Y+vpsp~UAjY_u`vaoeQuVfTu1 z$zf0fDn07l#_IrSa9MB)h$78Fa*IDh3WS|V6)c`3SJvj|JO+ zII9@VM7)I;n#YY&kK8Vc`~0bsq&&E=)qip~I7dTmVw!h1Rh0#-|gU6DkaeR<*j|pzDNQ>Mhf} zLAt5o)ARXngm(D7;4kJXKLxgQ$Pdb&__XT^l0$zr>PA!HDYuOz9kmQFlFWGzPS=~# z%e88SO6AI2HCYpGYXD19pc`Fg=fM#EAn79**S5mQ|tbie~wVX%>E$HK+oJH=fr6ds4PI*GPq$q%%WJH;CdC{6C0cB0o4jKzgJ~ z*+>hAT`_gc;ijNEvdSQ{e!OeKqP1azouPofwm9-zO0x`x+hce^28dwd2W^%nWp*>NKizp>ZTWf)vWMZ4_vvqm;CK5P$<3z!mieZC%y4I_P=_1p)pq zI%LD!-WNuZ3}yrc5yH3uQ#@Dh=T6)4kUmJ=K?Jm;Q#TP~2$9A^6}dDS*`9m5=f-?p z(I|!RlTs|O+56ONYHSGbVs22cai9!XrPVCg`6}Q7t zE4KU>uDQxidvXw2V|J}EQl%J-Na8)lMrLzTpja8AI-I0`!nWD+7JwM zc|(&lTjaEYb>)Ml!)V-6<;qN~YbiSl!}yAgXP8ETbDnZ~1#YsL<6e8)Q-3&OA02^_DD$^RU9 zD%m(`FvNyBOG(${I{Qa>UxAlD4geE*2T`P?38Hg?$Xam>Q@6)`IjWjSP;`zOk$td$ zX2q`2H2qW`|HuE56#R+mJayG6wL-BXJnlxA;4dmD=pXTO_LG? z>=&@SIGl9lj-01cTuoiRP0rX&X- z84*~LO(ETLXzbtW&$EO1*%?aN5Gl7*KClzeHUYhpNrOg%XzF6GUb=vd;$;#d%9R={{XM&(( z4O!N6|0w3s=Nzx1R6kAN-HuQH$Hx;GjnuaY0gA8TmV+7oer~(UbIRB!;9mJ*i>nyA zc{7>awe35Ij-~WoI&V$z?)BE+r9|+tL=(tVx`<(Bqp3#>v-P0MiGK{l(K4b=ri9|b z9v8bHxlB_EiCQ3F^Mx6p6gQ-PiW)IVGo4bafSiwRuGIUDH-KDU=Juyi#VN%6A_wAq z2eVq$u^Op`1U|GuZEgA}lasXQ(5|6%C0ei#4NuriRX!?c*ST(h0Q* zRAu|d$s+kfhcxm=*r?rmEcQ8?y;#~dNVe4SiKfc5=kB7P?MLb>@?==W@dgabZw}b4 zheZK=1wbSisN^jho9`&^>hd!qy4m+@NVKej%`gQr+sE|eWsLvLd%c`lsaiC1;Z4If zQ~>{{-PwBAd@R?eT6Xp%oZ&FpE@3=T*7?J$(TMXQsDaVb=>MDX(f4dxg|ZGfTDYd9P@tF}wL}3RnnNjsx}mV%=!K;{NqET1h(?a#mtj>*hBObcq`W1g;!ZbCaKW|;yZTICJy6T$Nv9_=S>W2y zE;)B96;Q2wvCpvke45polq+It@wriKH*|2cJo7)M4*bW|b3Gbqd546XEm=|eh2zm$ z0;uvzw|*Em$c3sv1z=7QB&)YD_Uwtk;@Zp)I^%WFJ1jYzQcMdMImMY#RfPgF1{M>E z!jQfz{wmmlTqzc}LZID?rI>VvWD5NO-E={;|FJGo&|Jn4B+V=`xWP>>$u9ggmE=g; znyA_4x8$w6h7(Mx%>#_f%Lz&JU*F8n==E}g3pCNzZ|@cXg_*>XDq95-7d+TR5^HnZ z;?)Rvmoc%N(NVPEP!qdEvniZ@@8wG9_?f>f-o8JUYyZ^j1MZdW6?|YGiHj$M{m*2A zN0Sl@0FX#)yW(Z)(?15pHvrkOI7FzLir{P7L3n%f9Su2Ep$8Ayh(&_OaX!F7vLvDC zl;KqkrB(YxLNDqB;B^>S7?`?=I@x}i7AeUen&Hvm8PJn)LI3~V2(uBdH!1!ZDdjW{ z{PYMgFfbE8=!o-2uS8ovm(;`@VXv#5t|v>5*- z`tJ!drfl=eG+TFfgB7X?RMR&As@>Mr;69_JEu}0rAD%2ilQ7llBYtc{R5wm)Ad``@N^Po#zSnN!KnM_qJDy3PW6fv@xFiT?CLsMtlg~Ctv&di_5AplKS-ITYgYVs`$K(`<+BX;KfQdr z@8heB*V_+BT2Xc;KecP4=1-!TQ}fJBh-_gR5i{X{PQKy_o$%Pxq|;q$<)R~Kvt5*Dg>P%kdm{sr_?^u1%|A`79u+>?%UoLQCTM;Aov>y**z79sya192On#H*Nme&r!0`yMHDe zZ{i~wKu!s%%vv5R`;W(2Np{x9cURx%nRz_mLOr@epz*tiooo;o)nUj zlFFxMWIUeAm+JU(=6jgqrJBtjw6lGF{(iUW91#%#KQcKRqJqe?>KrSppOd;A{8Ba|$E8Dn1~o zqQpwxE1YsNGIkuyBg zL{&bPQm^|)iUx=lE|Cg_6PQp|>PcR1N1SR8swHGbK{ph|pz{wc>Z&7$qi+Yn13_>i z1nfIo5)P~3Et@5Sg9Y~3jw@z^0CGWG#86)wQvN`nYumSP*$p2|^=2uI{*oJiwc#Iz zc~v0x8q4$m6@d6ml^7~ar1+(ofP#F|<+Qf)khO{wgjMZ(R0=Ti z%E~d3kqQb5(@J-*mGj6{so_V&h&~XNfmB3~PPn?BwT5P`u6Kqxp7GWewR zj#{G^H90bAgPTPrG?keJROoA(`;e`mq)gP&lulA-%C$iyeCL{-L# zjTDF%_EBt~{NI6+DyHZI413p}ta3S_K^Q=q4ckZ>##m}u8}qNz)6tLMyfY#lN5q$k z{hD`(5mbC5JE^5}V5`jV8x;jblj`q;DS#7qLNi`f+(L!^i)3{|%U^NpojyO+z^4zG zbjs;27h4i%R)^fSQ(KuJs)w_dO$|-W{6dSNs3!ZxaJW!;^H~Uxh^P(vgG@Ajxnd|H z_;N4#qo{t1Q_kNWm$BaiCd3!R@f9HRAZIiAH2Tte(w4zrVuZ~o&Qo_aj2){Y56uw(drogP!QtHb4LK;`X#zNq{-taPO*nVD5Y(JV4;%hDcV!+%7RgBVd7Hgjji9N%vs1c22)7# z0G)&EuOng=(1}t3GA3u!E|Sa;3ahq-Q;CEJ)LG1TF6F3B?=ivv?@t7^#+A^AwJK-^ z;WoN+1_sQ3P)T7E{73NN!R%DeVPz1b>M+`lhliXh;e*IPs6!bQ0da$1w{#S6hELmI zq`$c#1t|oUm((WN`%_2z`~a31OEzYAcQ;4Uj~@YBy+LQIEv8+*c(QhLWtYGCHUS~< zQK2SqOC4V9F_5*W-d=GAK

O-kwz}H3P%Z&p)Ck(}fWgkn(b(UvXrsb><=RQyf{8 zf}VeGh6qfx+;SDx+(sT2?v=T99Y=mE_ITSW*(idQrPn!IpO+r3Y6}9V_#T-A_+Gqp3Au}Fbjjr+Re~5j zN4@vb>|i-SWkg4s3+?P*Fdsb1^Y@s@%0pN-y`%ic*Qi@@VOQzH%Og(Uf!BV~?hSqs zeZTTobT)zs^!C_m1N4(s*|_&u zKk9Qyf}t2G!CK6;RSH-R*vC$`3|4wG)rKwcqE8Trhkg@**vrKL^gAliPA0avwCCSB zA_2F(>px<(cKH3B7q^S1US}&jA|hm%ZO0{9b2+8~uPGTS8ew-8IZP=#@LtC=GVGdB zf9{}1nxV30XJ%Hq^?;moUyU($eZAM&#UldOc0c_+nl3zJ&XUxDbe(H=J*B$P{9789 zk))OvZlD%pN0v6{A(7)g))H!V&iUfCCD^ozX)AOUTm3UPjJ6+j`npXp?%Aq|VVDs?@J# z{!>F~$#eb=_(uU4s?HB~UXrifYZsWt5AZIlB%Oct8+KO+h10s=(UX4HEb~9&kTjeGS*EQJ)fXgwcwtAh$~4;I@SgR?k?vuaeIWLd zEbU&q$F%K(f+_Mn#C|%~U!sFW*|jB&8iXZfnAY3a$j!4@G&TNPN z&iY`9gvS`$AH-$x3sX5qanfQm^fee5FC2XMBO>>~JMp80VKalGwC_{X3+~_`U;s|+ zA+LGlc#Vi6U;;}_d7>^)0cRp-92Qnhz*Er{=i!(h)YEIf(VfW?)*H)a{1Alfvi=wm zj*)^&29KO{d|tE+>O%)3896ngA>jbXW!bn4yxA=PFnz(W0!P(oFq*E_a501ibkq)2ZYIE-fu7 zB-6zbaUo$EdX`ah{7fJrRlu_$4|utJKJPI##gaUt8&6~ZbO1Nc7TP(}6Y!2q?rk>q zov~jT7BPmH$L@Hh7#hEZV@OxX9QJ&i@4!-ZGHrgU&JTY|oG5^VEMeB9O(vdRl z^~~H9I9MtfLb+U32T0e%#rtUqwDZ&?dpIn%d!6GH_Q`)SxGHZw|8u7Ab$y|miA7xb zM79fM8tyGu`&0*RnohkcJ2U`vojpd`SJBcx0^IZw*VEGa5_h7PuxR)X* zJ|*0JF_5a0_-Wqij^FVu@9J7e@0N7lHo`)Z?dBOmZ73n*jojV&19X=UlQ9Z;C;zpW zsD>jLGyeh<+1%T;XP6&e+#!j1)5JiE7#OefW+1XN=LFp`uf~0z^8N!$I1u}}v$!mZpqzG+K0xl6=50x! zwI1*Ha2rXq@V@7IQ-@jG6`I@|xJI*Kvk5RuloaV{Vlb|8J<1_}{JvAW5IhlIjLZl= z4u=HAxCP5b+}T|Yu%q4(r8HE)4T0-ZYAct;z0*Xdw#JwH3hfjG3~<@tP<}u_yLWwF z*!Gr046zIv{?^YwjR>)c)b+kRgA&n9B`9@A3Ol+Ur ziF%yZ*wRvbQ%i4kd8P1!#|RE@3omYaqaAT!QsV1?w#U6nEe%$lO886+%E+UdSJdy) z7--iDRmcl04+`%H8Y1pCm#WUKDMOfUbN{3r$w3{16oQP^?Iv^m@BPd<0EdypMbgE^ zMN118m(mCi99s}rM>`0R0!7f`F==6UBT>1COC0~E8Voz{c{kApPqfh&sFres94Xd5 zqhf_UppbB%iLLY$G=~c{`1e&TzFSO>f}J*^SKs+yvunc_pK=M*`SY8y=DMuw=<;Yx zu@xgsB01=tAeUh@K_>{|GR>_g`i4KRF!R9N3qdCH-vM0QS%XMA_k9CIv=W3u1O88(1tFSH_n!?&QCx9K0M=t3hXGF~UKIz2Ux z@ReZex?`k(`PT}8f)U!|%KeNrcmTBRRkn!3boj+g^`lB8hx-;9OLta-X8z0@<6R}7 zC1&`@#kP;7H@IiYRExHMBKl?rAu}OBgb+?;FOosK%2GWAz=iX<020<`$HIOU4Ru2b z@=pVEG4hH`eS^=gyRDLlWmU$}SazoZF?OpYo1?WcVvRh z52D#!?$?Xh!*1p@jq1S@*!2UR_=cStS0jeG|4DHO0R8aO)TsUr&MD6?^(oP_Bh6&p zfkp^(v+Ka< zu6rXuJ@8R-37yk6=vaMYZ)!`F61|eMtuLohPXy!Qs(Cr0drF!1$?DV#UzuogY`oiZHob8_OSKg*P z9H_T@AS~=zTh9Ls-@xHnU2Tx@ctAdRB`_5c--%jq_(t~iK&n_mOe?+#dc{}u+1T2+ zuV2FBmZd849W$z7zyK>Qm3rL}CD+`s`?S9X$PFf0uG{nM6s0iWc%5R$uV+A1q)%1D z)FyjKJJJxSCF+y5yGNBARr^^oHHfi{^ZLXn8OU?8!04x-?N{Vv{PKDpwgS%m8aJ4Vi4uV&C+m-zbiyz$<=WpQt+sQ=`;ugNP;HP&)gJndCw{BsWw@%YTq;UXu7s zJwK*#w9jZBa@JS2S9wQ;Y!i)1CRjG^AEY<%L%K>?p2@V0s!C)sx8|HO%?u)#pH+gSV6 zBWbOYrq2~2K*7u3YJsE$v1rF+t}>9WUh+5Kk7&tRc_VX3@c8C+bqt?rNK;VbtR|y} zgBB_trUNAWlD9AGF6|Zm=@Nc(QcVEaA%v%;YgB}e%P13-62!108eV#VrI~uq^r@MV z*0X|&40qN1W)T(btPxIC0dn53;%qafFVU_>v!~so;NZhJH29dK_YhCGPelIlsy};_$;C56BhS}I8y~u6mCk;A7W)P zEXOy^S9mmtTI9|b z7mUeY|9lX>7SV5Aa7z7+^5pMoYheQKQGS9wMfwRO+093s)fHCE5?%YH3C)FPxfLNn z@cM`6I2H&FNja?99*wrZl_u)Mh59e7PV({OnM;X3;(eMAl_cR(S-HOVax-t3f!!#@ zIcVJSp)blo|3x-hP&HQ+;DP3w3b>d#*%lbJ{~+06&_Y@tZ@(;6Bl>n^pnE7+{~XJJ zVnv-SA#*CLA+N{L6ttLt5;@qN3%*iO*5+cRnu!LKeqs63nFN!?L17|dxL&DOXfAKX z?IiuhcPxLND=qe<{UY%Q$FL{du_k-I-__?abio!KZG-{rfShDbfK&}Gn?tDRv-A!^ z=FqBvvo}Me-UVqj)R>u=f|?QIp{c&9jl~z;C(2Ar)-b;$OsS#$kc;8EV05tLi<|YU zGr=(XPn0QNlTeufXj5Gdd1iH=Z>*=+$FIPQ)BKQLWSt=0XC|LIS^sAehC5cSTEnZ#zda#2bd zZf2O@!332J4?M6X^8hV_?6bqSu_1jjuK!r7BqLu$2zB(ik`*a#b{qs8vPTWvT6#Ic zI=`gnk^GJor&T4jzDSSm?(WW(sZ%phva>tCzrEfSquM9EQ7p+?*@;IqAxdF`)Y&&bvBqGJw!`E+E{{)b_^)f03E&iL{*m z=#dF>0AuR9m5|a%WF*+QX`qR@!x^KWCa7FTF>x}LAlTWv)pd7xIM=FlTm9wbWh3DI z_3wOTR#cErrG>PeUBwZh!It(bGL8uU^W7O5b%Ew^uL{WSc+yAp5$X$Nddau6tn7yVtaud?AO8~ZgNMAkr+6m3pQ-Yawprswerr`RP&Fx2EQOjx zVrK^xnl)ZGO)F;4#1A2h_5s4-8WMA&w7wgD`-V+gMJrvDs?0FlW6AFW%6*eU^838Y zg?XrCQ=-BV*2Qn& zQ}KVjt15MlZiB|~Z0%ZkF4BGxXasEHa`N(!?gT3+Gt4DDHYve;vWka2LGZ|!y-9&} z^1Ec`*Dk?j$cbsm`}@0I#xW2WfY~2b-zFC~&YBWh{R$;>js)~iMu=`O6h#2J8>FS<=T_v%^@#jL?v@+n9hK2#W(FEF-r7JorBefuVU~F@!)| ztsM*LfpB!fLAXC4?7#%a2L0z(B;l47vFJBFb?=&qhyG)3w-wDRwVbsN8*AH-vc%c*(l6*`nap;kBq1Z6Q@FJ z(Bg9K*wmy+u8*DO+<&Ni|gy^MWa z^#>a%6yz>zt4%mFJ8M3;xTw2lk^WcHPMbzMl7^3uPe_P_Zm@4>6)asL|0h>ue*JeA zA2T_I5}fB1th@j4KAH<;3J_emF#QcbxA05WTR~1~`&Wx`jgu-~OfE(3QI`qYyo%J4 zZCJPb*zL~ZmvUsACwQ;;tP1` zP?355&W?XUYgI$|P=NW@b|Z8~Y7nk>w_Z<(dL|(?Qkj7gf$Dy{n@U(3T?1pjA+ZbV z`OfT*$w~)~P>UH_$an)6m=z-_h=2B+Arab!%|{eKre>!H*DYr;yyZ`i??u-T#es2oQOrl96av zJh;@D-1o1ttj>|*|FQIyQEh!s*GPci?jF3j75Cuo?k>gM9fG^NySEf6Ufc_m0xfRE z-OHQb|9RKS%7?7v+;ekh=FIH9=NxrcR}N1`MYm*7FCweqmq-X@@MEcNvx(Y3U(n0+ zGP5@44ips%dD_ob=MsLq{qff&Gz#AoQEJnge!!+2ty8QoO`$cwG`&) z1z{{j*^;{2a$7$rXiYxqup?>1wIfRJV*!9UdwnIl$*K8);ML>q-MwggJK~Q=#agOX z9Q9;olH{HVmx8)%iTxY(PjFx`CiyGMQ`dZYksM4tKI5xY6w&sma!SG;86MgwRa^H77Bz2d2va5ei{XC0?wpWl-s-0FpAAj#soi{%aiIcN@cPExOEg~2Hx}_XWev^`gWn>(-p&R) z_DB}sK0okfKT&WVa?Br^hR`tKVY~0&NjK(}7TODb?7(U{qG$g0P)49(`Go3}m%6-e zwkLRxyc9X+LQ!gJBOddCY}H*Rr+J4mTDG&biGlH*3wJZ2Y=~`pzq)sS5}D77;Cf_O zj7fW_`Dr3`#z{{VRBia=rSLSZ-EvzAKMSZ;GA z!4TIIIkI;rT=}MDXNt+$_ce|p-y}Nb$y^#T&zUDXSEi4~=9GDky2;|>oQoAH948x} zBE<=d%ab|i#}bEQo?uNs1K8rpQoDlDvK>)FE1ckl$0~8TXPR{zM4-V}+g1*4$2UMP zH&@a8`1hyo7trObPzx4~q|{wdHm4_}&GgoQxKz8UThdfic&pYxzKeUk4$;SioZqV; zg}l0~8|iUoN#ma$KaH`1`-bu~pPoNhM1t9P6v*|-O?Se<&s8W=b(uJ9DJ8JpS|5hG zxQ7fxYqpcd$K}@Fe;RJScYEmKCE)pk!yK1uHD%A9nYwK-53{=*+u=if$O8~}n*7hhH%aXE zr{x=vM7^*MNlhHT4o8x@vGz{HbMEl5H}w3H@KxH05tm5k_uGr*EExuH;Q5Y-GrUf> zIuxc(BT7=T#>=t$kmC9ECcLc?2hyV!j3g~0ys>6A21Wq*s6W-zz)vn_L6aaCKZOcz z$>%Bsa%fh#no(kob0Ms0jPucVJBn~Li5yiC{0#z43Jq2C8bvGnG7%GE(J)fSO1&Sx z20n2K$GJ+e7<9~Td z$A6tI)#k=%%7YcM`pT@LkBO+UHTqVVRHg}U2cKvfM#I>z@;wyb+VHI_;`fv_e)MS6r%^;ptDjq#zQhFG>MkB_Kt)Vk;Pm= zAWJRsZ}B0O>|SzjVkdD!zQb`(i=tD!{T0jfGv9m9g4feLs>#Kr(24z(UB&<qa{~SU6dU8`WlI7(9$-NojxM1~v{Kr14jLDZO`~M5 zZf^2L0=y4r3%$s9*^`JkLCfARFA007j0KOM-|Ls_I)m%TOhlN)_>iJf@-t1yI6)i@ z2F+Kdh&oNBQ69c0S;UruPu`>+E7Iok4m*0$MVX;d7U>99CF7T8Xr^9Bx2$36D#%UI z0ew3t%cbr%%sNS*N!8a7^jZQazTa9OJz8h!3=S%(bn7z>EXV77mI-+y>r9Ht7Soy? z-QHklM4OfJ2RX-jO+nrl-u*pXg5nign?4doFEtOG#bQI`0wex}N-d_?j4!xSC{muo zi&H{_b>T?aG08~_8zLvKnpD9JP^1l@$im{u+}g(N^=Edy918(qN#<-q_(|P=DY#9> zh)nb)VXI&Stmk@8w$do2gyyg$?og*8Z$5#;Tzop2d9(!i@@co*>$3`RbN_6zsDWME z=yE-yJ)6NSq4^P!7{$MT(WVFNQFGb7!o%z{0su&ZysofScyy$`AQaV@B&pSztX01T z6?O$hPwh)0OMw$f`E+)%-6Nz;Rn@-_Z8YZS1y*!E?W_tE*svyoJJz2f>+hU6{9!aKA3L;}6*ar2j1hdBxP@aQ<;pT&r zJF$)vU?02FyaR?S>|04xBwWgOI~5?Tzxb|_!1)oe8nO@j_J_ohG+(Uvi%O?;)2U@t zos%S}R-#z(?!{G$Z?9r|1kuSq-7IokV~vB7_NdDf@P49*j^yge{s`E5WornSsHjLW z(Uh`j3*Zb_8jR)jX4{YB4dnAwlv+b{@=aH|L8zddv0<8gxDt(1VH#_LW7e5Rfq?}A z!DcvVTNrM$V#metzN!8YA=fdY1bGRRQ@1UcKOvM9woy1}OsxPa4lMa|DfL;udwr;1 z-;iv$A&n+TC@7eV-0hP@4X9Qn91gM2L!z=hQe;tX9G1`eS2?l_7C$n%k%}( z(y3EBg%$Ck5bU<(ci?{tno20YScx-;AT5|KG<0JD-k;3;@#9BnDIHhf^Kmr?wN;c# zplq(R=>NwZVqOcB~g>@e^enK zOZZ5!yIpP#=6{4r85Y?c6L$mQrNjX?#?=W0mSU^~q|VWXNrN1KP_WS;g z9n0faznM+QQ?fis?zh$zamliZ8g3vEEeqK zZK)r3(J$K{Y`{4s=+)ABsn%qoeIp@kM7p@awzS@3mWg81V9NfnEzM9$k6lL3it=CX z2G0MA9V$?6iMj*GxYQ!@R+%%q4F^hPv_sz}HL#A&3P@DaNRhWr#2V%0H;#%TmN>LB&~rl3gaG1umF@ZK8D@-A=U1GF1Pi?-FQKdS?0E~4fIB;G>)WM zWOJY+&5*&*grcFc09leif|UbQcj}rCp=G8ZK3siWS1GndX&ZVh7}G}`$x1MPK6`2` z&sSsxOl(x5@HS#aitCRHRqIdQaIlvVrZhYnBeCvsCKMk(l?A`)okjhsNzEim&8dN4 zFW1-OxIy@7jM%gq4H^zga&y1B@6T0=Lg7)IvNpTEED&_B3IwPLLsM_B&5QyPMgprj zV(Jm#YZ95DXN@@Dj6&uI$SBz*V_D+SWG3^SLZsdHLcK2gm_j5yB~d0q41hp2A=YlA zb#sr`pKj;$kC#|)U{4vCf4>b%+-DJ~gAL%+N0W-O)z6{IHq3^PjM)8+!@a>tnZR}^ zq@k}>IUuMUA>s|WrQJI;sQO5h8O6melkrmzBQ7SXY6EXSD*_2si^O7z3|DG*`qFi- z+MeSiGs*&jEiXSl6NStrN>{5i9wj4BGxJlU!efwNk&iC5612C}QoWmg%|XsBR>=jD zIsM)9v*3aHntw}RBow+2S=ZA+z8iU|gy-3s*JfXknp;6tDK-%aGD9q4!81=Hnl(@K zCx=e*`G@@rcu5+q*1zM)H@dxo94CLRVfs6Xwof2D=!Cvh5tBZ$bBOS+n-63a3NQ)Z zZd%P?tW6}bzxwy-Swwb?v!_au3&c$60f=4mDgP<=*au0Y zX#ThUPS(P*h0EEd7H#|4Mt*jsa*)dT&ayCVF`hzwU_*SMLqZ89gmXA8%|Lv7g!fpEn0R5`;)<5CbLEVxSWwU=n6fpSvT5+?@tC!tP_7`REcIZO*j%u< z#GN!E#}~$L%HBe+2B&~DHwH=*RVaJ1qqsJ5twk47 zbCy@;&8SpT?fm!)d%&MllBfL=&0s>lQ41V7{IqkC+`^%`7(?vt6DiDO{-5>wO=+%0 z88Ko*v3=08!mpsXX<27qy`|)(<};UXcRKu~PeG#bs00rZUXpY7o{;C3{k z1>NS2Zvj4|iQ^L!Vd&2f7yW@x?%EJDn^I>W`A7+vpJD%Xp|^m=t5e}ymaAlDPJZd` zb?sXVY-;_!@tjZa4z4x?t9bh`S=OcaC0qsKIx9eh^nq*`3ZW74LqG%YdYT8sx9DN& zCRBuiBFV6njtXECf<8)RM-!@9snjk{En?Y91LkPly5DFb$S>yfLg*6=B{;t(bFazp z!cy|O70DQH4Ta*$_2Ld3T^s?~H=-?^fFa7zeu(DYOTwq$ZVlG4Wn zfi72aw$V-#*x;2huqaUwZ@B#9meMUrcGT3Gq@2gRSrKr5@Oe<1EsUPs3z|R zoi0A2;)vgyqd|6 zEy@XdzJ8$C&ytB&Gjm_S57gewJ|0BE=ME2A>loY`bnR+DacDACv2Lh_g(DE$LR zHAt-VaN=xp2zTC_tHO8$iau~`9Q{V#8|3^Jy5;_B;fD}RgpJ!v_2-F9cNC9+g>CCg z;FVa)pJ=QfKP6lij!e`9zSPYxrd_Rte80SwY7bcTK8;S-RAD|-WPJ<^RzoEQQo$;EqMLt{{_W%2=hHv@g&QTx2=$3r;go9Q98R>s^iapPFjlI-)g|gv!!hUVZ$;oRyS{;{K0Kz#piZvTEp_5kwR-p=Qc`eYuan*-Yu`)+!8GuTkg1GC1!0F-UsW@4!s6gf zYl&+(_A66JcSd&KXtO|_yc_%Z@E?ELpBU-7b&+yVs*pQ;=6(lFmi)(@f-6gP6o|a*Yf?Uu4^2SIP==uIgSiHwHB5E002xE2;Ah_7P%RBu2Vo&bzjz<4ST#< zg%$ojS&ju8RGhEa^Ktyml5myX_h-$=AZlzDadY|s!c$S(C+Y0(E|XDgq&dztuJ6lt zHT?U1b4y|tmb7Gn;t2Qvj<~S;L4{$Dsl^^bF2cMt5SwW6)k!G43JU`Ndf%Hk~foI%;DjX_shDS zWr85=%{w0y@LPeKEn$@YK(Ozt+lC7>9+vqcZIg*HJI_`f6rcDNL%~zIc>Npk+Kz36{f#p|t=8hh~Q zju8?-Y%kID>%eSZWz3g=b{`XW`9)Ldv$|6&jD;G%geNCkr1~BZV|5*e3pn7(*p+TQ zy0xBmYG1hx0W|QPK!KOA{nv5J>w!U>A)=^Kk&{cc2Biwz9)~DlhA#zkA`BU*_t$OL zJ}joQt*vVMEif?{`p8&X91;=Y`<(Dn(3O`iyyB_bXvyPF<`{~eK()iq4waD-BTq(l z4@_{YDkmy%dI1xGOV__iKRRJ8hR(w+MHhM;Ok;~hS%QRw*0oOR6Yb`hJ}AePVGfa9 z9pt;Nq$B_JZtwI`1)MZxU1x9OI=4q)gd}fF;OqS(<>6rD$F(2r zQgz>JScMFMCDJG?j?!lcxj#fzENc-h-g^?t+@JzFFYl(ACA5yu8y0f ze_S;~QC%Rc7?(cRBgu~i#|St(oG94t?Nf8N&oB3=<%}gP5WIts%M=NFA1FR;0NeYh zJj0kYzv{9F(by)CE6U5a3?IxqzIp@&$Ea~e@`ag(6i(|?{O&=mhUaszQWK$9rMIbf zE^qFYY_~PVr4o;0V!N=E@?i!g&3Rj5eyE5#xM=7#n+`LLS%r)6sls1mg_M)&xT_p796K=OY?W z_@dC8%z1NqqJyA#tccj=2St59N$R|3p);k4QJ#;zjxJ>+_V z0owKh6b3^jHPTzo`9`rkaSk)xl9)G{?J?|RYiXXIx^j0%b?EE5P3w{c$mCG1Xjamv z;v&yci#?&ub^1R*)f7KE^X+m@0peQcKol2_ynLQL?g7|&^_{;7GU6iXaYaD?v=o3X z)}x<{?wRB*iaB?j{M$OG6Y;RrX;DNaCid#Q=R`+)jy zv(QveqUz4A4YoSG8Qyi8wkY?iq9yLf4iQN4IrVMMbYW3UoZ!%LBY%70t5k-fR&taJ z!qLRcfUVDb>v9VOGf?L;uIb1DEedn@lECSgbWfQ;x5!$jII0`HXTf0Z{gTORB%RpJ z+|HQ;1vsb<6LafHZ%w_YogY>4b&Fvyr@{e$lQ1A;*v0nPi9d!9EY->@W9cU9 z$ucsu%~LSwyc~1tB-8qjfnr2WiqVX~ojcM|xnX;*sPfX4AT_qp&2m3b ziUpN3@N0xjPFR>;PuBVuY1r9N2{qAWTCuZ$(F6d z;{L10^-fJWV5dv+s-9Mjn)uczxzGGx$pb~b%FB~1Z3OYRQc(cRt*obvE|$_mnR4IH zO-l(zLJ}NMo60!>J_({gt4ogkbDN6UVk~SDXJII+jz&g*5 za2iT1AB;hOPM1ogaYt{5^?^_83jrpFGkBDah0ID7g2fRWnEPR6$7UEcYt&9y&nba4 zH9PU5*s}x-bWh=qjBeQfJX1152xn}lE;hhd#GdVHkA<$05&K^jRs-!O(I4+lK94_q zbR#+pS0sO@-=O^%zxN=#r#yD+#*;M2NNQ!h-S@&MS~QmU!`~JMBA1~IYm~EX@$8vE zDm&ex>Mw|hQE_*I?K?(|0)AJscB*b zWfA>J2X>J0beG6+HnFP$PMUM6q39rk6MN2`-e#P^_foBc_(My3<;JtIwD=7O&sA{u zJCDlndBVnz#BLFpWI7sRYsiL?Qi0sVrfT(Bbht>sd?TsIJrSJ{=KhZK$mn9oKlpt7 z6uwWAF&H`uVfy++0%=9Td5dQ=(6j6o+8JhY)b~h!1W#hd;1yD`bZ50c3pBbtouR$*0G%2cm_ zJv=t{ca&$oh4x4QUX3yLAWMA zreU?&Gi!%s9w-D)W=EnSU+%}+;zS}N$*~+^{r>v#ee@I1zv})o zOd^z1@&%E&M6bl6xKH#nc9BtWsfnlGR)va2;{jN1ja>EpA@{i)V+*m^c!ai}X9>CP z9

~$Ul9MVYIg|%Y*kimb^I}E*rm|NRM;eT`{yD!x*#Xs!|$c?VRthZ>h0$yDTXi z>iDEc%D2|93!bbp8y{`?^*8;pI-={SQY#YR!eTVo0VOThHvbLBfX%Sz@QBq~9lQnHGNTgq(hs#ufp&W4IL z{IF&ubpwO{ie#f)QbSzbgq*1A;oMFG2li{UMh?5R00G>x)Hge@EOi~m&)R4Q$+cD4 zmwR(l;T?|@&Ax<^i+IXW+h(f51 zPQ10C8iTbOSVw$jY&e|23UyzDRb(6>lT)B%9ae0aUA|am6wzljp~P?<)lc7d5E875 zOncj8@419}gkHSoPG5sxzHXfMBE{TDw+ z`>6GUx&E-X!3IF5s!*iVIPJ2?d#h|6I`{?1vBC7AokuH3Lf{S&24<@!XuY6XZ%1*4 zPe5`*`4dWB^NkSpw8tkRe03NZX$}<|2?j~)+_B7>fc@7Acsp=w@0Yj106&Nb?EaHi#Un1fA}p=_+RrR0v-0VShD)nEsp9atsUH-8J9Zwgfz* z-y+*m0uOemA;hrDKV79Y@3=0mik$)4rVcX{ZRZ%L=9D=4`T<==TJ1XW-Z6e2}MqPKkt{WPK%9!W>raYlx4SQ^72 zP9Ep2a^q4XU_(Kv+d#;xUG# zM}%cl7WlxY_UM{6>WVfN1f#Bhg+G7) zETYtyr`y7Ly?4KR?ldv=J)el72sA5%4aGh0AVgmL8_xEtQi8;9q2LpCoQq*<%^QUP zKXw`+diPVFU(-x1D{+YnO6Oue-3$KTK`e61&)T9QZ@}qTm%!D*&a|Fp%+X}m8jMwQ z)2qab`|I_Kkd4RAyWMX-J}bm98IeLySyYW|M`?y66%aVd0K-W2F=BLwepI-GII|*0 z?j1HyGcADg{^By4R}LO^ACYO-;vk@NuIQy=L%GlW@2^)_p>g-!la}4U>#XYo!)%4h zhwJaZ?*K3=pK(pniuDLRC)m*!BeAi++bol(EL`ZdUJd{$ctX<{&Lq(xFdGURlYZ)s z9^mTDk_n{sZltt(HlvrV^0_Gy>+65O7~LXHvXHZM4{U78#oQQ~+w%6~;Bx*d8!nGjlv|JipZZ-O&1^ zHF7rF7J5N*CY$ju_N|!xSDM^iFgnt13R%uDvq~g91%zkCdPny3-JK7fImCmSzfz^) zhmaen;GS3~yF&RmoXt((Gmjj%SCac=gq?l7T}W~?kK!%$T!oO)rwQEuJjW9g@<{og z>(oBJ5&yI#3}6>r+78LC#EE*{-^-iy5`bZ4f{|-Wxu*&K`g9`X(tqlP_Jww_FZTAd zOZ9=xh}Aq9O!9&)3JH4JA+_!pTkvWQy>W^!ohCoBqUkP)uh^E0;Q8Ufyleu|lQ)Eh z52$NRw3oU#V8e9cq&NB7+K#c;R^BfXUP}GD!WF8X>%D*+1)r+!OYqYo9b67Uh>+4I zt-kJJUi3aLK4FUWIVv>>!w3VRTXcA(?Y4fXhnj;dNriy-j<(_rW`J!Q3#+@8`Mcb| zJf0jcMXt}&9VzzZoeKtUd2bru>_745p5M(K$N~0kge6 zzCCyB!3+tC~WaJE}CI9qN5!|izwhkTb}tfR4a7IQY(NR+d45n z0%Ky!wQFH>bWNUSrVlaN`1&kf_=YXOLG>rUd>DyaV@hOfbpvh)=d?XYOsquJwaHd| zy*fKPL)KyH)^!;^T%wp%$XyC}p6<8I!C!8jZdmEvTUzTwE>C|R2NiK3W~q&m3?9{F zTv3?gSohJ6awHQE##2A#EqdYtARj$R%VqmEfCkoda5#jhZXtw$y9S!fxO>-HU)35( zQ>o+{GYlZP!;vod>AcN1}b4}(4Dlgwz}MUG5x&>x~z$G!khQ5e~TBE zcVetJP`jJWFUV?3AgtP_nu;G&(0Y`!NE>z8AqLA@!-v)>e{SVf!=hSch5gl1*doU4 z*HJ@N0bH9)iWH&$vuU2dAuQ@h2{s=}JGE%7mJi`+F|VN%ik_Z+F?2H}6PsJuCQ7ya!aqRU}^^hZ6(z^`iKLQRKKDR-1B z6Ok4<_G82X&O+ud#S3ordRQxE))=FrY%P%1+~>YBS{g=Y1qW-vm%Oc5HxbbDX=`v~ zSO7b?EWj`!OQZ;x9{Ol}W4F)XH8r3>&mWeIxY0yNgv|?DL)^ah3P|Y1W@G}kknqa6 z@TY-3+EzZi|3m?>qeyE3I1JOd(3FT57~QfY!op2FqZ zCt?0@bV*lc{1zTd`*6gRU5cD4h9R?6 zX;Ip@DTWW&?YKNJ-|WyNQl>QhkU#HK%_8A{!4JpY@AAmrip7R*gX)Ho3fvzQ-T-4x zkm%>gB^v`2eFt3wthM_}MoW$Ri4v0Dh=1@D_@X4ZK6NjcPHBb?Nue`0@8>!`pc=L= zx^Z7-(QFc&+LQLB<~G-4Dxo7f@NkT>%JdK)O)L)6(t+NN_JjJ@d+nfqzz3t6mBbuG zXg~ya)A>j0o9uGO$VCb){nL3c-nph#vNG#y?83t%s%akaa~v+7IYoObjZZxK)8kIm zJ@B|#bY>&M3wjBT`lR=3Dm-3^?tzGYOaaSuK&J$al0linXPM3-+u+zv&nMOcegQ|H zN+3iJ{OjSQFN&A$|Az+26a%Ku?gp+SI3i^ykj-{H5Vpw5+??G**F*`tD|1TCf-}FA znR7|ND{yd#8Jn$bMg zv^4cfOJVg#K6qBKOYP#2l>aWtlzk{_5xG-Up#$eFB3EJ9B)eCaf!)DDB@b8**#Eq- z({1N{DG}1_B8iT}J6p22ZMji745^w?8Q~(4iJXnBt>D9C(f7z}k)Rw$0HgOF+d3PY!dk*wqbxCUMr;(2l3{-f zwA*iN`0qZe#9>6+|7Y8@#1d|rKd2q>(s`rrVxwn0^F4#IXxoZYWSIw%oLaI6o4+J)!z2 z3*otz1RO{|g*5@x3Ln(~e!wsFZ@R19tpebG$uv0bBj)9}LH3W_4@@j*OO>MCS(k~$ z2!f?LlPM%}J_)DbZIc$_@2da#qZ@2RAf9X{# zlDU7QZ?CIisIY;h4eMi+Qqlxvl(f=AV>&*YR`G)jP=mQr$7biWQFg@I9K8|?0xJ)y z#~JCORZ`NwFJM4GE}l+2*y`vrB|$4rL`_2FWB72ppCaiomL;w+;@*y<`RC%G;nrn1 zYMjk<_)B<2tdlZ!q+UD;9st!s_6jdcT+pivfX;;N?spCog}U609loSco7sLB8)-2a z{i}u6+{UsF+;N$#4R6{d2spfZn|we`?c6?d2Erwz!bG$AE0yimPOBYrx7!v2XKkS^+j6Hm=WfII>YMcQ^`eZk8BMv9VTH zc9E0SA%&B7=UE|g>OZF|rOLK#B;`cXV8+L%PIW%tA-UB(WRb%uRltjcFd;4m=7vAn zSf|m>!qWrjJWx{EC@L5u{+gkdfYHrl`~|ZnYeJ_dXY_NKVR{gF^L~3!$PNxB91E(i z93VC{R61GzbCUC9$)JV{ZD5jMo9jxMY8MUM(Bip|_|MPeD@d1Urs<>QGUy-;3KdIe zfsKNh4OEMF@g>8&=_Q!R)0THCCnhZ$1xZXfm%^wy61e^uatD>jq-{7JeA7Y>W;sYS zMOD#TH!}3u0<-Ga@f|T-<6|GSqxDSxe^^OvVv&KaVKC5(H5%0yS?i~9kZRGDm6ynD zePIjKk)tliB*~GW79&Y=(7|a&I`6@j+!DSsYMxVY`NyGnXT;}o3be2Cg?9R$(A_ms zOHI>tH88>MT?dB#?OLf$vjY4#@U+do-)*eXd)hosubJ*s%c zK*S*UlnY(8+SqES2SHaO49x#|ko?c&_QNHbwmvhksYE_wEZzwXJFY8nYLYzhUnF>P znw`dYZ$b&&b#cc490EFp`PJOab5BPq+}_Ko*4z_aWS-`kW6Lv7480TpoPrPj-n9{M zpKd8GUyuu$gF#%yzHi94d*w(Q%B)OLA>#%-oli{xTo1m#Gv=fFEbu7Ey&CsFy!m{nik;DiFh`1 zA=nk{+c3@Qp6+-OcRvaQ6v8?$8fez4!Tht(USnl+qd6OwH|Mz79pX6`5fO#gmMF(? znV`?aWPzmPKO)`BaiMD#tg?B&EvdHkP-zYH$ez+5>3pB$;$o(Btp2)zd@{(ShUi_ywG}nVMdhdf z%@)|^8ovYovjc!qid-in*rKaGd~vJ^IyD~Kjo=ZHAN@i^@;C{ z%CQMgIc#G|8a`SNgoUrc=xd%xvZ+GH0vY4b;PKJ5Ye0)*X44PyfX(D0iIlq+RFo&z zB)BZh6IiTf<64^$7NC+D*1iU|9qU|>9`TZ$A(l-g?lEb;B+VGTCgK-l=!ir9!Q`4M zo9fctc1EiIR~ZA;BW&`uArYDp;j~xwS#?6a`u2{JP$T1R;o`J7WRfZ|Q#P-LgWi_j zokZHy&EuWXC&DG9gnS&W50Va*FSMVpd&6+#Gx_;>n^+d#PJh0?&4s+aot@1HZa8;d zggjnl_rE4jXd%c{$PzFCAAJ&O`E9so~fdiu%Z{7;I#9k0S z&gG?{l@g+N2_yW^4%cu$I58V-Hn6boA&*_V4>RB#bC76h?=Q$BKtILf=)U5+cqSnl zZxE(p_W4o;IMPH!?HllGWZD|)+Z@)AVpEj}E`-)K404Tlw2Rm?v`S7ORk@1ty1MRv z*Z+RMkYgkK@zl^p{Amm_fvM|mdJ}#9=S*H#)n3LWWwXzz-<8fwr$#qv)*+?&+qrt` z7{2LcbYFgUCBqF2eb%PcqWk|>t@QSBsLy}jpj%``aIHUqwoV>TWnXN(mA>n2I`cJ= zYA}ZW?z6Fa)%*Fj(CqB91Pzk#ZHrC;jta5VN9u^vC4I~2)+#AKkc`&z1as!-1O3CXD2D(tK9wE{#_Z_ z?9gaR)1fj{IlP&Ak@%jeMgm@l1SQpTD63qo0qit~mKgsM1O6r6ia#pdy^}!=kyy#V z;knkA@I$^kjL%QQ3EQd4D50JyQ$qJP%u#mr;O_m!lc+@Iq&q%neL9Gmo9T(32k(MB zh-gz;!c-2_*M#GMt~GKM^>h=baG7yf|BAmcqqNq=mHAwFoCiJ3@LN7*my0u27-(%J zVBo=O8;I2k$fR1~fz8AgOvNM0)yPJgZqHol+iH*xBDb zGh~~&H-VNe(Yd2R=FJaVg{b-F{4WhYKMh|tf)1n;6Hky#{M`&gLT*;C+9^Y>8|emW z%-a@Q{LQ|P&z5e_IQ|GttxlRHVKFzP{3_KaZ?V^PG4_xg+;T)dj#iJTbGp`Nf3uax zvjzF)GD8AA@@gjHVYAQt_axENZ%o`~idVHyV!GuCwY3KIOc9^sICv`?rNa3X>BD%x z@G^?fZ@oR-LvX1hwo5-7Qi`yoF&YNyo&EfRm)EeAv{aH{ifY^%a5$eDq3S(-w=<;> zv5Hci)IYz;SKWKMChF^Zd-Z(l!8@6g*S6-uYVJj@shM{oXot`Q^k7C8Ohz_4&=R}5 z%l!3@zAdjP=xQRav+eP!Mh@1xr{mjxotn??PSWip zo*)iA9^UjTy6XM<^CZ(=%@WWyU7MNNhG993aP|GRXZpmYhi*nuuRT_eXVN-o%6Qa` zD_1^tWpc`e+wZFH*XgJGTM!q_k(OrLcPccQd$PCd6H4wovm}<-TKjUP0FF;`Ot|6H zH*D-tTr(!fkXlGFNkOd2B-Cn3pjcYNRhF&@HlhdfOrsTj*)D^q$o;u24Ao?9wXMF$ zd8-q>G3(%2vNTNS$Mjjx=nlElX>1r3OsYiMI-O<*gt75ZG@dC18)w7xzk^EkI)4gq zm@440B<9awa8qeHMQEfqKJ(DVWOsq6wX=0fO{~fvF3FJ+t;`4rXik0<9BM~j7|emIonZpU|EX

|0cD|EORC#bER02q<^6^Ru$dnf=`cXl|bqsTj=76&`u)+Gfq(;51`Squ*YqgGG=5>7kKM!JTqo*#Ag zUwp*$-kdT?5PdcRtgRu6c0^61tURx_L=ucyn+AT*A~9238zV_5@P{}2kWybxmqKtc zm6`s1nMY#~-nC@Vo6+vBy>@Q~ul&ett#@oJ<4pV`s-LH_WG*YEMMGd9f+6foQ= ziZQVYFI`-^%bB$7+M{HVQGb^>Di<|h5sC?^pb!37dPycXs}>K0Am~CoEzIj>4PmnU zYzi-4otIZEZ_B~E&uhqqxXx!i28di#mhxoPcHdVsX)?)Vw6Q3g7T8~EX%`kkjo`Am z|8Lsq7>Ax31p@)#pT~qPp^U~yI7|zi8VlZ~=O-yApDn)!6vwEPxrzSJ+u>oyVP3$} z?p+CPD&W*!%F0eCMJ%9JVcJEYfUzx4=v`-`S@_|XFtJcul_R7v?DW|*;$L^t;8F&k z;;4Z%Q3fS$DBQTfl;y|lN3HlrLV$;p?#kw-$J}K%OQ^PHxu#B4;lyq!j&Z(dG~2T! zZuXc}8lh{#cesF3_Sb&@$I>1p9kwe7w>xbZnGy&4cWhWz&u>gp%)aMs?o;z?97Lr3 z!lG_|*hzK}vg1CCi(d69DjZpUMx}y&Tx{(}uj`>RKlwbI>DJ+;Tzq9Yn#@+sz$7w?3mr~C5 z?R1yN?E681$Hg?0pKU7|al3ABGxsAwKpSCzGdEHh*dFY3%dlJh|C)Nss5qLgZ4`HR z2<|R}YjF3$C4u1X!QCB#yIX+Zfso+t9tiI45L`}^`+3*-{>_@*(^Gq2va5Tht3GSd z zg*P4TWR`{;G&g*3?0gI6yX6*t&wAOP!P{NH=iD=KrZEr75@wB7NR1a1k&J6bmLPLl z($gz{hSML)2@xfNr~IRv$+|ry^FhhnZ*3dto~Ru&FR#Z$1{Lmkz@NIbKyJjTe$^x67jP=d()t z==Xh4YH+^aT9#aIanu5?2jc8MSf zLSTgcfk@pABay}*VSikrVc{;>Sjf6eAq?x6be*?k^iHJn-(mjCO35BGad`6js4kbh>U)y&1uWQKqe;^8v~S1E6gngl8kr2DV?IY zN`TAQZACqfN+@Muv6(7j*ns0h?(Hj-wgAugMhUfDPmYQE&!X^7#-|+Pt=1n;rj~QQ2No}q{Z(Gv##_G;WWsmqGY_Gr z(y^mH4yvr3xRFG!9)QV6oC=PwS>fGwk8c-^cHCmQQV?{kB+LAzN*3~(3g{Kiw|7a5 z?we1~-%(=BR@Cj-6bgtd{(%BhKM7#oC;GLV+LK=)!aE;_h{`Sy3Qa9IkH-aC%hs(i zVUwOlYweqt&6PI4-?60X4c))y@16AMeD8)*e*=?N$)BQp=OSi|N?3~8Z-@Y+%U+Tc zg`mJSRIG+;P?}2NDxk3hiDbY%L(ZsVXeu#&7a3}pP!IK>7tE9mr_gXP$%}-h=RxU}DEK6(2AT~!r(472?FA}Lj zvs5gkI-$LQ2Bl=XSalZ#!OjHmfFG;ma-SQs{o-nU<3>3WYqJJrewO=(hbFY&#$IBX zoa)yy?vDPXDF}~t2s1ii&ef6#VZmoRPMg0f0+%Ryxs`%AbHj(g(~OvzT94XPGn63` z+0Rg;WpVIHT9Aa{jqxd`oJvA zOyOb!k)Ss3CMlP>RT~ha`=}%eY7;`uI1#79x)&n=*+D7ZGU!;1mkn=dsI1TBez4u4 zsn{3tWpLlg<-kVz^2Ox~>6IslcTT!p{Wd7oR-an=dX8H6Q_HmXiJSjl>@97oz_ntF zXB(u-4*shrLvga&#t-`Y@y8PtFJzCe@Pwn|FHi$eCW%dvhv&ip=#_|Desr>kxw+nFPg@m zB|^(F8SJg5Xc}D395#)`f zAo3t-eTOI%EzgVn97^;FSi38Op+(S~%8S^?`4@H-EMw+p*mu-)mp`EB#Mv#2+ppeB zE>}nn8k83uK`rD7HCdWEk5X9tYJs(A@q1=#V%)3MhliVMZrDwM!l019wM(FkS$*7U ziV~&^N>SsIgdFPeQOC2#@*`MYxs;gDOz=i$!ptx2F#Mj+(lIoFTg~#Ix+rCu`*{J9 z*}X?Od4ruk21@GiXHXFTZ22NA^6=Cgcec4J7LjjCgt%22I0rvHBqJ&#;evQFmfi|~ zRUYFEyfAjcJ_s&_JsT-Oz%2ZAB|qnxKiW%BY4W=QU$n)8m7nIj}ci1*L7&X2& z&x%Oxzx(fNn_@NC>`WBiaV+-s>)SwmrL7K?Jb&RVqiKC-#D>S_9pIFh!NF&FiVzA8*E%?pJv;&RCMFJF0>>TSTV(y?6T}Mr$tw z)R~j5=j5xkBKvPjw|{3fr`|m`4*ZPgmQkwItaA3Emd{#e!y8fM<5SPb9;@@bdCKnE zG~>An)84tHfQ3^l=Jj@!PFvZai!V55)mx~uyjiDXzF+s;smM%he^qVa{OR)$Lwqz| zz%iEKx-s1%k}BKv& zXoDOs~(R*3HCy8oc(x;$@4>Zk0?d_MV z(rX^ILv``#g$*?FDP&d?vT^u`yx2V7p4P++#~iiGicA!Km8iie*gGO$aqQzqbm-7; z^l+o)WgkBEr&MU08Vi`oT25$cIwZrVdSPQasNf!A?81d=$Yr_z{7DXlAee13 zm};V?_-_eS>LQJ(ajXH46|QkK+sa&#-@M^9B3GD&kL`YJtN-QVOf2IgQh?~Uw_cBejd;l zDVolRA1wEm&#?4CpK?xCdx-)CNSlf=4Ywc=%?>Pq`ztu})k69Y5PR&jC2l`o_?xO$ zoCK@KDlI6MI5T^S61qNPn?3jigZ5Y*lPfgWQP;88X#RONx|+iLlag<2Y;1HnH}}+Kyyn)& zZDQJLOX;{7(UY;MGrFk^atn5`SHD2C>5*9=N_iog?2|GfV1$KyGCQ*cqID7AZb| z{y_K=6E#X_Tx0P3qcubek4VQ&cx}+?1H2F9%p@kiY=kKOeCa_Z&yDl#r1yVhxSJrIF7ZS(u*>wn$BK)glq&qp zne&EIk(~TQcDK8Q4X+aL745nt49Umat31s4em_1J@dcSc*=9TO8I#!+66dQ_5=?V%R6t1&lGqTSaagI>Mk?qws#wpoY7TD&Y#V7MPztE1$#f`LSNLEAvkFPYez`+&zGFz_mU>kl9a9$^jqb4O z*FJ%NjHcl8W88T~BGM>g5hrv8yZz~KKwoAq;yXFr%SHAv@dYdor$C;$=dN^Q^+MW_OwmXv1_SEq(q5(B z)kZ$^gh{g?e%cV6<}R5kb=~@6by;d(Gws2Ix%N&w%63Yt(h%oFi(-wWT;_KxSN8-( z7i5o!n@TpHr^uCR$gtL*{xW)jX(A136BcpJNz4r<1c~XzS|W7dKPju>pJVE5zol6s zb=2S3hPja{;IbNKifhMk5J1_FI`fpVbkx1VDUc9-6>mkX)_{{w$*FHc$>V7sL6g27 z_ns*-8BmXpkKz_Ii?mj6a2+p`{BR$M%Wb?L%8g$hxvZB^shR9yaCs0g+w!svHeufr zm+o<_R?sWDtA-y!I`t>aWE4|~VKwu$L<({HEp`O!&!JvTmD6SOg>2I=gL4f(-2Z?PP5I>rkP}-im4eS(wguot$Ny<|YnRe8_lj1?VJq%;RhrzS;8BH48!u6r?6 z=t>WAyw_UH2%2bDHt_8<@e3AnV^L!#lg zrvXfXJf%jYm@zXiYt+&2Z=~DNu&5U}knJeM5EIUu$j6=Qcu$Lqn%u|9<)ypbXaX@} zgeDh5KD0&9y3=XANq=+^hCA`&&AqvJ{|0S$uKHcqSyVOgbL-!z{plKIb{W4&!iV}; z`)jj^>}Ar3Q7f*IjenK%^YssjqzafY2Lo}i5mIJ1QV!}n_fBYJ(8PE@|DOc{nQnZU z`ZW3EX$1sALXRoLgswh+NKlr$ zZTrTJCo@4NLSlV6@~W?@wd@Y)w>nF$`m}vnd@Jp z0^tJVeI7*Ll8|g{U(Fu$(C4GZbNsQnbL!w&Y=T>#y;0Ue=~$4YLzw68(tj6U$}h<71Y<0#PQGyrPWnw$;c77St+_9a=sefdYp}n z_T>XQ7d29nTJzb$ij4>@A)%vPw#eh{MwNCPuH9+MDeqj-2EFh8V1}55q&CChkKRtP zPqUKpj)yUWe=;?@`IQVhjf=GWCMkN*P&iiSSwDOlvI^XV8&qc`S7_n6`T0K7`|M-$ z?tBM-Db7|W)@cGbu|nlf{(UnJ4a{w zGx&o}XG5)B{;7{iNZXA~Ely`I_#HntjvBh3=H@(3XuRmDlo}@0l+;nOub^J}!ujIu zV}7X|p-rkRs1c-*a?rQ*xHs6Kl<-OK8KZ7$BZ5b49k+Y}-Pz7z9Taf{*FZTE4mG-T zA}w{#Y@0$cL~Osi`T|H$4iB#zwYZP3qerpy_6P*)he~S<_o@hsSJ<1f{K!t=tZD;xD(D%y+S$6tnsEqVeUIc?e5FZLLKR-l!Osx(OIz zSjIKkQSfwLMaqvOE5Qrw&C4i=-AT zp~8^;PEtoJ9tJ$h*F`Mi`&Yh@&x$q~`@3uxW<3^G_+<;98F}mYz3Zl1b}Rgx~J>Gyq%rnPX3tqkz*&D5n*!H@#|$bJcAt-6ihmpib^yh5(8fsr$16YUxD z_)MnKOsLg3^RZ2?zx@L^O6Mg)zwywv^${>VEUQ{6u0V>of2hJI@v({CRn2NfJrezq zuiF0-e}@@^FE_GLNR84^S3ot|BAV0ZIOAhN>zo|ydgR83Kz%@t2v!hl5HJaSw^Un_ z^+`F`(}aMbs$}~^jF^)g+(}|rkl82)@jS(Ex)mM|VnfU`4Mv4tzBZ#g^CGQo^MH9a z+ucLHH5nftP1Cy0;4S!%e1A*Wsx~oh4Uds$K5-@LF3jMP65`xMlr#vh!;^1Lj#7*F z1xVR_Bc!IrmAx!d^WkI4b{G5p!;&AinY^(=RHW^QpNlpoq=DHp{#Xj(Gv3%&K6RWQ z#(Fc`Gc z1tVxUyZ(5%Am`@Jr2^b7k;HB1JL+yFgd7}Jk^c0_F#YzJ&Mh}G?M4;xqiGP*5ayD* zG7O#C18rPV*@q-<_k3$RtFqmPS%uFK?=ph>I#0*ESY0!1z=>@%0iz#x17j}be|18F zZdYB>p45;N)C{FY*YRgU|L|;g)u2KNKQzO*L8qGvXkWNqs}9q z-?N#vGMFkWflSj!g2k1`ZYWI7F-!~zihlpc9N{Fp>@?WHW zdi_3#=N2CWhzR3y1U_nL4xl&RiW?xa#^Wq@IyiEanSJ)_uHn=Mx5JRp=lh-QQGR4k z+JmJVob?hT{){H`sj`7!pXj58;Y}(UNN)n3aLm<-GeFT4_2ia#PxK(~tExAF=>mlg zH0{_?L6bFH0{3P&DW%YrcjwarY zw)V7~DCX=1F|OJ8J~8g3)51Lc=%6wd9xNOTA_7ztjIN>%EY4K0a<>-_wJD@jVF)!n z5mX4xaRRJsZx?krIg+U{w&Fy!*G!(gru$URX~=2zE3?bj%8?uG75B6vqI$878hFh3! z?7sK8F4}U2n0oC;DIw}6cfki(%<1~g%H18UoD^~P?|V0^mfETdzeFYH%B?@uu%M!$}pPDjYM>(f2zRN2InGxm2)R$a#7R`KF`mZ7pS|Ib?JPE}YiFCdaGws&v^g82{#>qG( zH#%}Rymz0lEzLSC)*{O*hH$=|7pCLIU1o5rJgN?YM#TffPZADgT47ISm(<{#>GFn^$yHiGBH(P)bbNkoskifn}p6 z9un42a@{8G%$FDTpKDST3Z&~nsDq0h20bhW>3QJNAaOy>+EU_YcdsI(m!><78vivHG6CA9DvQgQFI#oCG{Lck@>o}(q$R2h`GCqpPFjekDwG@W=YMk zUFYCeJoUv|;;CC)Q@5n6VnAGLe*>Pj=wkC4J%#J}SR!F7Bd!J{qNo`4mSC zs-dj(!%uw5|@uu zo<>+Q{Vtb|xC&BtA5pRE;hbNkSYHQy61V>boXfCAxi)b75C1fEUrQ{bYF2eBP@@nf zKQY}@nNZX7C4suazRgRLuqf%Ip#Z^HDN+{3q%f_lw)E?J0t(s|$<<^G%xVoAu%6v; zT*zlj%Qi>}HXCo&9~_ON&?CGeO1WM#&#kkz&Z3e`u4LCz)_i=&*t7HuZN0a~1&hT< zF+;PgMSuG=K;TO@7tQn(OO+y6Y-KrEbYy})ds7{1xf4y%6O>=9Lf*3P#pkx#!_G!A z=je;2TU;Kd@93+G?x@W@X^ZbGIzu7%9%d zeFCc`S|)V%<%cxofo_m3G#g4C;SFUoYc&f|V2Kcj_L9}|)#@mQ_penW9JwSb5GY7> zz%RlzRM81B^tcp~jfj(Tbm*q?(e+2p5Gbw-y0uO8eaT;p8@}({yt~ud-SxZ?$GZKd zQg=V9Fm#uD{e#@_gP(EyH#o7QRh#CjBQTg|nrcPJSaH#eTym4mV z2!iC76p)+3uj2^D(loHVWAf`q$3_&F4tmf&O97uYz|KR>m@4F;}M#_ry zJK2$|H@OVM#pY}3*wNspAO%UL&qNm2>T^VAU+>Q^ zQ_D%SPS7OBnC0a|nuDQz9n)xk$>vZ$XmfVoeu`kWyXvY)>OPR|h(OEp`@XiEP=d?R z$ef`U8-aK6B2}(L2=8@k8R)BFl)=r`N9pqZH;R2WROdY-=~=`sNmthE$+8kg1)rSz+YvZ-o+x*v#AONo_tP>e-VP?{(y%~FN| zHp80li}!VUlHq^sxTX3Wtv>LJS1fa-!K-#^MFKwD@8J)mk8#lUms@EgmWkv0yso43 zZ;PNFMkBby;@KEz3HZ>>$$X2Yf$G7hgFP%4cX}!s>$yylO04=O3P+&9oW74Iez}&3 z{5cAl&cT9mD9mq8^7Ubki}gz*gUS1iOVOgAVJIj{a>AD5H4EstlU*tsSL=HMznV>v9gRm((%8UdJ}q8L!*?es<_xpsm;+|6rfHfs z^viH~fTply&ISdrIqQ_eCu6#FhyyN=etEqI zEJFO&3@Iq}epq%HU7i@nbYq1ITc;}}*Pi-HEfe_R+&6MN&>D3ou+7No3PQHGipZ8J zj*3X}G@l`$pZxmaC}BLs=8A!~BzOhCiAB6CS!MW)^)fy1WKt%XFy0Y@!N%0_uy|DI zxr=E)>)P+;A+i~;PR{14=X%H+FXNa5Z9U!sJJVpPZ#3WfIL+I+X20(k~@ajmPR7ju*B{d2x{0gstUf-{I0|<5z3=mf#FLOsIq!4@@BaRhJ{^N1R5c9V9=L z-jW>j9`reV3+yW!KN-J2)lxIZ%CAr!4#*nMgiDW(iitrZA|^6TET{Mix0_s$S}9=K zNyuVm{zHn;Sn0kafa9!U<4;qT7QvGZDZhwIn>L6t~4tV$N>;t+r#$FhS?wixSLIp$Y zdMD%9jX9qCs4$OypDDj?CR9HpsWvwK5mEB{yLIP;5Mk7F9-^xodd~4;qG{ArZ2DPj)^v6(p3$ODJjTY*c6%#xOY`tv!a z9ujVe%O!Hunx{W@E2FiqtZwRt^v%1*0BCj>J)N7>bnOA*V6p<#N4}t~r|gZQw0AW) z9TT^EV_v68As4FRi@%^yjl@Xgx9K7APkE=$f_=F(&Yi-1pO#mAcbYs5+;API+Bjme zr*cL87*F@8QCU5J$L=#D8@Z|35_XN?$r8uma5tZPy)g$u^Xs-i=WwhBYj+JK|9#({ z42$MP6F<`vzyD1qs$7j|lGPQfSwb~--ae0+Ete55RtO5SF{@;Kz7QHcwuv&KXoI8L zS3DxQPpOP*<^=g1sIlT$x*e~OAbAuEyUSZL&w%JsVX0;^>> zJDU={8n<1_Sg-Gf_>F$7*0c{_1&zQI;_>*Rv=UR3q3erO+)gHY2X{_ZCV+ny7{D@5ctYfL(>AJVd^^#>KUKNd*tz+TGV@!1xKdbyA z5syWS>k%h@iw<<~k*p$g9SW-CP6TF=iFWmR3)gn;FE!~{L$A9*L>r868k#jh!~z=#cid?54j(B5z31m3wqYN zI-?9T&HY~b8`}(9Cpwek`s5BS8K!J3cR$k2(#$sO7S-FT)yL5(>sko~s#M+_Hm^6k z(1^&I&c&fh{zV<-_-={cB>b~;+h@7wC3r247(2R~ShU<+-g#2qjmjCrSW64mNmnd8 zyRy8ZG6H{`n%j3xLP?rT*9(RUrc8L4}b1cD!(c(-1bn?>yZh#WqJf;ua< zXbnC*q4}{=Sm{UKB&`QzKsa)pQICs#`zew2wRrn-HXSA$!pQy)Qwt1z8cFdwh}QlK za*NQit73{1WF{>Qv(uXjj9C& zn1}fr$zA-cs&JF0o7{&OnG75kxuR6NexXHbS0$7o2sZLJ&j~RYYK-F7mx{8hxlJeZ zj$xs@qk+G?{v!25jg%tfuqm0X2K|){GE#iFcdgJG7#tg5>%7e3+v!=L$?EoM7PDxg z%j7N{!n4MN2fMk6)4pt)jxKjUvU6o=_%Su-W57{*?LPmw5WX{$eubvZdD=j+PrRv4 zZDjR6`d(D~je2M^Duic^%uXqYaa~el1mqJo zJ^x_2SyU=0Lsur^jMiZ4;`us5eSOdbLm;#Y0q!H?`YmNY{GL3CaS~uXTYk#+A`IKV zk@1H+z0_q7$tv2^HCjqK3GW*xDTO2wtoq#EA^$wbEzHO(+ohktu)*+CAe+%}W3^g| zt7$;HJ@|v=W=%~yg}9F6N+2R|RTYdLs$3=3)pE#`X4kTPS6sCrky3d&`ypPTDrm%N zvWqBUDs#P_%4G=?gPgq6T75$El~@FP=o3;-U>gjPM*lZM2!-l+vJo8quBFpgDjxS}LUP~kD(Hs)^f!A?}DWvA{zBD^F>*fpG;rR~z zmM_d-=&H`p)=u?-;rHJ@2Gedt{Swa*RfWr*Qr)eHYdM#nBpwnb{7D^~Pch7A6B^A6 zwF;6Xykd5J$u`BJ2k*iV$*JWUNqK5mYHgZbKP8M46mSl!PC~vbLy5O>1g@e&L2de5 zV@EL{zW0+vA!C?k#UV`ryN+a{Jq*y6T>oZLz_lgE&Vdc^JguyB1idZOYL3pr)8iZy za0%8xLGd?+t`qw8veNg}8mm z^cJb{A^bC?+FdRA(b2AfKRzdR+fVmoAkVMCE;?fW_{oG>kgSJX+_x8fz2U2}GVk6N z4LEGlG zvL-*ypZR$cN@4kD7kRl^ko0m(>!yD=+54C=v3xz8HbUbS(Z66T&>8{?3O|aTqHZ9V*b<4 z4s5Oe*~>g1YO8rd3+|veqn$+pqc4rTX2JV73@Bm02jortYM5Mg6*|ogRS*(U~N4i6P-EDKfaKlxL+Eije*vt*G| z?eA_K4JuhEIPciMObro|Py|C!O-$xBr=3mu;w)wR78mMs3n@dv?(ZIW1v@#%^gs{_ zO!vx(OiIRc}Gu*ggG74Q2?jPqm zd^o~OLv+{;mL?tt`;lZvC+@SowQV-0erR}^5$XSVDT(oa^Czi=kEvPKbj1U#gw?fOvbkC|eXZ3bdE?27^konQ7LHW>B9XfAVEzE_JfJI8wR zsc9|msO`=K$Pj(~ix=l+QSI_%yH`0A%3+Td#etJDIeW_ky-tLo7gX_wjroqhtgUSumbK$Z7IG(a&*cc09oO!f}4RKeLDm2eqBxH zi-8QgpAb-|Db^NmQNDQZ(9o8NA#GVb*)Av>euVS*%wiWA2{#Y<4V~zNW$o60R8|ul z-6tJiL5=3Wy9n1Zl6pZ)r4?P(?=C|ABt$YjO%TPiYO9GW=8IPIt!HpJL^1a29-4{L zJac%eC2FFSDJ_Yn;voFFI>8IevXg<gibeDLm-_o)<)JL<#n+z(AJdO)C{|vf3Wi z)Z0hm8rg=;Ec|3Y|4!`-w(%JP;mBU1Yyt|tV(hu`Hbqf?Zb3-QE?Bx^Hmk7z`V=v| zHOa}D0K`{r^Gbav0Y?_o5`t1Skd9=aC<+JfX4s7o*bYH>Nk|@SinNW61|AHsF)#y` zpd%z9PulZ~Pq<9BC>5#!ll~wF?bw>FF4HHaGVxI^mnI&YCH%29(bBg0CPv}}>@cn? zS6;OJtxK0Ea&P1}L5rm8*dLCEY#)50d6LN~!dz|;E`bD1PHYYDUfZvdiKkC>yF93% z_jHY5={x2wQ;D@`;vhF=i2@qF#bes>tdft;*P)NF^}|#wo^vUogiJ&2w?|yx zI=otthg(kZ&1P7aO2uT>Ejas)aI9hyT|+SIRA(O>`@XCbejRX6=ug)lH9#zGg^ps~%?FiID56jb2hOc{?mwKDd; zia?3W+eoqHIMg_5AhC2guk+Bb=xa<08tG^?;h3!A`0L;xlGr@+Rgu`zU17F%v#@wr z+TbUR$YS2ng)f`Rv?=Q5YZp5SHyIrCR~8a}ZDDVn?D0z6!<5$>QJc?0FZHOj@-Sqy zXcZi6!CMQDK_tmjk1Z}!L${amymsF1(|5{FM&drXq8(&cGRiDP)P;abu|gFO!&R0D z+fhSI`UD9Y-;D8%u)Iq&!0a1jasXU-jl385X&kYbVh>jp`TfxPO`nk2cK zXFqyC_Ar=3T_la~g7Heg;bBbW2Vm!t=eyskIIf`wgHa7QIqQw`7&dXrjnm?xjs?T*~*bR_gaYKy|e6Af7MM4(x~OARaq>(?axMrW-cBvq6{ zELj-{%aG=QL~15m#ZxGqmbIeOH16lzHo;v6EmdzH9BY*loykg?h~hylLciGK&rZ-_ z=I(c@4IN~)$lz5CvY6TRSg**<;y(!z7@-+P{$8edJ6?aVwvHJyGK%n*SHr(Au6Tzh z)FlwSixu9(-GnEeZ+RPbD{JHMJzg1j)mm zn>#Shr1Pc_Q%|-)4=1-(M4I+;m{NjD>`NXJG9naZQj3vOD(}tE*a!#S|BxCAUmg1} znl!u0Tp}mHDBdSaqh5nO;}O}Kg^f-|TAEQJ6B~kJYpQ1WsDnBJZ zr4h^akbEII#Yt}mkq0+-;ZJn4P+PvSI}s{Q$L*1F9`z^I_PycK-vj!&tCz>CmsJ*E zPwcEH^gP6XW+7aI6Wf@6fwXs4G(xS#>(dqqEepvJ8@2 zhctBKv=c=w!^#;A#&m^{!{Sj$P_$N%mVi2fO7Dz3A8T)E{v+-*_r6=Z>>Y(AjU`QW z2;HXD4lu-Xqk>a>TlpQ`ymN_3`AK;Sk`UL%OW*~MnOQAEEd!5c9{rsnM0NJ-1CC`$ z0$Sr2;JkW-MquflVIy!EW=bw%f}|@fes#!c8W5Qtjy5)HnbBS@)yPG)Jw)j~)o|>> zzK}NI4{`kxQPMblYtU6%g+h@tjBRU8EeV2-!}+v}eKGlb=vVOgS6I82fvLw5;UGrZ ze6{ToB@v|>rh4|dJ5gK@5f(oyD1`%^f&?@$HF*?576g1-d$?A2S)3IOG5#m85!e<< zywwEpJq+*}AN1a3#%PAg)v=M5hWS8Qn9Y5$3(&qLKP}5;6eG}Hmmg`QxT5V+W~~J54|I@ zaB(Z`)ms$TZ&Y=o@ zBjf3H9e^kWNueQu43ZdAsO#ICjnl&cg1*www((+;$Uu#ba{w^0bO;BT zJ<2BZb1SuSfg>ORpqXx_}enDlT9Q1F-dt1p?}_{|}sqQ~-_tX3xEH$uwm5Vr5>jg`iPrF4~sV19{EV~S^P5oL1gz?hx_c+LdfzGux9;`+br zLZ%iX6O^&UfVdG@uok3|`zE@W0IJYNtk#bdB>xX!##RXb262iXhchit5By|T6;p&c zO>s3p++Zh300}(PB*qlmZ~AZaK}!GCJ_Pfhf{0N6MgNbS|FYS!1*8XJ`}Pl%9FhO9 zXAjc4Y5xYK0aW}=x5X)1a9Q&14>8hi3&}w6MJLtQ1q)KI;xRN~9Q%ScSnGH9a4iTl zuS3YFQp;c*5>&Yh$R~rzrsNb~TtKC_=hmPaCD_=kTLkfpFJ2|~6V9@fDElo;yTjQ~(mj>(wIlE$^e z7RfeBNlaE7+W1X_fW(Ru00bU#nE+gZ66{6(9n4T1{8r2VoZt;&;9Z7DfQgqx|5N7V zQ7uD~F;~=oaNWuvy#w<8C40+M91NHiAa>`n8kQ))K;#Ju)`NhzN&t0vQns@^Oa219 zY&b`xTqx9)O&YozVXxHEYy+@DBGi={fDUi0s*2fp(;`PP*b|A0n4#AwXB(gAtQ;6eY_RrTv*$|b9Jcn7tj)DfFR(~tT6vF zcQrs*1!M%&5RoeXu>>&ZHxF6GzHl_KB-MiJFHKS+L^XzS?RXOiaOUDGNg<%QK=PPz z_0GT5Rt5>E4#P4u@GWF`21Z~lxP@eZiZDRzU>R`lsg@tW2<0S|&;F?k2x7m5WGRF& z9RL7+`z}u&r8b6FlnFo{lQsC7W92G<`T~LnQfmEZ_2$sTnA66#-toskVca@uK?^uB zVF|2y6vZixAWpjfnKi(u|7bZ?-pI9}(a4#fGN|D=CBN@?E6QZG0}qS;M(8){R3q3j z>RDNqxPbFhq~E3l)R>-i|5OO1l0ugirA73g8=c--FM(y@S8Dz*7t1%5HBRg2&iv=t z|9_U2D2P&dzDmIWB>?anr{x4D<=fDFCgz4AveZ4}u(9l*TR;BSFsJye0U95=t-lc; zpar2{1h^nYSLZ*>O`?EP9Qti2lfCtu=}@xGpOeFN>&}4R;fdWwuXYpz=-VOzP8|gF zZhXH82g=C7Wo^edxWt%*F*`K>;n^~?$1?E%B!i^)1D3wUk_M<4+8gl!3n;iXIlUH> e9VmT)M2Pt|Cl(-I2&`ibAt$9Q`Ays?=>G#;R@vGB literal 248655 zcmZs@1yq$^us%$8NlB-4NeW0DQo2i0x=Xr28WfQ3?hxsaZlp`3yGy#^dk^Z*d;j-a z?^2g6_Sx^AcxL9A*(X#%?hPsu0TKiR1gfNjs1gJOJOTs+%vS_B;3r3`B+$S=h;|Zh z9Uvf(F~NTz#}~ZsAfN{zB}Ii)Txa*wTwJk@FCVV&&%NSs$34o=bfNt)pk9!ZV+gy; zZ#Q4!Hh!QD$u@Yte~xorbGZns0)ojyqHT8fc1Ry$)-tJ;nT_`--)reIayDUeNKb0m zau{|?=JL-#&@2cptgtYDIodet6B3<{xV@n|WsnN3 z^B{d!l$4n27g3ZV487aV@A1G-Lm~+cB+^`)D1s(KkFY|>=ekFzvhwPG6g?9}rwm%A z`u&i(B7&b@bs3x{m?qIq`X*0U0|Z?t-3~M(2)NGgB_b39C3=yB{?Nv9W2&yp3Je0y zA>@2^9NW-u@JYBQ=`qoXiUV&8zgwm`&R_YVvKoD(fV5Bv|GT3EML>dYf*p|pGz;Y4 zr(Jr|KY=zF_&NBo!vx`JR9Ab5ik%}8VGm@&p%eA7y)i*x6NxfLBOf4oy9xX^o`+uT znTW*Ahu@3-zWp-QpZ5=j3&?)|^*z-xS>@no{11Z|MnNFgWl%VZQ4%HiBQPolSN@zB zyjGzC3H&nc(rr&qJrvn`Z8kSvABvC(0=?R2e5fojxXM975xylr7=K=%2?plr0DfVr zu83V2^lHC95c~q6a4-Sw#?ot_nVxngV~0s12XVbn00a(x z0>U9W3d45GxG{kJfj15U)(gk;{8_3}D%{5woB)dF`Sa_)7yWq|NR|}}-T|qd~EW>{7DBEaU&#_i4K)jXfP211UOQ#c>DQ1GccpY#g)C-M+-mC}yiKZFQ~AHtz_dNMJEKiYYEXYi*);QhDL z-!ncL8F)1W2E_mo8;iGs2nsn^#ZQX@{uA>5-y#3V41&y&R$s{l(9i%8cwKOmam0V? z{;z1BR)MOUUvPq2UX{OZF32`Y2}{c7_A z+#v~&@{=2UHnx$EY5Y&bfCv6NCx%F&Mj+r}z}7G>X5hGG9#*V?Kmgcu^f&F0G{l{N{+svq=|k(nR>w#jD)D3FB(Fq1IVuD|~K zKXKu=ad*Tu1xP~+KM6gF9PAOnvDivb3QrFCdVD~7I9~jJn>J|tIoL%5z}NzQ`gmE! zy5i8mAov^2GR5GYENyLrs&er71e5hQ7y%%bWq|X?qyD(39Vf6>m6RK>F)$7SD}gtc z*#*o-r4%sWx0`%gDamk;!8BPizLjl#$9(YGv+G?N^3jY? zg|Re%_iQ3o-;&hJvSac0WDKgcrZ6q6X;{eqy$1^m0H^;sU)zB6f2b&__ZO@n0P$DM zNiOu-x+SBfam&_v+T7i;@ZYz;n)Deq*{h}00Z~*HjJ5a&qyAvGop27L)AWT$GYb0Y zEXNS9e3F|-Z9kLe8d0bM;CSUAqy-tU;|?+yg#Sdrr|9=re@{|~Sf)x|_Jg+A9>lOA zzG*GLRI(O$)UFp@;}SH+A^V8O8R*UL+J}K*(-dhCwz&p&iCP`$?+MB$S7Ox1Ka1=d zbs&WQSl3@vbVW2u0<5(O288-I`uw$7AcR5)CzfcM22Ju4HTioBcn&h&y}q8NyD=Zp zy)k}-Cv8f51c04`*U;-R83|x}Pn@z29`GX_Ae`tBN=pGzKPZOo?O0=*`DlU89X^Aa< z;Bi?XHf6azLYcpGf4Ssi{{4sP0TKWNoo?(R`}kl)_>&R@*04&jsF7 z1fr74lhaYHFR{e%@t)Lv5K`NEAqnW=xu9#g9VzE3RN9V-A@{x%aF-lgjs(=0uFz8mqdO6hoIG|{VzR) zae!s<10x(*4-kMbz|T`?{H+NfcC&YpR1Grx5Hx~PQL}9d=i|M|S83>#P4^bgpiQNds)X zxre_npD^-ojtEY5{qTf=2>z7d4S-|#&x8g8vy*XnJ%YAapW*a8U*>x{GdoDJ=YyPn z?j|F)*YA-?S^Ydc@<)?pT_(OfHj5ps!hd$D6M`Zk5FyZl&~Ke_QQQflFb)zgS+Rzi?DiP0^7Vn$;+40xKc5Dg zmOCi`$kji&9@FlQY?QesZ*zO-!s`^L(&WcZXmksxA`h}dT?9q^ajnV{Ed4gQ1*szr z2zI#3y>6I}kA!zjiSw3bpvl%xhqgXkT5b%#GFv-6+KrI@@g2Tsp}q#}`3*Y!c5T|= zT<1^X4MGJHYB1D;ljh$L|3@)fzcA(C5susR_1Q>p2W zZ}0eE*WC|22o`Q%^jdR;Z@BDp{5&l1Kqt^%-m>yZLL(8(t6$K2;1in2Yi&5FpY7FN zoPE<}FW|Eiwt6wF+a$x{bpws6cMQsb=k#HPlM@ zcJp6B^5nE&xqu5Ca6bN&cm0KrOx{yWIan}QnI@5s57oav@S+~2tTFnKC?8bwraHL#GNA;PA>ON8jPqxERCA^3Z*&5LKO^zLSZ>(%Y2n zX+im@*^!3u1oQ1|k5b-9NFE3C883MP&&RopOXYVz9B+R0dU4s_7G1W~T72_sI5qFM zfk$Cjl1rs{9PB^qc(XLyv~bz-IAvui%R@ChCvzK_s^XO@xa1M#F0-I<wEO`#M&_f6A2YD&V%dw!v0I2#kvgKQVrReytv8NJfCjzj1+9HH5x7FpdD`p z@nbe3rRS>PkYGP1ONQ6+S^Z>lyd2>$?sWEF^O=kHFz+aJ<0!8GAk{0M_4;s8JoxcS z8CT-d(p|1Gh})g(lUBpbvWE|eprl*);pqM2gMaXLga>IR-6?M9LHx%InY~xmS$dbG zQ45E=#N}_jB5nuk{U5l^-RE5w!qv-i9?X-lry6L)oOdM=i#oeU&`54Njjqdm_(h4S zOG{pXxIJgQpkD6ze9`$PcPV(ny`dhF(;_laq}=*~fR{e9M=nLyw&hmf{`ROffBE9R z{CE@+sP=wWw@)bhRJsAV>i@27pGsv2ayF5DcJ_dhTeJsrgucbQWh|tj!bb)pm1gq+3X_xww%U0g1)#RH^R)ymh|7D7m%VS0_ zU9Mfl^?}Jqw*8a~!SJ!mAs;cfrzow*zKR9GxaO>L=}+CNUl*&~bqLn7OdZi_Tw*(11FMB=_a`<%9TTtE0GIh*GX*tqZ;7sd;JPrw-BG}5h zELz@NF5ZuSJBLExL3_Ln)#uy@jqy>u6@V^maMZqX{ngUY?fC4`8h;7I%j0{+$-|H{*jPzZ8(Ot@Hnlc3W4 z!qgqd>o9%fku6;hX^3w>P-7AEU=XgWR)?+E7xUrWst;rr7oz)H^{8Q~O_bZ(h>*4g zqdgnZpDEB)O55Jt9{HfO+}>8i09dAQ{FALsGOZ$L_|8F%(P#6hwa7y$+4nuu>^aqC zy7~GHOL!BeL%#zE&Fzd>vaAs`YqQKlsig1XYyn*m|3gbm!H|tSB1Twod&cEw+iA#2 z##+J}tEEUyCwcPAs}+OWyCt=SV5M-b<|1=5!r9}&Q|hG=UFXOl-zdT0NTQstSLBn7 z`6X8=Zm)I6FQ8)HciUfl8X=2}xQ9WRbBx^4j$=Puq+9KqzvfK8jS{?$!-BCp-A^PN zrc*CH;frH@sMA|oG5Ch!_sXiF7lZlXmIYPkYu+%VesJ*y^?_BDRI#Y%^@Yr{UjMC0 zqhkTMGg2x8CEuZ6TxaX2EaPz{>P2?nwNLglC?j~j=szXlgE&ug$`gC@RJ8x0y?zs4 zzjLz=DoC(@T=|JKR&;I3y@I5R6nLZ;Gm$Tuy7PB}M7TVB4bn}+>|+rS@y+SmF9On~ zV4wup+vEbO0?D~ouwA)+YoINj2e>N57y3SV% zZGd!pTj90S>fK2bbGeKONlWQY9p*-HE?9Tu+HKXHj7i3r$QL}%ONE3%_h4fF?X#Oh z*YU>M;HkoUPPz<#-w3bm`G!-tC7;!E^g;DxwX)o!i+h`2X|IpGKp;*ye|>yIaGGgm zN~qo<4E6_P*>Z}dMe}w1={WD7&Aso4Bb$#uQ?#kUBd9BnS98J*=rucky34&nmKlCt z(F-VI96S2r*yIl9v&*ZU`EKW|t7^t)BD&D0VuQ=PL6Qr9@^ld}mj%>%PcHE{Dg2MX z11P*`Fwe3}<5Ye$hyll_Bej|68~X+iV{^D#17B^)*RGQv9U-Kv)sQv^QaI>#Qj;wc z#iG8rq%%_{rZvy=oC}FL8r~TQXZ@xU_%&urk$1djS-94>A>Ucx{0V+ipYogQpm=-2 zB}D5*8jaCUWU|@p=!DHFLj}-0@`{!5QboJG|9sWFUz_K|R(K{`f=PBfbScQD(W{l= zV~H!#4=KFpGBO+r1fqKm-OfKKu9Wx|?R;13t%L)n| zyAETBl~hg_1qpGS?s%5EE3ZX88)>)wE=hlJt6$!$j`dq`9*a?8J{XHdw>)Ds?uSV2pI{tUml-#w>O{ z%2#260(w*GQwQO;pTEB3>Q%QhGJ zac&MUFAPIW@X;)~Mn7wLKR9or`ya?QALv%i#Xtw>J=O;|1;;DDYd08}i@%5@pUm9| z$~+wXY`C7nD%mD&3AvKdD;Lu0_ZYvN9H#29MN8p9fHSON@HK)#{R`4h8kdNxRL`1Q zb^ndtAuVPQ<>PSUm4>V$tb^b4~@+_H*0X_!`7jxZWRKX zVX@lI@FzGF=5D7!DKWKWKam6IH)eE)cDs78!3>z|I|7Bu3lAe+eLG~B5mC23IhpLy5I#4f>xQ(nijTCyg-M3;`P_{NbuJBJtIVZvl zZ7C=96%sVX;6FmHG?o#Jnn9D@Z5A{`-ModTNr+wwDN<<}wQFfw^GW0ShDHVI^MUs1 zO&-J$curZ647Ks=GnO%=eo8c~<9YImb|}7~De~yg*`VhL6&nmNDtGH8wx~bOcZ2m5 zWcpVdi^xi6dcM>xy}DkEIr5h5N3H{y!KcOxm@|6zgjhgp#^Ct$CAbyvn-=~bOfi{9 ziG~w5xFkMbMd^V1CaEsrFJSp$0ji^cuujo&%(DbCOYer;{WNC;<%j*8h8M7_yQ8)F+5eERYVj9-A`AK(}dmcd2*tP)F(bxR)_nZOx)!a_+p#*bVz9 zfB6m302>)l;tudvBB(R>a6k$3m%swo_W*gNevT;%=4GR9ipfx<%d_{UQT_U2%3I87 ze18d)K$6SL9j37QE#7TAh=8t^W0H9;N82qECy{8$9^gk(+nlu*g;emaBtdc7A#%18A~N2UohIT6T+ z_*0~wFX__wz7+j(Gcw2*Non$>8VQ5Vb6CsHqZz7051l05TD=$z!vcbK#BgV<_LVV? z`uLb(uk~!kQe;Mcs8&W<%%0HPv88$cTKU*+!D6luaxGwgKvn#o8o(eAZu)&b3;fH8 zgQ?P|&Lk|r_Jf;Uxw_1$0A)0Py&YbT3hFIbdZ5)xGLH29WnvP-3+nTCoqqmp4@J`) zV&!8p52|eUf!uRzZv{Avh`h{fs<5RA^|tM|NWNdC?1>>~*v+lZsr`?Lc)YVs9@8*Ev#*rSG!!oXmF%BHGf zTqBZk+oKZOV2@5mFV{#N6w4@rQly|U12j~m#A3@qC`BrzaU%{V=Wlb`SRd6JR#yUFooGMw2YMJcMAi+p~_evuPA!Zo?tjaM%AtzW;}`jjz5 z&BtBQp$~?AOfSN23eXA~q)T?%d!0w&NqsDE37B2Cji=E4{a}&l>~}5PcbZ4G+eqK+ z%%1N-VV`X6*6cZ6bWrhnDCRgkXPKyAH#G;7Q|sRkoF6j@m1{6fB=f+9x)V|_xLUV* zcdYRVx==B1`+Hmnd0bd2kejJqs!L|&xw;jJd(LA3_zuYIH(R~3kMss;&WJ)oi>Z`m z8%)hGsHFfc75Aj+=ZR~$pRf{2*&&JUX})}IJU23g6z&|1q5@*nA~lHHaRoP~^|p$o zl{zC4?JOfxqmi?eiSyIGgltZuLRI3Ips+)!x*(U#dw3ssG8KpbofGO5#*{==2@>Ml z&!$_DHJF|4Z9)y$sxRNn>MlSE>u2T$hizxOVe@*X7E-TUH`E=8*i`5gxw*b>6bt+G zcp8}*->%3(>%h@`u{lRQ#|zYgVhJT44Tl-*!Ag76EbB4aI_dTey4%>J`^^dS%ei;PzLN`jfM$D(C7nonoHo*BWg#|aP zrh=e?moXC!r*P=i4oENzlw95%7`G%-oSG8jcRRrV42PjQErhVZ z2vIODwsK{NxQsePfcRbrQ;ZE;k+I`uh7U?SQ)=@E;qelH?!w&CE~|h4lHLcc+rFpi z*gKv%Yu%pB_oYwr{>h1^SOpFPZR5N2pK4}3ct`|FGU>;i{bhR?7Go`foZ$zObwN&< zT2MLWGs$x@wdzeb-l$(|R#SHwUiy$<=YGOD&RdY1D3pVe_||=%sdjLqSb~NqOswp% z9aHX;X-Ff#SBz4w(<)Rjted%JIy^o$@i>~$N|aq1hpyC%xM#JP(5QrW$yT>pFVYjX z4j&=TYa8(e4~`S)p>|(BEE@og(!hi=z~ix(XfQ--!+R}K<3{YGva;R2fE%mFRfq-> z-S?_Wv^2s%YKKeu>c6ICKw8N&Kk|B*O%10&xnyTlgdBR-qHmh^FFD;o&zUlPbG2{o zQjSoUdm?YW3w~DS)#yGp!Rz7fc^u{(6<&Unt$_*HtQ;JI=y;|qE3~l9b2hXH4L2Zg zx6VG9?In03Gf25~b_{iP{2tN`nf9g6XNXstuu_hRa$l5K(B<8iVu1>2%(d>Czkax0y}L%ucrpCk-yqUEr_i`p)PiIt z{o?MVr2XQD;6-!@;T$PSLdew#A#0$g61^B-?QYVS8M(Qu6pMv7ZTtDphyzU+_2g}R zPN>paT_sg;k#t&_F9J)DS#%qu9BNx9Y0(=i0Kb>2c&T2D19UGGCfbnbdIqFxfW9&C zw?SVZp3>z>bT6X;f}|cQoi~@zKOB|Pr5ILS&5h6}uMaSEKn%8Gnw7vQk=?)c<(L%x zIGk@c+*BRh&oPjoETIW2t5@3tQy5!5r(42ybg?!)zJgU?TdTw8;zw=c)gun#9%;nI z7n6pW^f;xI_O%O*S_X)=ve!G190vVuYHKK9M{wkE7JN))-Wk7UKGE_&zwQ`=TUY5n2BE_ka2jBDX zHKN2;5RrOD?ECk7yot4f$JOl@hi;p#*~F!sTPnKYupci-`L()AY;U+BQQSNjkI3`Z zdEQn3bUyvjY*CCdr)+l#ImyYC&}fXUP+rRBespRJ!!bFmUw_mmIqL<0j`wo6=6=d~ zn2Ot=j!wnu#>O}t&zoWDVYWBGD8S|hm4U>kY=+`zA{Jkq#!p3FuD*%8f+YfGoH$gq zL&&wd4LN^EEkPumVn#8onqvL4bT28HS4N6XsA%m}siD%`*#sp9HKEONT_KpdP6pqt zUTsCM%ooyOCnZWgXV&I`AbRu2E3!bdl;7yJ!_U%e2xU&acwN6UAH)SAti$4vRLtN8 zs#k8qF|6+$c(8%FJoMQWQ^d#B_!hC0@TNQXGE~k7)(kb;8 zl&EIB;7oN)6igK^1DWL_mAA@^rzxl5RPc<9Pe`A#C*19NwOCCv77l|MfUBOp!u((R zMUw(l>aXO}FO(Y&A&)&QYl~F(SQ&P5GSEgBJA3J@`l==0iNA#v zyEMtA(UeWxGpgOpT?K}$UgPlxH${hU10*NDmF>{Ie8{Qw5KoPir_Fg+T-n16d;crL z57qYu?;}TYJJtEH zA#EVmT62$#$_Bgnl0TeLF5tzUXBqo25DMK1Va2K179~l@& zqmz2!MIK}oM+Gk5CxbiwPG%9P{($P9g%q(vq*=y9%kL@GsF4QYz4gP?tqCitEXQ0d z{Lp|Ml_={FU(V@ApK-tg(kYm?IpsZaJ+zK-^15HsMIscC0}*igDzu383|TOUff9N8 zVX9pV)W%Jh9^QT3e=fLBzO;f>7Q{I~;ySx-o7!XoaN_vwL}hnu1*6&D0FSYV%l9hk z00PQad0Y&%G6n57zqXS&%%^mKRNR56TN3>})UUS~bvbdc0r-Z-)6bG#hu>j}kH@ey z89=3b$m-foan)$n--|6=vgkBOo#sBO&yTE%#d0{e(2)(MP;82;+~`Kq)s5W>n#~4y zpG|o0zO<>iax*)|JlzV|LLuUcZ;4*jdSut{7T@uduij1lp$6G-Dmowfqd-KepP|UN z32rmy&C=(|N(W5NPX}^k28{~C^*buOmWcOfdytR_4d>gD@Q=9o)WmWRl2}byHWW&xRo6-DFHD?!4d1{{~2e>J#C|nfGA0tTgh?^tg+XiKED9K zv%6{wkF`@%{QP-A3s$rFl?x;23}QIybTk1)&B+QxnD|@GG&s@|De<^h|gu zG?n3ztBQ(#5=6&TO830|%_+|A0E+_~Q={cKI*M_4PdwIMaMbfcAaB(1W;R6HB zJzNq}NgU)#P>OHV7Arg4haM%k04&tvW*9OVLJ=niNN zqmIOi#?o|bwzW`XMA8)-128XYbGJL(VtK5SP{>N7^@7i;zLxf{PdLyHX&CXktXxYc zn6Ek5DrW4|rFhmkZ6Fmlt=ZpX=4Z=4o(|LKDcD&g{Un{aXVjHgI4MZp6Dq*8e( zMBjX`m~~;OzT1MOUg_)Xbr#p}GOW|Y2w~iNTw**TCfbjgeA#yDSOHgUF8FQHJ92*Q zO^Ac>5Ko!9By0QaN}7^f`h8WAYPtSowvHaF>B`9`Eyn|Kb-@RzG;8)!*9uiu*d+RD zGsU&ukI?jm?;yL$yj3Jmfw8Y#@n?C`7$WptfCt-=|L4KKq5&nx8302El{#Y^16`+x z|3ld%EQ-CEV@U7JP>>sZMF(kgnpA|A(Ia}P_Vq4CB`3Z>kjoo{3gyXF^f(;NDC1-~ z>Z1{|`Zaw+&$5v;cig{1RJwUd^!nkF2V5E)2;MwTKu>(b1u=Ob*P+BUI2|BrFDtzev|k3{qVAVtzbJa zvNdOjrA1mx&n@HOb|&(y)7FPTrBZcJSGYOIg#O_mCEX(&>SU8JL@SZGaSsv;)b}fB zPE?aJUAe+&g%=C7%~>A{^?s9pk&qcb0BU(Ns z7dA$I9K#p?R;)yA(4n>a80G7Xcz!ug8J9=z*u@7EmV=wSH#atqq>h{OurtP&_s&tX zFY*;ZN-Y|7`&`IdilfWIydH;qNw;T7m2#)V=1ZO8a=DoSD`yw*qyx-ASVX-sx`YHm zV*-@LV(T8|?0L7m86IX5cSPB#V1G+OM^JQvD z{aHae6s;o?v;IhBf?Tp}X;NYpIW`lufi_Z+f{HQc%z&GUl1x7Zk|Yxq(B&yI?09#x zx@TL+m7!c9udFHetf6j42vU*hTaO|{EA}Y8%a_FRp{hr-lf9n_E%z&LQXXr!oSP+?RvQ&h0c4!j zI{MCBWxvI+F6f^BGhM9(AIoek|5g@qWt0u6FUjz0Y4n}Aj1oiu72F;IUA_4i0%VMn z5jStir55~k+=-;x`8pjZzUK2qc=&dAspUGp}_o;%Fi$7aPWQ4}8E7?2ZRwA6Fm_GYDlW=HE0f(Hp+*Sh)@NVhuG) zk*K~2lpPgS>ulOF9UJ>kAM-Ql)i4tSa_kU2R8n(C$Bmus(GH+6dOp1sBc~d8S*Su= zYSmx})8ZJB6TTTLB^VUI)H$a#HHq(d*Cm-k)<0D;y?uB~lDmAd(AO+0k0ddeA2N@> z3S|og|5o+8?@QM+lqv;sZrq&U~j$Q$9-z z=mO$QhK&+S#4n1!X1k+jqYFGt8a2$3dTXlC!>teg2ra3Tfm|U0s(6YENuRe=_5+jA zu$D5A`tK{+dF!XBMfB9Vw#_*zZqSs03|WZPeIQ9$Z#f6x?UY^%V>p=M&X!hYJTKBm#Q!4p| z?431Ac3x{|i54a&vZV-J&WZWuVup3tt-Qcv^z6B0u1Up0Kf8WxqV0I{>5Rt7K(dss zBrH(B>NRp!a}rvMxzVlb?VJ=BkX?Qp=W zyRX{r<^X^)4)Z7Vt|(ryU*Rvhq7J5ccOHmhU&FKJ3VTKZHM+7yJD_eUv9~+V$V9|& zB_BARA1hD)8>+W^`4$-+$2W_W@nhTrp>{4ESpy>Cb7)Lntc0&3f$XuhF{ftFeWUyl zzm7Pws(f&1jsh*A{h7@uUvc#6WMGYORll+s4nB*=eWjqWwq!UEV!nO;olBrv%4(mxy)!Am_>~Sfa2Mxsv*q~jbl|;k8pe%?oRU)Y|%K+8EbSp*riEJ;z zlV>SxX&kzN&8p^t1=za$UzZYL{3CS|YeXC^%1ifla<_Pnw zBQq#BdCy4srD<&ZleGnO?$Q&q?B|F%+;%j_NG1h6^4lw=PKX8k+&ASj;|S}_epGl< zGHKL>Hbo;l?IExvz8ULAb`0xFmkKqWFq_K%R-~!vomagOxObErrN1$Loo)-@1%*St z^5y)o!J?QhyyZ~5HFm0|fj#l(>#DBWCJ)rI&?3C=gDhFWBuhd*Bs}z*W4k^NyiQ>c zmlxkS%;Ozx)_4_9e>np@B^fLlb7shxGSi`@#t+exPo&~Z6t5K$Ap2DWyxGb$JiZ;; zZrw)=mF5?sWu^C)?k%S%R^vKi85h!9 zJ12jx+L}xK{Z_W)g1_V0&>ekY7HtA?tMA^|3%xXnqoy=l z7gfC90@&S#Zs)wstg<5WcQ1fRTPcz(R_uwq=Yh3*!iQO7K-eg9vtz9JxDPr!xQznl zAb_fY3IgRaTrI$V{=K6NXP~J(RfaAdfbF50qSaKF&dq(6ZDuDU$tJi+1l31GT;k9! z9di>*?e>!#nzMC_JL?Iox)4HQpy)&zU%iPwxw8yk+8e63 zm|FU#rQ;P}OK*)gJIU|x^#JBnJMJcn%_N?Kyp_brQqVV_gw0JGIX`!Sxo7t5M-VWd zIsp=A`0*_1Rk(0o;xG9=>?O-*Q+~;?QWa~2!b7=+MC18bVov))0?+KnS<$odAy*6t zn??khmBj+}*0;^o4lGq3tSa;b_?0;-7|IIVBucS!SPho=XD{=XV+|B}3Ll#@_s!S& zb5&9)gG`8|XA`dkkH{==uq74K+@tgywJg5dli#QMEOBI~ReS2$>%w5i5Bt|_Reh;m z_S@RtJ>PTO-FI`}m^d<9O_SF+=a)sXB-2+Y5O4(3%AV)+RC1d76Bju~2AS`syc|z# zhxk6J=Q=%{4S0V~VbiN7!p)oxbJ*DrqfLA=Qlx>5a6Bb)uCu3*`6V;;Es)b9jr7*Y zm37#cDZ7-#q)S6xyOFXQRjmX4=rm#OXHcxUQi^KsN_~^kFdxN%t~L1Kh*p6XJ8c`q z!xfhj#5xMBfqn7AR~RJRyMei#YwqLw61XoXlmdBcr7n7n)JuXAHwE=8@KYj4$RAk@ zxQjCF2L$g#ndd{}>Kh0#Ka(N_-Hg$Pzt44>v3(eu@sgF}mXm!8FNf<~|4 zhA`e>Lccm{r&=F#foX7(%igr-hh1KyXCvQPx~q!UiOw|^M@ro4-JA3lH2h$8)W2jq z#U3}`h=yx7%0Q>5a(nD)t9flKhk4u8x^=w-Y(PHpkRwW{at}7cgEVc?!&xVZxwU%( zJbc;%d7~BW{&)EFZ1QZkJ2>kJQFO&uo;SHlZJ9)h4fs@5O{uPEl0hvG(3M@h8V{j4 zqMko1(H5!eDmJ{1caufSX3weXelllQel=Ekv(uUe&`>1FiMui4e*M?j$vkRzs6T9i zyREI=e4wM>!+yQkNfTb==e#8*yGL!3i+Fu-vf@_7*~@KN%pH&7w{U9|rBxtmv6%my z^V5zJ7S;i0*zSvNG4x{(-H4GA`5tb_nlkc8AIR_%kZ!&JbRPO1*#RO!r^ z{4@w*kK~ZCv98`B^FlY{8WVv)pbz+&UkoB^^dl=244W?^F~C-l!m*)Ha(@$n0Cg7& ziEz`ZGzia&)=M!NO{$!bl1sXqRl%X`7DJ0UExb@{--uD8B17*KWa?v&rF*xsE0B?8 z429x`)<+&0peURk+OTSR_gc3HsD)8s#;5z}R$W?}4q}l`WaDZxSQj06B)!K4j*r}U z$bPr&kDO4(aJ6;P~3 zuQ4W0J|Dzh+PGSAt`!nNfNLbsj<_UJ93z}?_hkjqOz1W+P zzx23XTlR=FdU@G^eD?EEApW6;XLFjo8%uruLUIIW%KPrE<53K59dRsw=X(9p<;m-8 z&T=z#_gxMrSvi`zMCSDwI=?$CaRswYycEL`e4IfR7D74`BAw4b0*;h$xCUGaLmEl~ z2robizmcYGe+NLC)#ET=2*yDdip`-c<`^@|Df;wZ0QB$2g(!kR+jvLb*&g-bI@zV2 zlX!#C4?vZzHB8j9LaE#R+!-_9mmLw*296xvNT5wC|8?qRL$gAxI*chup=M(otuTW2 zc3>XCD=%jtK~cd^raKc8d-8iB{U{6!yGjnfgMM+!46tOQLTgGO(i}oHqB}X3qu*O&-O|bD=E8xYAo59%zo!E?aJdPV4ABX+Q)l zJ-sKNrv)(Ve%*W`JR5jeqWx-UtN3h}FoSuvk*#p!^dPE2GZ<+gN&j*h+e6iC*fAlJ zv<7K(ag8jc>k^?WlJ}|Kltw{t^|tSASMMjROAHa_b*g<3E|6B#{BxguEk4!Aw{Lwz z!rBzzaD#9Mzg?4P+Rl7R5q?Q-C1yzo^5jP{)Z zGQ8mnSO=33{Fi1VNOB3VAEy&YCot($O8v@ZGx5 zpP%Y;tvMHFS?~N9<*Ih<#3iORGP@l7mO=NWmnKiUy1#kP?@iYUAE~~|518%^k!!K& z#R|`>smtxznms5CfnQw{28X?B*6z`y>Zm3vh!7tW4eH~dfM(DGrYlRWyhJT0FxO0dWrPqh5h1HH1OVX>owhS(&4c}26CxjH6px#Nl;jloFLH(=OQ3mhc>XqV&x6vj)H)<4)&jq z=O{JHGGI^)S5QDhpl=sse`TXczUhlpUex4og+=>y(cR=uU3}5BKakUC}%^j;qGru6}Nv?H0~;x@xn%=?h~<2x1BuSL^{q9 z&x+0h+BV94g>-;xowzJ-75AuQj@{!_>bBRnf^Xt-fBaxy=KtrGmL1Yt^ykKzS;B$( zvALjw*UQP5?Uhjq(L$W$WI%5X%Vpfk4ulu+BfGeZs?c6;Mzh*`ITH@WV)>m)zrkF4 zCJ<67?o!?re>_H`(*IIfAk0Ottpv(nOS83HXSYIeqj~<>!;`vZKNe`d^NumKS5%({ zs&C%~h8uVb1^IkKe-QGcq$co8Xzg8cyNH{HQMfzYx=-feKaXEo%lBcdYt2q_h^jdl zOPi#~hIVt_mG=XP4=zuXRayDfjXbO#Z4ug7;QFdWca06wHF^dxO_v4wLISX}n53_P zRQiNaK=&g!r(X2{?gao0YzM0mcwQ_N?ilkeSR5Q@H8$C&y$CdT_yd@t)lhhFTZ4_) z59+r9KZ$6o8#f9aM1<`Sw+?e>U;rBky7~!;Hj4m1kf0<}!())FSlQT|tX}!!-ogxoXkVZJriDK9XE_C|L*7s~G$svR&gM zhz-mz)5txFP;T1RU#9^LzN0v~&amEbC-WqKA0nzFAu+f;)PdyO*AZG79y;ngu8(W- zO5uGM>oZiJq2M-@%r?2ZlGCs1uk$0FZt6?WpKkABJ@upqVp86MTEPzVfX3zggIo`Y?=8>mD}fXB(MW&t9UeUFX*5tcp%^^DCGkViMF5 zIk8G?&uVvEzc%N>Bl}pj7K(SEqwR#qZT6bzBa@a?Rv@wkJe+K1CJKs*uaCC5ab`7r z@aLni^hku{{M!+!2s4FI&(?@{wWrl|`@7dk1XDGu_oVBB>I$?z7?|ys20ETh;ww_Q z-t6-b2$(jTTu(}knQ$~4gh2$nkx#{bEZ0%TXq71DiTL~$Ydgc?dDncUChfXHi=LRn!>{}xy&~uOC{U;B4pm*sIy7W?eZ<3bG5a?d!UHv3~ zx;RKAa`Qj904V$M;1+E1Y!nWT4}s4b*y%vG!|FHXLz$z2Dh{h2`ij!&HtjIU z@7WGpEFn^z+1HkRre^rp1*!x@11v6&^erA&Bb1YTO-ydi`)?jX-(pAW`f0E$wSA>e z2ybXq3gIw$28<>|rpVK;zWMb3d>;i6l2^C%pL(?chJ8Q(-N|(>e70si-G|6a2PKMS zW(I>|xv7C8MmBrdB9%ER%$a2@v90Zkq20mBYtDs0_OTS`Thy!y)cE@27Q-7azjFj8 zX$Lt!__L|{zsK>fe5ZhpMBf2Iwj1#%#7Cv8A-p~f&;7_6g>;=aJKbQVfXYlh(xv_W zC{2!u_bewrJNadD%k2*J3z-yMrg-xiV+^m8jaRE%kUpH97YpQDmXcAw}8<$)Qbej0B)SX3tQ zRD4T~Kp_6Tp4)hZJ0aHEiEC{BOY!I8?N?u(yoK_!a(d;BWwgB@HEC&>ikTbw^ z3PXl$ujMAQ=dFKacay)aP7Ey>9Xu;F0JMKs7$f+_34B8rjk1-zJB0M_)$iA82sHME&!82(`h`VB%xK|}og7Nh2y^J5 zSfK)EFFU9+M=@VaX2e86W?{Z?9HkfDF$>3JNDvSIG}{<5lAwoHTl))h>C;#z4>_+Hh8LKP7qid?WQV zsDt+A=9V;65CsAs@5FSeT?8l)C4m%w=T`;Rs>`kSYl$+7+0So!TYx6u3s`ipp8I_y zo*BsGK?RYePtr<6UuHsqZJZyd$K}9d;z|W%U6H$%Y13p~=-_Molpz_?cK@Qi9pbW$ z9Mab@1R?^6P67?uO^pqg#+xJ8AwOZxsFyx1%ENEKFnbe26|U$42L04=qt8T zR^N$5arx;g-njnq_B`Z=Uy|dW-eteo5swmhFku**r2nKu&%tIx$eUR8`rLOp zlj2kK3*}c|LGsr?S><*@5M`-;f}i+C$UmG6=Ol*Y{lS6fYz)xVQy7r*FEkgWxry|F z@w%FM4h5CoYWLY@zFug$d?~XlyT96LD}4O%5j{6>RHq$|yX=IIkl|qs&&(5p{3i9CiaF=h5mra~UUNuL4-LLh%U!}4tHZkBHePp*J zTj?`TcKLp1leENYI)ZtW>hyiZIY(QPTMx62a6_s?Ojsx|+_G9Uhr-KGnmcFpMc_%3 zKU!b*E-XfmYFT#Fs+H0q87}M@M38|C`KuFF}bG;g5MNJ(kT0yN9-(S>>)T& zZRH=2QS+1wIITtTF?7Fqr~Q9)eFa>U+x9j{4G1y<(#Rl^k|Lcm2-01W64EIm(m0HS zfFK=`B3&w>q#&SlcS$!$OMiPf=l)OJ`|11;8D?h3TI+e%v(|p!ijC)ST*g%#=YHQN z$8#TBuFgXXcgAJ^{(sKNUn`!!@`-@NS?i8wu=N!pJO2#JBqYf;mu^izKQ8dSdK>;h z)TyJMe`APedJloz4}Ud{bbr)UGFS#J%G8f`q=tyc4!2QtZ+m)7;wn9aj?2fwQeg^tD_i_7pcv_OfS9C>Zp6{C@Et z&Mk(+ypR+xClnRUrgqOi5cg|oS+<*d#-fqqlMga#))0J_fomip&No2JU3=D7=qg3o zOv-!ZAddu(^k;fGm|>AjD7l7O7cCL3#USprB%FYwHmM#;Y<76v@9VgrpU7UzV>|S= zz5JgJi#|DQQL~==N_ENnIx!A|M&6@vEpC%r)1#j#T{Y$kHi- zgZj{iX*xzYVpZMPuJcGOuka>eYqFibxb?lIbY9wf)@c+pA zALb@?vAlh=Al2tCC>YI;S_uIPSOe5eP7}lLTCJ7SAden9u@ftHvu!@SJTVU@77R%9 zf4XlP;e(Rxg;(xh3I1RK%jCE>phflSu-q&^$MB&VtAVn0&yxWNNm7UW@>^}xPmyr6E8#puuxW6+S4=z0e8m%wS8N~Mq(-=rfx3?nt0uqo zbwb;WbWG?y>=%|!$Ko1Anujr-Z&)Ok59EWie!R0fOQFcoi6EQg-Fp*;v;sOM)o4f( zuB`fQ&(Qo7S89zHAv~=0N3ux*xMopnfgsqZg()nM@PZoOX)dW`GVDLsTU+S2N_Z~u zN4Ka@++Xqst?FA2$5;*|!1`RAKneVZNv|DGyW*xN*^!7uTLhz=^@yVuF^PV!?ZEW{ zNCQ)k{3jLkky-uFd`$}ve%#oHQmiK8ln%;v>SrE!;Y+kyr~psxfVi*e5@h#qkpz+5 zMdNBXL^eEM-02Z@r|DNmIZ9I5h&+qm${U}i7r1lyWSOrFdSHC1T$8>@cO2zjY0;Dr z=Itpz3~h>F_jpPVWH7dfo6Y{Nv;zgy_waD{GtkI^>1TwOoUiy%fha!~xEC}1 z$_t{8%Esb>1IHuP`4iPlcW8B2Fh7q!VrWXJnW$z4+tNm}{Z1iu5|7bWtBv$fS9|uu zSpJ>a2ZLwjc6WhMidD@3JOJk|fB%(ml`8HlsplByG2g`r3G9g+$8fFo;SG;wosGnM zi93j@kZMdif;ial+XA``cH=WPm<1J#@PVEB;45=zApEiG{v)mJTazz`&GysDudQ~9 zTJtQWUjZ&gq>qIg{vw?NqsF7(X;fJLVG8b0fmoFSaaEr*o<+63Ti(U)T$n9WGym*^ z6tOBI(Ag1V1-2xTUH-733#@$AkXr*NflO5Y)X&ioyG*9Gt~;h&|L4_SYVNzN^42``GkWiI-gRlpP+sMFp^^j+_rANMb7e1& z?6UiZ5E7e@2cm*n@xm&6bSQJo0}qf$5Exn>m1gVrU=vU`NIhI0=2e%)D%1=*FV`qg z!TM2PN0Nou6f-gAjHoYY`_wLVndsP!Uf+JVsUIH9m-zGNxw2KGN!*7_PQtBU@0(jM4@!?$8SMJ%3{Q4aqP<=p6aVoN<3I&G|UlIF1E&5mCXG58UczE$qx=%iE(4P8T zY+b-*SNn4$_lX^@!6V=B2*4obSjfNqgQQ&>W50?Y9m-!MTOQeyHMrcATqMh>b$!e= zS^e^(_@tJVfYoME=C_zi<=JvmKdjM<4#^;faB2}Jm5~poxjR34A-@3ghhZ>aHDQ+chya~;g0{ZOWeP=Z~L4w)3xABuc5!tn1wza@iGm(g_czeGJLl680++H-R}3<(MlmY@KU z`n7MA+033*CZ_0Xy2hySJ(NKxwJtG%l(oTrI^3?8T4*^jvM8}oz!&@fJLEgCd13sM z$06>G`f}ENwgYkO2s~>(0t||FXAw{ITfpL$f;QX_5ftZgOVTCUOW+wDw3h-`> zg(el<97yw0D=yEyNjooZhlz~|VSohE{e8{B4#0j0mlT~|8^IuHE2l0OqA*pykwN4j zOLG;9RLqUP^R%xFA1W)fazBiSp}3|g{iDx$!}7<+WHFK^uBJtpsGSWNmSK!WeC7?A zPHkdagV3GuhFW)A|3{2h8nal5*=Z)C$-`UU3BPB|v(+=9rdZ-W2+4kgphtrY#L0cjw)x)wC@y9T#cCKx?|hpm&2FbHS|xU zKCEjVPCov1QvaI1`I|Cb+wa}M2U}yEbwoBB(k1K9gU`~7mWZA>OC@kk;f!m^ z7u_nQQ}{$@`p`?zc7tao05nFW8_Co4L%Dr*kbt%7%tQs(1(I_tR?j zsZ&H;I$)L+MMg;UZ10+X8^mO20n?-oU!_vaQN20b9LRSCf!q&9NGdSBA8&+hS(O-r%a$w*HpG0+W}7KID3SD4UB|1u;j@|HwfyqImaFIGxYZ4m+Z2YHd&VBl zp}o`4*Z$Yl?h*;_2kX-fCWou~?@iH*u`}}gC}hK|z6|3l)gSA}>HjOFh-UqONdQ=Z ztocfXNA${Zxt*Dx@pFshgaVQ!$P;R*5ynBpjf|H9?@q7jk6RA3ctB{txz>J6FSTC% z0UV$?e|RcoXnL#V7^-Uo3>*_RNY+*)bClUiq1t?eXT{nfY5mwsJ#iI|(HMw{qDn!m ztWR4tO@B>nR|v$;ZgNw`w_4c|vz?`-7e#z}6-d+JHB;yh1$*>Pg*`9pv#`rvgsji# zOZ4`rj=5~nB`q#@gj8|V zIsKfF>ViNX7eE{w_-uKIX9&la7z$aWF86FizMlg&4@6R*icmH_+?b7B;=8ag4ukA3 zdP!I)oi52-;H3UNpKEhn_S2tgI##e1eXf|U=PmA#*c9p6{$c2$1%EsDA|+59Z-HFsub`%>^$*ALYkQ#vGBJs+Lxo-RV zll|`%lj$lYnTq^>P6{s)sSyVpgW?EFd^aj z5aqG3yD%+#KHEt#)C(dpVg}a`p;A2UCxa?Uul#vF(iX`KzB&OBZuA<&+yhF^^^qEy zl;WpWT+51uI6KIc9Si{R4GUu8=NMGnsq1k3(@wedr=F9i$M=9&q;2<Glnncdn9( z_J1OF7}PGH^7}k|-k5JVy0tmJ8_@7kjGHn!R~pYZ)@V4@sXhyNPPgVw*8*@%vx5kB zXv+BG`?tOti-SiBdrM!PZ~WmEx+1>wr6a1Z@z4=XmyU+1b+Y;BXT53jyvBs!rJE3L zkrY=;+E15i>V=yRJgQ3n2UBVXNKJ)&rIUvtp=l zLXd|HRFb=aB~XrGHoI@9?)FLwzrL-e^f~)7auG2-p>3zxm<>O9bg_Fl-6LK=5z^7@HOxUy{A;XRynwu|*h*zZ zZu_J?o~sWXy%xWWe>5xpL4LXrLJCnZN2b&kG-gL+M0v}M|N>c1`m(n z9jPCYq2HxdylF=7%UmuxHI1b2QQk<<4b3);Xc!AFO1i1~QK*9~XNPnyCQ5b}N{!j* z4=xn_lVo+FE#;mv219&$U>pb5x+cT5+k~uc{yb49Ckhk~q@{$WF`!8ul6qJW883Al zDeJozuu6(pt^?c+8M}PE$*OC(FnbKC-`y*kW*0}M)fL(IlS969iiRzz{=_dk!Za4$ zihSEUx5bg7ro`rOYGIIKZIZR_dCc4FW6GB`Ni0A%LEZeEW^lojZlfAl+!u$<+ZYH@zIC+6xMfrtd+M*xDMm>8qZca5JmBIWV#-^4 zomjfj#=|CNkPr6|m|px%nlY9@dwmPme8{V7x*$IT` zfe}{vF$4QFQx+CO7du+;0A}OS{x!5|whw~n+;Bh4Ek~~y^)3A)6`)^Qn$Eqm$(LYE z0-Gms1i{kHux6R6F-{`Zm)xiR{HBk94 zSif6Re_o~cJ@$^SgFo#jHj*qQ%2jG!K+&~9^zKHOJXoaTQ7X=otqN|c>N}v4+ zv)eROIETnRigL(*I0|mDRFdCcP2+%8wpK>o8!}Mvh zf7_mBZgfp5MejcgD^6;`R%*ayqjvgMm2Vy|l~nRD*n1%Cn;r zC$o#8F6uw1tvO?*lK~n(_x7+1md8~kdmgXZNvb+llcMR+;}+cRAh#8yC6|h$8;fr5 zto@>2rm+JCE~1HiHT=EOh3BuZY8?X zxW73{qxm+rFfJ^{hA;mfafUFcKM`l#g3WtC)~L{_z*~1{$~_`*L69a&jW8@E$WU=m zGmqQt6!JSU5NAhtIIy>lR8VT*>&~v{a9q@rUE(J;9`SHD+`-woxxB2bru(Aqws%XX zJeKH2r*pEhmWQsBk=?HD2&3X_66s2lCaZ)sA$fu_%2!hxuA$`CZv~>)9ZX) z((IuC6;LBMXE>85hjx9AJ#Oa4NrvY~17DYi_h!rzB-FReAS;01U zpjRSUDLss^f9ArBHrD=#@Unv!d*7W-nh#8TR@K`!Z&=-ucrd1FYGyUr{oo+D1)Y(M zo&@TMrKOTP7p3z45j!Yp*2~KuJu@4EH7`&S?gU-jR1v^@ililmUL)B;J_i1N1<`9+ z_SN|&BGlixiUH)BsC|62kzPd`X~rGZCOsM#d|O)F7`nq26yR z0=LK_Oj67V;h-GyYzpaqeqxwX!U$j^U!lJSb%23-W-)@!LI#3AeVX=Ic=*92t!_8a zsO+c#%(3(V5yVN}#P?Ul?A6O$!-|HcvO6uaiYA1A`!bHM9}*2+vXJPAtD9&R&LdFf zdbh1ygJ0$AEalJcxK$5-mB?>b5OW?7AewnV08C6np+g`2xR)+6fmgU8G-C_Tai$t84kxIESx*qNAUakNP;Wu zwE=Q(Zq>?N->Tn=?j;*2c z9{qlH)70HxoK6l1y9W5iswhrfo;=(-mFAgcz$nixyz&olXTG$!jhO@@%Wrfy_v-lF z!p2m}u9yoX5UF;zGzD|X>wKggtyH|IIq^M{xj2hht1|`9omZP;o?IoZd(`MBm_X$8 z1Gi0X}6@a?6^3Y(%NibKX2m8MB-hEzq00fs$4{Z47D#!ijNQrX!&F< z7Ci)>*UqVcWySNXGL6XdrNWvp;-(~^@6^=-+tjGuId|svj6#TaXqTghS zBtuWr@*CfGn1w(>cntR3P=4F)<1{{+jzC=tX&Srjl!R^hu7Zu~vegbLxi&~+iw?5% zaUra*mrDiu>@{5ww3&tIn=%Vzh3RIqVrjIc82ksF86n}LRw*h*IXlk$_J)D$DBIHp z6cRc|Y>O;a5+`WXf}%dR_TYL;eqPfJ+CAU;^knf zRYu{tW{#h5mOcM#YI@Kv(mKL3tmoE!`Jl6EHi+i-<+R?uSC?}Qo&ykU$4U`Q&4;$@JK{pB_U=ZH( zbP|*_$yEd)`BnG`s|s{zEmaSyqX>h{wa{n5l<43Izmh|j7b4F0^L#Xs2TTd)U)(g4 z;(k>gVHmH~I~`OoKRSqcvs&)uz9siOfZ$quK==52S^4S`a}(1>J*cuGt{ODEk4W@W zgT0V+XdjM6_d(h|FW!c@)*r@sJq_-#);cMAk-O29@BqxP!Tx#i9~=3|!upQKub(e6 zRr_th6EinPDSM0F=Wk+5i`@GtNc8Ge6q?^qxqHu<&gqKQ4;D)G-Z8Hj_}Ulvqi~*N`9Ie+&#MCOc|5+ zXABbYmu!IU((#&>iQ-&j!1OL`e3Er5A1a6LUKw}Z z*kLO78F3#wKwDGgX<8c%4g>hD+c-ln;v#<=4%L@v-Y&3}FG`W5B#r=9R>MX!#)B(Z zY!usyRW;u6dPrw~*WF4(=z8}JRJkY<3F-?!e1ro*fqE{G5(Dd4D}%j7aL+v9hF8kK ze+U1(Zo^r)-~#$hC= zjY_Vbd6i7!@6GM9xJJX+K_xit=_c$tj-KFt_CDnp8pTM)GjM z0qk&VIy!l{q%|RRW5DkB!NdybcZ;nqhwNH5%rk?bDA$~e0ZCHF(`QZ>^5wUhmKrNh z%2vji(wM8LDi#lmlJ`A$H?i1bxlF`Ld|T>V_A9Bji+bmkY_`?V5k*6k#@Z=%!p1s> z`O@Sr9N!9gOKnb87qDNeaMWX@Ij_9Gabc&+wKiV9HEbfLg5;s;!2M%Y={Zi02k(5) zHi`2S{pAFy^M2W#>43#d%k6dJ8T!rgE*zySZ;q5}P6zHRgTYSH^iiCCt#=;6oSRe(J${Y|H)F>2nW}YTLP6C#+>OQnjF$)zBBSS@CD5!-# zP_oJ;^zT~=I_dL9LIe6WpxMQ+fjS$(i*QQe-R~}gRZLSvuNIcx;T_~AhoGkSR71dW zH}uvYJ$X?@_0gF7oRr?Uz9#AW@#2r6EzuP4F4?rt+UO4$W7yM7{AjEbV!23e*lAQ#frs?^c(u#>`BA(nz#-#O(!-0 zJozT>B4@|)@;6>fV6kI;sCPK4c3J;voY+(O=|P2q6^LV2{!Psz$e~8V@oRF8@v;Xw z*0&tFA=?}y0F`R*D?G-HTsEd8%+tdKzBO^X%PXHPcz~WmoKowfsP7TVgEt~%zlLNAyUnW zFA0H+G`{t|20H_GPwtIzFJUbF)ou0}WlZ>pC5Y0dod>`_YzuxPOAVz75TpDo*@#5{(3;R_27CeZ(v$;&?Ge|;z=)GRuBS96Vxf?@=R^{N}FT`mC-&6qde-8!}vgZS= zaIn_{K5~3SMuFNRDc&JLA$h1!_b*-LOa~^`SBq_$==r}_M9c^pmuF=Qm*bvcN25gw zc6MUM-Ja>;D|ItJpIC_+pcI-6KY3bwd0))=V<6~Xiz zxU1D7n_$L#GyHy0U7 z*>ju%#Xr`J-x&A5#|m~2+q4}l{<{<(_()b|JGSYIUu=6MT!-ix<2&Ew)xuSH&Ph>% zoKcV9f(-r+$h!@>Bk=xz4u7@?S!&ilK#FpigN~7)nvYA%bJuFIs>yz7RV|eXX1%yEVk*H znl!RhyMU{S;PhMTnbe;z{Nv^5ci8lGPzDY|zp4S(;}N^7a!mtTHKiDdO1Z}dnS*S$ z4`s?KJ~vGkM?mB9J72*?Z=fcK|62b)S9ErBSVs&?AR0!vDH@LC8Latp1_&6a1zydV zAu%REOvU`o?*6c3$hI+@a>O-1mNpA!Vb?pbm6iA!i&y1wI-y2cWiQFpgX^eoMlcAC z8gwTc^$#CHyHSlSRKWQKjCQjO#xcRDkQ$Pp0#C>{M&4e}TG>bP+(tI=T~WC`$5cax zs$paJ(**vqg4q-#4|ZlCk|(wnThxYmBF&f+!W+ya{kX8)I^(8#MCleA#6uJD*Mj|F z?hH*Q*rvV4n&t~I98-2L{AYcaV}F1fpeHeQo&%d##%Jij{qq4nv?o@^Y6618%f3Ey_M$aX_M^{^N8)=Ns%3idt&NLZNGcdPHO=_crb)4xRWn$6$=Nzn;WBxz81!LaEg^!fkXc8czNpIYcfy=Q%j94L8pQXVV zYI8K8)EFDQY$t}p@_cl7X1C>EphHj;GE$J~ABGfpuR|6r*tN8UqouSQ*gfGi?;U&` zh78!#3>e|6@JnP58G3tab)cD7UjGr1*tsjGA8|-htnC!1;5S zO@B69lAu$uFPBDEa7U`RL51Ta`}YX?czVB6b!O$H+f;m(N>99ax_>qVJl>vd-dQWD zZ+pY|U_OZ6H&WNwLq;Kgx!p?%qGEQ_DacpMo1WXxG#5S%!Uc;MUHMshhZhLvZ`(*gQg^1=M0Rrk$@750g!moHm2RmB&6)iTP4sf~wuY=25iOFQ=1UF=-_ z?KlmFn)X)Mb2VuSDpp7+N;xWWN}UXa zTZ3&7T2&WqS#_EbC+H#c(o5-aE+NSX-x$vKCI!N0!wDQmRmxG`Ml1?-T%;Ufm4;H^ zA97`xGdX?ljk~(W+Kw~y#Kpnt%a4k35%+ymyYLA)0UY?{oYrKSOsXV>ZIiWWarDnrwt&VXxIRu|WL9kRc$ zcA|O^aZ`I2u7-<;*Ph5{WzV&=KKjXan%P@&-dTe*Uo!E*EZ{HpSo`*R_>BskgM8*< zqOVyXYtJ;h6MzUXpegRv!e=cOs?(iippV~J@y#sFXpZVxkos!kvxee1rYx)5I2krI zD0gU|y9iE(?2A%4#h;X1jM#@EF1;=puz4cUe+0DK{Ma+VNON!ZHU!{lmydmYfi;*0 z4H(-ScdE~O^%>O;fk4!>A14$We#vCV5OrJ4%D-S%O)XSpSK6vQOg*oAb>mKczR)Ai zVzu(zGvBrtwr4mD`Lk*`@y<`mVPPR5Z}5n3=CVs@F<+w*P$K6t%=NY6w4@n`?+mb@tBUOGM6jbc)ad%m^R`!2|rqu8Xrcu|@u<3QA3L1FQY z8kCw8btDoVXEqbf22oyM5=+ahjT)BkpTjYQ0!X_vvmt6k5GbP#CHZ@d17Tpc1qs!* z)n70Gf$nKvjw-ieJXbi&0tpw^R)5?Jowq|U6?6uyA{>yY z0bi$rh4%s(Ml9Ht^}c8GUzSoe9q#&gd(X-%=|T|Rub(WmF&)1pq7fKa5_VgSF|6}` z$PT>QT7s5TnHfu%FZL1K|1h$d!BAd>O`H7L1%zYaYg=het57spWnInVAOyo zRj!8jT)5z#8=RWiFi?QoB3%GCWB+af=*YG$VPTgUJ}`H!8w^{GEyde3Y|=Ae6NpD$;LkQMzt{U9gpq%SN^LVBcz?Q(_G-OJgWG zJv(!73{Zy$Z|}meNni1;9T_n|)QPOOTr*>H+zQmac73*+DUQ7#ezFX&W~rh3q>6w%>LF(|y+eXHV5ykZ>;zP zDd|@cO!&aKW`VNTZ->orJfLwvWyeV{t^}8R4&eE3Lk&K;+6Y`<J%G}Za(2T$P^eXF`3@-Y13QVG?J1{UBvQ_B11TO2_rs$YtTy z#SGnI$q!btR^A|aE^`Zs@?uHZ|7Iu&aHuUOuR}-$$HR}gA27;S0F-<*YbFSJ{W|Vo zW4z!4CfIXc6%&03!l1;i%(@qmRss*e4U`BfFHGjMdMzUG`t#G3sHDRP$#WhK2*a~- z{5d+n4G0h*NkP&*kpIxLO@_+eM_c4JvQ+IknM@k|)qz6MCA<6=)#%`Yz~9`GnXlfe zBQ@yvyEx04*LX>A1EdzeX(^X_f1nf)1PDY2CuFyro(n$tT?3jlhGre#uQo>3tqZAgGKQXWmVV!L1RNmS=#co3kDKUL;V4G#OtryAHaYA;t#{iQ}#LDdjrG^ zMQdy8Tfe;Q0QZxEFSn%gZje!e?AfY}zC2mzi)hG_kA5D$D+Sjp{pO6nDiDb5*+Y{Y zaX@k&a6V4sDz=1R3z$UG>hucuy(Fmh88Ibc!K8cRK1@`>hoFr>Rq>u zvB7)jY(wYRE}WX*Gs_iZM7(Fm`~8w@zqjY2_or@(doFL$@jSu+nOR0ZlE-8`L!+#n zl>mW-on00Gh($Sq48;oP>>A1pWE~P^CVEZvPgF)D(IyO{zOpDs4ld9-2wo zZah(^Qv?a^au{sSiC^!E=<9UYQyMgry~ze~xvPEv2=jjey~aNoA4ot58t-#bfXg6p z0vC!JE4LGk(HpZ+wEUs~t*{$bNxSG2oGufN0Dsn}YRB&(p@5@5@T&z8?pb_)fi5?H zH-G?p1$_dYS;7!<;<WDA-)hK*@$ zXa7Bh3^na@nBsZ%Yv$YWHYT)ibHb8rXDsm$aL1Lckl;b8;DLJ(*8=L3r|HB`cX@DEVW@Y#EsKu927O7<*0TYllAx916Y35i=*ZDlz z7~?-Xgc=`=-9-?LC3zmKAITxrqMupg+lT{4ka6NW0=N27eE$4%9ySB3K}Dfq&2!$V zXBWHOl2!JD;MQ5c;qj@(WGKySr4JrqFs{iDow?6c?8hrQ^9~F3J|HM&4h{s-W?T-8 zhga%~XdQ6!XMYYJ_t4Z%o$(Qd;)o>y2sHm92>@wTp=MzXw8Bqv9X|V>d1me-nrbf2 zPx3!PcgOT4@~3%>VR31FNa$Ljacvc?q_0?SMUio>e!1Aj#FtMi*bEgkC-y)V_q0=7 z!#}Z|`0SG3Sx~#5l?CgFVTcr2y34^T$&S$3!`y{tV;qJ>kEkla#Ex~HC=G@vf3ix{h&_ALdvY9 zhM3T~Q7C$~5#fNR39Wj6>EhQ>Y{JlYy5XXfrh)$}cE9b!MMW{L%d>iwj2cwD8)kR| z>)|<|*AI>3HZk`0@k!BFRd8}`JWPMjs$cYMYsyF;1h?i_H(WhaWDm5#RjfO);Dfp9 zj7%N|<+krF2qYptjlb<1kAAz56nlPlvW9T{{cGmhQnTZgw_w$6uh}0}@@}x0^NZibxeq8xJgV_|c5<+Be>dZ!+gE=~d4f8C z0WCCu+?8^xrTagCG^dT?!OV`4o=2_gcXy) zllz(1-^{bam#xR&8ae8NmyPALj!Lq*giRE6E(1(lcAi>jk6&vfywx-G@nCbZAhh@@ z1=o)%x3&3p?s_dw`|@WM2>{{E*x|vpQe_j(dYRMSyWwMt;$8<$r0$VtMFy2RewC@* z8SCG~4l3}GG`H04`j5fwXWIc@SaiJ={^)b^%!kTa)$p^kX(c%dv-4QtO+^43WOC?l zGcouYV)6QaOau^?V7n2J%W!4)bPW!Pf$Z^(sQc#f4abVHIomnX%o`l~3;Me5NWi=E zKU^!1s|FE=FC2#Icni{6{nUMdIiDmc8Lz2tLB#D~LL4CN4HGm8x<Sw^kUidNr6niNzS_iTQ1N3fLUOYLS^B8`93+voAc;)+6rDW|Dx+rd zqgI8e%13%+Xfe=9;UBUGT1Bw#A?M3$s{_qkV|1jXfXC_j`OG$jJS!0IwLP3|4z0rA z3x(b1Pp?(bc34+eip)D$Joy-D1%??hrM< zA)>zXEb)u*_ATYf6}tVw*ND)Z<#ajJOwgaX2Yz6r{F8Lhyu_m%7K_byM*DXK`O&U(eWy zY~^J8u1`-_G>jbKJ51hmMFPC&^fuM^*e0mR1uValrji6)hFdA!u9Ble?R7)aUAi{}p!$TY{NFKYlunuw-h|e4-V>8S>yqn54 zH+nafcgZGfwZT^-cOQdo;#nz~qvZWpP56yph2VN+XV|I=>Evn1_yIq1ymm-@K;L3N&~oc33p}CPH-XH0DjwOx zSc5uID?2RH5|#zSLQ@DPdOgb@+Ls{kHv#m|A%#20lD3tRbLuabn1K| zzPLsk#_yl*E_DmOgq7`AweWR|&BeetK!A~$&Q*UmTRW7an)X~fnDSH4b>L$I-))r& zTp;0)#+q<1xdw7FRO$>mtKh^jeUsW7zjM|yr5 zqBsWnB94}}jlB*h-3PZoB6kNQ4X%2^hpPo8%wLKDe_7l0+aJ`;ra(1^QVcHz$dwD4 zoSz{xSbc z30gDYSpb^AkPrcd;7&JYlo=tdkXpPRzunM4fp#=?PIuMgWq@Vz^PW44odw;JPE$3Y z5JpMgONX7|7OEchAlL;rg^9DIsEeSnhDe$XBHo3Ll?M3#p!AF475{7A#0?3~)_5Lg z$8M=ux10T!0Ux=DX+omDZQWvI9PD=AoOlCrsS$7bd_wi!S2lo1%NOSWgstMB5qWC; z5htTUIbmm^eTf`d3bygs4xpJpEv?dPSchh1=sdX1IDFaVat~yo*^5$Psklm?zNkL` zA5whyZ^Pu0LMgkyQSNd7$eya*RF3WP`@Q8n=ie*FTL6iZgqGIc7_L_vjy;tK=oBjG_TTj`b-`PY{v%bqnyWW6GxN4|AR)n;N2=#4oAA@+$T}`L z(K6vdk&a1(^bYP~yZ~lVCioL#Oz~e0~q80|FKNpJ-qs2TldW{(vh! zNX5I&baZ~Q)$FNX^)yd&Yj=Nt&>+eTu#7lRAmIM!xo>*}z;6Bj(;6N0hT=)?8@f#7|S zV3XT`uC48+3zQHKE@*riRr5feCQHr~-b_4`B01QJ*TydsoJBnMa_`xX^kmZxSWi}! z1J?X41J-oXd$0!rf5^~_>2jNX*|vCYClPq?1|ZO7BsmA!X}-tu3bE`9&h+o!!#a$= zyqwP)eU0pyt3`eJTBo=+X9d`nM0t!)ivp;Uu|cA_A+B2Xx4zur2g>Q+OF`(GP$0fk zHKI%pvA^oJ^7J~-D%yfFS1yZvhK3y7fz=|#u)l( ztjXC@bvZ^CEPBQG#E>I-tQHoda%AlY5GB7z7FV*UNVad`WIV(*dunyX>x(&li7>Y5 zW-b;M8y%K-=)tXAA^|Z~JUjN*k%qX0!#dP6-gpWq z!IzcMu|$~(GO9lyMA_8m^DVLh$)no&ZxFbA8#c-ysDrHVH3o*J8_1qYd!K!!1Z2-R zI@wPGnF#hE2NrJ?4ZPNTLX6Oc1#)Ivu1n$d&lrBmCK94Sjpz>i_fneQjyDXIwOr0` zmp2wwfB;PmC1@Gfh8i9m9rCeT3g2mZMFYn13fbci>(KrbQ1wIepM+_vOSbyi#yrUU zUt!^0lY31o{MOSXnpt`8aAr#^5Ov}BIq>O9U+ezb@WQm;MXbhqh3&WC6GzJVAB=jg zKIFm45WI{)FJT<^A74;T;=fheq<=L)ffBZJi}9~Y`B!1}6GKKuhM9Qn*}=F!;W?q=IUVE#YN9?!HO55 zSG?a4YKEcsO2RyyaF7rA<`~&iZ>;O)@^9M(6~Es<8?eykzk)pjs;UE3#|InjyDvw7 ziGX0NmD~%$?ytA7)=V(VCqNN)bBG8DnUMh1_B|3%WM{tqN1^^Yk3W+=A5hA1GE8b6 zS2I=qMKHoq$X~$RR=d$L1YM>hK!9#gB?QQ{7J%^lNP*fg-#z41(pQ{BE7EZ(6XiU*Wl?Ds-`U+Q_Km-)m^92(1GF`gq!F zfAujCz#oS2j<7-!iJTUDGNjqDLdiL2&tWP?g6>;WMO}#?$6`5p4{F6g{BpQlIwfe5 z2Tb;pW|mc15YFv=Y||;(nSWb-=^e=0a0xeH%i4bR&NE00zz~|TXMn=U9x*Vz2$ejlJg$mILYjswcKzS`9mojRD>f89TLQ?l`v!-h>1XhD#(99s zevge-o?+66@-xdtST#}qG(qs)7SSS^~L ze&`{P+U|xJ4G9&W_Fk30VgRaJ}sQX1PYXR zb(o4vK5x!=s{A=V0{1o0Y$4iILv}DiVmu52VPrt($-f&d80F!?&))tv0!q5pdy;HW zyY5{a(e8pEikNO?gB(B+Xi$S&+h3`7c*MjEiUT+Ds84RpJV}VRCbM)Q*Gf{7EufqAy2{7Ivw18z)+3@jk zJ1ZWj#@F6YnsZFKXT%OMO#~Qw5=ETPB`(NXd;{f?ysu8-@b6$KbO*AncJw~pxX*9; z-Gc~=tBoK+t&~WQ*$@|yY82}6e(^diPTC6Pu9GXH?a=&aA@B1LNcJIzzH1(Lv~ttC z58LjPj2KysS2*k+F)Jl}-HjM^rUC2CUg%I#R85L;f^pA%OoYb$8 z5HynA$`u5tsmap$ls32*RU~twa`+1@E`FoG<@HrF<$s5Vc?ja?+#Dk?@xF1FoqYBdIFR^zE?vJ6ZG~5uW%J(#jt&JGztWDW8=w;V zk27$r8^PJ_gNJAPbv`F!hPXo>iyc%x-W6Fx!mf)-K8e+uBgx@Gls(`4tgH$l2-XY&nIpEzK1ytosl49!ACXH5AMsz z2%k=LsTJsO@{>>WzI(9HuPUjnlp+#4N4+ym6yI0p;}!dzltsna?>PhDU0Jk3&QeCz zTqboL`EU>?xlP$8zC>p$Vw;vG6E#_rmrm~l$$&N!DC$U`NQ1)a-$p2w592Vd`JAuT zQ0B2~SxcA02nslRJaMKPd1$8rtshoKS@+BPIn^=>wPB| z(%-{gw_2t7WRsGTG6EsYLO?75Fu#0P!X@KurNqywH4txnOowFN6mc&dg&0=&A8xG_ zQ0?BQFy5c1_9|(y1S9?lI~KF z29XvJkVZnf>wmG|?|Z+!*Z$8ivtnkg!TY}AJdfjdoHvabyix8s=%fF$7K}6Yhl+>- zp-^YkdHV;M$4+T6A(CkQ;S_b`S;`dG<;~6R39u+`{`HH~$fp-*PV@BS?{%$L&=gwD z)fUDl=$w&K{sw?eBGwcfnQ87>U^WY&1VH)H5uY9x7dH}2@C*?R7U}%qrpK91t2!tC zqa__O?=3P)tw{62v~m`eTv-46;UbCt0GFFj z=hNpec(4MYp};6osj7UGFziZzuHVTRS!^Z-HO@>&(XD<9D;t2-g^Ef7Ay&JA2-HFj z>ar7nm!YpoRC<+1f7Bg>Tx&H+oyP5u^;i%+*r%pu``v33wE-#{-zp=R+qK)g_Va_3r$jhhJPj; zj(xDFEOOnS2mdcS#*2^}um=ljg9u?LVwTRKBG9t2b1u%_A zA&=aztW!W2$p8sP)Y7tl6L_p;tx)w)fWWMG5irB$5low3{9Q}{#G zFazB!7Yk)^i{Z#NQ4tZx84&H{-9?0))(0qOZOWY-L7l}lizwX;4$QV)G3;O951v3+ z981ZBGH#7!QUn{ao(@Vs{2;aWtA+hx@f`*z$TUr+u<6x8Z+hhMSd9Y-`CaA0^qFWb zTg--&u>ycWjtWPn8&R8J?hX67c>EMqiPpPp1I{-NkFMrLWxp#>-40-)LNFCVWx`QLB9bu$L`g8R2uU=rk%)y>E~DcihR)2jI&bW-GKQKv|xTM+w^UVQs{2dJrfx|3q40 zLj}n=RT@h@ssR5|!_mKb5qc)~YOLre^3_<`H2bc-3029BJ0emhX>T{RbPcg8*4K_a zM!BTerE$y&i}WBox@gC}YXv`AB&(cUc}SSW3WWv=d_>b#pxSn0<5;6!RuNNo2qhndJav zNZ_fDGQiCMSq^7(uV6COoAl3L;+Hn1#||GI^4fEJJWw)#dt*kIK{P#Q;@y0`)kq%& zM08IPNz^%(Mv>S9NcifqW@->)e>_lA6qTi;p`&k|g(^YQMIO@#{geDwKm?H%M7jty zFF`@-&RgsQ0>QuYu7G}MN(iz%^87PFrLGR~@c#o8Kummmt5#bMyLs^4s4(HtW+?e=?j+52H-%BzFVoeihfW`eNAQ{3v3C1E1y5|`#f1o((Ky))gqRO zwWEnXgES?YD9_E5pg4bVyp+LK{dW-YkprPeVK*Bp=raqD8mCsn&YPh3I^QcA=mWjx zv@7gMnxmiZ&3==Nl#%f9t&fWxB8W{h%-k?E6ijQ!8>^Z0EA)o znGGf80tEW8NF;RFE=XhiU!f)YkIZK_HE?>uw?=B>0#^ASB)}?SUNsQ(j{z$(0BVs+ zQ)KFT)7#~Tdzwm}2AN4)a0k8lyWDk9izEtq=|>I`sq9qyR+7)}P|P;)JlmlHD@oZ&$|f!X(F6$ywCarx%gg~UP~(A_JAfr~b z2(V==w$nvmsr4zeSX}DV-t{t#B=@S!25odH4!`1sS@asOesvBOxNWoboBbCcizMuP_H{gE}19w;te%Kep@EzXY-(!aVjHX4R7BK<#qc zn0v|p?K|K-83Cu1fJVe81@vLN#)%CfIgi7jr)tkc2lC`=sDHAr-^q24A%iAw=q zdC5%sKnC>{DT46zHbqANIB~Pk<6YJ|6|nb4ovaMmPFj3@AJhW1;0|yxn8S>mdHJ1J zO^v2PPXO3g*SD9(Ps?yCJ%BI#sBsX}KyF5EMTHidC%dCft5vO|d?$-i-0cQ~#cpoq zD;IWn+t1i<`0|(IZytoCI2?Y;o~&hUc*S%Lki7cCnaPm}+@>$_d1H7V1&fs-udo6? zF0L`>{r6)F5GU)S5WDFFNWeDw1gCZg!W}CsD@gdSP1yOr?|FZ^t(@|?*z4l2jKA?U z=)M3kn8!(71PX?uTUk39I zl-f?OsYLiEzz&=PDa01AAcd%~E6btE`>9ibWA8!c;L&h!aSXltSYv3{z{S}``iZ%U z$$&wkXft7}?*!8_M!Wh3tHp<=vGOb=LQ`f;SsABD=4W6EmEvwLdaq#<-SJ$joptB) z{`=Uh{QT+m4w`n#!q%wx58q$PZQ<#N`;tCi)*-LV7m_D$trNGzQ2ZZF11y z;NUWP%F5O}sf^LOhBAC9D{0 z?2fv{G!Heo{i12;qb@2c8lU(M>IeNWgB{G%hbN4Q9e~*TRz|N%1v7MXd)u<1BmLJm zPEZ0_zDchJpmAi$>u&-x`)Zu;40M}BdVPg`Zv)$pmqh9G${Xn5$u=`<4sGr(iTI9+ z_kOw2O8W}FQ`Yc#bc(53;PhbWqkSi#7$+w_EL{{kw5pq1x^8vV&J20Z7a6yI z6J2t}b>A${2A__t|UjB{s8?@f^!Q@?9&U_DiEz%=8WZE2Fy(N zLp!yy=K8|kQzFy|SI`WM0*!>~>I!HbMf2I#tI%1*dMa_VTo-ZjPRbljQ=RX3yW9?g zhPW()J0y)VnTNRm6L3E`MeqD^0qbzhjc0296m;x*#AE;yTwtE^%z-nxN;bnmicuN+ zwTtFS3%OAYjk8TkmyLe4Po)iYp`Dtd~KzvjUc8uX&wLYl(AOaQWf;@!;!18bo=%Bn>qqBLEqzW7kDzbN69RKsru6*bto)y*=kYd6x3N&%P~6lEkEO~=5FmVW=U;15vzgWOit>Y z%(Wm^z&!MALK4p}5*i4%-6EauC<0~H7#cJ_DY%mvj2R$<7o|YGde%(a-gSFY#XFwl zSbN#zX%Kyn&)>K=alj>=l^n3<{bpjf`)B0OCDP$+fOCsLjU@qXYr|yC0a*eKbFhL2 zF6bx!Su8Eim28|of2T%iWikje09dW=Bc;>?4gBfr=jX=@B>W}M8epZwP(r|)C@l!! z%H~FVz1Idr9DQj``S!QMU`CXB)XL`8mL%);2T+!mHGYzeCR7%MCiy`%)4z0&mHZBZ z-U7S}R8vCC2_Mc2=7C>Y9y1nVVq2b6GIzlaw*_j&QyUypi*9R}W@Ve@ICLMu%c!}SIU{~a8nSZjbmUekWKj(8R*M8?bSL-0OSp9~+>o8a~D`(}B_jp>d zZKH3WAHCe+!H4ulF)Klt#5=P@b}h1Z*R0JfBRabyXUy-<4(D9sLuzLS6UDM(J+bXr zzfIF%P&xOi-2tfK)3fAwQp+Hqm9w-UbAEkB{vyKWd-oi{FeJ_7|p384jCc<7;L4WT-H-kVT5WUO!}=; z43w!=RaKAn_t8SV46L%&4qz{3TK0F&-ko+OE(slF1`O&ACU2K@`n0+s@@r&-eocD3^(=b6Ac@R-%pc?uhillRA~;p z#DXNtU4=^*wSSZ$HXp*J6oQW!t{!APzB*2-{j;_21aBwp@IawiwVWt!({Iscn)+ey(8T=t4iUTwYJcn)5=5}h<|2a9f(SHR-^*1W|R zEst2evWDeMem0w#3gCeNC!}na+hOU`&O`z5L*juzucn&~@br0fsCYV-*z^JjX>3Gs zFDcz5tReS3TWNo{BKnxe!7N7XN1ZbL4GNu&$8_RjwDC_C4*Ba`IneCK^7ZM6(~5a^ zA6@<0;Q4*>R!+$-TNnBJRD0tly{4j*rX_6){cn$V&j-~Tv({-WxZw9;%s3X zl(OS0@hy;VMxmGMEYxWy2)g$MdUs1rrs_xKGb%`pp|7Jz>(4PQDfq53gN}!8vIit1 zl6Qx%&F?ObkK0Iw8A!b8Sr7b}TQbC?Zdh4{%TI(-QntRQbg}fx^d=OKm1G~?Yi_Z` zTVo_ly9aBzDpgtXdSAD9j$Cx!%IvsAK_8G@3f0!oj=k51oi;pela{*BiKQ3_roG?p zg&6J*H^2K69_h8gWQ8fDG{k+tNQ4lTe9&k+Y51_L*p?^^u@qMElo(Cc6uy-+A4#KW zTGiPj=5bH zDv!noS**Y%9;iupJ@!nuk+xGrZ z=T>K;7!GOOnmp%y%l)bS7#(Z@m;keDTu3PEP{c%?uVL__U54Si;3#m%V4$G z3YZy{?mO*4gW7aJ+P8n}AseHX&C(c?ZIl`PR;&eII@KK2S<%_kC(}nh7N+K0a3ok=Jh}&(m&vmf@v?Low?RKZ^I- zOCNmHwo{Gae%G@7hLP;KW9Nv&``U*AN2}NGYWd7TjC_1Dsdfx6s5_tb9t9$*M`{eR z;{V*XWHITe^2Cuu{2C3Efmk2)@PxdZOW||R8oN#>WM!g7E^|>$RY0zDuGVRHhKW|jDw66zgvP)$6_Xs}iHm%V|yaoiT zVgp962OJrX*$|fMpop&V;UlP}6ISF(@O{dfAzfouBg4O1!scuQZ!G!egtHF4<~A$wRY{h^8lU6VOQxlrrgxcnZQPU(j_3@;gXJP;W*1!M zZ;sl(oGNPUR88A2$Ri3bhmR)S4l9?}T>?&LCOcn@>dO5{Q-$Jv=(-gz&$%<_U+kM} zXP5QcUho-*UWP7pb5urT*^a zmJ$$~RpKr8Q-cHGs0xVPFBYfR%>;D&*f;%0uS>$eiZb2Eu5blRJx&&LZ6JN`>bYAU zRrAK9H)=Y>^%E%Lq(%>MPd#2pbbJoX@yj6FIcv}C2UzhDd*I4FMZYop${2s*;TtOQ z0>aH6HZM6p-~t7F_er!owV6?5V#qn+X<%$e=zd)-aS~!D)umG$m>HjveARdmgB^#! zwEO0a{9B$5Zt|p2qIF!E*xO!n8REQojBIg3$z8G?!7Ua8m0|5#&yKR-sV3Zg@fvi- z;aA*Vot;GLZGu(nWXT`R;9WCvqK)qRR^ppnB{#4>5gm+!>+pqF!Je$pPX}8jYdH{K zWmWG20|ox6R>s%PeF?Y(6Agy|ERm=uTHYOK$3IF9sca$=eQ8n!3x~yL3i}z{>2=-r zSnvQ&L9Hr(2dR>L(pXoY3PKhI?3v=GezD%)Kt5E}$1}1W29{}=uxcGW6x%P>G}dQk z?ZxT=G%y`<`?u|2s`=w%oZhPZIT0d<+BZ>%^;&9|zC3Cr*FerJa*>9p$@YJ8oyqjZ~u_*4jI+Sf2C_%JlZ z5;!tB`Ca>T&EvEucill+Ub=m*(rc2gowal@$)GOB$^H2vRAid1ele7%7~0ekQvzg(Ls24yh007N0 zW{x`2sYV~*FYY{LnU@p=Zk06vaHe-b8KYbU!{oHN zZ@PJ&&He39dcxa3WiDz}2Kwp{ou*kiEuv6X_+_sH!BVXn<9PBPMC-4_nlx4-5R#jdBjC=H#ln-s5okrZe9bz?QI*I)5hvrn3=nx?u}4d(s| zyL%*oR@;F)K<&))JUB`b@QabV?f8@4)g`+^y(797Dp+jB;$GGse8Zo+=r^oAFnz|@ zwd{3@-r~Ufw@l^mQd+$bQlr_vVCjR8oLs4vH>M-jhnw$#FyAWnqcd_5~AB8|c)T7Z}DYDqa<<~TYPqW(poLy`}|Sf`}E zy($^@JRYQ(=J`-^-R6B&8{Zb&HG}Pc`Kv@r44y2N!zx}kLPXaV41{_9xx4`F7-&ce#OkN%EMg&gQ1`p4_ZiyUxJhvUr?QY^$E|kCx>%b*@VfqCGXJ| ze#Sz`fsuEoRk0f3b*meaXri*pIaPL!!6fZ9m@}h!+Jj8;-?y{0xk*njYOB(hHx~c~ zlmhN`JQE^=dRc)clM!#%?Qz5(cq70_CPD(=eaZg%^{Whh?kmiNjG5yVW%w1~aZES+ zUkaqYUizKNY9hzpmqQH!O!$du$3bavmI@nmU-T*clb6v1kfSIQMCC^%J}fK@gM@@6 zCjKKL16Acoh%+^?%!oOoP4gw95(j3#GktYaop>9n5cPE$&3Fwx7&oP4bEMZIv13Z{?P)-pA% z`zHO+Jsu5zew3Leixth~c`PqFDu{a9luom0FnqfBffQ0JhqX2zw3I)A0cIqEE|1 zd=Xj*fSth)PoKwAA&sM{zEsgF(grbx(XPAmjQg|($5DV8*draiRfB>UrzB8K(eHRf zl9_<-0A`NZ)01%l$GgD?>ixYFVgFs_f`v{DNvJc9iBTTX6j48_Hx_S+8SXRra__T( zu`nd%`Y>_gdhhf4Z zrJ0jeO9E%bnMNg*HFt_#*TJ~3X2!q;Ekt@k#0f-zvVnnU{Y&CM1&v4BIX)Y8-^goo zB+YC*Ph6(nQa#9>G$uI;xOd5b3+E?1kk$Q;K;oSvjQ7YVTEUay33}Gw^D2u&lz%om znlxbr8W|Z`6H0lbRrXST%K^hz=*%#_7e3~nWx5JBds)9;hRu5*V!Xf)1+>igw`Q-u zw9mneg3|e}RUVi>)y19VR=N80B5;`oeHCBk{A77deq>{ibAUiX(T(?CYKU&fYxVFT zMYeS+l~(`i-B2kX?Mj5G6j0oi;$*eF3Z!|_g@Ec+Vg^GqmV@24?>8;hnAqQYP!A$? z-!_KV$CE*lDEIiJeg1Y=B9yP;gR1IM-Hr^zDN^>R=w%E0>`uoxUH_&y_6PdM{>-HX zs@n@YZIg?C^tq3@Z;9GCr4ry4XeTr4(?5FrDlhVoEJYEptw9z@k;6Xs{2m0GMg2&q zr3l&ozLZi{o3~m(#J9k?`dvYaf`}LgAdv0s=lfi&eQ!TLgO_6&B=!D~zxKQ(l3q&g z(iV@U?$NGM#t+qKpD*xfw4N^GWkTS@kKEytM@zpt5nazaW17$AyCK%&um977F3hoE z@!kTKs>c#HVFKN(Tr~Ks-<7+m{V>ejz!(V-#FSWaqlAr{#gM}3^%j{QR(Z^BYfEfb zXKutAdr^KpD|ms~_CLwkajH^8SCoU{$ly#K<{}txcqR5v-VWD5cDNLX*a`c+QQKtS zj^bRO%Y8Zt<1@et{6_sXIe}IfJgB^PF{K(e|4@Cwn5KLb#yAL*cV=&~O*8udgkre%ebWGf0lEUoM{H{_Te!=raTp(FDHu!{ja*<4 zNR(d^sl1qh;0#n#cbs8*3o?EO%9WzZL3(V*tdo zhPt^v{BGCq2fWfW-%Q1c(!iYpI9*atjUBO~>Us!Q_n>Ggb`T_Ix(5uQGJ5P)mR!QAvNck~V!5={xHSZwY`>E5c0dI4WyR*6Z-zjpqTBcf zVXuw9L8!dQxRvEor*82k)qv8e!Mh#p|L(4F*=!EIYbbuSYdDX@*I6ovtg1y0YRuTB z7v&}WxAx5RVTIzF&2QdKuJ5}p8Bpg^G~!4G0%J6WRwlR3W{Z8RrJl{l)R&17Qg^EB z4*ot;J@dHlbbXU2tV^M9aI5u7K9%Cm+>dN|Um&OJ{^av>%pd04Ju*E!F!#fsh-cSd z*Jw7PSTu(#HZn}lHJ2H1b2oSSlsmPW)D6FvBBz*Lic9^iVX=t=F($ z4zs(vIf-5_c$@!s=TaFOFZP8;^&CI{U)uSAA4k5$Bh9^|L`FctBL)1hV;;cC z&Od-mQ{tB|B9pSO>g-ejMVEPrCwfMx)3PhI{p8{~@^{03B{^3;)#by)_F@2CvUIrh z38a5Kh2d93S=pwE1Q*Qwp?((*>|)*wO^Mw}JHu68=ls8L^iF=rOf@+XUZ69~4DQcb)2bzfu-1^_ z^olrh=`pf*G!dtYn zA`;>ql;tyi4s()S!^wsh12JHvxA$davImkYA)UvrTio85MnZ6=*I-`K^59wXOi_(p z(?gPpUsiI->@voK%JjD?Cw96CU(3CkTvE!JN^xW*v{%oVwCxTw|N9o6ASXx+_zWXB z!SILf>rM9@%cc~_rLhezb!i0)?#xs&JPtBoB2{%Jln8}Amd3K>csHk$iX94Q)^FuT z0+aH=m8yJ$sKV6Z1g%BwMq$IbX#ifa6*G*iNqHm4B#JBV5#8WMr;j;vjaMz^>v%jp zcFENVD6rS~(eT;6mBSk;H92Cw)$VdQLRwX=ZZ@S?Q(?pLPyps@qoR^F)&8pboYl1F zSr4Uim~}i|LEfu3KUx|KVYdQ3FkI9^`VpBCs zm<;NdwVHVi(Ryc<=IMkPw^%B^QsmI_{XS_N3v?4Eq2BV6vEQook?Rbay5Sl&l-l7* z5qJ7ENb!|=6ptBmvyS{VrsoqF@fg|23pq1kSHs*YyNp;kbYGKw^uIZM0$P!J{A=BJ zyvQ3=enEU?IbG}YrUS476_lF$)rN!u-X5xf*YJPy(EkhI zDI&x}uuUGTYfR$Up!@rKS9lDa*vAPE*2MGENg9V;s`_0`K@hcmd3TYv! z-ICA6q8e`CWAOq$debXZiTa)d=JZ^XJtx~yVokl8dj;J0u=R=s`34+$T7)&} z?wL1J-l-%9JvY-%jKcwk-;T#+IC2T=lOusFs;L4!F%kZz!H70q{v?UAo{)r4va5`V z(jtvd2FIVU&|2m*5-OF$el$qgi@3BK$2^B83!^BR

uFz-rjzzqtVv+rNiE|215* z$N6W2O$zhhLyPzC-;=L=MEY`g_-?MrAv<1X{&t>6Z{9t~QXMJm8EJF{ENL2y5=m0C z0u5rBPbZiR(=mZOd9>EA?P2}2{|&!u>bnso-q5ngF=zCSC{I(B?zI(v7V|W^vm_&g znFoVe>EUZYEgJ-;!t^<@fctBMGt$O|I6|=o(oHa!L!H7n`z>!eo?NB#;2t;=)_mX> z&{dtrv`Kqy2Ze9Zs$hM+;f;f?K|}?l1;q2QIuR0*Cnk%~7(7jAk}uTry0ul_br0Iw zJ0wx@vK!-|->F*QERAuvi3YF1?n~q9T`Ea8bYJ#)55-zrXtPiFTA)esFW4}I3VvJ# zs~?qoT$czBKDT2g&*!Jkl)KG%sq~)VzpYqstNqWS9a{n7-9!+2-+I8_A(%V_lD)$Z zbNuLsMU{=H7O`I;h;xW@38>OPy$*=ZA!JW=Xen%mq&_?$GUQ%7VIlgVza9J+^*u}L zhsGb$xrxa*I@>q9f{obxHAK3}w!FUH`WNs6wXt@Be0;4wODA8RqlX?x0?#bbj8rz> z(Dy1x=<@gTuP+q^$cBPzML>-^zUUnXT7u)wIw%q+ke3e+=PzT>kCvv3^QdZAf|n+3?%@zaF1EK;By`lGHS8AWw6Q%1{1gvcX2P zrG5_#!q^xOaQo#LGJQwHZT~6u19j;L`u!3ZbGB7;QRW4s^+N2i6eOFoHqmL3KeBjr zX7O;fv&*;gtl%NrjC`1n?4&4}zYBHkrv7K&l+%`h!?*K9i9LHG!aBN|m*rMOefsau{KySZRsU zU3M1r1)3Td0-Ao!C(D11cF z(cmB~c)GnNL7dN&VhD@MTcg7K=4C&@5n#-&t89Gotm<}Y&3Rtw<)0gCJbcMqBMhvG z*PlcSgb4yA@w>M0{)|~334m)zzn?1XK!^J4VWwmu7rSr&gj>d(3ZbfF%YMN_|4FvI z|LNV~{ow^RafVa~njZzp8j0^|+~oxbTbGGlwm6@Hd8&VYR`vgxo?Xs~GwCM~j=($O zJ#-|_Or}_}@K(^R@o~%2J!6EdPyvhYiGMYYQ{g-6{Q44dws4}#X=E4#26@7__a86c z{!9E?I)OcoQ(*5vilL7@f3|x(eUqL!S@y8IGI*#>J{Nx?KeMmAnq z@8YODOq6VCHGf7J1?D6jA7`=5y;%e1f%X^7AGFV2rf+#2-ni^_9N(YZ*b-t(>N0nH zBKE$-s2i`@+HOjq`E!M9Sd@l4!b$wR??9gq=kh0p@oP@ab_>TyukBxDny^#`rjV2$ z^RiDMqFyIxG9Trb=07OWeGumE7q)?jLPVeZFhY1T_vG>CUn|K)47%A^xYtOu-F+27 zTcF$wwG37^9T!au#qbwUVdRa-n@mTRrWAYYe1}A4lfU8qaMgham&(bjC9WmsFTkb} z86ByZOD!*7J7SAf5F!?rew^4Pd#`XMs2zE}9`|9cax}0ig=A~=IB;rHIaUCPtI-O{ zu4T{f{qi}2ia{VU3Dl|Mn{@T1Svwp1!j&Xl{`e0 z{U-;7+R*Q~6e#$f$&;KOv?n55|NG@IL2|JXB1=XB`fs67V-FW49Bdv)bQGUQJNGay1%(GC?XU=QKD`8DxI!#=!9<3G%)}gIPZn1)Dce&&KJ_UMkS=38+vlPJ_-12UbtKaxLF4&EBFq&QWuLd zT+A|LSn7Nu@)?m}m<%p+z7;NW-q*EaRdZjrs?ML%2OO#MQQA^-g8fRy!)VP<;KKR# z240A8c_V1n#Z474Y@EK5-0x$~)95i*_3Z!1ljZ%Ipt21;2a(Fzs;|A5!`hne3{OL9 zu#*4UyDhi8vDoElFPf!zT<;u~;Ai|^H@mI*&;)}3x*h)@EPk;SFPlZ_b}lD-d~w-+ zIq!>)2ANTbT$!bIF(1MA9o%1W*uO%}R1#xqJr!?T379n-2zJv`rE1ub+P^`|@5Xnw zOh5H;Ark1@a2?Kwu^}eIUZ#&(ZEei`naf0HZi^g_Kl^Ewzp1=#mRq}HUZohhw)84i zW8z|?#cq4$R~%`B(UA%P4nu5Np2OQ(9f;lLg&A~ap73zF z!4?e)*UR#?k?Sj%yhl+Z*a)U` z#t1yotSB7o;*)x~ymG z#Y}wCe;EHnBlY^^{6igh|JzBv;bQ>@VLPyCL~pafa_|-gvDMB%>ZI!tVXD;|v|hx7QTu%1l3> za9{DAll%SQ6Sv*E^$6ePANlIs@637r6$hsA{bX~_ePx>4+Vn$=`1k(dsxI-S+vSd_ z+~s$p&R?9C(j-$p=C=zGv^I}dXpZ_2pX!M;q!iU73$DEwJQ^j&c2Mosi1fB zYBNG!Vs@}O#de^z;SQGF@4HcHbJVfs-ke^t58Ok<-PJiPCV}^TDMrS^Q6$mSC%x=P z(0fo^_&%!X_sNTT1HSZD=7Hk@uK0PZAI)}-_3KiQ%9PK@9{eM{G`q@!!z+oCRZ+T) zxlPWJp;N)rJd#p3FKHW$$W#bsq^x@g=WrXwghiJ9U;FgEVCRLvN;*=WOfy(rx08<0 z&jl*cQR-grCHnk@27aHgT76db)6GEY>qeVj9%721SlwUG2>SB}n&hMdLCO7ogWp`R zfvz1N&#?%c%nx1n_ZdRGhwwfw+pjYPb*H6&W2i2X!r~WP6ZnX$2>8fVLQIeM5v+dp zS(I!qWp8{(d=P9Ja}PPMwE1JEcD;>%)euYC>6ouMYJ2GDwK5UWTlH=<#f^kdgYkgd zldbkCDFnOwir@WG_v%5N95yx3_p|(BuqwOejz_+E#pIRqhAzi$P2Dlq97Dq^sbShhZ=iwHl(u#N+#)d87Fjo`o!m9P4N`q@u3?R0+*Ki+#>px+^5N? z4GUm_F{m=aW#{|9{_J3bbXkz)9`yk8L-J*deDw=QJ{LVG;bH4z@V?KQBu2!9byry0 za+Ii{hkmD()?!*x~Z_~3GBN7J~Ya4N!waH6Rprv?3a<$?St)Z;@Nzwli< zzi)50WvgD?IMRtVCB{gjdYn=c( zN>1$TGRLoV0k4_dIHcpwj>B58=6<6KyUIX=rM5hXvCU%K8MZuZ{9`4l(~>z4ex($B z*A~&#^FzKnoE)o_>smQ)Bbpbcn)@yHvn_as&9Xi=<<|Xdv}2X;2SHsf4cI%HF*cmX zg=d^e6R!FCV=z$>X04X*dBR_LQ-zu$&$}LS8PON`U#qB;ueEA-4T3Gd?DWus52O8D zniW+N@9D?G0@)QN)^6zd?t!JAE^nksqw;&@t%sUXe#R=%e72mGpG<*`K!QLy&q4by zRTF{{xiu~gLgc*7H|6u+iYn(-Z&vl_`LXD;3|;bw3tfgLZU41O;f#FhxEKwrd-A{n zm9y?KwW4b7FHBqW@c)Anyd0e*L+sU z3nFTf>h*vi`sBRjZO14tBChaNrB?BF@M1c0_p$8fSondB*+okIdflo&zI&IBV0rF3 zJP4;W_GCiu)mJL|A4(k)G_qbw?WCsqdi-p0PndR@kJcvT559vaM`igD45~G7f9}N8ieqP z7>k;Wbo(14Krke#?)sG^d@jR&@KSBAYiC|~fvq=`63*aDu?iP+V(pPab&vmR*S8EF zfuv)CmM*8FHv%4%MhRDc8k;!ZS2WXYv-l{o2F)xJERS#`Nk-6CT`d`hU=MNQGT8@e zu$WmA9{n)g;42=E;L`T2F(RQ{-P3cJYK|*C6mXD-IxQHTg<#)F4&>`yx$g^`*P72& zH;v9N&C?j%Y!gU%*T(`30SSit2-(CcyN@@wk462I=oT|CsaW-XB{++>2 zg^V~+!p%-<(AojyOJ*tJKeMKBQ}%>Nt_Dyc94nuCoh~l51CbI&L=%aI>b4Gr2~Oe& z4rSky3z3}~j)3GlyuHN7#k7h*@J2Tb!*Ao^QZ++#_h!_8OpUGH!J~|N;m2;v8=OF{ z`D&h0)N+~zqoN0vJ_&-N%`1d$O=uAg{st*r?xaE9oNXeGdR=_c7*hBDG|0^g;L!H^ zZ8(x96Ur4D+Sp<+e80AF*1ZBnw!iOVp4XrUhy~6qC=M3x7R( zxDPOPqZY1xqqel1Qu&w!CMfcUm1+nvzj522mSsl4){4ShE@zuIhZo+H(Aoz(&heT) z7lHDz9+(v`84D5m9tvovnvdZQdoVLlVTwiB@^A=`#A04)9*iRV6tWOw9-!U>JMS2 zmy*NW4+77T;B@Zoq)pjj8+xW%WzOTO01(D#ybs0c=UkS=_vy_DzVBD}Dm{pABg6^R zJ5MMi8g7eCAsEi@o(2QcF~ajsX{(y*!uJ2* zDH>~B_>Bg73yR`IB@Gz553*?KN%x76V@U48Cx*S-TF1y+WU*wTH2sk2RBodQCPSqQ zu*hGXV5lTssKa4)+YV-WEQ>0afA~0EAWzlW2z#WFBj%}a5z>dv zw<3=&S<&_no7LJz%MA<{=Zw8lT9Zu>=M|fD0yUBO+Fgt=c0cjhf@#$+=Mdi>@r}+=ahI25%>R9W}C=2*z%A;@~O9m%%odBl~kgD!CdpN%mxmaeqTEFjP}af zb~XJZGod{kQ1*Ba(`z{PHl~CVcDrw<^)mMnD*AgYg|L+MW`oGkTfAcVaEWMj*@*ks z&fzbKzc_)L@zKL8$KD@x^i|a@zOSmUdLE3V)sbf`rK9TSPTH1u1Q=L7?tJixylD^X zCL@D$8;8!G{aH1iA$#0ig@tp$!iEEyhXstsOJpf!K1zOi9yJL*H&&Ose|SUIB&5EU zS996_IjW^ngN=a2de*Cj*Gaz&JleC|N59C%+r_XYE)B<1kR9Lp^ZG25w4oAZ^AlnO zZW<>%jA=30;lUX#;so-tbK^a3af^01`o}tXovfr(oR+B!PAGQjiWt22!pr28z|&pf z2Tbr?4h~y6aqhoy8>H;E8)xZ=-65_*R4EhVZ}L9}!%6SH{$l&Sk7F0N_<7MV5$?;% zkg?J$S#bvLOH!EknncyBx!(i|-ubDlqpFu{io(Ogsi2ype=uIGAJO?k^0>uySPIpB z(l8P8%(<+IU_9muK~}Q41?~l6A09*$TlW?tUoz!2;??l`)Yep;-6 zZ!aQbba!J_>s@KY@h$Ekli3f?>{A4z`CejKMrlK2{7E3`9=~V20Fv8y?=ELsQEe<5 z1x@H)E$OEw+o%hRH#FOv1lEf=Zd8WWhN@Ocs5XZXG2g>afq&bJhDe&!MqCU)cJX|hX_0D@-G5OSj4R$OGg<4 z%)RQ=qH8=syuWu|p4sa#6h&3CXPyOPA-YxSSL((VLZy$`z1P=oNoaN?;DDwKeKprS z>n^~5a(Q-%SiT__hx!DzjVD7HIy3jWcuRbCc%vqQ=UKx%kJ@3{ zqkrWj0cFFGRozf2%)Q{wZT@RlEzLyu zX*j%p$Cb524_S9Mg@%tFd&}gu85T4f1dMKePnW#+yOq9wxM$epb1u1EDRZi+{P40% zIdASv^!NAysu{GZ)xga^mNm(3t0lYP`-_w{!PC(ujfbw*L{!C`S=$nqfTbkwQXlz3 zvo<5;38zE6qj@lSNjFkq_Bx%uSd(njdmFt*q2CIdQv!<*dh;N%!rLR z>9qR8aTe4K$pi0zoe;^1oL-07Kf82gesPOaICZO+HN0PCb zZJ4pEClWOLn^;i4Yjvb|Gg$ur@%0v9QEuz|Fe=CZ0t13b4Ld#v{$`1TGy&Sn5I9NYxDGFxp~oq{jr1aY$7;OP_HrSU0>s?u#<@M z4Ch1}IsZvhR~rGfcMUb+_g)k+Tn+laPx2g$?vCB5t*4|aAad&`Z11LS+|M18^ObPc zWU;BXssl&us}z2ErB8bT5v|1%X|a3tN&o{<3?JKZiijKk<>V}#LLjV8Xz#ywb}J`( zd>h^Un}1$=1=-2KYNAw#bIT8JYmZ+9RXWNU^9P?gtEh>3@zpC@%sUl9)zKYOq^%Zr zGi0Ozw$95h5$BP!vB#Rc2BNF3s~wVsrxH^MrX?4bu}rzvqPfh!jMhXxZVlPsv@FHE(yQVSGQ)$t8Oz+3zT8(&=*9*|_ zM8pXmrK<}aBr-5ndyAITAFbQFai#($V6phd-Ea9IGn}04o>qxRe-ZMZxX`f;{- z=C~g~-Bv^-8?hDcEw5wF6f~4$+OW)GyVNp^(Em=H#)&mpvH!^JWPYOY&f1Z#E6#lg z8#7nu*I6#|*2>MP%gDCfpo~2Vs*FHOG(l_;2`aqarFr;otKZVxD|VgSmhEL~dY1uY z7)ADDOn9e=O$-iq|6-zoTt)*3gDaA$hG`UOh(cHjgk5ADiFtV%n94=t)b5c?Md# zi8d@AUe2@hc11js_L(vmuE4S`O^QdWzlgQ z*;=}3@oQ4%<1BLHC{0`ANLn4{3OyncD>v7<5D$+F#0@4RNyyf8p@+Ij^dql=UNwGL zBFyLAVz~Q~e)o>IhF-q#?CCSrs?;TifX9f3>gFv-^zs4!9J|YFr-aCHv4t1`H!k#4 zw%-S6K5X6>E7hKg3ei;w{PD&1If5RZFlm*o9ygWBUf_Q6NuArIWs*pp{kd*aFUDHi zt{c8*eh|!ZxS+|L5NYEtTlZ4Gz%h>PdyJ*Wnw3lcv`-4b+3A!-ih|Vp@0I*?12tbb zo1$|Z`dvD8>?JZTjJgR4Gxg{WbzL8$EUMajvuv(k$3Sa%sj_DE>qP6WteS2XuS>_x z?HBND)wdYj2)@2!`0hMOH2kAb~$>j0xQ6Q1yt>PXQE%# z7EErdaQ}>A2kfkkT3Vn=BvW5F^*(jzSEVV3yFw`A`Hz%lHp$4t(QtH)x_(>QJ;z}W zi~AMbneX2ZcgGE%oqn7VUwpB)#oD+8!=5@SRL`2?nO3^7!V68ObM+Y5A#@(JiW$p2 zRK&>K4bT>1O?CHsGeRk*@vMY;bSj%4-XZK?%J6uo>t+=z&s0g6b;FsryYgwz)#;f{ zNafmM-Db|?@$Ep^kQw(-HGIpwv78X8dBCITLj81_;t6x*7s3IZQ5C^OE8^~u&5L&f z{3GWxVaXW!ef+p>wW+=0%fRRw7Lgtna+r6UiVitXD_u2?cOXyq4oJMLB0XBF=yPjv z1ylGx5Fp`7r?S-6Q>2Ttn4CRa; zH(3x}QI~bU7&zJUCr}Q_mpQfczCF@+?L_T-!1j3?TTOJc1C@&2G$-7BX;wB8R-LWF zO77b(PtsIr+$gx)FgAivUD$oJ*nls5r<+IHJM8!fy21r)A4{07Swa5$l>T+%>Z(=d zl6?Cr{=qbcR49n4#2mWk4Z(e~{{eHm8{!=cx7r+fHwk!TD4EzbuT~~sq}XgH z=nMNc9xJ)fiAQ)|`KE@>ga=Hz%eDy@lkwTz6fECkDxsue<#PIt;EVcmk}J;k4twUf z$!0il5A4-;rMqwWfI>wDxs~|0+rqiC{r(uyFM?`rxt*4S+nuWn`4N&nuZZE*r$bM*{_O!iz~-@7q7^k&2n24azTd(?^eJ{ZQ^qY3 zvrK!0gZi?>sniRHO`n7u+T(^VDmb4DeU%$FCf0E=`vjD5jczQB*Y8PE4{*{@+`mkWviaS=7IAV z@7X=E88_V=7-!gg-H_#eEPqkXTTQ1=YSTX-RPOZ6$&UnCx?UGF5e=Ku4Et?WV{Ucv zO?Q)sdK;z!{+fcxhYfOAEO>&fkc$Y+KoE?X0*u0#vxtM+<>x0h0YSoQltm12T3RV| zu%Z?vg>P2NCqHL^3{?D&hg!6OI)Ybd7m#L_pv>E9Aff0&#nAFtB2)&m-Qkn{U$340bj4p#P(Ciz!Pjb=c{I#6R;O5kCPB3lSovS{kL==et?$67Z{{>M&@d*p`#k zP-bH3tzf3H-(xmV0PBT_2z~<|n%lK*a8rnRWI;*Y{7)QBn^WH~-YPsO`iv-pDMVx-{$f8mhA%%Q=ZCe?8#FRQio@P1kz zV%vXWtMIh#3#27+(GH zwc#mh^i=P%ojvyU`IDEip|GJ^)M;66?GXcMK6Mcba%$lor=IMePjhVXL}FeqC>pGd z7MNCV5Dj1|jMzB3Q}gnjKmg(NQ2AJjjy$LQSvv19q~~BT946whHc< z_o)U=O?=tpa8tg+njVibIWGZc*|vorCp(>Q*jJx%3n1{yQosJLB35TAJtkkYkMyJM zCEe(0tX``gS))LPS%=(SJE6vj311SJ#A*ICf>FNuOmHW$R5UeaGArzxliT}DH;loa zsrO`mWh`UQyHax~%mbf8Y?&uN+e4rY|Ib2RwF3}xnhJCJd>7dlqoKI8TJPhrUTcR{Du?&Qhd zg8YVe|BRAj$IaKUp%;kkq_Cfjw{eZdG7j9?YgLDjFyYzLmfH3Q2fO&vUu9AX)S>3X z)~=7pH7kK*qltjbJCPSjmkMi|O6KZ+0;|hCYUVn9!(S0kqLGB|n`ObP#CVw=ClxQB z&_}6utPX{{6wF7$;h7I(g+ogp`y^L0X;zlz-kR;eq9Q?_8|LYG3tCrc*VYy0 z$c#Eojd@TN$2vmH0>bNQf}jByF5zX9<`jXcaGy(MaoGWvsU$Xx{2l9ratG~L5wg0gZ#QQ}MU2Go@(+IU>cnpIr`xK4?WbY;;LYkxG7e+-{*I!rW~%T69tK?5UpnP{aejJ6eZ3 ze3spqTEEN$I$F~|EYMR=C=jBB<(Dn*eqJkBnVr7T#z*;T!L$^&A(`JCNW?3VzmPX? zX%&*TQvVRkEdz!GE$jg%Ehv)(lLq3n`j=tl4Y0n(TCENi(*mMc-*&l3klM{lqjL;K1kFu%=E+|yCLzk5br$qs=5&zw z{K@AeEE=kY*Bv*PZF8sxg{B;r1o5njwi7S>-LR-mefeZG40qPr_T_xdR+FYdU1R!? zn9IuSBCW)1?R(7I>51!u*^zk4BbqGo)@!Z|%&My@g&K8nBSm`briH(nCBv*<`m9Ss z)yg=$7qv^yPtfjQxq7d`*~VV>%nRRV^9KgvJXJn(u3O%|yu1gPTpE?)r^0ztTG~Zl42-S| zQ@2j*VLtB5X|7(s3hAI^Os+HWgi`7a87y zryGykkd$@s7MCmBqGC7WkSmsK{H5g8i5h0xX`P;XtfteNUV2itoc&sO;ww>ExamRS zYB3zjt)X7W8S58!PHGYIa#cA1n^$blcKig~b%Y8GS>ekFB-9C>x8j=UN zl23Mt$VXkxEn8YYH0aMd<;i0x^%|4LSdKWG9GFXo;0FXRk$5YnBvuYsqdJf3w~<%? z;KN#>KLdUN42A(e_^qFgJE#PmP5dc{c<6TiNQzM#8=j4Jgi4h1_Yex5&q6<=`8aQ$ zB*P#{86G>#iY75)FHfc%3|fEf7xZ@R;T3vs$ak#^C`4b?xo06~^j1sHANp*wyEX~9 zK0i-80=3_Tg?L?$^iv+!jGHMw2OSYUpK!|A8-*D87(AxE^w@Y>Bh;o`Cl(nCS3&hl z(r>i!!IgWIPbzQzt_BLOW49Lv`jt{wW^$ z+WxD|uiVALhc^4QiD8Al?R|dI?1db;>_tb=lAoGppdHno#$zPPj);`jf3o+BKv&bm z@aG6V#dnOyhG%wX>BKKGaB7wymFOQ|AfV&Llh*kY_82HWZ=p>0(RRuC^Nd}gc9jRR*?zEjMLCxCCXh>3 z$35~nXJn=3v-96@%TwvPsVA{>Kol`+oLaiKGP(c#jJn^3!6*J`VG>^`m+LmDs7-wz zbnieNd5I@VXNgi_mfJ)a--WPW_4Esnn`1WzF0Aq8z^A}2G;7tdifJS(9OpKo85Bxk8zZ4F>ZQHNlpKxR6SjIvyma1HdXmER zv1fCGbJCxxmy#ad(afP>o>#q}V@55ry=%&El@N!3j?M$Yn0>5nM*VdIh=`5{Y6A`; zB3U0xg)sX{=+ti2Az&(;Z*6~SCpz?cYaSg6?*=w=*_?~fRW*rsTGg5#UTN07qhp)Z za!Gqo*yQ)S#B`6tXS>Pm?e3EhwI}-)nsfk8AbfG&T|$0Y><6f^Kzk!oApmjE#E_^AVGsioBV&&$jWVJ^$l3|%$gIj@4a~oj) zFt)52Lqy)SToUa*yW19OIa3W`TBflU2qq6O;lXv2OFNYp65YWI9STiW68S>y%`)8= z^TZ`tDht;EZER5kQF)EJtl3hx6-(@p+Qb}#Vvd-mA-uPUcx zHr#PX>c9O`3}Ly-?Uo$8v^D!R9`b_MOE4?Ta(PE!W5qJtPCM@%yP&SJ84aX@uMnhX ztjB{9*PHM%`?BsauID}rUK<~oxfp#9Bl4O;cqZ;EgeFMzomV{Y!A&!h7Y~jK+#Ca2 z2-wr~O?d;zv2kL56hF+t4RQF0J17NQinbO!;fWn7T`2_;rlO$fNNbdW`M_+137+kE zPh2k`gL%RPv>k9nZhyfgQ*=KQ8Y&mV#J+_+a(-3j^td~1%H=GX({ooQ5x_OvBkr=Z@BogYQ?@r>3VjthsaV$FQ^ACV8Cu zMC^M{8VWg?s?#Vv5wa$TOj~3reu5uGOUqC#pgCXPM#OKPCT7NE^F@H1WwG`a8LN2D zo$u98WA1RVH>_D#w=%wpTC!zpG{rigeypK3s$y;;YO`c!bYoOy3RFclo~?f#AyOXu zke0a=Jdn1d^J92?>p`B2)+#5%dwa~v!)5M$+s&7XqyUh4e#!_M5#S$DS+>~9ZtB7l zS`BPvNYPU_8=g(lXk|zxI*CI~zUL#?l_8@!PFqJ9K=0_-JPRGoaqQZEpncKMK3XSf z4c|dB`56-lyVIbjUN2SD9GZ*eyh!Yg<6d2ukF(C4HyglwRB@eGQrs>Bv$2esW6U$| zjmdz^Sia+Jt_L}ve!e58<5u_{ihW~nRPnH!D@M?X%UD)JOd~oBVcV?KMbU(O^fgwJ zVbL!}$V4b3?1OX;Z79O_ITjs0Tjr-XVlhM0j1UiU4E>{iqUm8q2tQux7~a3J$)(rO z{{2F80_B~%_cyU{;A2jos?}J~^=;B&>%yu(l@~p_JtH(A%>*QY9=)FUiCbbJ9s_>H zF%QkJwMA$?$4bv=ikNwnF3nV8OG+261rM52!%mdbwt6|BY0l?HNmp|JgOc^j+$YG%#kL2|&WFQ84r>h+h&(57f1(f;33$7meD z&5fWcG^02omrviKifSv5_a7li5Ksj4|2E~nzN%{gOoo&@VD@G#xLO6O*-~@|)oju1 zzu6&#QEWWY>w*PDkr2?78-Km!&po1U)Ag)I6%;wCwM9yxNZn0T#0@6*GR1FmoL+xI z_a1eB{Y=;XT8%&Weee^Y{29>oXMYg}lRmtS=v!+5O?3AH*!&Hw46)cKMeUrjt=kA_ z65(Gr_#gLpdIu@#8_eYVlmlHqX&YE#1rUD=M-Hw0>AmkCB>{RAIys?monB zW&zOn5A|;!F|cNZVo5U@o)b#(U^ka@>@hjbYfF*ZUCX$YBHL+#D9&+Il8=$w#2Szk znqq{^eTw$UAA+<#4}*seF+LOh`;Gm6PG5^6=}wgo6?}rbqAn?4_ug=AuZi8dw%72o zk)h|ve=Zaexkb9yVAvSYbM*H1scd?-kg^{vlpDMGZaOTK(w(LaFGa$RmMyIsJW$p{ zBEi%;;yZ?Sl+VX9Vic-F3BMM6MD9Gm2)eCCo=_e~$WWA?shnVcL;2gA_-!*2tJIhk z@}H+VE1J+nG6n+rW#I5R&&UmJ9b4)Y+Z{FjQM{f9KSb$&{N9? zK8a^=kMv7^X5E|6b^pwbmejVsTDk7%m!QR zDESs#eW@PVDEYpme`7kn5QBzVCerJBi;`*}52Tro0diZbJPRYj8@@J8a}AvaZMpcy zpXd1Z&)2o`?I)Mzi$%;dSyyQG!YO&D3)7=lECZ1Z8kPcU|ioT+n81UM{ zLSseOmno7YY6JacvA3JQH!6^|V=M&D{(0PH!1k&Nbn$4=YK|Cs_xWUqT7PZTcWe&z zk}ZM%_sV>7>AXIPyU${up}>kVD6nFTj{hj@S${;D!?!K16J>WjpG2R}+vmZC-b7@n z7nf)sfw9)O-rVz$c@4{tM(w@^5$Ix!^_@!6(q6ZLkOvLFK8|L=LQN+m;&IhnwI2~r zpQpiZZC*wA7mi7_s7?NDX6W~({)iVX*s9mT8}}+t?pQHT^0IuMf5|A3Y~rOlWH) zh=-4S(73GAn?knwiXoai=NvRQ;)V7Ejh#qY;_x*+>Hc9cr1nZwORc`{c@rgDs&9s( zmV^GLuC=RdzqN4PTA0u0V%<&)@5D`fv|OBKDnq8)Gxgy0n{ag(lnqvOp_yPZ%D{CV zG!XU>4>ir9%@YTM889M}mmzFfLGi*excAZ)jQ<+B`S+PtdTo_WV0zkb>r648ZlLK# zZX7@D;Lw==Q}>PC6x^Z}Z4-}%YziMu$71vF=53;|LrgSWbbZIF{-IB6cOfDeM)8*Z z>w5zL@deriFmP(nwmgsuSyg~KQ;8D@xGS_H9>R)s!~W^I+}94w*&JSE=9Gl_ms|pT zQxL|;sHCMH9f9npgAo%SGzBf_S7%BwS-uD)EPoGW>w^c7S8mq##BCTVIwt(LS0$k0 zlDKeJT^}8QUU~YT$IWktye&8sY4+Wkw$UmUmM_E{*-5c1Pm=OTKzP$U{1 zebk1Af22?H7loc8QJ#JhTO_%a5bPyah`II39dL_?hnag2Z1%h8@IX1N|MK^*Vde0V zU(E2kgeE82Yn_+noB64qOjB^Z?@VmD5}?!cHNisHIYaO9i9k>NQ6H~^f<3;6oe?#s zBpvyE29^r9kaD?;{m-8*Iwj)^Ga@hron%^6CwZB-$^4`~0(W9d=NcTc&k9NB$IA#j z6oo;&zM_t|O`sF9cpm^P(Iaa2zr$R0q2f-YG;)_v$k-YkQ3%Zq51(WYhPbQntj44v-|lJchVv$HQyq|gF5$dmyLW^G91@Cm+_3C$=- zyzd}6Ccg$o3;okcf#?%@(h^1sx!Otp{L+WaD{JOJU=-FXtnPKOAWWLfo^S))zyrv8 zqFc}-e;SBaC+hnbqU-z1(HrcGSIZR~`G4_66py;vl?K3`fza_!$nvkBPoX1ngW;+U z(C@BawwHqS&Rgv**25A*+Y$box{t43Q*+6cHn7RSp)e6GF4P;5$1M_r6)q^N8gXyayQs!3u}KE+L}N4W7{Ff-UCEj{L{< z;HwEs)e1|;#6MANP?%T?YwRwbLSkP0JWWQk-T&Ej^cpPkFlv$8G4$i`7!3CBxDs~P z65Qg!X}&hdR6F|2&OQL9(xf~9Sc8}u89!!cGqzm?kWE0avD1M5grd0kO$>DO>hs2f z-Ns_!(@6ldYrl7hIsVxt;sVaSJ!$9@iR*bZ2L5{7cIgrbtS9!0j(KGqI3<2M8_d1! z@AL?>_DFE%_{-%}sJX;VsgRLzsYLJ1*4h$0zm^8X!#D|iDF!E-J4i5g zdgqo-i7KQSD_%%_?uiR_b7Uk`v%#IKK(8s8nVFf0YuVh=_uWH%#MsTjbP4?Usj}oL zl0%86GhfSJ>aCYB^5e}C;f|Y)9_#p}Z?>qwU&F{Xt!W>QrHIh7XxO*H27ky@XjA=E zsIy97^zPrTRb{xyJ|ObJ&ec26R!JV~+~5j{GkAm%ycY@BEih|9qnkfBqv08&2z0`Ek+n97%tn zL(F#kLrerzR|MZxnNC*NMW!`Dv;11A{563$#*?>#4iOLSp6Bqoi9*fUL2ks2_BY>- z=GsyN#CGFRhik14)V<|ds5@Pq5$GFUhbIVFRysDb`TxUn2ts*4V2gT>7IgOwav-g% zgRwBbMZaGOs{4G$SGrY_{O@u6Ul|K%3;qt?<>0*C9`pu7UGN(9oVjn^6z+dkh*>ML#R_=Kv_@W25VLb-2ym$NdNI_B+vV#VXiYluAql z&1?4efr|3m_v4&|If2T}$}<5+ynpiLV8j^AVEKuNYoal84euF-)uf z?}e;>Q>!F7C|?kCS2@$>^|~^ek98vn%n4n8ckGcJ;s3TZa%2b;JaQq;j^NzA6;Y3b zIf7VBUR`P@{m#>W$WVX{Pt4?gQyeWQCB<Ff$ z=OOa>ed+n<<*^;{&ttPA3@0cz#4RmZ4z{LK#KS2h0Tpo;(12HLa6gE|ZP1GsdwzfB zZaWt-YL~By{n_Z|h=&e~^c`TiBvX=XFs0ssEYhBXE?j3e8u5_3j5w^x2*8Z@Y&5U% zG>T_W42PW6qa^s~W@cvgfk5hQ;qNKJ@=Q!jwa4}DN1L)JCC#y-;^Kz^dL-)X3ANuF z{ply2BNx|CBNheV2+||!tS`j!O6r!m%4Y=cegtC|ojtXrZRozM9eAP;-~~jp_5q!8 zM|3Z3ivKf-U+uIA=FW6Ku=0#-blwT?Lxhh;#UWR@(X%zfJHi0OxaVObO_ zaIGNl`M*&{uc7u4CKFKjggZ zsAy2W8lMi288qaLojUPn!LK>b=I5tFDQdRKrh{)Zv9j^rI`Uv+V$|S?%i#$JAi4~w zA|@kaKKyb%lMSONw~k*{ke@iD732I?z`RBVeJ(q(pMk|g3GF4BbO{w96Ce92=NrgN zrhSS02~PpI&HI57j$^(E#4<2YY(xWzt}Fbf?IU)dwgoYtivu+1_C)+X0}dBdv#J9TdVa8wkhQ3o_PJNqNx{_9YhFYRUXF!?xE z_A0`3?PtGr_V8gx>v8n7^W!KNnRl@LEtQQYac>$jmh^a{!=r8U>wZ|5TaGIgHh&f_ zPZaj?4kN6Ojg7^_5m7rO{}2U~LOVqU?(fEeMK__<v`xIhi zMO5ObD3w?OPidD=`vZ=ktZu?5mIWFz-UO{0CrL{6v%A)V0I(38k!)J~oVnHbz42)$<_Y>_$T_RyZ z1N!U_9z;jf0b*0d*I{liy)RE*fwh%pZy3th05u5UCi-4Lt*09&S8L78%yv!b8XL_= z3zM{pa%3hvFHe@*EQHV29|uSo=KWd9eV%YrgEuaH5$Em4Uh@7AIB%w%ECw0~O5AUQxTH%uWaI!GI^X4513^UdoW1Z_HW7C7e9(h7 zNf0C5ymqa8euY*9il=UyGr>m(2p~z44B2*C?#=t+3qh}R0i|Ti1REnGw8(8PjE5zz zy{#=sj@M?Q-|8Cx2(t~Us;e)@z}5x!?E8bLUetimz6&)W*a*4XxCK|T^cLTX%~1S_ zeF3gu$vfRNaL@D>DxhB|_2r%Tz>l}SU??yO_w{=XG*@wGVqt(x`Vp1qHXLB^-&F&h z*f#E(NqfglIM)wcu1cE6KU2=Vxq|E3&pVoO^lMfTkyR&wJ6GfQ@MS4(AX~?v*G@t_ zp8RT35uVLSE`T@$GVik$0+_Qv%dO2mnOY?XHl)LS^ORitv}EW^cqKvhAyqpzdg`=P znSGiDZ}Q(3ZO2b4F&w8^LyOUv4>Swk;NM3FCzj0n(yz9U{9xtXtyhBg(Dj?#-v1n7 z8n1E4TBgu*nRJ?+2Ew`|S5rWAwq-&W5KpTH=@WW}JJ<6&t=<=ZY~Psz#0x`8!|`yM zU!x^@Z}q0#o^&^Qm!x;G*!O7yuWQeXGq{9hscY{Ik?xsL1!==G%fWKgNF?8So?20y zkIoceN2)7Y1B%l7Kdgtc6=^}M%jm{0lRIc2r2(U6MRu-@PYb}#lSz08FE{h_YO{)) z0P|u$s6wJ-+0UY`1iF<15+NeWSc6%htl9Y$$a=SOob9wzPq6wWCnhq7%d+|AsrvZt z9c{PZD?2)t*ULn~hAg z4Vf|AfqE2v~a95K$4{Mp~X@Ji~chmWTgqvH9`eG<7pPJx7Z_u4+)t6353G0XU z0Y9G^tR<#MKYiIi)(vLM(pws>Cb({uJz&)r z^EuxMsLp)<$T(PQ0+(xo>Ye)wqvShu;`rpF?;;F!%($zs-=Ow5|K)ZSV=Z4`nb1qS z5e(bUwd7@jTrh{GV?Lny`me1*gnGWVK?DhorhGmjg7i2Lk3(Vsl)gUsExoQKZ;%M| z2OTq=R>zm!hNW!yvvMsyI_=E>YHjEC`Z>?z?HNt}{i-SV2T#t97vlBu<1BAsW4~R( zm>~$ubOLyD#TBasyz&aYG5Q7EPw()P344#KmrYK#NGIF*_fO!6WAT6sKu|{5J^?tw zQXjxO+~x$faoH_+Y9fC>Rm)n?N122p0!;-kMpYJ#i2?PVblz18{}0@P2`X8DgZt<{ z!t1{6WR~R5I}-b6Sj#osA!B0j9(f^X4t4oIMmz*z%x$v^{EUMZTZ*|vY*apRKo3$1 zqFF=G>!W!0rv^aLTu1Omi4mflRTwM(5sEw{tun5IB57YtuUE=-0#^QK?Z@H#o5kwh z-?&Bt7q%LXbPFcFb#@Z0d4mw)PPfML#o1PqW#vacd?AA7sj?_#brbm8aBx5iu{Pa3(+vJS;T5_fGN#%8;m0TVXJ`@Ss%b#S8J& z)YJ;=8{=rz?=W5qq0f-$dD4t5IMji)$n4k3v=E3x0_~ynPoM4wOs9}-_>WjcbR%qY z_qUu0Des?3b*o8tH^m%_&04RVFj6cP8u>;%8B7P$VQe;P1(wy!kOpRQIfzJ8+t+^I zDycQhvk-w39j1%3Ye9AQMI0usFiKQ<=L4`?)s;-Y+oD{>iGA{f;oA)(rsY3&i2oa>8 zwVh+Afs#N;-6a23y>h;qm-TyGa_+zdz%5yRqM01A1PD=x5XIoXuY2HInB0&OTXI|U z^|>2|fv(U_8s9;=-IE-*5cYNYI48ACu!aJKuAl7%42Z+%G}E`p`5a9PjEsyJe;m@q zYm0zDD}HPg$eJtJ+CD73X8RZiEeUifzXS-ro9W_;ZNu;VRJi~I&$CdWn6CGUF(2Uh z_G7G{4SvAclsQnlVW$CYWZg-Na=G8g5shq;(q^|RBM5iO7*{WT`Np$;=Roz~z;(** zGS$GT)^R1xj9Z%+sT+&?3VkM8{MvD9N&Tk49Ax6zT*~cpKMJBX&wGN?*W3C2WCwke zs9cq_QB!iTXq=@mPcxb#InXY5|BXxzn%vv3qOMk+g}(?ju(73Z=cU@UP$Usia>2l5 zXrvhV=OgkCIjOogK{#+Tp9kv*`&jXz<$6`eMmdTC=adKV0OA0-ol|TP+ z$7P{G#@r*7;8invNL^?ueNqq5GKOK>jHZxPZAgS^dGxlqC_MLKZrH=6!IUVdn=D8o zY<$udfg&W-xhkyNB<%G$o(bSewwP{gV5DrN;B$PQ-`?C`9_j|RV%si|(+=`+S?>E{ zKrWlenOf9%DwW@obQu#9Lw1Xd^Lb-U9}q)wa{~h27VFC90W)924}`&lRRX{R500G( z(Jk&~H(mk~3c_9{GQ13r=%#bZdigV|&k0 z;z{!3X^%yZzFFPnNc}+(<9%=kF@7p&a?+VD7teZ=pzMEJ(ftYGk7rFlKJpnUlE7esieE`Y<7V*A@54H&Gw-Fvw zsgeHr)*CT^gSI8-yR(~{s^oj17TfPMs>9$4@_+?J|4ic^aBFOF)B>&wx?lImUQp|| z*$+@{HC;8<8V%{R#z)POoNQ$p z9)V0+w`2<_3EVmB=JB*D@cmc7^H5k=uQ78*QNdhS`AG}l^=vy70{MsR1nSKHhE6ls zV%ZSHI~8k`Za4RJcSp!(N+1g(mA^Fg8Pr{sn}Qf=6*{n`Y&Q8?a|Cyft)N0hG{nh zXEJXLG4gdT*0Ma3+4Hn*Cr53O%qBhOapPT%b_ety9y&%wpBUY`Y3&6owge)GgsUwn z{&?RDY>`2(+wrA~kJdIDGar4S%Q^WX+&oY^*;l*KuL2cMDBuAPF zCv3DvjptDkRCd*$A~g{T_3b!SWZpp@XEeDa6y^>MQ^qbq2d72ueKTHfe%DZNnwl<8 zr70ZFj<%}K_}JRIBfvo&v2hl{-pF{EnxB8`PkbYXL38zS9_ZwhY7uI#S>&!-#h(ZS zf_XnM@sNn!Y;CgU)Vfp1Ne+Ub5>Lu$kVmv36!I(?2~fw01O`pfS+3n=e?|U8SAMIh zz`rg217-0i03sv{-Mt@&0w#zmI7nB*R6xqfJ$gjUAPN!D;)Fz`4e;y6FHzhoobIDd zA{=CIm;b;E|MKO_;ZY6z#wpnJt*7MNmc_2&(x7tJD{R0okQH{~;CXxf{A6#|KY<@3 z_2dK92DX}}PL`cE-?uSuk^JZwp1uu2q%<2b5s`w5N{mF+n~Rc3eI9DD4jy}}h1NlY zEnp|U_ew@rm#XxQrD-y5gSR*w4xg1^Atfaxf3x{p>Hb<-9L#^D3iPT~*6wyaNW7p}}@0$X7!n?4lGgK^j%g8gn|lC^%}w1t{N@UVyA*-Y{aR0Spe{lE3g81tIk=`)CCrG9x2{({A=zzhV`y z(2W~@%RC!%SC>b^s0N}m_x>rVEJ#2teOLO^1EgzOz?#@`laHX&NaG6KacgX{X}WkJ z&}$IhJ2_IBHNr(atx!TQUHuIdkAyBx*NO~0@P*HdMR~l3O?d#Ep{9P$K5+5a%aX`@ zE?02$;~n@|%@2n+gn}7ME~E>;iU#<^I{foCzV0q`FRU@e`FZNxrle#QPKk_s>gVU@ zINw2#hfw%-c{3i#^J;CRzlM093zwm_I4J=P z=m$P3P%1WW)?WPY>%dh=0fnQqQC$yNHs$sRP{SYPBfJZ6twTNjRKm24*Q|bg-Cr5h z>7oKX*od<6hhRW*q4n)tU#e(Y!$Thmz)Q^Mv})wwVXmWWr}f3^X2e&6)KbHCJdTuo zz!bjV<+fH(_0wH8<14ojy((6trrYP#LJ% z@|Sixw%F>yBJ-2>??Dd#X{nK=k=lD89c__j!~o=cSRz6R+xoot(yEo;AhCOhhh^0R zwgbMfeQdZXGyCa;O;eEG67MeG!6 zpJWi{8Ak!RkrLiJ+IF5LW#+@oSyR!86Q3`6NA#DXJkGl-Py(JY#g70`T=;xjbmxH< zSJxJpl@NHe4eH$4c7wq-A9vUnHKzD=xFO@8FS9|{#7kTth0O+ZZtF+v>rmUx{8h_+ zNM1@-)5#*a`+7-xtKGYVgzOWp+Yy>ZCu%x!iH8Bw0^#I5-&eT1hn+WzhayZy-!kwI~l z4u0j|F^6?qXq~oVb>l-UeKPG>;-@?1(PIig6)QoTy|s}crLg=L|mY62cX}?Rex5-Rl6Zh^1wME=Br|J7D{N zet06P@7#>~aE8w08q!pyr*fSpiq!wv-2Oi#EhvwNql~tYAr`HmNrX_q9eAa3PeqDx zQY2@PXY9oCf8DdX4$5vypdA(i zbA5hA`La0Jd1hkdl;D z9s2j8AE7qNzk%8R0z2s5gG6V(P$*N1xidT^{dyI}6Zfs78LBHbA7@EmPy8=f_TLd1 zz=Uj&vfu|f<1Dwd2mbZGuur|&pdHbS8SRk519&sg(Qhi5sv~9ZMVFb5mkC3js5{P4 zVds+|0eJ84$XC#$+Xi5c7v*0V!F1%m3CgjOKNG%D68{=1^_!rZZ?~B0TlI6{>K7? zU}XJaF~Wxes{Hqy!RvU4NF#o7ONJN?MDP~?0RfEr^UQHD#Am0TOJAowO@dG$xOR;b zM6e=wrT2T588;j(34yc&iXQ$yu&QWipK;Lj#rtn|EktQUk#g~OWWUz?0upx=dCJ!V zF9K${uE};2}oaff@qsafB*4}i-fe?j&|F=@WzueV66FA%BltIx7A`*du36|f3 zba%!-PwqPK;!(q)vPJgHN*y#scdmuzbB(;zrA=aCzjHrSOaG&7jiZtdbCP!aa|Vr- zXZ+?-jsvlB^|Xu93^Q&6ovwwBN{vtXp7h4a4bTmA)~fTFkw`LZvqGZ^QrWsxAOQ%gFTVe)BF(~?G~8J0r1ctLG^0gzE9M#iGY|&#iY`@DPuF{Tpo! zW_A&Lp4q>vMH1meN2P6oR&5tnjiVcP_@i>~@2r2hMT6y+4ow!Jbf?mGdL`aJ=NvnHRP3wbKz6qQg@54j2`@26(OMy(H!MmWNT+ z>t920;}o-`lv+B7d?-JQ>wJVget-`!@c;U?t$?@j;5i6m`Dv6M^pk*&03^(xq!Xy5 zpf*DA*PH%wOCv43Tu>jRH0w|dQ07#-#Y3Wc@b1Uc`=tVd1JE8offax7`88-K^Eu~Z zCOZWF{s^-(Q>_d^_qA0f?kKW=rKK;oFP_%`*~n%RCBovzwyT%44<9^oxF1Od8X){* z^&h-L@ERx?*9YT|@5kF9=z5^Ccxi_5(1vRJ^8NV-b}8&{AuY040?mK7E3OAbxWN#+39M5|zTjB&-KMvW zq9{<%hqSm^=iy4@Fj$oF-$~XSj*uBbLZ+RxI-4h|8>!eHxe<&T$SW3Wawoj9rU0R$ zf~je~HlCeORC8-|1#;21ly%)1Pcf+jLZ9Y|NcoT*3z;f3yvy6tR=#j2ha4H~mpwne{6aJ0IY_c~ zaf^<9)2_J1=E)l}Z6_1}%kIB^rd+JJN+D;qw7Qx_2zd#L`lB^&U|OKfp{RAvCC$JaPw2^r+R#NPhVz>2#cdJc#(j5!L=H?PEU|aX@BO){^7tQe=b`it(SPj zP+qat&O}l|0t0UmgiK1S-L+DZU^YH}enG6+((!PR&Op5EZ9lG&CtWTAv#`MU*DU_8 zErb$*Rr0>XE@`a%hM4AhjDNVtjo~#g(SX2el2A-GVoxp_7HFj)2i%7d6j_$;JkcA% z>YV%%2lAYcJieUo<;U&O&-HqnCKm0HQ4X`del>X6*Vm^H&HmJ{?jM1VdJK@+x)6${@77SCwl*oU$n4F>a7*zp_shlPS0}4UKtbW}$I(Ny zp8G{wodK#>Th~9wx#Q_EY<>`OFpN4G-{q=8)6_N-0}Hx@h~J|EF;nKE=t%;f^C8AT3KfRACb3PN?uuZ4;=w z%Tna4QKvpYoB8{d0lvVqt8m8^c`)$zKL)lucuLVJki59OW+<%$B9_1ZfuG2ZA*2W} zV-)Sb;@N{s$c|yA3O8Xq#3{}u%Ikn~_jeokqZ2Vrs-tmb)E;2<>72kuFr;`5u#x16 zoU~2j=YZ%!PyVm}X&UY=41Ot@ooT9c%Hku?MCksM86JRe9t-uU4s zeyIN@AA9Pr*XD7AtCTZTe^HuBjYRK9<$ipxgk8G0u<+Vqx+)8_Z!;Y$Bv2_*kJffx zjmGqx{ZW~-(kePxX3*8u6`23FL>8oAM*!fktg^DrF@Fh4WI$O@H6=b0`%P$?DQH`? z#%47aE~sJbdad$<1?;D(}f# z;^CIhXi`#A03CvHu-q1mr#E2!#D4A56@V#;G|;~tYwV}JkyJAsa^$qXyQVzRxFJ2! z5b|nmDHOj)5#GZRqK22piZs|wM{?nLSg7J!B#n)YA`Y`J zk1LU}LnqEb)vLU+4nRcZT01~_S$ePj=&7>{?rjZoc&UO&?NczZ6Qiug#)hX?lM+{1 zQdc*O^KZRJ71e$Xw4*Nbs+4FqY=Goo{@&>pI*C7ndPP8CQ4wm9<)=@dD(p5DN8`k9 ztaqn4B*n!CWY`J6YSAz@6iqR6>8+E9O|y!0$52h~Oj7bXN9Yf62vJuGHHQ#UYShK_ zR@7L&;)yWtBaYyAi>qmh(5mY!w9qKpqR=R#yt=I^HIhqWJn3yUl0N%aJ zc{FJ>6VGl?Y|UWundpopPw`vt1DA!wINh1`>Z@%O>PRVu45-f_RwuVaH*@0qgjubmX*O9 zqUNb~ukD4EQyuU2(LD<^2uqAN^;BqoO+4O0@~uGdxTcf{8(SD;CGnbCR6H9cqv@9} zrYg<0l~d~Kc(oec)Kq9J5Ow2iaOl`ikT1Tf6Bdej+Qq4dUIw}J36>BVAKh8-nHsBT zqvh@WZdgh)1>rf^d&qJ4<+UfGq{BvJs@t%M=aF|mgtc%RUqq`Bc1%J` z2LZH-2Xv$LgK)c^GVIRQ#r7rhipiz$Vf6PW^H$3j6Zw+Eo>>3m1jxZUZLUF~ZK>nU zRw$^*1x+gYUL@zYwuz?4`z;KsLtP}Vp2_bxD(cm=PGcS!9FivQ9~osHrVsX^+0QNz zQ~}IyvgnoT{=!4h{WA0m3BP10so)#_{_@AD8QR{+=x^FqZ?4WZLE^Q-VHe96-2NwRO=8FCyN3o4Q_=~Gx;9n*DH zSyD~n=|E~|>s;TeRH@mpBBbS^v@FP5m&EN609rhy;*8XqYxgOmYlQ0+<>AJJKVQpb zxY7EXJ>1{X^;_-O>cks53pT*MOi2p?)ffvP6okCBGs$L`ELks94N* za$}Pt`4kRKpgkSJ`&q)8Mbdvg{1)1c+sTfQW}f_{qZ<1D{b;@lq4#n!uj7_x;~|n7 zNak~-tS7c!i%Uz7)E0vLe=tw3U>TjhxP#F#Z+ohQ-p z`_&v`NC+W^X|AEi{;yebAwPYothn&-v`Vs(_1fmV#lpfuo3{RbIS8Q#s5F1-{xDTU z@!b-CYwA%@3E4`#M1JohPEKq>Ldm?mynAJ>XlYLXCP3ZgRS674OS$2avuK|;d4`}% zXEINUb7c{BdeDDZTXcL$(YAd@<{e00Of=K?g_t}2QlQCtS(Nh zLsYBKSZ<8Aa+Iwa^~<9l z)=Zx#BY&3#rr=AwtW zDZu^ia+|qGag<=GRyWrSop#RaLstY9x8?RKz+K(#q+c?De_EoHr#bev&Sq6I4W;GU zc&@%CKLsF*m?94RYRM|jO2E!2<|>K^n8|M~|JoY+wK^whrZS~a?!jG=nyTX z4fR8Z<+3$nxcfqhn=WuwtezpljDvjWC`o#nt+JXcf|sPy8v!jjTw8fc=X&UEP0Zpu z;_a3Iv|B1h!B-r_=u>BV^USv-ybgt@)+EFXr;9rC`GR{J8-MzCOvQ{=Tj{7I6a!LX zGJQBZr(xn>*3wJL~{lLvj zfAX%@OMbFAy8H|j9Q-B*XgGP|9+%P}%#VrtN*B!I{dM@VxI#F*P#8Td=eL5p#E24j_~`YQpIj_xaf%+Cxy;Nkex5i7 zvQcgCocfZum-Z?u*bhKc)VfM0*VX_G?x(rYUVGamO=I~IG`Q$l`y ztG;U#uose-E@oEO6GIWmcFHvU*TlpLf68xf{m zMH?$Y!-o%n%fo6L^KPu7n(t?rPGm7nk}P`%E4@m05{xz)8Kw&Cn^eIo;EA3APt;__ z>l-be9y?v8Y+HsAOG1m8u-8C-jP?8gd$oOLxL^_%>o#=peUlP9bNu`FB7$WX2sb6jgXc5SwM+P@kUSU zLetWu^gQ<9y1>apI-X*W%?`r{r;u2A8*%Cias4|QdSkS=3swD^Sd|V&tOwQfpEv0% z1b7wUddC%diR7==F58j%3%@(=rECOugp!sxI{P(R(msCj1p8!p`%Nebe?@U9unKKp{%6ru&RPIG*_+CTImtE+*`uPQ ztr$4AZT~{{%)e|39ho<^y(R-{EiepCt|xS$f62I~VlT-GQA6|xx04VwM@L5$onEui zEsYfN5~6%H!Z&XK^4NOx@=fhX2Xs87r4cMEhRmvDvF-@1<06ndlKI3)Z7yt-kz-cw8uV<80H#IfoZXd1pclGrZceWEr!ZwC05^3wa zviPUM>7IvJs0{T#_gBjzC(l>&ERz%bmGlrlS?FqWUZ+wv&AbhT)z-Sptw@VEVzx%8 zEJvr@wLU6KrPs(A}B~oje zvdkj#brmRv1NVLm{GqZxjRB>HJg5)uP}`4eOWv}A>2_mg?On0@=adTrI32n5HCbEt zZ$^vTnOdee4Ufjr+qyE7pmopY5@$35B0yNc^b38VYBDIl}nytzm_1}}^)x5p{ITo$@f zC|-|j#}|`doBE&uMyL70D{M#Dgwkc*7h!kVI!b;)1()0Jttx?t&Y2O9)Z0YCQBiic z1`V=NG>gGi>5dQS-o_7x7Uv`;CXSuFY_-q$RsxUsvU2d)bz=LdbyU?CFGSMVY{oKK36|NeA8HG|c@1KR?)54u&LYv`0uKlB`TIrOZsx zglqWg+q?y3kG@BpMZFHK2*Z; zxeYYI+{6xJkNzuy%?FD4RM-h>zd$8PC=f`%d@@6jOt#XFFqy;quxnVv14K%*h-oVF zV9W9JD!jfKUcHh8$$Xjf6Q;>4<#8vF8uNN7$B^aT_u{mR=cQGCjNu4g#~8G!JI~x8mEB3Tams99pL)Z9Ofy%A^Tzmd;fmn z$EWwP~3Wk<{FMg*?_vKH`&c^S8hWz&@=+j*B6?2H>f-D#5#JHoc#( zOVeV>^!c#gN0%qWwPm;yQE-}DSg7nWQI%AVeSUzu!H2u3R-?I>PHbi8ZbW0BTS? z{L91SSI0g?N~KQ_o&yHO$uvN&^K&6Hc7Ra94B6^ z$Vjipcva;WfM(z_$m{r99!L~$XfNAkBmo>e;Iwv^&j4g@;BHy5MPy0}Qwk}UrDpNf zp$i}I*zmj$b8~mxfj9Kcv~IlhHIMTo|AlRqq=I)Vm$J9hJZ{3KO1hu`1?jgAnNR<9 z;X1hjxabz5MvO*)7H}YX7V9r-@S=vK3Hj$=>bYL+Z1y|NQr|X9ydmVWG~eI$;}H-L zI3Clm8g$)j5YwnKPeVp0G42dgD>uZs{MQWxj?59ZdjQ((dm(1n2H9@4G&y3mcy9!N z4bI<*e~##W5#pd7HnCvln}$Gs_c9Am7%y6Owa3ez5fg8$bVa78Fl-!6H_}d3zK<3i z(d{OTrhF2V^0CT*zWy{pGh(*KT(FF5t~1I{ZRk_?7vgZ#O1hc~QX`{0^l6IuyL0OZ ziE${4YuH^(qkmVnZ1iQ>H6j~=?g5SuCmL_0(vdi%C1T~?%zX#V?)us9&74VY8z;VY z8CiuHRPywM7e9-v@SU#A&o{TvS9Hclob@+X!qJ-AcU8Ow`U>*5oKcbA&TROSQBJ-M zVoy(9O%he+6g#*y%p~9tHsAk6=fR*=%iggITB0&*3w~I5T{XTnT0rAr(E|t_lI9&P z3KzuXw(GJ7*8n`$Hh;1`K2G~{YAOMMib+e+=AlRX`<ON@?GXeIb2g0 z)tVypKHNr0HYvuaKTXZS|1poV{YigTz+=$hzy$ zMuiuVzdLLY5rG){%}E=GCA+Ggovl_|&A(|jdv%bJH-$zfq{(%dVLn+l@=aGr{riVB zQ(QM=W8>m!qNQ4eiE)y5>R$W3@oak2asn3!rMh1y2GpROVW*Q>VV|}HNvva2Qgb*H z_up?;D&D((Xnjvx&~Zl*>DVYJ;05;F9iIs@rf-5#aFokk?#`O-Oe*EVPcFcjOe~dB z-cu=#pqh%M8_0{)j5wJ3sMy5dlS08yTOt@&?myNFjZ#LkCL~W-5aS)OQKd1cs{0^A_itSO)I~;&w|Elq& zqCkQVQbSxs0IgeK`a`Qoog<`-Nvl@4Rsfe#JyW3_@N~rIJKBOLub%jSM9SBha!6=Y zxK7+3RXK2z?eIpPt`Er>>3~BiI6n|eOGEPm;gjp}Y>$8CFX3-v5%EoHTtHA!dmNiU zsIQOZ1D-cysm-A*lb?QQ>7Nl%aaROvTOa(>bHViKj(6LdB!Chj2BU$Hi(7UwXQI)O zY5EC!k2Dvdch&t}A|*I{zuFy*DErW5V<62~4*{t;b*O%|ivXRjmqr{;i+_q+;4yU&#|F|C6^pG~@!qKYWHkL6O zP6h@BW%mOz#<7YndI-qf4ftX_7Y#VP1;M*!HOoIe3OmqJuhw40x|Iz9gUB!Negk-Y zudg`vCO?(c1gZJFU^%KMD@`-66ALBb$mb~|*6->=Z`59`{tQ$2!c~UJd-mN8Nzeb* zSSR~qPL5&iui>1oLQ~+H_fGLB?T_{hd9?goElGYAzP|H%G6?5zx5~<&jGYB$tRbj8 z^W2Y&;nSbUQwY?*L5&E##3!#!kXAba6d`8XKw9R_Hu5_(;I&;31s}e4`=(ah=^<}^ z_5x`KTt;9+0P%Pj5NB-->e%BJIsjeOmf;9+D}EjL9dw32Z#!Z$?4^}Ywpa81cKpJC zc5&NG5Blt=OM$i8w#Qy0#;v;&?zI0D7BKO{`lT++IIC)wjQG9#dv7Dldur$HfEkjm zf)-a4B^6cR;kiGxuk$SJjv4yPXRuuJt0af;;lk&fPq2u9Z$D|?R`v5}k`qpj8TzhX zA#B14B+OLimz_g?)Ss4@mSXfkKEy<(M|d+VJG%=d8!qBnr_R5hQ@eQ5>bD4%?sYsX zWu1cz(~xRXUCrtsx`=+UOx$V$dF0eLsDDXQ%GuS)78Uby-!l;JVfws*?Y1`Pf@JCz zc;=5*QQ;|GgkgNo%H+_$lgA6o*MlO{cxJ)IF*yX(EA)YLWay$$K6YU~&25`auGqGT zN93j?8L!P=a$*#>v0*&=L*V7hm($z#QFDp)CHKkt+d|bedwL$B$M6FJWH+)qj=ekC z!50QS>$O@vQ5Sl3Rm-s^g0JtbJ3^Zhlv8E8_~Dn*#!?pD76cW~86e2ffT*jh!xi-* zf2Yu_0cXrU<0Wuj*+Dby(4JW4;9bN1l;ma30JIxDAsX0s#Zrqqx2oMVsE-C21QnBr z;hIFijdp7KK4D-Q3()btZ>m9TJp}OYCF**gmK96-RfXL>5T#sIf(9k4ioyCFYMptb zuTboc(1p{pkMFMa_iGBh+%}u8?m^V`*tR^~reR**YXYe}ap=^j89Gcg;=bD4=mzTy z1}c=BT)yMKln<|POUWuMFv)p9gW;dE8>{SUpdO`fW>#?K3Nkd>>mPlC?;#4^MuQva z`H|$^15(g-`po(Hp(=x;$ttgkUAi`gmeMjkS2t}@_o&je>(z5`KJ$R_xpak=H2c+N3Is|6ELP#`&tOE|taR(q=&@eMICnM@AXmZ6- z%OnhBh=mG2TUuH|xv5o%8z-p{Sq=@kMo6oxt5YQnA?;5U#B+BVvw#v^w)ypWy%Y-P zv5T&_c~N8jR&vVnlU`k@en7}y9yyAsBAqsT)um#(sPN)Dz#mgKd-^6{Sz@m&C|!$< z(XSWhs>9=w>(WFiKXi)6r(X8mmuV;0Na=snAxBc2^ej3o8BO z3CqnPJx0i&2P4C^ps;{UpGRh%5@}dM$|`ccfPW*} z21mI>rOX<`+uWmJ8W}lHId7;Y6P^CWiNYJg<0Mb*o%Q*$oc1Gw?zi;Xr9qbqwYt6I zAwnpN146vo+v8Z?E4#Dyv`kE>mX(()HT>S$ zkycPp0Gam-V#KtxVj?#oDjGG#MmJ|dR(*(8lZRH+*>3f`Db+9*)D8RD-m({Ei2 zHc#?`#^uCI#$o2z$FjV|K1^LBUFV+I z>vBw1juH>-LYqkMIaZ17ybce@5top3r3ge@u~}(XVP_h~#Kg1VaEq9DBelJOrr+qF z7R6Mm2f6}PK&lxjY?k%}iKDDPc!GBH$($E_+e4XN84$!YpU`}t$4-4g&30nc$Plxdq+O95vxfsB!3r0aKd0gg8cC*L zIMU_H+!R%W^YHoYV+?#H#G^`@69E9d9fo`6&DvTT_h>?;DEZYMjETV$NS)| z*oN!vSx%tZ3WBbYv#a%`n%uLy%%C@4YGN=3s1+(bJ$$lnRO|I{PMYn1stUY4lWvY> zp6@)w_9+X-^rxzl+EKVJa@)N3YKT$O-v)5<`lwbbx;2oaa^UK0o~C>rjw$Q5l%1R#mi$@W0)ODb^2d$E;0 zHNSuO1Z0!q%$x(z7~f|kQk>*)GIXx```l!)_R&@?s%>8jwQ*53DqsKVPmMX<4Vid3 zV()GA3&gmdA3k3LuC$&*5v#^yLGY&N?_WIvsz#aH8GzsFOGqRjQ@G}Y$pdrk8SKN)c#(*=5UoCv{{rng1ciy ziG3zcs4lZFmGAE}GiQ|7OEV2V+qEY}nl*~YlT1uZzPHJF!mWNlx;)PPdGt{OgAz79 zch>j05n*%u%i3m>A?NH~keU|m);vMJb6@!JFr2O#N;eV=AW9WlN4?Eqf%6J`IOyde zjH*wnh?(HqXDoUUO5AJOgl?#vdC zh>RCM^MU~uaGF64*@gpHIkthR!g61x+KSge4+KDwm_DSaN1{eh03W5FErZ&N}r|1Dx_ZP{Z!7=-UV}z%uS$N!G*#d{{m{K0UZ5OTTU5v@izKj55yZg ziGtAhf*0|=ei8AZDK`{n2T7IWe43ZCno4X2biY^Qq~}2gkLH~O!U=rLDUjRf%54I69l72~UkI8p5r0<;tMND%y zbEf$Z!;XPm;{HAQr4@kiK+VChUfLbSiW~(#9tPlx{~L{V4=(~=`tu#rd!Xf`h#aBQ z%}-W}ew)bB zQ~wSs1HbWJ8tA{K_XqgoOQaMCA%27kzX}`Ad!>k3pZ2yuW z7@8tKx_iaQ+r?%1SG|uHC!LiMXw)*q@9gXRq=k_~!bSquGAJnIKQK+@T@;{s= zA(R&DJ?5{-p(K&Tp}vB9U)4(t>M3EblIwv&c!Pgv-d4Hk&ytmA{EEvB(rr>B1m~P+ zN#&XYwreeJ)kf%xMBF|k0xp?60y+IUlpV6(D~;(s0I`@$wj#m+Qj}7RTXSqh`b-OI zuVEX#HZN^n{r!pg2t(AJ%F$V;y<^gDg!7*5WQ+=0eBG@(9hf}AvzhswiuyLUIjlNJ z8!BnwD)uyk-~UVgR+-Js8_>T9uAScTnOPbsK85 zlMDIHT~A4@Vls7!qBAmj{=$L?PEy0_D;I@#KXH%ST}cPL{n0rn7gIa><$pB}gDCp? z#aloa)b?tCYi@PKd+o1q+k7TY-V-=|b{QeEJElBXfU9y`EEHoeB-{?S00OYIV4ZG2gZ+> zR_=q|1Hfbu_=A`4kpCwV_5l*EPZ6e{SuY7Oj|1!Eh$&3Ar2QW5cDMs#tLI9cb<^T) z4O@dN&sdW9cur{6aR>Fa$_XyUJ)?;W#v|v-i=5^J1x9HR$V*aQF8YJlN$ z1G)@T@kbhdLLg^;_joXebndYdIr0g%Pl}?^HWpj0FiOkQjJb@%=pvge<;|gcZ0|$f z|6ySsJQz@d@>Flh4hqqjLRz%F#Uho;AvSv>ax=keu0w8C3401h4W_9Rr7P3!#24)$ zcH!}JHbKY*2R|l{MWRhgM~A-s}77olC_&_;hkaFY3F}g{jXub8uZ|A#T9aUf9U2V4VOwxSt7AZ z@%q+*aJbE)&^vPUgp_hW@R`u$}L;7@QV}~JjXN${x^G9*V7npOm>QYwh$tirU#Z!)BhcZhS zahs?cz0pK9jUAIOxabtN&t85dI~D~Dhn&;HUa3wPD9cH$fXM70NaKJ1#qtQc!L<1p zLo!0G=5wWqGjPmGTpy1H>PXL$@zr~5-Z~uwx&97~-{Vr+Y|(yoObf-;y;#=kLtDQ_ zXWH@hMYsqn*}v?Y9cz%f)K-e!^?x6DyvshDS8a^6#4B31!KdZj_R{Rm&}O zsyL96YeTYb?vhk2j&|3yTBKH@CCVkb&3W9`(q;Gf&-`~U`lvzE-5#vUqr0_nGdi1Y z8oRr}aWMd18t+ z8BFLes!+WGJ8oNAJ%$(c0Tt8KbK;8{uh2Jl`3jt|2gBJqCQHX^BSx)GgvmVKYfP%( zWX=yx{O*hormt?%D;W+Tu-lqxvfJ8m=5bylo~^rkUAa4))8A@KlQn*RqO{I5us(k) z{$=qe$2b!J(VjlL5v!apORWx~>a*#|RP)^6wcA?a2yxM>vsNMw#uz%-q#5;GI<7YP zbs0j~;YWJpF&DqS11qt7zR@+@JDFhhOGnl-H9ELc3;D~ybZT!#8rHB0yj`PWBXMuz z@>e3^>b&Q9ocfm(rE{+^G^^K#b^xchU{XUR^VFpyXSi7jucB%bn30^T(3F79pX

gRef|K~|BZk4u^BPVwm`KM4K=kebRL~JEBEnU zgrjm03_*8!ac?k$_e^^NM|>ByBmg3_-q$>GctC?WR0J`h-){j0N`CQLx*yXyO^Jk;@S zMBNqqGWSYk6%=E#Q^xfL8Pr&Y{v>Os^MKE+UNu%z zzK!3WG=TEIky>{-*s`y6yP>WOC6&hYfK7Iv0Qpy`DdG~j(=8uoS^4ljl&E-q- zUmCXM6Vudc)_Vlw-c*Dj^{KxOxsn@Ig34x4HSH)zcP%l0Q-i1>j9}T@nGS|%PM=0> z(2X)n_L}Oev2)tjifZ}QH?@*^y}bB^NVs}R#3CzR*Uga-Y2YoZKg=ge5mQJc7A7Lk zo4=wF>!sm8c(fjGw(j2G;qN9Eki+f-b}D<&l<2{-+gp>|QJ z(`>qfS>D`iSKf92gV#6GUET;f;ie1SjP?VC{>(}DDZY^RYyuWYDyJxv+k_!qjWAkn z&QR!j@N|UM2i~$m#ni(3M<&yKv-$3~4Gxj{tJIL6$iQ^_hmt6i=TesCje41TPe(kj zR@&qzP811qZ#dOgX<(@tHy3x@o9$0ha5)oDk&tHO`@{U1%%O4Hkz6A5=Cc^DmB_>n zkP()*`C+z@fz|*5g@`YPCe4T%z&MD#4AG)dIV-W}&>FZWKOg zhX*?ssI@LfPj~t3k{ai0gWp)pNuZ9IXQr_Ul9xOUq$Y=qI=gpZ5!No@a|B7Hz3qX7cOA4Yr7Qzg)Y>;-1@)(okZeQq*mQY)%|t#nZhGO$zJo>r{aL7RXH| zv-^@P%=`4&TZ=mPagzKUQij%KtvY?1C#3Y$k60L{REyQPc15G}IkQ6>Rdc681?4JR zcd)WrXgt2sadgO$A8q~ewX4`0c&qIZi@k_N+=3LYRiF*WzT_}{zJ+-)C0}NODsec;5F+N^6>@C|9=UC7M5rTOVc-!eT_UnJ>P++U}%1 zK*WdkG6>qds_~_S=w6>9Cz!ER5go+HmP3Hi<-3we!NMz(d+Ri2AQ9!=P2f{yfw_9x zWC>A2T7@T9Eyez|Hkue-Gm@vAKNLoPi4b06_3WSkg4#5t9KV=XF*F~evK?vUT@}T0 zrKDcJC3a~sE>P=mgv+mVRS7pFS-#uoyFson?CH~c0ntG3{pu0yptM9oly`RLk%3{> zKNKaHQlPKfy)~@AycYa-oY{|mp}^ZqP4LQ!=K|=!UH92*@pE5oX*3NytHxj0;%LV7tf~b6rT2w7w=6R#}jVfAvVOM$nezI z6Ts`xi3cU2W81N52LwDFXL#ios>R%5q5TT9Wh3R5VT1&a*Gk!Y>OdZk1{e2iGQQJL zsDEE6*6K;8uIPJ@`>iyTpEsc>NRvF2Ns#;^Qwzb^m^ZV*wc^xD(wYAb(CG&p}gEXeK3GKyCZ? zPzMp}qc@pf)vyxr?Tu~z&NFeGztC*T~!`@H-!6 z^-?+|VvAe%oLuC7Y^6TUp2tib8A_fgGWdlD+6_7VqfnKH62qOP%ddA4bkD|37Br4V zsAuZQTpM*rhQydAZEDW?^+H#ZR6e+dD95Ij%zP~+z52v2cH5P7aK8SKB`qKs_T^Ca zlOm>#A3TMslY~6ya^FZjNd@Y6+Ecy**kML)34Zlb3Agd>rIsW&394JYg5-?oT$y1` zibM$)i|hIBd;Ct~5BX_UUqILd&b{hupZ378jeh@R!_zn&GDr3{^q`*#0Eyz*DWDtA z^-9hnFxp!kMVLF%ch~VtJnfwbyt;G+i^Hp)!Y{%fo0udSDa=(>M|XDeb8vkRV|rH_ zjCOLwlFsJlutNKHuCu~`=Jf24oq z93E0fTDeOsV!}3;DR_DvpFvq~dq-YL#72`ycDyT)a?9;Xt|VJ@3j%1@NF6s1vrZYj z^6rEa;`9RnB>^?~twcOYM}`soeV8l>J-j)WYr2uBoIN4p@{Q`@(O|BA;>EDncjGEi zd3U+%+C^uhoV~HlD$VA;mQUJM9Ac-LL9?RKs8n5=PI4_UX-Jrlun6^j45!Is&?Zu( z($9K-`TK1F#~1tPZ%*Ng>`lrkZYj<&i=8HWraPppOESOQ0d#p-K#eweebBiP8MQM3 zv z{q28e0Y5$j5kAX0$z{TKiw4nM>DoQ$4LC^Pt~Oco%i*c{=t3{*QjO1PLKb~!xG z^Q)M2ykxi*yi8nq}nj4#Fy2?CbAO5&T2@%xF z3=6A?-AjGxYbt6Mw3Nms5VghE^#Sm%2mr_RQ>aFuETj4Z*v90t{YAxg5<(g_wg4RS z3-#WH!pg7mC(r#+vHFKyX5kT?St?0-Ma_^w-E#Jdr{n1uar*~IAQk@Ow+>AixY-x4 z`r})|*rrBJrh+WzYO}~K^@at0fmRm;Abay!yZ$`%$;r9?rs-h_QR*g@9n^>6XzZ?W z`knp7cB@JFQ)TD9CfSnG1h4(=y_FK8P8RSbrYq|VVD*_G?(aJW&l0OlM&|2mbwVZ+ zoSU!E#XaTT-`x%i3`sxAFCmNClCQh^5K`vfdoJJ00Frw5k@-!SENy?i&w~*yXl;iK zZgW~W*=Kyo6fGoFiOysW$Eg|Ty1+?aejkR45>k4m2V)$G;Rdf?#=9abQibM&te!?^ z%b`OtWn>pSKD#lLQS*D4!|s&Gc!>@k8|nofcK4(oI;jA5KPeDfYiViGo@y&{?`9x} zJkMderwsplCIwSU|sAt=_HBJu0ct9e#6Zu)W8=^((Z^ z-8MRQ00u34r%}ye%P*Yrux9aX-u1jg_vEQqAFWPol@{};!A&;fP?Ltq+#!da1-$r? z93-D0$C*Ju?K;^>?md=I&$vZ51!R@&L6b(4O2(~#7LsY-gI)mqkoo#h$;fQyPJPP! z7eN3>@K}mfE-RvlL0yg|{WpfO`p@kG1VOS-2cDpdNWLDphG>u_3vi0-xU-p01vNDv zn0u>-%M{`2s}y0VosW{+hUQNq=*sRh{8Y-34OH`EK#dOl>5tx25v(zkljuWcPH7MM z+q4|5Fbtntb0%@kb;PYiogo;9C28j8WyF1Q?y+fFc=B(59mjB;8H8xE;~l=R;<@j6 zE;l_?J)JWdges@Sc{pn+9Jvv$DxVBqyDK=J%;yrI>%|Kw8Xe3&AjV2Er)bsK&e9y_5WDSnvLvdo9 zxp7=`HyZ;?V`B`9$ueJnP>=+il5YF0V*uUM>Gu6q zV(ofw(-t(Ta{vF87dd=VKfKPY=+rdmhvQTFe)X)FiZ5&T`;IN%t;#I#T&-DCn9P>f z{{H-3uC~s_vI|SRuMyedjj2Ux$+-5&eC1EG6?|@WE7Kp}qwk^l!XATz2S~I(_`AonepZgJtNMR_ZzI6W-1U zQL_Pl-cv3Vu0q~i00Z5K&)*;pRtK^7-?D=mqv)5N8uGT4mz0yvOINda8}Ya4T} z-Z^rtM2A^16tpDLG4IF|V`OAR6?rhWdq{{_6`TbqFN02vAm^)`V~ZIw`$46bM*wiQx;n|B!Ir?G9DZXw^6f*;_e}`V|d%{G=mu&IjO30%0AdJMELp@MW5=N_Prp z`)AZm^F@raqG4}G#BBlzGw53Fq*&I4xK)5{ZV}nFvBa8lqr);ah=%a3f)r#!fmkwW zQVhDKStAb@NucHPH?%~<034-EptkdI5*}8o^VwsaQ$Lq zP04s;Ep(P)OBz_TiJvF~ecM4{a9mjNU2%6Nka~2`VL z9bD5vgM{F&!QFzphM>XS-3br~?(Xgqq;Uukg1b8ecXzvsefD|3cc15c&%M9<$Lh7_ zoHeUPjT*zDO#Hs>VvF)z)kpw9oF4SWEe^o|m@Y3f{Gk>Y)|t(`dVk9UxK;Xl8uUbe zTHtigV^22y-Lkon$*RgDM0MEyyc0Z_oU`vud>v7V_^jvX7ll(5uru*G^CO^M^a*@N zA%_*}j-5|rIqiV(2-${OYH$)qYM~@?B^2-$ewnJ>M?Mo3O}#__D0SlUnf&=tQBlHx zyakKxg*=Yx2L;K!qi566aetLMqnSj=kr2Q;rY0vBC8+$DW=*^k!wT4l36O@(d7*|V zC@6F&mE--tY5N#xUE0~&W&z{vq4zCP%)K#DM%kgEwDI*0*&z&VKq$}leAlT3qqlN# zy*p-b>$RR9^i<&cy<;9(cz0#9-EsoVp$#9_mnM{yCbYaH7V~LyBN*%)tJ;5mn; zZ+=Kd+S`Pg&*$`gYmI)*2{-JU06Cxq*7TXJ!l<(4RXvgXk4BEU7`Y)Wx>q5s1$@0kAYC4o!|MmH6{%_Js=V$xt8yg>e zo^C0Z+PqQ;`P|8jJ5SrCn2TC^2K=gWYB*l7u+$X#-fX=dfI25{N|N5}C@RuIz~_`P zk0LcA1xCwkRWMkIEgXrFKL0+*h7emQxe!5_n6PBF1@FKHNk6&m_w@SMBk8a^9xn6a zX824N7X9Up>*oGiZg6ipX*5;o(LMgfZE3}XquNZ@IAvJinJaN9{scvI050A1{Fp~| z;RlKZyQNx&(Yd{KX8A3fLuTPfk#T!6+?#*{a#t}EZ@(yV0-hi-?LWJ>=i4P#iB|KX zQ}=Dr+ivC`9{9LDZZ)=kaJeQC;5+qS5sDEbv|V{j?ZhP?x%kQK#U=G`w`jzIZ?c1XG3)JaH+`eqmD2W?Th3(PAWhU!wN3)_`JbE z1pCl1 z>vKXCe)8%14%1|Jo)GA%_w{^peh8EOY={7MtyIKhJaON9LSm{fGQ(dyT6&)|+FgYj zi1nN+Jm3_8ys3k05yjXp&u@NBSPW^kyJwi%;gYM{(p3|> zxnG7ZvHE25AUioHKrQl}FWfOz&%d`?jwhwr_J^1@gpgCN{I_S);WEGUPix%s-IHA( zHb`VDZ)zGRTk&s{Zf3)UYD!p_?}|p}7*6ega#&k4Sx?_5S@9P6()#zKRQE%-w7t~& zh7o?Y%k>sh(+<4k>6zIjBYj0Y1ksW;*N)}DJ&|R+~u`LD3ZiV<1NmKdtOyj(NGD3cS z_05NE6ksC$U3dIbZ3*)Y;1Pl;9QB?K0hp|o2DH@NjDsvDi*V;)>nNJtiO()_8WDth zD71S^+zrg9vuV@Yv#5VgZcb-oY@4oUkk%fq+5vmbJz`m2K`92%SE=2c4};baWGLty zC)O!e!u}v8#;dg*U;w_EjJS(TiIUCIX|YRHd-PU_Ykin`tld6#n1jqQO!Z~kHGq>p zo4{2Q5eV#K^zV_boYF4|#16p=3`ue zG&81h&WACgDWeA#&!{zamJ*{WA$&FG&!`E$BQqAf z+D+D&MBPW*`zBtgA{vFO2BygK`Plr-Ed(T}g(gacwa>lGQpssTTh<_zz9C?obC}U; zSN9WAukoKmeCNygU}QhRCQefiW^V6?280G+bp7uJ`adi2tf=lRB_tYhVu8#|%t>*u zJ-0$3cC@|Zj%F$ir^i_7JMOV(Y)|sm<5u2pqf`4cyN6uwl?82;t505|Z+bZ63VWXx z&%qF{&p41Y*2$AC%vZ*E34-&7JQJUWs-Lp&)>otx&H4vjdh3iuh!-qVdJHpv6jBV+ z?FT6?6uHhn^;kFMs=3I?ra18lV+T4DxAp<;o01(|3Fba%)0K`cH;Ga4i`yJ>m3kmX zZ{U+n;~Mtu5b$vIu)S&IpAIK2iqZ8tD_#i0W_VOHd>lCZVe%{#@}4Pdu+<{uq7Wbt zZBYqx9a4>Gl}!{NKVA$NH#t9wL8@aW1jy=>PVv0OErfb&5b_Bl@w|qDr4P2G#+a4S7v1Wmk95v zj%?2Pj?-X>3-K<)-$_@{A^I;M-Kxyx;dUA(re>bjFLJl0Qk2~4p=h>O>DMGTwH~^kuCgDi4{5K=5u4)4^*Fgux@p?*BPHv6?vjF~(dY0iP1eO3XoKc$-bW+G`Uom)cVk^@c!I)<1= zCH$1@8zS)!d%*^q_#BeTU@y)kDLr5-t2E#>tiQ$GE7 z9Fqb!yBQ1BdirbA*&7w4};A*4y8vqTG;N5vSRD@RibH7Oq{0I>ei zA59{S23Di#Bi`+G3dyQjy)ak`HPye9(wJ^aE=?x0a#=XhdJ)^r`!kA8vt8)4<*bZQ zdFMx4jT=}1>e$Mu*=`w=8_Ml%bmOo$$EJQ|gY2~>(=RnsOerv0+j<_FO4dG;9>s9v z73#6t0+;cpt>J<2meuZgq2^q$m{8GBxj7!J5TAdo|C#IrIj0|V+1ujoP;EY%{L)oX zPJFY0e(!#Cy>8$(trH?~*vE1Lv_uP}K&Zdk6E58#D^Ud34j}~MSMT7WoF=Rd6-(oj zPCt#6CV*pQL@QHN`Y0uIo#-Ac!Ej04#n&Yj25l5mj!EN&?kF zZeY%X#vi`h*78(|o;DEy#ln_;r;xXz&gFkZz0|*ljO^;_K3EWYIVWCK2KPxMXP9u` z{tA1uofcVdrC?!iwWQ3jd1fo}bZRC{cLuq-oDba`xLHK_QOf&i+)bZ(hpLYLdUU2& zoQB1h#cfOD$Pqw`l@N@UBlF4Y`PvC%& *V`_DOF`e?ayr1c5HF*ESI0sUJ?57~^ z+A3Dx@FJpgvXfk4esYJ@85MmzI^0FM^VHQNjBk+iPG0pH*OQSm&k&4dn0N*jL-;^;gOyp6)-jsl08gJv!jXlX_(Ddy1 zw&{V;1?aJ zWcJGs#*x|@#6~^|ZL*85_mZ}9=^8g(ogk8$Sn2B@x*adi-%Cbr-K#RXwoj7?X8=jeFx%!DagLu7CL5&J2Mg#V(5bTE7aaLjb@=YqKAs@D=k<2o z@0?_2+enG68F7YT~G)V5PvGOt4L6F`oK&}uv5iPBd-ifqaFueL4KgAd8C}N> zcEsWsG9Zk{dPf=Y#0n1L2$8%~vXk?ptSEOL^_3C#S;(R~cK4qa8Ss_a}{; z$=;q38{6i7Ngb3p;oJ#@iitV1uK^G0mi5Ay%)BztZAKRCU2D&>)W-PS-P<*YIK_j^62Dq}OM|t^u{bD4+3Q($~Mb)a#u8A%glA z3LYMw+hHrre41#4gf;FhYaY=B$~LZ!NFS{}r<-^<(Xn;zFFIBoY-xm?21M8wPtI@K zFH-v%H_}4;Aplo!joj(WTo?q~uc~YI_0DP^GB8-iOk%gXV`o0f97uCNMLgi!WT>56 zob;Q%z*;)Jj_29l->18yeKCsLN(8wR7${cK%2G#9h@12OPm$J_YL5zu>jn@J3HCWW zI6z^54=n|T4Vdy00h;|C5p0P^Br8lj;fdXVUB3mtpY2iw>BZ_PhMi)A(=}o1WgqX4 z*+O#0lh1{9>Q%AI-_LSVdA(A3jE>R(Ib8s1R7U6o1RV4Ls?I;8Z(oK6FrhRx7oKZe z2v-$Vbn#cnFJg2McH_~$1~7oRvxD%Is9zybiMrd+=!-lYz=|pe{(!o}!NMn@Q8ml) zB^htN0CTRS@yyLxR;M0VLk201c}MQS#>b1TEIix8t=Ame1aHLR&QbSPiGpzJ;0YWh z1rZqZ3>ZKlutv6R!-+=u+uTzxS?Es1kv4J4VQuu+0h;wSyZ5@ziYn)FB<)!)T_2I+ zxc63vyQtD)ovzY}xb=?04p9;KczFXXcm&F%Vy}rP$iXlRzC^QdFdghf2oR7^6)J)s zk>SzMF)Gd!c{YYU9K|Iu^W86}1iM)3QB z{~wPA8|HJi6Y&_F{Eix3SXi*u@vlHa$gRQt$~>DUEeI8WO{Ml>^vkd7I;m{4bL2)y zpl{Wwzx?=^@-|jw2db_Yqj0eMw7HZwV!CJ$!L$XdC|U{~_va(acZdk3YUNU&Ds`}4 zmx+dA>nXhw0$W1=#~<*c!}R|NjHN5c$wh!YQ0+I^#eWL@_14{M=m_*kf~KU*8-9wP z8RSy%crM0BKzgN!(!1w}@H_oZw^q>;+YG%r{yz{mFlHiNXGK!bAes^sf~e=T2P5zGMtQD;*6njJ=L787IQ*Yn*Yc6^8jZhn(D>7&4E~myVLk| zvB><5>o~iWl*aq_VgBdAz&;fYsm969{h3t?>a23KMZC8~MDQJz5j7^MEvoxdRrXDH zMSS-*vK`|~RL4Sk8UeTKh7D4LJXs|-eQ&!N$JnXZMY`aaze&h&9FM9As1uOB{SH3^ zn26@41erC4#1}g^8%kw7v&%l-y^?+U6V^pZjv}4uwuK8w&cNU|%g^5bSAMtd)>O*i1s``xc!Ii)v8m)r(@zEV?B=i95dj4UF} z5w$-ZF3m__ZO#{(;4>SY>}fGzJ?`5a8h1v8EAb_&C^p^I(WPDL^2qaO@H*X}kcr+O zZ-GknwGxb4w!dTNtwOv}|+8>1HBi;=WFt&XE2qc^A+_s1Wy5^>w_#qB>sYsWgOkGo5T z=DQ1*w*%q}wHH~ey2+GFTy?SO%0ZfL^yKtYqYb2ZDIW3_L2Q?Pf#dAvy)1*wPDSO% zqn=lvI}956#vNp8%rML{yywdxvk!A+)m1qz`QCABM3GkSP~o*GSwTP^UO@g6?zlWj`8hMry)h#1X+r;HUJqS#nsihi|#mZwl08!nSv z;g~fH6L55NbOD6=F`(~QRJF6&}_BrENwn5`STNBzu;dWbdg zHZ~SHqr3gwf#ta8^*gg=R}nft^Ss8;3hTqs>y)F$=h#%Ilt8guYR8VM2@5_$Ux#RlH}-2t-K+2?bSx)%;qBEciC{$T z?{Bm}?$6hwu>rM#@5wm%?zI554h>V)Whjp1LcL8fbbz01=F$q*qVZPAVPth+ zBaml$5@TR9XqjFGJhGTUb!!6JJ*ZdbKUx5YUtyXQ zY9XKnt!*uGU?M9!(Jj96Yl!m9yZ1;YL@{@sg91+vda<~^h9U;8A_4<^;BL$XGBH|% z1VCXSw0pKCdycbZ2Y}Pxp&_L66;w|($b^rV=`u3zq;r$=d0cE4I61^-7#vDEoMb)R zHag^8vf5i4^-GMjYTGA!-ES}%y9r!hQx0us4rH!0spb{>RU_P9&QyO=(fd__?CyHq7dN7i&+Hzk5+z;zJGBhV_2Zh`8^%L*A#ok3_%*jum4 z_*UG6du4v8#mmH`0M#27hN4I7mTAG~evt*Bg)}EjlPEUQ9!oQA(RQo{{`>ulizI?O zjE~;$H$d*6%Ao>i&ju-5$ea>bMeZIVd3C;p4yHP&ppGi-!SV5?dd%22n1phx7w>Pb zQ>2ea*~mUVeX!ODiP|zSc-@~V&sCTml5tfk67CiB3gJ`b^zro-g_RPz+4k_1h%{WX zJnveSNli_+UMC;~ZbSYd5DksnL8ihO$eI*U7`~3?Km|Y)KK_37HaZNpXpSoU`g-}7ykjruLO z(C^=wn#-Gl!>oyi7Q5*(0!}o)UHdqudgkKcUoXZ{P&9G8ws65Udyu`qA!9CnldZ7a zETL$nMCEiVFb(Rg(73e{f%C-4`#M3i``l9o6Oj4J5y~%)s4nK{u+pA*`5JFdjh~T% z=pt%wHc4~49x4FHCY_SBA0~qgacV~|0~L1Ul}2Ry^Wzg>Vm9xCYzirHs=8QU#6hJ zZse97r6EnUPyJs`m(CMFox|fcF07G-x<0$lL1n;6PEC>zFx$KpV<4Mkr|LxhAW18T z2VG-^!=}P0Dvj~{1LbHg2MwfcVg9XW$j~xP z&1>+rZ~ty{cmbdRwq@N;iJ8gUX%_k!IKQ9Z?!IkeGP!gTzJJfye~KUIb`1{$^SuK)==F#CbW*V} z1^eiW{h5)R?y@bB#P5hA*WYw8H7eF8*>bchDIu5yKOqODG8#>ccOfNr-+FC+e}+cL z2Q_V3Gc4XKHC+qa!v{kJ_!8x7zdH+n@)FH;ZNo+eH<`hrOimTMy#+!&Na(5_6|Khc z^p7aBnw~tLvh66Y@93NXjpk&w#_M8o=?&O+MkE0a;I^}Gq!$~uI{D(&P}{k;dScoV z#bq3}4y7j+sD%@`Hb-hOLfD9^ToCvDHc(DFZzY-oBJ1Grx|3aNggwMVxAYx&zKi`L z!^dc+;ezJ`;(Dszydc(b?2?;z`#ey7Ep481n{?}2m8f=g(44^&i8lO5Z-t29L>MA& zKTyu9UE!?DM>TLKB|_L}0iE!Z5BPt|+vI1=$-0>QpYW>07&t6Le=|50)g4ozgF_?~ zLH0X}4E4@d!Egb*%SN2N#G-Ci@z0fkJC^3K0nFoVSshvtP6gBez44=MRMkb7AKxm9oKzr5d0%Lw{*Hi!lavAUJ}aOVw}r}rliXk;c1IbF1WKm^uEffDyH2AHIfTCJtz4jrjDIFq58Lg z0xV6<{48LB&OEy+1Run@cA{m>Xe=3<7#45xDJ z7GzQHWH6m*vRTSN0r7PthB?l!>KR9f-uQySd9|e^@<)01T%?&+n9yMJ-y5$wM)KDA z&L7_YwaU)5;kYcuRBls%(u=ZdMOJ}qcSDK!REe`q?nI9YJ6>Y35(@TkS~Lz$Pbxo$ zl_i~71i_dG&c^GJo(%9q@&&%#EK9DFN^#D$W7M7lCeftlS+Lejwb9x0_E79|yI1qT z2!|scr)^f1CIsWj+rh_UHgJDV% zBDst1=Cd(KI3v_1b_?`+Gu37m4{YBkez_)H_v80~ZABZrvqe$&$F3{&SJ#$`K@T2g zW@os!Nn7i(iRaP7MvHH}yoDka@Mp6Bv~|%5CgE6~xlEU+l7NVV;7lTgmRQb}hcSxB zii~9{xCJ>K-+asKH0w*ydi6mdh5|~jf-{&rte?9Zg?gv+{-I}Oq7J|Qm;dUoWQVv9 z?&xmQWIo1CBRC-{r8qH}`a(2TL|~ zD>ukaLL)eyrj=#1R^P{}#o(e}4sq1U4XtgZCNjc8ACt~` zjiY6{=TGpz7Zk6ql z-^KHyuhfx%WfRBpDUWHd5^FjAz=nPSrBHbCabY@ptF22*u*hmua3zCSM2d`PgEzmW zn7piL9;Bzvot9wPM@}?AV)hCw71FPP;9sp2os5jEHFDjyT)ct*pm$Hmm1o*IjcKd< z;oCJKtq1B}Ol1isxcZt%{z2Vgd)R5u-{K}!6PCy_DsF4Cy9`LujlKfed-vX*j@PAX zwIh$mRoU36W*SU7M(z1kA7v($5wIR-!KOtrtYNLeZx@SLP_^$fZ(1&s664!RkMX}u z-)f*D)cv&@r7B4RqES9z*Im8%Sw45segI>L!net zLcji(qBqBYD+Sgu%+LViJ|7m`TdsP=+&E9E6sLU&&D_AJ8o6`{hfO52>Kf5D)*w*l zpiEED`VjJo67-F4JvEqZx-@za>9t1DC7ivxuT6Fqj*GKH3 zUfPZ%iR-GE(91r{KecQR;B6i^L0f7DrQD;{fQS=YT@|e(qfJ6ep*th_?<8ggJkZ=d zJW7$!O5T9Qj2NH{s)!SP=_2!rg-CvjQ@?eCFLzu^*IjkD<~d1(@=vol8De{n%(*jB z#0U68Cw1ndu~1liB}6NE3*MvfA)sAIeqFuy!|oN@@Dp_^joLY8BSN#Rj@V~LFVSnp z6|uha<(z4ef@qU)+h#^%01XmKCS$c(ZkfIO{rh)F)2uSfvA2$AlfyQeHXv@<8{9h~ zFF?O{1JrSST_VTYW_|i<5}u!ol$6?H^yYTh{Y9%C(F|gUmX6)N>Mk8^ZI&s(5-pMi z$&}6++j&;2#nZ?I@8pm7savVyKv*DwDml?&tmbrQV-O3Jp5rIJ z#ZrZ#lbSpKI@Ws#0b1>nXSmR#Y|ktNjqUm!HmFl1XrsEa)wmwWg#qNS#N>3v+~40E zI-u+e6I`ktVsDc~3LcsMM^i^?W2@3sd;*X&#_?@%?)##1*wH#hDW ziZm_BD^gEvaFBjNzTi3>iB~?l<*bFLG#JG(|YEiMR)&sfhym{ z{)CzZ{b~Q#{6#XefC9D7fqM`tKEgG3G`h5DK1i;ZKOs8%XbvTOjBTU8ntT1?7sl`FzTF4dNk-cYZ4)RFLW3Oh8C=pfUw zr$tte>we_qZ=x*0VPr#dHE`JzznF8yQ=d_$=!H{=%Zt-I2r>?*=^JI9Z2b=Bce|KV zdKdDhfeeV3k`?lf-%~xnl5Wp+Hqc`J7lCc`G>=cDla6KH&pWO5 zkHHRBe;v|LsI}>G`#IUvgL6w~zZ-HEZ3c_FP9Y#cQMmep$BJK;ouu`OMu%)j2^C=u z6-&%0{l<7)yJzFpX0-1-v76{*vJrWb+$t~4rb^VNxQl?^$*;!#>nea9Bis&|E#XfN zdnb`cB;`U00t?9E!X?UfEVJC9hzZut1nMAJGieT*tg59VH)qX3_nqvZxW2&5%*-(Y z==wZF74JIJu};%go3`76B3hp(G_>RX1pwBRg%k_T4=$8+fn$=0Fr4zQy zpWilJm3(M4O}T9Npcrg!@K#v(T2239l?y6BeW%;WR#cFa?pjv+s_c?Y3Foh3_`njM zH=-Jg$ng6(kYkWw;o|P1|9wQ)x;DF8O79#dc%K@)?O1eYKMXxRo^`GeU~FT*l}NE^ zkB@v_e?2Z?V6nFO^Vy{6#}|;<(joygf|K6cN-bim?vPu1w|J~I-0o8rlcqsW(#l!y z1iH_{BmDPtUbNchfT0V%Z&G1~I}$|kl~r!TbzKT5yWEnr{o(O(7m(k~K?{r$jjx~b zdN<>fGMfo`;8eY$xv~FR0$NL0tbSkg9?VY2(AA3jiLF00@!k6ws-KlQA$qsW_YP~z z1pm4qNdz0bnzg18qFh7;dl_MD+k}<@oUT}dvxq|QZ8WoPpg&YV_aAhHQ&O`84Z&VS zI)AXnB+UU2Xj~#khXycHJ7|A=B;>KTdaVtV8H&M!U%yD&QM*r|IrWR@@x0DOS;= z4oS?+r7TE(kJh>|(a`=vq`SqF^D%(dzBx|Wd9Yb*l3jVKjrGH$4Ds|AI-JOhu+z70 z>*&#f2mh`stY7igOv8}ppE_Z}zZ^ybJ*A*g@wx)|0giPw47fwj`Iq`}u^vXSP6U*`F}d8vEI}f|vFR zpNBD{#WuOBfKMA9?2RN(B?yKRRy>N=x-kha=!^i`J%&6BH-PwF_iR8HBUYiD&QAdQ zw28*!b~OEJFvVF=ecdovY(B5j{J`5w;C=_r7$|os zQM>eIDk|pp`7&*MyFzWkrr-YK3%PxvS^^P^P)OX;7ovK9gy`dh>g?5`!ewwfWrEv$cJ3NLEdwV&AHg`9JP8l?(8r( zF>=N7TCkC>1PteNZA36ROX%78P9Y++?$={WZo7Gq>Z045m_6LJ!(5auRoFxuO=Lc2 zQVldB9sU4T3~CoLX8cQcL_n`nqmBmXo5<{xR<@yMp8iR)e!maM{$k&A(FI!rs;Y-b zEz~166xb9?s{!?ZgCX@j072_!rB6L{p->?DuiS+4`di@gQF?bNd>Uq?0QrKETN}_S z;1CM?F)oGUH50cyx*q2pwM>A9;oLC0qd^AXj>cWiXHK9z=b^Qw^6eXLAng4<#4C=X5;XwNi58 zfPb59+H2XA+<~%9k_eA}{C>_SUI8#5sn!c43$lNuMaIybzr!yN`vx7|1bcNJ(>z9J zWh9 zqOyNZAkJiX@7K5{0fhh(B8uN6qAOw8APNAwP0*I>!IT*aziHA)tE$#-WG&J5`-s;G zmW;(Cix2o197_7*mF*ME-lD+26#n+r{6R5^YmH{hZsIx$X?)Em$I|jM+wwJ}L@L6S zUgxN0g)+?4Ito~uSBJE3fJ!kC1(#&cAPH@OiVLC_daq$c7;se;-eMc|m2|tit`~Vm z=(8J>~<6BCrKa-&X)Tl@dKwmP`Ujp0$ETY)5P${cp zpH!3gKKrIb`V(V2VHS4l1ZHJN7TPZ6vI{}C;UF_KS_uaDj`c`F*SlU-bxriM_Io!q z!S+d$8Jy3~i}v9}KDV1Vr?^3H`kQ@#As*u(%<3uq z1kN7#jKmdn&qu0wdfhR@#$TrcqKy#~(hc7YHW}|*2F>E6W2vtQ^vpa2Sv_!S>Rw@-* z$^5q)7%T1fKGB@oT zzgn<41CZ#5Y7G=|(CXk&P|@e6N&e+Wv_OBlr-k_68*-okTnB2?RTL;;+F!oNRU_Wf ztkPIS8)ON<&C(en=M&8E?1XOSehWsD|44 z<_(gqHZDoDnjER)8FiO8LD}>`X+V(3^{6u#%NTr)i%XC3MhMR=jjrF3!PPqD`Cgzg z!fHW66H<1{oyRZxIZvx~-rLu<7ksN;+^T_bU76D#FX?buqUHVM39MKZ=N?Ls%DUCb zYDZOgj6OblQ{@x_f|U{e!~N4q*J~+Fz|B?vlF#7yUIPgK>NGWc^@Bc2$p}3!aA!0} z(5e^38HKy#Hp^j-7DY*o*Pg<@L!E@+TXf-+R*%gOTwHnaTf`A?0g%0Y0Cw_#<}?L# z#%g|V3_}HEQ?pRp{&WOZ50mFY@h3lz*!U#T8&Z{|Q?KU*y(Tvv&7U2QJ_K)U6*lk= z;w0CU;Cq=y&Q?Ucd`C-lXq%i5n_Ocxtr$+zl%v*GYHb)4)6pK0J(nAs;d=##a;u+eK6;G(+D&)bg^!`_lsLoF2)twJMQm~ncjEB9Z!JN^N(jB z$8fmO$1d&3)eV*GikeEKZnC0@FISMfEeml$#k)8oK6QKE_R0NVv?voGK`oC};)+KF z^iu7#Wb{)yp6szglRn1J^y`V8Nu0|w5XlT(f5z&0)ro{fGYS}l1D1SluZlETqH09n z4O`3Wfj`R5m;yF5IcREt6Q%ZZJtJjtN;Ye%JxH(KGgH-`4hWY&*`@AnBCphq@qv!K z`EM0Skx2P<&eneArfB;>Vm`BW$wk?CW-3Gs zbuF2Qf1CM7YP5s21d*&WL~9E$jtrzYKNbBCl8P3f9AVBN8Z}xa*3T+ z9-C0Wh#WX66G~ZoI#WIP6ojsEYjN9PmdK_epent2HrQA)H98JJ0wdzj1=%00U)pCQ zs=2;Z=BndoS_Yjk;{-euKHldAuDBgKYwd?iGx8~{k}X7C7Tt^QKQ~A04Kg?8g7ki^ zCS%U}a6@Hd=@&rHnyg5qMUkdw(oSh0Y|io8&N31>45N_k8K^G$V$b|kbXT04h5iZ ze}IM{$~j@TzLVhC>WKduDMAwZot){g&-T(vo+O69fq}k0fcbp`^lS}pusHkzB#`peN&CMfvMd5(yR(r46kuv;-O#EQ~dXb^z0QXCE#4OrpILT>b<>=U0 znR1lt!DvJ%Q%0bdW516*^%>B~b(Z>{ef1q@&d~{&iEMbXSy85i=5;WH-JnJLUmMCA z|DdD)3)68zY^(@88bKCdvosDs#qp28u&N`XplK*`JpG=+VJnrk_)z@{4dLs~(yySd z;q=Z_!Gndm&|1*9wA4u-vphS9{X?Q|%vRk@9`j0JNMgX&k@k4RdmFJ|h_pDwTqx^@ z3)SLck%U|CCJG~$CrIU8Me2q*u8vpa>+>B9LKJU-az1;FI^U zTe|9&z5&m7vj3Kz86Kx+W*$3&=qU>(6~u!wxb7qj@W49LylJNeRg4EH7^X@+UUI}oXyWJ0bP~9Y$I6k2U}im ztpI-2`K*cd=NQOmmV38ro~+94M3|e~0gED(jWXDPVS-p5bg)?APyya!!7H!^mWCt0 zU?wz)Spt%vx(bO{uY%WBn_MA7?;5*&8ozk?oneg0;g(WiG8uPA(#7X)U}6d$A=7ou z$*zsSTqOMT$3R0oRwFE>kDUg{j}DEmKdMS`BpYpny!-VM;1?}NMl#&~gOZ|MQd4Ca z%tmha^M4`q^d<9K?m zY=5HR)v75Y9O7*UCKwl0=X9O z?GQ&^cq9i4S!;Y~R1;HJB11Gp81_7(SY6T8_51pn>#q{KwvOz#xKGSgBkiX-R6zoV zEA8#w&WH;NjaIWKZE6;1oqzfXeMfb!>n1>YIsl1BVcfj-O*yJ`tuueMy3Q zE6w(sL63ut34os=Maui;onp{@Mkx}}AZ^9*aeMy#AJTEDbF?e-+J%}qAum1wf48vy zksYM1t9Tmxm!*Syog_DPF9!ll(GCt&F|tL=l~fXpfNX)6D2$2p4Qbv?tOdu!6wqkN z+ujkNq$11abDOdf1u2P};d2)83+~{r1V8b6ckV)4)lio5Pf=H!i6hx8CIHZI?fo@Y zymSa<_rsM3F#ld;GLk`pDSw+|&(`2uas#6%;fdb(Dbn%Q;YW}w__vMuX-)ylzOAuG zNHr>(HYr;7=GvMf3DB8>vxJ6xy^6zJ7~Ryh7|Z$g_S00kW+Kg}iZJH*@E)TQj|f=U zXm;v8LqZYy?$<}Mc6N5%?m{3SgQ&{4 zic@KP&`RqH7Ly7|oTT8WEt2nhY+dxP#f;4(uawxH1|;zOfnUW3dg?7GgRqA%NSet8 zKXI)PbqPh-uK|WQ)du$B03Yt_1PlQTQLR>*!0W0JX5ibg5{2PbfA<5h9n03+TlRjS zLAXI`qC~Tpy3f`rjmPXSdzgDG@ylepHOzeR_fMN{?&B zO~H+nt~{9kpo#)Lb})>fDHjn6K2vhZbut1_Jdyw_Ngd6~Ch<%C?&7zJeTC2!!D8Jr zShQXyhek2HuT{>XWVxw*vV%{C8TZO@TNRn;KnP$LM7gMVouNczo3A;Y{_Od$kBXz! zeiDKUAkVg`dry=m^R<=o<<*AM;b*tg{`G3wcl!4r@|o4Mx=e-m9hef0QgS!;UAYeJ zpwMADih<=?9Z_Pj_4#NI6#`Y{O8E@7)IZsg|8)aVMP3s@3&VYb3Mc^Sh4W)jXU(`m z2_tuBGtcb;H3Ao~b1{j+27&H@atVh%^E*B#oejJew|(~3lPhx&{1SdGWhSH;bKZnk zv~VQ;Yx<-sj)kCv*L|5324OTg>=2lE^Iu7s*NJ7pvVUvK3A0uml)7h*du6!AYF@b_ULs)O!~`NIb! z7wWk)BABD=0OJ|Cd)WrcYdA9RGYOR5M`jG>gvqF!Ao;Z1=IbNH;icwUis--7%=9{-`1A$GqW{M^0{|EyhAhB!fY`%FUJ{wn{I%fQ#eKcAV}5Mk zQ6#P)X`&e8;1?W&xV9*JZ@FC^k=p&}k5S6y26E#q+(NYcf+G?zo9rltqLzN%3VL@QK=k;Vfi5)d z+YB^1?{3y351;tR`#eSqe5B%>72oF&1yZfyw!Hwn*DrVoU|tEm4!Fg9`oj=+fIy06?1* z_gCcocl7(4K~IMT-3$=GMgb~c-^j?wk#AMrWqCJjKnEWoS$jn;6he8_c_R#v0wDQTD$n z+NvO`Ln@jb_GT%f1L-gTnggwjV3`fxrfAO6j>KpOY?c0S(# zyygu=L#p0eR9y5k7tV76;2X*c2?@!;2LKm9tZq*>fUbS-GI(`W%n?%n7yMRR`cj-< zNL)T0N5`MTW~l(@Q&}H0d{9UPe(=7XI*gv1DdLGPe~6K z2qlhpdzeNN+zq zh){sQ{MTJ0;GyU^S~#qo)sai79KS=cG1gEc$=RzvA`R6UgNzVM!AIEw56{~M_g{Br z*1Xqc75zRfs#`$sJ^fcRpx`eYmfkS<&`!0OYxxteaj}XXuNeX#(+!T~7#24Twar>y zUM8uf8UWxX>g`&`T_wPSDS?wQFcYvLbEY9e*@=?mKV$(Rlq)z+ll+n?|aAn z%YhCVd+%pGE9P8luH##Pmm>!P$$t)b{I|{0iDjIgeq157iIlgCTV7`w#3hY}h3 z?ibpe8WBOi_rA-CMA!gEA)9G{0C+oWkl-ua{Kaq2cj$rv=U)*}B-7msY(4Z7wacIL z@HfW#=3R1aY(3VI060=GHb~tYr%0q7{$GCN0xk=TlX`-pg}fN%XFWP&lW6Zv3tQSf z>OAH3h#MQ60wT$`H&c@DEE#}(dpY5=J4W&FCj{qb>jJ5| z9wMqxK_YuE5UL{!jsEchD5wGv7Ns|oNrDl^*yP^~`(VOy)Mylgo#H~1oJd#X41zDs z25K>wd*A&&GD?cAc9OL_dBx`J(u`*l^Q zJy!|+aM{&dq+XAwkqMn!>F~My`kqKqI+>;u1z=L-iP#K4hijOE1JPtwMEurF;42kH zIw1K029@Mj{`s)zAZbfBmg`)p7YPiLIi)I7iPc?_vzvHG}a7rDK5^0kKY;%jA@-Nv08}&&{EVMZfG81 zgdWngO3`VOk;vaJCh~yRtjw?4BhRl2O8g8ME*w&*J=kIid@=nD%O1a=fLW@TTm2>* zoY*nMh!+}Y{@tVIPpXoDxKMw!XJ6uub(Z4-P8Sk%Wz_oovlT8h=IT|4=P{{at83qZ zAh6C`6dsj?KrnA~B$+k;=*S)(1&2b=T${rh7i=#S?ten|H@Th}2Lk`J1swH2DeJLw zTpvY*)2?aWn~P(A$QdI`#aoS?v@);t_YB}G+xb-s%7+M=9aMgA*oDL2=uCg~|0Wp! zG2#XfTC9xe@3j!)-?U}xV9GCyiM1oJ)?x3fdHN1*4R*$c%cUvAz|kWY8?56iaP#si z24+pvfvK9I?N4$%e89qzepZI?CJ0@h_qi0=Pk+qE^^d7`2{fOUp3^$LI&rQN+}XgJeN#0L^#8!EcQXzOn-z zPVWl1trGt{oCd&QS`NMnC2Uk*C&4h->er?MUqu~?%^oy*M1(;DB#Y4a@BXy=$?6wj z{+kmmrvXS%6x07ng5v!pL4ngG2qUuCBLsm=&TEe*2bKWN_~>_6ijl9JH|B*W(1U!i z*jO&PZlV^|be|H9RP;UwCBuagv^gjSpf*$g%(pVxis_{;affdth7f~g1yf|CH# zz#kKAwg~EPBdE|I)x7Ft;qs6n3_r9Jh z&D>6Xu)Sxi)qGzXLZXuP0>&gm@Aqe9dPP#cSn)5@>3X|$zJ!om(vB8>Z&;9+EPcb| zdxrT{K2=%`69q-NNERN2LH*s@IT!dyIJw6+x@h7gpRr*Hl?3ETDbbx-$53pBLl0J*?L0d(Ftjl`uRH=F8U`!#GxR@-rc8A)2I|M-KFBKxz88MtS_v;ZL&+ z@Ygk1GED=i!5mJMJC?3*uh3vGzDxzsW#{FGaQu1uwUmVl&H*Tcup@3m3bPOy;M zQa#Wnf~%a==(Hw+gt-I7wz{rF2P^JL1S+-1Tlpuzg;FZ{#{I2RhI~-kD6 zySG+&qx}~x5>y^pF82A8{Dsz3x(uZgjOS1W+4sdS4tb@;Bw$%M3ZyddoeZ*RvhYKR zj5(*~xd+f9wd>~uL(86N7Tf zy{3{79$+=P8cMmx;qN{{E1pcE#r)NqAH2@&dBQbVPdC;$_Ada+?Zwg$fN=T2YeIHY z(OcP(%};wPplV@s^3m|%l-+XJ9n0jk!2zHR=#y zK?|O6Wy9S}FzC7e`pY1vY;9^kIBrEXnkNd&W;{3F{Lo=jQvdF0;wi^ zWx|lg!IdEzsGlJg|>Qe7o#MFZorl-f0$I;++B{&6DabfGZ>1t-?+O^ZZ2QJ4vne9N0%uzO zi>Ykb@6XPT^yWY;5zaa=@}~w2F0hD-k^zN=ygZ%n2F7=;p!1WOyF zP;$~Yv>sLGU*x{5&sh*0uLccrDDI7|xWner66gubaB+lz+E`e=x>`pn?D?%d0bbS9 z21Cl{knWJ(wiZr)9MD7K0fV3)4$&ppz3A((-wzzKK(nw*YyztZ&1FHIj~_p7t*@Js zag_h_stf;LuNr@>mi}L`@3#)~*hiW3S5JRenxdCSUShjGiyIrSeQ1_Raf=g13B#ta z<#l$KUOT{_%X;fAtb+#}+$P>Z8Zi)c;jUf}xQ^7*qxX%X9AnolQ)+*(g%E^i8|f74?{g4z|wjIUs zHXsm<1KkR)r+aI<%=n}eUM&1y0g5>ZOvph%j}hPfJ%C9d&1?o$LSw?H0>A&=$-6~v zP-h+ibW2q^ZS$DG^-K-ZX%foSb0hTVxZ!c8!G%&iIn{H#gHht_lBS_uld=!je024R z4Rze2q)-`%f!V39#cvif=8V&(a7uK=%YQNDrv%QNgjUe&|3XavIqv_>oB|MXK3VNl zz#wGdKm)O2v6pEW7-XE0{u&y>wL2nWH!1+KhB8WQ1YlaZgbgr5#L$eNb%S4k7L`L0 zm^5)F2iQ$nwXE0|Qu-=8SH_=jvBt&?p1o(WblLzJ)X$*^;FiQ7EvFQEL63j;5bm7B z=3;|66Z5-mpn_zHP9D48;i`Fp)!pNwFoT(ObY}qYGw2}!{5UB9DhG3LxqVzP8K(sw z@h)y8n#&GKKLJQN0bFRM%xL{Eh{XNI_>5l3|DHy#1t@?7NlaF+~ zuw4F#!ZfFw^0%wZwez(7{A z0f_8{L{%)-O)b$HGaRa)lD7fZ7>VWFtmOvR!=FcC_w5HIX8Wc4T^D$q5R`NxW6xo` z!~LV}@~^-B+x2m1Ga=ivDxyHaU^TXz&%c_0Rpw{o zJS#~#1U>mBX93f~w*0mclJL1yemJWr|HdGv@*&R;Bi7$1vB-GCp*wIAA~#co3LgSe zy1`-e0h`f$1RQ(vzzIyarjF2oUjtpZz_cG|I+xcgL#+zQ_7DmI@yy~*ovnM%?`I9&E<~_)X`X_ZPr0@gBy1fH3@of?D=L-G zuZVu865U*;@)(nn!>$gL2-0nyus#CayG5)J3VMZRGb=XrhmDum@Sz_m-bgWE|GO8V z{o;ptO-!(JOZksA&C~)iDO{Prj%4!jB>-Bn0aPT|Fpz;XHl-El7MG?SokBqEWmWoV zjU&cLvj1jeju1{OqPIw0EL%>he}1KI&Xu@msR~%Hz!bU4%#B!P97gT_JY^BGxfr#R zZWA~;r(?TTp}oew*XMnP+E@?tjaS0Fa#K!B0?ZH>DqxC0^#7d?8i7o zch=%wPFFC7K9#G1=FCHVz8JiAKwjza&=Jy#7Oaag(|5~(2rU!8Kib6@>;H!6BK+m569*2HLj z_wY~wC`pL2&pj`9lzg|pX%F`H=D!ODfR7P^WD%;Gaa@3R5d@nPX}YP|10O|%V{8PR)Cb@ZrlDFtUpGp z4pVNgEl{;XQ9i&(zEI2a1zxivc@5`$pV39OAdB_QaI{(*@)8yS-%g}yvUX4c+r(bo z&U@=lt#wqps$yPKW=L6$Wog9xT>v0YPBS|MH`5aCkmWI4IEKJXEjA)I$G0LK*B;N^@>KrEtLUAV&)J zpbQw2JWwtB?MRnndTbfte)Hrb`TeGkH-D`!Q*NbzJEU%x&8TQkTq82nPm-|9o-1S5 zO7w`}N2O|9dTVf&!Fg@Biw0$Y)(_rZ^3X`@W%b^-F#{?Yw6H}qpqn2Hso_9UoMMWp z!SDq2SNY=JF)Bk zC#eEQjVNBI&dC|g#N9v$O(R=ySnGO0fTynULp&K0#P~UEuFyDeq0}48sLeeUa2l_? zyr?cNE{H5Xefm(~dW|UCP(%S2T7`m|KN@b0y1&}{T+^p#;eK={ zz?F8E$lJe>C;hGqp0VzI#4t(^HrkXzx!RqCU=24@J$}z9AJ_Dr{B?hI^TjFYf;1bQU+L@G@qVVvvo{%#LtZFL7*pZMU4&Kz?Uja}HsnA*L z1cuh2SJUGNn7+Ob3E9RRvn6V8IZ(y+(tsLg3VWevuApv(7oZZ(*1qjGV2UNgx%GnyvTTvv}3V>9hn za|YT{7TaRwHvKV+qDYkaX)fRe67BNCv}cHKmLb&VqnQ+?L}|T`!|WrnMKNrxOyUyM zUoch>q@4MF7}98qrSx57ASb2*iJgelGU*a$wXITg4!?L@)g=8H=>mtV!0)nm9P1$P zdGvjcS)6H(OI)k0H#HaImh1Cqn}%3DB?6-~P8M6^h$pr5i}q-|Ol~-8z}`$bG5!Y~ z1P_>`W?ElxY;TBBtE-e)kKJ4qniw$0CprNLo_;e3iG9l@^+Vk0RIE&mMm@N%q~r?% z7hy9NV014~CDn2DX4V0(#|&oid?k$^JfTIl3#tH!?R(lHvT;$y0N8x7HZl3-T8fCh*_nNo;-;0bt?C@G-8MX?yXHyp;_&S!uKsZ@#>dIIB}PGqmZi-x|O|m)#onGT>3+QK?ZQb^j;Y7!3LZ-lC4m&&gq2 ztl!nHjTv!{bHn1|*g6IZt(gX!*B57ToyZ{}W=vdlA5m3o%X#5IZOGs)#wbpy%2UZE zfGoy?H+|RtX@l>VGEmVL?g~HCzK^!2bw#OLCw(Kq`}>XrF4Cg9P^HOgZ=A>`W78&>?&OWrU*=>l$)?{>yPj~$Y(!LZ_`(Huv^_xu+VuC{ zT~U6t8s9|_*t|!{4jkaH-+M7l6j76NFH9s5x>q8gLSi(XK`x(pDKQz$fx`$$BlIje z$1A~^>QI$l9t)Pu!1dU6eI$sVh{@A;r#p?aX5Q2y1YTdW?K^M@XylcY3fFtXG$4V{ z62o@SDHEZo!f~M{as00;!+DEn4ooa>$!`(15->V(adBq=-5y}_91N(jr~wMBkq+s7 zjnUzII%U%h!gTIr5-t?Ts*0W7%PRkLpKLJ;3Z}KW?@Q3g#1v3Veo_6~NTgM%R~V@H z*@Xnobfs;S&X4$=S-)Z)E)8YT)|R{Ne9gDsKa!PtN(C;OeDW$(;w@{`h{E?*S|kM%14j}ijLy7xrr2`7DL%D-1cIUCcznuSQm zxQos+t~|LZdH-(uMK%(LUUV$lJjWam@^%EUA0 zGv%+%PrKpYum}=io09%Eb^gd}@yo9}=LM=#Is;fI`X|9+msPd+LX#OG3D(+B>x*Wn zuPW2+^w}LJNHtaG)r}vrhr8bvQ@%%y_S)~2FZU>iUL!f-f%xc2R{TUO0@1)` z9}Csz#pv_~_Z7Yw^P_3Y)z^{s=%_E+V+kQvKokIm8P)tRWYcQSiAR^jk<%`=b#3DX zKMre^po-~ydY^BI#SD}5naY@qGcL;R8wi_0qds=25{pI~MxbayH0#z;%u#ZyXpUT?LV$;ftG?m`!24 zKOfb6Q}tQuxMZmVA1m-axFlb092d~TawG%B_xSvN-2n6a3ylsyCY<*-$3GLiZ^j^E zn+Qe2XDO?mZ^ETiR(gCZ%fxjV>w9` zM9yhS*1VsnB2pNN_~--nJQWERfx0K&RXr{BMW$F^Y%Kt5A?iKf9Pn-%4tpOO_dfcL zzC5`7NoYG!(cb4!u|^xQh!yY&`R(PK=({^?kL)HwxXMuGBIP$1zK4d)x8sm0{%cB` zg2%XR&wKRu_m&t^^n?L04%91y+O!5fC#Hcz>j`LB@5Cu0v^)i^j)IrP7VA7oO$4 zI^QS5!>y69FWTOzs+OQKkrEKF254n}_&~a_eG3oP6a|~J!O!m&$fnU@fm}26(z$;j zf}b|eaD8t+r_gnNP>0A-m<3jM54P+8xJB|H%3xLq0yZHY{S4%hxXoqSdEI)fz8W>8 z`O1J_JJ&e!R5O9tP?9bjE9+WG#1Ci_G_X8{{O(H7gi*a2On zggQ63r7FF~vcZSMgaqqf-#L5gnNFX7{(M)Py_VNp(0Rn_0Vu8&I_$7nN=eTZZ1owB zB)Z$wWj!2F9|-Ky4EygEaJlS44tWo)wE`;NSWcheI57_pqJVmM0m6H-LbpDN5$mre z7$9(#$?LSL2(&};Sod!9MN(LjL9-sj1p)`#cWovv+e=RqPWPT;9bbE#t?ewPd?2f* zU24gFZ$kM`9Bxf>_&G2z4NP`APKdh6HUP3T(}45czi|*wGI5fSX_`v~%Qy(8eMl$TaqYaQ)9MEc#Xe7;y$REYopja&!wf$E$>-AD z(MySnrGy98$=HY~BO!^--5_b*(+sr}yXSVbLwL*P+TOuJWOieV%D0jfGQud|weZ$W zLXtJNm#EB5=tm(VFqhEfxgco^l}t4-DU4!u^a_eUnVS+EVW8!=i~at=g2BiCEFw74 zqRLSFpi0CcO94UR27PNH7xTrv)Q&<5n;cXj-GHB%M&j$@t2r=St{sBJ4qh!P>p=%# zwrHR)OI*15Xksll`PZ7%9BItReWdR{lXA{9`K=*DDpgk*C{RYXCB&Nl0+{T85=dm>QBJA^k>9gR&xQ?yrfPzzX(I2*6 z#Ddp(%yhrwjz<_9fZrAp)7zH_{fXo&t<{D)jNGJ(}%2 zxMuV$6#;H+)ieFTOKB7ZXGFa`Y6zXyo_CKLt_QE+Pm4)eLsbH$QAQo*Cru;$1-MU| z^9Jy9^Zk*!06wF+`e!@h0-%6PO?$r}TO_mUTk?XRcG8{REhHrogh2gSobVZxx!WrN zp@{~+TgQ;+h2_4At%0s2hS~Bl^-TH)H*B2P9O+DT#^dYWjZ5lN!<-VmYsKbVBQyEH zr`HwM6K}LGx=*woU zf}9=k&&>QH#J55~qPft=+hY6-FrG=ABY% z&+s$tUd9(URcwDuG&LpLVbXA+K+7t6N^k}~P+PzOD5$-!_&+f2lM2_Lckqw=iq?Ux z3SSKA1V45??f8Xk)}1crN|R`O<};3$W(Y6}IxF}Te3q&$)uI|XF2&`2^7i6I57C;w z3Vdh3hR%cki*doROQNYJ2>kNn?u_nNQtn2$3jJ%*fUKXFQ(KHR9(8b5m{ar9r$OnE z@x<&iN*+p)YO&|;J|9=&3*Nh25`tB`iinI)u8;hor71Jr<9aRM9ap*>)LP~YgD8ui zF;*LZri7#WxMj2yXR*6Keh~D#Im>y>L7SAk_ zUAK?w3v0uANa7b?RJVM&i+LnEeni?iwD(m2!>@xr#wr;(fLH~YaKC}rAco0b;aAEq z@;dHQtEb;@oq(M_T4=x=KgxgP>BF&s?8yVVs_3hpFBe!U*B-rOwoqTORF7R45kkwXq(%w^B!h1 zE%cM{!QTxVB-oopnUgU9Pl@_Ez!2@03$R;(QQn==^y1ji%d2Su$5+j(|0(Y$P~wWu>v{St>ok zK|8ZD=kSK;3i4^oHd;RCgMW9%Inee-Unhx5Ha3CPYm)eW^Y)i z*M7M-Dk)rl2c>uP5@S~AEW;=-21x7Pv3z#dB2qAF`_&eC2)XUdKru2BlGL^@c0WK9 zd=Rr9qyrbfn*x}&I78JCl%=~*-vNByzbVxpZ<;orjJ4xFbiLMhaKmgq9RJah08Zo7 z@j;EZ>y_}crK%zo4Q1$fhcbM^M}0T8jCKxDu)puUUfHBy$7Dt@0Cwz0o(6I-uG!8Y zgk%Iyh7?ajtgcLzepkV$0IUf#@W#MQ1tLTE)I-y^=b&P1yD@~YRP>&Nq4n5zO?V@*`yoC@u-X~d=+#`gaJanC1Dp_ zOTb1QNv;-i3mn5eV=oX_NZg)xiyOi8*(L*gDj32dN}`!DsoEF)lBp%)sL+%Z@jO5b zJ9ls(>~k2?{bGkDby8j>VLheyANa!9*DPVFt-&=w%RwHwANTgkT8oPrZc|e4x3s0P zQjKC}0c7cDQj5tCTE#BxBdZE3fyUOYMwfiyt}l|G)&JBNbxJei``&yiTTs3ERfqgf zHgL>j6l}SK$L`vBm$%~3OU`3Ir+wa5xwd$P(6S~w#CtNl`srn}Y||vm9FRKzh38ETXt`b7VPk_%iuw-OUjvo zG%{Dd*Od|@0DYj}Wt{z7I^h~X7)U*grPs9NZWvpk+7r>=c!CqGg}!yYxKvlXg7bMz zbXMe1BB{I~{|^+O-?RdTeZePWK99aFC7IaiUUS3tQVK1t{#@ktq)Zos-8C#`Eh5-4Wc#=ky^koN1*!d z;%2ToJgTlnmmtF*i4YMLw0E?!Gvwl-x6{1rz5$< z<-*2FPitoJVRu3IPVu6{T9LW{D~cOHw#z+2*2Vz=mO+XGTdE3q9To}nZlCTiqb1N+ zKFkbI1=(wn`CT7KH9M~0je`LzTyOnl^a~w_$TZM9VTIl^pykVR z*Xe|q`g)?dud$51H2haPc6EN#Pl5NJU4(+fgSjD6MW@+k%0rqb*+DPay9fPEoj!+A z2hm@jk}J=Y+#2?3eMO<3+bs)LO^J=$9$Z5YR?Q6N5X8updXFnNJqvGYUX+Q)3G~tc z`P0>Wun0cgCWWj+v^Q?x)k@5(=$PoFXy{X)0`h>!!v%9Wys2s|3VMUZa{hRvb0$)i z9ow?q8|x(T`}f%auYY6&hbO>~2OS4&zo7*8dy>Dyh0-%-1z}E=HJ`|!T>dN;^*iV4 z!_2DkSK0NCb2-PT){vco_9)a0^0%v#;9@r(I>Vy}ka@lOt8*<}#Q8q5MCHAtt=cx} zN z*IJhasiFn|N_)T!4`yNd%GfeaikiR|`ax_Bu9m$h9b?}Ho8@`>@m!T* z;^SmrWM!4p)!rlmN1eNAIdJg{kIXWK{j{)O2RwJwjcb;u-SE!%ND5#6dh;QhE>f4% zgKS?o<>b(@0n?9rpRnBBcE-g-e7quj5aF=`ixYhbXniYo=k1Mz#MDH;5=LFR!5zT% zgQcknaj6#I0{@B#0H#Fs(Lkq2MBp7jYicSz&TtgDZUIq_0sM5gsnQ4aygO4%3Fc!^ z$x1f1q!Ji2Pi>19V!pNYf8@@$*jOrl4N@yElA}RSIuvoJysOy#g#$k(A3?l>R>Q!= zvTz5*Ps^whdzUFOg>_0brl7Mk9JKM~_BxxSlHn{qb>q@?K!qRiWC0%}C<)|9FG6ui zFyJ0%@Z_ey!BaDQz^o%;Wkm*?znJ#H4eYvaM^G(Wxhwv9capj_ZORzX>=4%N!d_|Q zL7rsmAAZC5h^fViLYiAlcwJG1=e=N%YXT)jip7%3x|F z)oP0KMX4&RBtJFrK7IuoM4mEk7hZEHbe3rDo+tP7c$E14_MsH;@PU;w~U$Gi)vX>fH)49#iJ}c|mn>=^hU5&{Nnp2juFU3Ur zoA0Zoa$G5o(N>2}ZVeTf&chv$EXEoIKy!ZYW(s>{JtWVi(V;@!Qp(wz*l1Dx?!we& zKN`2f8C+};5u|-*>zeBu3EL5I{|@0hPCMQ@S%(+j`zad_?%XNYU~CK8siM zt$P?@W@l>kdm>k|?{E>ocIZ-?10FrOZUJbAsk5}Xr?+>1ly7b8u;Xqcazk*(-LkJx z5k%E<4Sn#R2<2j9oqr^;qr`<}b6a%DJ-*fjy#m$~RQ?1cnEH|q0kwP2$mpGd@zyTR z%;liMK4e5{L~1x}LNZ|flMO<6x&|@E_0Sj={>BRN3+6&v_d5l+Kx}evrE@Nm%5%P?H-5Pf2Ss7+u&xKzSc>1i0?tRtDK$_bliCve#a)`88VhiqTgzXLUKeLZUpIW2!NVJ$zg;C@V@ z-`L~_&BXD}NpELo*^B(WlE>OV_AK?$OuivBE7_m5lp0q1t-)>lQg4ZFv~1Hx`Z2jG z-))yYe1y-jD?N70E=we-k|?1*+||-%WayB}|LchO4N3bAgqjU@d8CU@%=sQxBCTPa z6jNcqhIyHM$z4!k)J1bKR1TAsCr>PN6X|EY534zrPDC_yezpya_uvs9+@l~>haqIuX;iO=y|JPnIP4F-H=oWL=Zx}OTKMxrKYw1R zTut*8xfrDJjK;#ktvx?5{)J(IMQZ#(LwtUH7Mp;W$eJL4h7cYwljQy{^pQO;aNg#G zw=r#J4Qs6li?x0_yRx}n&cG3Akv!-6lWJx3$77||EM@XqhgnsrJ?1#O=-SA3U5^c_ z(@qJwGwQ0iSf{!NDAI=A;B@C}t!tbzNAJuJAHpsi82H}GNHs%L?)j+le(}Uk4_-tQ zOnVXkOv7Inb&F?2rNNtW-+AfY?j5fnS{+ojq>_Fb#4Q+Rl3nKODq5Z#u1H^ZkG0TN zLEd~xGkhTo?7em3&56CsaSX{lZIY|1SsZ&vQ?Z-uN(E2SUc7O*~W(tPABw4%DgF4To!3yylV3eFA3s!zdc(k1`NoM1$sxl z`dZ%lQ|KJ3qO@`K!_NPT%1k?P$KwLId) zS`En$in=FtpW-Hq4E>n9?wQyS(}6|Y3R^oTj*a6|R>_;_JwF1KmPj%i_?Ivy>+LR zvXz$nI{I_Ys9;7KyVd3DuLZZyiCSGo21c&qoYzm(mrn0H!0+tmWk6{cAKc^?^2Zdf z>RU|nT{ky3k4ec$=TSjf%;kZxSMzazAird z0mc{C1MF=wcF<)zkchP?f@+*zV~QK{XOb}|0m4T zFGV-g&-Lv04@UYYoH~|!d-fNgMaRpe0t4pXhE_Lwq8g|y8K#X~V1RtO?xrO&&+Y+? zA`fhiucH_6N?HNQv|cULMq}7rFxx2L7C%29wX;51HFk^T;b^3~p-JCsZqpLQ!TVhA z;W)NlZ##)yS3x}WvW55!?cBWor}NZB8ZfMJmkyoXK=Ss;SKQ#HS4C7Kd&U5LIjspj_}X|QlDher15kR#kD;f(M?I?`eAbN(_cqLF# zK~(-iJyG-U-sFO1im>~h4gj*kN*}-f=51(u9<2M)?-`)g$s!m}&$dJ_-#deF>>fjU z&lfnkvN%H4N3Fmq2%Svic3jU);%`bornR~VTG$h(N8#DVmS_KH;)dWK%G)pVnCW0E zDtx*C{DS0tv84bP16EY0c6}!wQ>M+*&0McL!Q(Cw@s;O#ePQ(YZwzlCek(3iQhk^o zf&9%~M|^O~OyY)#Be5tSO@bGZr{*UzKIbqPRW}ZBGUsOdsYJ#=qwR2IYj3!Lfw=Hz zj2{~ZN_tBf_nRzOhTb9jy`D%-kh>x+5@YBZ+Cd_BgoJAfY35-p8c%XseQUwf)*YIN z`q^}K7jB9$i@zyS)a%_+VUIEGK4cO0KO5I`HnZzxcBh3%b1UVIEIdIms>O7bW1{?< zMKb%pvV+bY92f5s_8nwOMg|vV^|F2TFD$+eXGz8v_9K}(i+_8_JIMP~lW4`x4BN#p zKx7Ntcykd=IhfLXJR!$l#G`q+JZG*ZL!A3QLjog;8E^615Ee+D^X}T)&OwQR)B~G;wI0Wfti+JeqP?*ar5k@vgpmc z8U2WmUB9%!@H?a5fbHE`=@O91a-xf)28Zs--6TJ29ST4KD(b?w&~+ z_M`#ry$pgLsznMO5V7c(s@od`K`rZtkJo~TJ0DLT{g;AZk4%Y8B)s>wwT3bS^crIi zD|sB|mpDxZK@T??Pf(zn|EI;|q@?u?KGEI9r=`{0z!%r!K7&({$;*|Lx+9^l5YzDs zh%@~f^;UtsJn;dEtrOD)C>DQz!`kK+({q=~D1GKFLZp>idUoK>=^FtePSwmhZf@ap zK`L*hBp1W%r2#2g^(YCDU>X>cu_|OQF?{xo&EFr`>}r(ywm_ky@7B&T@G{dgC8+}B z0+A8okeG3{tlrPO7R=UXUXHqtX6EIGVR>Tx< zcihHj>Mg})YUf{j_0^GP#b}-mLiW>J!lTklI$=xM0jZSUc{YK)R6!zgT{hF+%&KM{ zdLHABZ5Ov|ix!<%ymSU0lI0Ezo)6N#`)4SNGy*u3xHm3eCUHYe`(|etyuK-5^elft zX@z5HhYHxPwWg0fDiUjT`s;O$C3pVy4;ed51WTuODuyQ~d6JJri{%vVkqps0m9&xF>}3f;DRA81>3;zu{$Q)^-Z8PPCNlEw&f{XeUsc@@ z{?>Rp5`2NQUfbVQ8t`b;#E-ORl^w9a{QmtleF_PgQ0UD`fZEFVK<@JA_+d6TTEb{I+VE?l zKEvXWuzLuLFrz;3U+D1`1fMUHZCPWZ$fQpo(6O~c2a{08YBEQB(Q=j7`DQ$>)%73@ z^;@Nwf5KrS7rB;w=uzT7X;K2%9Bat(WuhF2mrAN-_dY<7@3ar=mNYq1f}VwlwWAv$&nWdK%u>4S4}$L9m2Hh+%pD~m3o%9h`C z3t*I(N8FUO6Rq)2aU+~gS)fy8j_*ouxjpX-IeoUfll_@b74XXO7CH`@kjdtYR`j>O zHOhB;Woy1!<3qNePUS9C3wd5ADhvEWLdp%3?p(6eT2mUQdXTkhA1*wcu~8Z!yF%{? zPawU>Zjuh*^iUI@FA6{@^WDZw2?`9r$EunOlBoUe*wC&52CAUk?-G-mZ~0z*%bOWv zN!F6TEUT*G)dvB}+Eaiq5I^9(1cPEdVr-H*jr`T1KSrm(w#hFE2@jssv!X+}`T?wo z<@fc9*V@aJ)1_vW>XM19`c3_V^rR}U>>jfRK4JS}3no3F7$esJ4PzySDAv^zMGpO* z>RountJ7++qm{Pw>pvNXRepe=RE@mlS_jpiA8K$Sx!`rMc&_?$|<-1(?$ z)C>rbhvMN)DXu0L!a1x~y{3GM!Ba0jFl=^uVsz>3&L457rAZ8{j6OOLGC?GYu!kls zTg2I8E60@Al}9c9hJr{GABAjExU#An>w@gh}_$i&b8?FAej-o zXER_c3bng`*x5DS|HEKBJt70i!RdO48EcM#vZ!GMsW0(~`8Lrq=8z0WMdLP_vEWlRMrNzqnnvawU(dhLRd(b*Y(J-^ufV#?s^~BML*+Q;Po@**{4SzmEN~$DE;(C}U*> zciRep9(wDkB-bRhu1LwN+(IrrF9Z-BmB~Kijr@gql zZtxxZv1zQKleT`d*=+KepN~56^XS?keS@h8-}+8_RG?AzOP&LQqM#=*^Uu?!=jF$f1Q6 zEWJ9Vew`%o@B_|2CmaR6Hi&;rYkSt+Aj?;-JG?NS{UrUZytW^ga*5gqpBqnuN>l9g>2DkWGKf#$hLLwsuIN3M`KV!*FZTz_%ow_8U_GQE*CyS^0`|-v zF|q~W;-w9jL&EftHTY}KvGSIq#g6OeGeRz}vR}uIvRd1knQz3R{Wv^bE^B^D>d+++ zwBRJNmzH_8Q%wt*F%Y~yw8`WWdAxX?^{Jsz09RK)Q3p9HTiNJ*e}=VysYhZNBjff- zZpo5a^5lIP$|Ahc;<8y16toXf{+5r)`^tQ#M6E-EnwIwG1{reUQ3?UtM&pmj>fPth zLP(!cNDTQHnZuX6v@jA+=G?vJH_c)nxMQ(?bGid?TwvwnR-($^MH=?vgCa0s8p0h| z6orn3HS2r2HI(SSJ^XTrOVZX#>+;@FdbCX$Hdrj)Ytz4Jbtf1?pY%^Su%{xE#|s5U zE#0>UackLtR)U0)gx9=y(z}-)J4dY&HZMIcU^VZ=KY?m-qx_hOL~cuBeQGED2>_jY zeXKFuL)lNNF{fB|t0}px%zV6QUUhs_Qg_us4n&Jab%u!Ran$=)%9}U~3mGBj=e=|( zv;LGlwU>_5>@;DP)4v9SHVH49~Urzp+!6a?R1DCjs=0|6%CAKHI(gwSL3$of%$s5$0KAgSeiQ^VI}Hc}qKl@;w@ zidd`SX;JSPC8$l>>7oirBDKp#%58FH$(#y2njP|=r?F0HF!WA;eV(DAixnKe%*TG_ z7vo5TGb!1$V z0koFITO=m3Ml1P%@3Q7o325Vv>n}>}Ot`lRv?pRT%AI@H2;f1WCw?NI zTy4HNv*dri{_Nn3lbRz!X~ARLx*!2UCe*r&q({spYqXim+Bx;k9)Wxo5`AU7PHbDb zd7=#M-_f`}w7M5GBQ&D%qlogblzR8>Of&rY`kI`{c+WMd+k~c^+rr$+O8@GtI28Kf z;#G}t4k0C#ue0u?og;hYw)o^?o@Am#?Z|JoA`ev28Qo0zb5;E?0TKBUm67?Doiw|j zD4z5{Yo7t*GCxE3DFZ$I6d=;D2gJ0w*Qk+^v!yjS*tv$M1h^jS5tbF1o-9}fP1wz1Z`vuw~h-Rm>JpEIB<)0Ohw&U-YSg-&Et}g zFj?w2BZ@Q534bHE$YB-KvbQeArl9-TUp=}5@y|xbu(C#LYiTjP>cL>wRRYBj$BSnN z1!km-OaFxx)=L$maqVQ=xYeBxaXs3fZ>f^>T9g$o`skE%>QH8E<`qt%pYrm<_ENJs zGK-vGQY$y7Pv1Afw)cJsfA0)z_O0q!(Pnh9FC2Otp|w|1iuPVDkbNmIEEa${y=(3rP@@bU*ZSbF+4YC(Jwk!-HpD)41_ug*F)C#OmthT{-})aQE)2 zxJ-M@8D7V4k8AXPPCx1YVePHsqTIUoVNhb|Ar%P+326|Jt^on*R4G9~1Ox#Ik(Oal z>F$ya>5@iKTDn^r>F)UL(Q}^oK9A4)&+A|3e01)6-)pbE_KNFTYkUi~V0d_)!+F-e zK0zIo1MSVsSH?5~qYjQ3zdvahxpUZ|AH;M0!Gj0Jl=h3-{I}$BP6sVLxbD;$Svt6t z?PY>-J5r=tV~nrI5m>ZVjmm}4xRX0-~0E|g5FIL%aN4yBET5Z zq%qGumK@~B54){76EgAdwx>ovWgay0RzEvcv7t`g5J^t&Dy-!U64!cMB~|BhV1rj> z#sN1k+|BrA`H>e-ihqO+H%Eq%_+jyvh4~Na@ax7)zSm=WOyDhjt}aXq5O}Pd!Q3<4 zjzC&#yfl{Kq+U9b@jxgue2;{T4g|mLqojcdK>%$sHmf@sV4S08g zaTFh7vH71%nD^=XF&FTAR^}I}DjAfN9rbC!>68jsK(;wdVrCWs&sMxvD9-16elF^hEemRv-uX4!4n2cO*c zCy3JB8`87MxIAsRoXZ)CZy1?ouW3YJ;yso3S!F)dvWxVpSQac!d6eD6)EmWd*yBq& zy%HE`(juP4s5qqN;E*Z}k*ZgHnG^B&97B~fcd|gWcmC~C_U4<={HQM&v-5B3_$-Ho z@_AyevsbRu+z>43SvwE(rIZ50$QPFa12w|JR2~HJs3kvSFmhvc1R;s|wG>%0yHTed zGRMhXY8ia%x^oPQt`CHA5@a$dtpMWmGyfBd;HQCScHO#&9)l%C-{FU56i6fT`y8xo zUn-wGS5HH5r`pjUkDk8a!xduMbmQ}4#0bwbE%D6CQ^U>>GF5uq`Dw}6Pd?`*Z<}R+ z?aS)|{%*WWn&wk>;)aG1O6YBix1KKCp=)8$|C(W-wZK%`7g-YOsYK?t0J#ii*RyF} zhEMh)t#0Z}HfHAkxD_7A{`99br4sGid3KVZ79ImLCJ~uC*>X@UvK-B7Dd>&2fzYS+ z#IhNt>ObC)t;~;htR#<)xe2Xx#GD&vm1|iIae9BzXpY@|v%!3taWCSP+nRdvkg>Pp z!(zjR?wC8?&$<%iV`F2nmU>Orpla2~; zLrs6F1pA(!gBG^KT}-k;{&xOH)Xn4i2RS~;i#C&UDQpmL#nq!GVuYG=<#RbMv=A5? zj2}(X3hm7Z+UKO=871Q@Xg}hZ!Se$UA;;A3LC7i+o;izQROEjXs`!MSi&%@P{o6CJ z`^Hy~$%iCCHv7t(E_O61)nCs+gF(>IP{Gg^$wN8fSiVRG$YaM_vOhuT`$S z0nI<}Q#I=O(ba083hyQ!hN#D)^~7TSwMOtxG*Ar&OGQ8p8rxyv&%l+fjqtsm@tK|X zio%VQh0dK*R9WE9g4`!*P0yi+yo9C`8x#}68NJ@O2aeG{{NJCINg>0e43W}-a9T>L z$-@*NQi;SLL0XCq@{;JmjNS^Zv<0m zDMku9>qUbS_vnJ@h>;U5prmG*7I?@xv{So};X zw2+jgM18@(D%l&LjajEsb|uQ8C=eYlxx;Znv;|25W-t>9o&J zbaE^0Z<^-U`77ACMh#I5GPXA&Jl7b4E7rF@6QT*1{>!GJ^hpvbTm*ai^Ulomyk$l- z3?s`T9Cl*0J_w5?_qw1o^hVQU8bPP)(F;yAvx!sO{Vlu~+x6IiI5vl#kf zzxsDAMV(x*MmS0X2x>7v`YjOX8H5$5Xl~JL1!@41O2d!kPxhDK*9hqpGdHihgU7$U zKU9B0<+#4!|A(icV;a52tVIsif#>nbLNxpp5Xy1w9y3pNir>Qc+RH4RY_|n6tmAw4 zg_|w|HV1Ts+)?%2GAu*Nu-YjC2(AD-AqLV;4UvO`}UK-}RrB9ai z0PkA6;|kv50PD=HYK6`SS$Ir8Go(}((ung2=h|Y`faQeM&JAAa$|rc&R@KQHF>51S zGVkJoF4FTtWw9{o31$dsGoB&DB-_$+MlmIM2Vy*B;Kee$_{WzeD5ZoRE?gJ3Pvy%yt#B(&mlVNujAHUYY9 z2B<-O@0kf9+<gV?Sq1S27NNs^0iU{%OY%&t}5s54!;WB{$R_49J;}VqrvLj5Ku^ zR=$Tr+dJm>5AA;n%uPMgeJtQ^AZF;a^7h@I6z)9?-!w;NhVna;LT)sg+c#DWBVL5U z3b7W6QHx67%Xct7h3Q^r{gXRb%1NfSbyG&}7TX0TZguMR&$s`e!*5{ttVTI9cU*ch z4X(uU9e?10G|I-91~r2FqDVbDELaS~=;Qp*rD6n9=}L)18hra}+ig2Ef>!2??MKs+ zs~4wPm4te4|NDoljUv?68TqB4V`GZ2a3+bjP-I|{DC$nhkvV6lY{Y|)u%Zt1_goS4 zbG>UaI3r=BDxHt>vwG#nO~AX2W* zI8XbKu&4L5VCC6l+R|aB5H5_Arq^XJmPl9qfa2r3&x?n`!1J^)FA|6D_AEIzSV@x`_5*DD;_CKDaU73`s{Sf%X zr8u(B)kk?Ps?$L)!aKzD+=)dy1UpGY5Gjkw8cn+y<6Mt9E>3Jbo1@@K+L0Q^Rar1Z zj0toBZA+$;1I;rkG61Lx7Y~o#Y34|~dRk$WYe24QA8z%5BUSu8L4xZ5cCi=m;9>l> zz~{4Uep{?^h(yjrgod(32uazs)KQy$YbH!7HYsJ{cyUEW?zS#FX+()(HqnarNvq~t zlEVB0GUUoYDghL!utmao?|srhDkld-2jyd^rrUnmi56)9JE8Ns%%Ve%AZqG>{hGJq zyF#^-T9=RM6n7WA>HtW)%z9Edx3Dm)x>{KNS2By48ALSPeSLlGV`hx&*H+RdzyfJnS=9{CKSU?`O!$a~&3`KtELb-KPyOH-4+LY^h@9OS}cgzO8nWv#74Wp4```6O|0b_s7<+ZbBFv)zsG;-xdqQg{aWqj zfLsy}Na~bFgOXHsCbPH;h~tS38fADaWGlktHGO=qd$Ay+bSzMsE&uw&$CjlPgO1ck z{unRE(ng}D8)tTA1`haq3xx zZ!8ozQmAe529n*0NC9EF7)HuvnIoCbLn3%K1DBUlT)}O~*A9VO*$khViuOu}P#0@B z&U?j07TNVX&Nh~Y&1=_0tW*dUX)D<|yk|hm7SOgh`gY>SUyFP8=f(Bv%85~Rl2XM# z4dpH>!jR$!2V(5#Py;R=ErPr3HCR<&Ee4N8brg(`9~>Q}2-{Fx z_JY!>DleFpXucS@+<;H?kJv!+VkAVm5*_W07|KZ;LU1#Ca>zst!lA64z_4bnLZpU5 zpjv(49xmEA_WG0Wr@{m|-yKqW+U5e0Vsrs!$$I4nxC#j43>`AEY{b84(I&PymvQ*??~Xw$u)ieeMkq1Ttl6ODonc4@9H4@^#vxTLgWz?`T7a=KjV;p`1n1b zeRXnM2TQFj2M}-_5U}tkfWgHn>2|yILJYWkP@=VeN)`10l#>WtIWHJ~QbpV?zab#f zNt8%;aT}lT-ZsYQe7i+4{u(IupT5x+em$fH(TMjE2BGy|a z1o)q%icNv67gA&q^}LIblJ*+48!GVYUl4dD-Bu$ncS~DPiix@y{^1+{Bj8&8jNoRl zVZ=zGN8K$B;_&N;fz+@k(rTlviZuxWfBe}81ox924crbxO!)GB)NP-r2-O7?+K;4G z-E_5olqou9iVX;dJM;hs-2B(iWkI;M2;WpTE%^Vsc3H@;4~xqd{mcx*|rNbMY{AoD5KZ*3}AaNaVDZk&a!1n*$)Zdu)PUs^qx1xFzz5Bf-_*oqgWD z8(&LO*f`~p=`Eni;kRKL+@5Q6ADK=k3F259V9^lU#jnDQFR~FAPaiAs(egYWEUSC_ z^+WVw&7nYd!hibWykyY0nCTV8IfrO%qTox!l=Bh|Kx5i01LlI; zG3dnE|NI!-Md_)jx4@vm0bN=en$Bp@7e4;M^ykl#C)+JIo2p}3A>$RB_b45^b48L5 z&XkXx-0r145|zpruO}BtAGvhJ+UL!w_0aJ*Uo2?&_JV^1iRXhoP^!!W(5)mGd>Ra8 zyV8MDs)X>EQRZ1XkUmEn5#(=gZ!f!-5OGsSzACHaEi7X0FA?XE<|Mg4Xh;OHIB%4pP`u;x@)FIm^GG0thCiCt znyCUDk{Ev_>yF^dR`B9*Hd`cdilzxyXMiABC*8h%aNSx!9LFcHuOf_te zm{#eKFZ^{hBW`0f@&#fdpdUMtBm_o?jP9xb#ODhitl@z)nx}mK6c>pDlEY}9-xhBV zXsTLFHWZyw+IK|Tse*}8@T8<9EZ_IIz+&XUUh&#aY0)g%T1Rk72>4NkYsx{n4+X~C`eHiqkmb#?OlXS*wh z-N^zkzC~tQONk7G(E{&^iN~y&ndIU^pedgH_ zFb4;edlJM3Sx9={8wp`~p*D2vU!QD_hL=p8IF{)Lf@YA zKGqV0KFGz_t2EJY>DKZ3I}#ROqq=jQit3{il_n-#eAX7|WA;THn)%|M{TnRrn(1f$f^@LF2b%KG&-W^gF%KY zZuf{Itxjd*U;ZGe41 z$y7$Ap1wBeze(_euh__>q+5+g6p!@iNFCW^XJs+bA!8|tg>3JooPkK=z4$2`T# z%8GAok_)32ea&sS2`XAWx*KzIUqza=t2H!Ng#di_<;K_ATG3LBnI1}kEyB$2fz6n! zBX@&$_w5QU3%guXSTvi0a=$B|<|x|iJBKhp?0vBN*aGcrlKm#GP~Fr3IYqdB;+;;7 zH&baOa`n0l5V46${DFuZ-7CNJxT09yz@TeWXT&|YrbYzS7VIpRoEfpua@tjLfW^HoJ&OLaSOmIgTVf%y0oI+`e=A^eXJj(o8Gf19WUwR@UCvn ze63Ae{z@b<&ys`xf|Qz?-AW)K3y@h3N=!RmJ6|5PNR&lcVoaw6i$5Qu4s2^Xi#~i* zpr4YL$1dIy)P_(K6j%s8`z8`|vc!IO$Nz|#wR*Es@R~~W6kOPTVSe&}mLMk8y`B-L zQN>!+G8g+jVYP~lvUn{-gf?=XR&!}GDB+&%h3n$7->tQ= zR##6K_NcXB&{7N8U}il?vYqbGU|Qh-)U8Z)4Jl_P_nWvt*5f0D52QrqJ>z>oKG=)5 z`E3G1?fKtVA0x!Qr91V*cV{TFYZm4GZetj!y#$mpRH*JJTBwp_JTRFS*u`UyF|+4t zu(%rZ*dcN1aNKD_mfUHBbOA@f>A1a<;!M=g zIc-?EOV2u95`8IgS=|DpM}GY}vw{G>M9{#_M%|$S&*46p>}?Ov;Z-Pop(kwn1I_T= z`H4YkkPxDWjn80BzwdB$_l?(_MRV8m@u>ygCdK8=s5qOx3k3?KHA?F~&yR%Mf=K(U z8`w;6>UF;dRSMwH^S>tm*_S$%B+<5|vwrqV0O!*?SC2|K)S7J-RaHe%xR0w*?XD~@ z=K$)tx4EP3*;b~(Nhg6oyY}Hyo83iU`{JBe>$e0X8Zr-?1L+5C zKq_=rMtnF{-jQ^Sj!V(}opt9}ih}vOTEhG@!M_eU6NKYE7b@?Lk-~$KGTf>Gh+TMy zo>5eIDjfB9^yK3o&=9r@3MDs8*FQFFJ7O<>AJCoRg(XCZZdVDPFJHA$YuOO9Xe^08 zp0*lDxhq`S96(9`iWB%;1G9F3%kWy~rrVa+kR8(=CtwO>sU-4@c{Npn2E)#j0IojQ z@OhYB02CAnlbB!KD__m7v;Owp7!U$6ld4&Z%ixMf`yKkrf`~0l$CZ0&W$+~o;Zptk z&{xD2&Z+7c1?oRlg>ZkJUaaC`vu;|Ch7!=9KYszt&sgl3c0YU^KcQ(+B4fVtm4UA2 z5Dx<3cK(MvV+D)l5oNU!ruJEnD?dwIsP&He9s2RURK%cN$MUq61h45Tr|sm z+|uQCoGny7Yc6H!@T@ag!Z5&jI?$q3J6hc|4}8oaUQU@%Dk8vCT`$dIM!PxkM6L ziXp=ErxX;jxyhbG3N@{tbc#OjxfGWL0Bbm24)hZo?|#?~i+8E_@1P5a+3U`_er-}W zx6&RfSom8@&oPZQ)icPrJ%lk*cz>la@WFLdgj^2CqI#QqBufN0hw?XkkcG+;CVz|e zA*57(P?#{&VMj&F5X}D~UN~%VaCZTZ_OgdHQ3pg;6e50L9B6(iZ9H~}qh0yaks>3P z4)-5k*spasmMSdF&3|+Z=joUfsa(av{~&RB7VZ?cu(0s6)+PwxvIYE|*gHp#<e+u1zRo+N&tj|YDrWI&BAM~38`8~`-Ty!DJM_TLBJk3iX_ciQ7L z5MUiX?9(a=wD$#-JaTj_@3rHo%6hJ%t$lsc_A7zIYW}A_w!&H|;9L)q_y|logb!}! z{(f)+_2kQlJWWg0*1cyyMF00~e+$A9@RuQQ$4q&oON<%t5wOD^{_;xc zP)ReOv~QH3{9J-azovr(YMh^pHali%G!!5I;#eIbGX%D=vldrXblpWf3ul9_0W%tz zn8@)8%E-X5oOjrC&9DdP?LB}E`aVoEwPwU}=T2zWM`O4B!AEEALqeH4&9A}d9_^rz zBn|KIDO2}_fM@t4xyc|;nw^>X{yQ8}ruUA4zP`lKr>Cc@10veyL@O#;{s2}*6IgxX z)f|*FeJm=#G0f$8BzNHfoY?z!{C;f!DN@?UahiC@{gJkl=&EF&PX_e@VoN;oz;)6# z0fbqw{Q1L6NxbdJp-^R?#g=V zL#?5Du2MD~o#*PG7bQr8IHD;6S)ilTmcr97BrdWkuD?2++Opm+d&kZ502R0o(vo z5=`?L!JS?PAO}~hAkUw?Of=zh10_NB$=!0n!YAt~9jK~?LjJ%d+g7b|upd2Mes64( z?7D>kz*Xd1AA)9ytB^);=X-s37dzYfs=Hv|IQRgNi@;~D4)lw#$|tNct;R(kHIN6N zeUDxp=Nd9<8p2U&e?<)(d|4?tLK3>OzhM#KsiEyHC}o8PGemjxC(TqgJs@sQ`)XNO z$29fzTXXaCNX8bi$eh=&U-y8K=dUS=#LbVyHNkCfIUPM3nV!xhv<-SJdwO2Pat)s* zI&P=xBA$L4`9w%_-|?jEq%K2o-*YJLt}=c6vo1as&_CGx9c#+uPgt3BaUL+aa(_Ac z)Wvkt|8(>ZK$^+IXI>%`AJG$wO8c?uK}+6ZjNT6X(TCMyaRx=o#_kq=`o@=5{*JTv z^BN`Auqi$EsLLG1lJ+pDY4~*($|TN@$wIx@9!& ztVOOh;)LN^j4v84Rh~T^KfS&6jcHHzSnd4>oB^yY0NB@#S%{MzjGs5Oc!cWe33J{@I_kyE778 zl;^B!x_-)2(^ru%I32UnuiD1(d8@u^VUfSHVMy9_T8l^`FA8UMnCh~&q$IPdTUU{b zwM<$drH&HeOIDxc^$Orr5;*wh&HoX6_lP5ky5ED?@DVCD%+dcH)P(aRho^gYPFe3k zUj^Tkt|Mt?R)N07ztJ`fYOmhdnUwlmtarl;TNsQ^yC(C7V#{Bx>#ElVUcVz%0uyyR z;`R*A;CNB*1{$(3WpPI;LU-J2S6%@U#^$BpSlQ|m+d6f{Puu&=57+ssI8lxvK&F5^ zv^g!6mAI+0i@J&Z$(^0u-6q#KP#ue(*0gxSqB^=-P_t2TA{Kdfvt#YT-SKq&Iq}xu zBT<^vFZ+DEVe12vfN*B3SKfZK)S38^bFQ=-Kls~oCC}v{1rZ0*u@u2}c~udVLXcD0 zm08CAtq`v85fR2NG%T+fi94D&n>i2(xZe@2=|ueIc$E&$NRA)*TLhzO?O;IyEq< zFTlD#NAvaxXcu^NLO?*k-;iaTo}S)QWxw>A#?RkB-$T{36X?&Urf2r~#Z^6f1*A6= zSZ5M;9Gh1+;#ZO{wW42c!K%tFOtvTy@&xr$Cc!@q<;>rmxzChN=vts$6D<%Y7fz?r zi~;P6>sQQzeg!`Qp|NtM?ZG&h(*5fyl$2GCcW%;E%^3vo7@NH787Ur(h~oE3O(W%q z!a>zHu|XYZo<-XCaQ-cZQFl7^j&eK}e3=!MRFAw3i8T$9dajNtyAx7EaG^*s?4T?I z?`Az2s0*kT(^R`WFLvAfq`wHt*n_%L1tw;7ruc1!ur6sqqo2wHog$KK1e&k*#m?&D z1iHg*zQaBSW9WcNAoFw#JNT1sQ0L;id3ti9VPHV(e!Bk21#>3mj>*vuK)OG4C3~tx zW_SUHlWFUl*<;etuf|=i4YxQkF=IAd&+xpQ8q3Cb8;fOno~Ze ze%h6!8)1DKWphm^SIg3QoG>wPIEN;F#DHp0AP`ZM-sGD2f(kIdOj)H zE^eWnv%i@H1{Iu5fU9+EFG(SYhisg}XD-`if&66|*-hf6`OhMoAuBtk`z21(i~=|5 zPnq-^v>M$jD$ncP)2lbdXOcE`ULqq`+R5$ost0UOq-PR#L$yIB7No@e=xcS;%gQrh z-wxm6JUMB6`1Nizu{Ve|AjS)Ex`L^o6RZ61?-$^nRo zIOj`G7F$Wb&ZRJ8!|KBN6V`Ju9&=!4f7sA-+c5SMziLW3$P_q_eF%DN{w>w@I6mXpE^t)J@_xUG@yT@J5jz)AF(;s&w2z~uDUimUDZ~7;@GE2B; zG?(E}RkDf1o-xZpmU8^FSHd!in>q8W(#0>-1vheCHy3vEeR+`EbHV8@d+K((d`8o6 ziEa<-+1zb|5-6qDp7B%>&`!9VNT<+L$Bqz=*4kPQ5zJg zq2W(KU!I)?*d<8NI3O1^4!D2c!rKHJNrP!rn9jbV(q5JeE5lkBc1-E{=CHFVg3RJB zZM(nU5#dvE0E{aVy_cHMXM3gDC3C9ugWppUQDVAxX7Wusv!)uiEfZI>_T*RBp=-!{{C+fb)6L2uR4? zY_z-$AK_(*H$0nc1vkQmkI=KcGu)$tppZ?!LB78+rzn8%n^l}f+N&+w^+ph>Yba2xg(tk#ZU97u z^dmZNx5nU5mn^0Kf<8bY^EjCyVskB9;;5gZDo!~RNOz>)=+)^)T|ej*n4gZ>6N;1t zs#b#~62?&cVcyArm8*;DCx*gg-3@wb@8kuy5HUJ(v_;C0$Uw$ zJOD=dxBviQ($B~Ndo9fU>*@+(H!xGo(qLIhy&cHi9e;XwmVTO2@TvM}6gWPwZ`u&3^yQD|_mS-1Z#P`4-8LJ9*h zQVv^hc>vJhe;|c_BPpRs&R^IIaRhgs8$Bw8>f`^mke6_#+zYmLH#Np^;J<4LKwD#^ z{LGPY57iy8;I$ajf?}~a+>*^8Wx+6#e3@vE*Ab5#C?8`&p^6oj=S5&M~?o zI+|c{H&9D6x%T-^n_kPp2UTlwXH}hyXFCczT{RFWXH5wo#-)VoIkQFLg&-$eD_6$Hf~h}&R1!&?Pd4yc;ek{V(A3WB>Ro3=#t zmRHk$*G;~MB*=?7v;RbR{6p%ficncO>}lHcEjE7mfjq3t%G(knkQ_j9UX~uo{Es&` zqAXb;CY_~Hi2EYx4B$@XGeF#%yJoLh{`YhGn`{7fn4ba}#7CSq3vPj`9;g8&ND02Q zXXZ93NX1NXdQIIq?Gdpu&E%)t9jPT-qw<(JYf(H`WJh4(g$o%tCm(q6{)whXSvHi; z0%$Xh?YvUlLt>=W6-7Y3z=-Cne2CV@3^`|d+{D$VK#r8h^v^yCY^bD;V1=BYF!jye z7ANgM$>HC)6sXhtn@h3gO%LuTfZ1I5928&pkzgiL9(vFg)6LbTK!$u=>y|w~lqHk% z$mz&$6wx#J)mtO$QSXWOwX*jA@l2~=aOXulhGK!+k6{F=#lO?HfVdB$2r+ec^@ph_ z@aBg|uCvdk2B4uMi?tguhvxxRDTa|#vSggcA$s|B(H#M0kf1YtFbS$S{|(nJ1=XEr zyyCY4JJsh4&&)%F++_g06ELa(2AvYa=*fYx3~uAdUXK3qozO?l!ycR`BX;%}M(+xe z%3Lnx>o5G4>eJpEksj~hbXbF~GJllK8{VgV5y~he5iy}KaatV?+xT*|*V7c(UWe{? zu4W*i7a|U+A1fNqATIqLvcy=K^IH!Ljfqro;enasA$np7eb&2mH z%R8}rro>2a975ay(z+|-szNGkuS;L)i)Ivy0d(ancnCnf{`Y49(#b19Jtk#;6of4l zK-h5jSNayb!4vRfY6A@2{*y)WF9*wV&MKCVg96E}%JDS0Y#_D%dLx@W^hDX9nXCF& z4~{=+a{0?XRq(&xX4KLdn;1Fzx0$jcQ3OO8`1LS=Yy0Du#lfK({vDTsr_{2?G#o%>vt`m&djnh95R|j^I|2nc8?TsfNrK&rBtY`s18FhbAmoT?E@&A zlD!z9nVS9-bO2%wUkESklHv0Xb`(k!|ebUOx3WIwJU~htHR&S!e+*edAHBXKNp=_|-s`rSb z-o4-W=6}WK4h0ZM(`>k(GHC)T`3O^n!vXlY$>R4aW6G*38HzT%e~09<;Q-#3MUhe* zG3RgeaK7SwmbY8Lz<$A8J;EFa#RL&B<2C0@wF=Y13&Y%3%TMhn0}c{v#+0CMk;wM~ zm;rEatk}o(0IUoKKru!EOoVl>I2$Th_+LVPD?Fj8*b92j$DR&n+ z%KkmnFwlD}18r2i-xA4V5yt@e+Rp!1<-?z@HVk+%7sA_DaN*hd@a2EUHGe!#<^WF( z2*5W05f}#nwO~(4Xfp2D{|B%=h43&YhOX@S;Bx~5N5{KOEkpt}X@-Co-qb`syPW7t znx)MELG8dlq3s1QK-FWv&#_|s`veK!!~H^|ruFVa7z}crnLl?;*$iZPdG5IU3C}E+ z_x~53c@#xha?rmMo|&uaK|p!yLw1GCRm@BhEe+M?AP#e+FT6{5<*O{wVtz)?tyjHy zZ&@OV$p;90JgFIQdb}uu;-^bq- zjt7rnbi+}4PKKOAa=q(?B1nEij{oU5!#u58=_b$sjS_@8?I&+^42yK3Dzon2#t=ns z4jDgU8p))=5}HmEbf)dYVfv{X@m-IbPjhvW9l`%~oR-}^AI~ck36pOuaRH9ihgLrV zvL;5lj)@WK*Ep^u&6@tzQ7t^I5PH^Mx&XL&5y0eVX?^92`cL5O-}gt41J{gR5p5Uz z$`{6c&YvatxQ&2A(khNqH1ov+A|;RL0cA?}P~@LoaKKz^j^wpiAxC=2ID#{d!(Je* zCQpW(S-S#&ZT~lJ_zR$lBJCBS7bM7Q%0YQLsC@xA7{XyGDG7e}h0$@vpZ-5R)o&;Y z;@=#}N*5+&8hGmsfSWkJ_XJY-6c8r0JGlJ*^F#lISvmHbSvj8yI!A`-mVpvs#*f=j zoqF{DbUaZP9Ln(ox6L%l1FV4Uapn1d0Nz$!454t#$O=*jegCJI^#EVbstJp=;lNO< zZr@_8cGc9y;gZ;8ZNX{zq7M7#*gBWSs3Vd3*~ID$qZKFxfvam~fy!2r0r+5Cz{=dp zPFFe0!1zxY{AN5lFu}p>HVLug>9oD^QTu_h)d?TPy@?dn?D;rsK#xT+jx-gaSaWag zBM9K8C`#^P8f|ek@t?j^I1;9m47%2!@{Fzg_Ej%zNrU~kj<2~gdg^_ot3^e+~3k)Nfh*QY0l6XE_%H~JS2A3RU^D0 zy1gPorXApn&9Mvi28yI0(eN8c)iAQq8EgTRZPpE1+JQP%C<<&I`t^3`BenFRyuitu zBXxCk7HcEL5#iy|IXO9Gq@>a>#>!qUzboz%J7;EoN#cAW7aCt9LaT-j5=ZDgy{k9M zu0hD&lf1unodL?;L)?NjO?7ZOWxKLTDRGuwE_vzBl8DWUYY)pbUxEUd!B2u#3=eMgMkEvQqKTdDKdf;!7JYX*-Po$+2_`kww|=Ek+S7Ub*= z!qrv6*pi4Vyw5a~%Pa;;FCja@R8pI@hxIDXa}TZFniaL8c*l5}{wKzeLg1Y?Id%qR ztl~;-ZVARUoHGwLfRWcpmP4 z!~rPsSv2{PzdA0tdG|RPs&q7*2Ihz|*(`z5e#ZAvC7DB-tSZ-w%qmsVEW1;dIPF7x z$9+QnkF;XmbIdj!>ISmQMfwgw)%WGNjK4M)6VJ)!US-udPrvm^o}^-v$?7uD#dVNK zit@sIe0kZC#Mr0eJh!Jn6=z+zAGv?kXJ3MNNFrxS7Mp@z6=E9sV??{!DsBCRX$|aAPK6cSprPm87lkuh zMs`#pL+RDo0O3A41+{6Ibcvm;3168I+CO9f;?vrs%to>B`VZI=eA z@_EqZV7#0fRaPj_R+mOK`~hI8^nTjzGAmw8czmu8!y zpHnOA`Rhlh`Y^QOU=VFd4D@2}Y(8>zVdjG=*RK?57lAkW13aDwOn$o;7^iJK@QuVZ zwOB?upziSPf}8zj2_9Ze#|94`-f7ovZLpyI()Ywz*Mp6^2C*ga*IOa7K?&KBVou#? zxHrq=H0rA}dkXr8#4=-a8p0k)-b>=IcHhigJ;f$1 z%MF(oX~TsX-bm23vgEUf3pO@3@PDIft>dIZ`b^o>v`-%X{0tZ<4i{^Dm_&y1@*M^3 z*raPViY>x7Mn94Yy{Ufjnoi={n;t^}n>TOz1qa_25D@S=-`Lo2Yxwj@z%4Bv zN50@G0`D=p(QVS>;|W>AvKMHL#vf>f{Z~c#q2XPz);rm;8Q-<3}q^K{jJ^mxq_Q&UMV`d0C5t*UVITBaHhkD!;_3sE zQgGUw(##%C5K1Ok&Zo1dMA0Ml^gdM+a z2{-j8D+#2O9;Z3||oph?}Cj}W9($w<==%erwFmiN?D(RbG)#9Ned4X8le!yMVV zN7Rg)TVzSbe5=uE895L8@2}K5G*Hu)?4_L~eb1%GZ+%L9zdKnX3;ilqNMvNBRIEjR zCOIyQ{ZYZMNuMdaLu81u*veR<>tPM`@{6xFbSxe#MlgsJKJh!BWtT{*Ug3<)H!tW@ z!L-+VF7gxm7%hD%(+LeJ%hStNVPiF35g1KC`0&iic&Q{GP#v3BsfL&sbYe00wda!y z-l&!6r=md^B@}w<(INf?F*bQ^SUVdlSP_$>&H*)j1u_io0j7`kr zkTW)ht?CmA<9)lc%Chf2`=4smRLs7lq6z~D<-MbikDO)o+t8agCi}9P9dmW>Zk~=; zsuR0FrX_azZLG-FOs5Ggo)FcePeZ>7?2H#QZ51ZG>FMuJzPLCIo483xE2^ZfzKzQw zrsLB4j_Br3awryNd1ilBch7rbQfI&3wf)sWOKuv8i+8^S?>y=sTNV9jyx`-e`gwD& zj=IkVL7POa03+A2+zsW*1G>S3=SjC=dqk^%vKMYvmx;y?#gJqchB-W!h^Zed)0`2( z^FOoSvy>lQ{$!V$R!s2DR-UJZ&bkzM5TTMAihUtqqtyy(S0+m9y73L~N{ zMPG|MCf503c~pBvcXZ!HhsZ!J@89Rhji)BP&E}lhd=)Z@Xq*rAFnJ~Mp*nxgVr1yD zOyy3lii)`M!d7qAV}Ux=XT|8;<0FORVq#*ENt)Vk)c9K)zzE`pP$WUYC>Ob_+httt zfYpaGd@9=xjl|a#KQlz7Is^iUhK{+Yhebccbj{ufL?e?$w|g=)G>duS8Oz$eSbh8sf{tHVE)yN z7k!E`g+?y^ei~X68j|P1-8Mg_vn$+nf`?Q*@c@)DyObnk{}#XxaMP!uA2;vZuc}d` zPeUF)?fc;6=fMdKdvAJlnCtFVs~@7BP~9$5`g=eWkGy;@{u8V5sLRuisJFcg;s!1^ z^OBs)#2%c>9_*#E_XW$0@L2Rm{W4|lC{u=i*^UFrQcb46|PHjY^&tZ z#SA2JW5)&XzGPnLU|~4dOvS(nbeUK=zQR)S9t)@@qBM{|1uMb}hSrD(gaxcA648pO zqaiID#@R;*>2IgxS)RS-K%a4_PwsRdhdAcWk47lf>4nPlW0d$_&lYXUF4|0v_Y0l) zDt@W5T6N~`d6xfV&U5wEf-@moxVwn$N!ZzS6V|$={Iu|Eg$sT~XKwxCk^Gdf@xvvV zz*3$MU!_DPSlq}zKF&rYa$u{rP1nc` z6PY*myr3=WgsW~%$w!zgZ=7vKIjlIp^U{a3$#|0WrL8!@W&G| z+{#>6(<>NUcC6K}(P>x|UZfsS7dQ8fsrP5qQJfY)OL?iZ=eJH(UteE4adCc1elR{Z zw&Qyz>QxuBJN+%v**k(q`ADT)GnM*fT!@HS<~8yz0hZ7g9A7WYr_qoyN}*DxbF!jz zTS~f>ZV(nCAl)D!9g>TZmhSFcBHi6}9^Uu+e*4>d?Du!hIAi$t!uz@JS=YR-Ip>JO z*7-eDb%Ry1ows>ZpLp4t?A1bz4swhl?Xr){QQ`g$UzUll?55u%wfXylJx@2;9K3+- zRH{!=u@Jzp`o%_%)M?|GyW97ur zI)6p@Xl$Wpzoc;D;Kt8tWN5&xC+_ z(_Lvk(S7H|DdS4`)U@Z#KB-Ru@=qs)Z<0TJZxvd*aBd=w&Q)q} zFt4vJJ>sXYjb>?=TtR3UVz$rZehDwCbC?yWAhnIHF)C+F(iNzTu?j~;?Y$%gqlezW zQS3Q#;Lc5o=A^>jNq+QzCN(sFQH5}FbCvqrbW;8j!6;xu;wwp;c=J4;u}K;4 zf=1+}Hd&CofeC5sig0=d@t6!6)3I(SlF-#^ScJdwu?wy3Hwt9ZnCRpOIKm-r=4E2o3e1N=HEV7raKuoaEC5pEMCcMX?0iPH=ly;NsT{73vLAI^t+LfdH?p?~^ zVv9^B!l%7?zXa)E?`BCCJ~xr=`gLK4vew0o0;Ua8Tm=S0{n*+a&--N%6bNyato|c~ zccR3?-NQI8*d|KAtTrcO7I^RaBovvw_nOk-E(lRf$-DsN4-=X4c zl~rzR4Ub!Fu9wU+9+)hz!+V;3py)6Pgy)nwUz^c3Gt&0o-mZR^ zG^mk^@Z#X1>unDnTY06$SQ{HhE#!LzTSE9e#`zUieedlJGm1!gD`56lSb|j}`8@Gr z7tMO@_#Y`03KUSnuGyXc>Fk$6L|gdzF>E@qM5drfJ`JU0)ozl9SH_AE<;E1OLR-@X z0d~hCfQir{&Oj78N%Z>xa++}qvJMb(eaGEW!VH-Rm2)Oi($Kxg>3?9zJ0js+;i&$= zl3!G{uh2rG`t|bW!ziPbu9}9wGH+KOJ*bU}uCRYqDL$Yye>i}<>pW=7;O-)Q-82Xr!^xh!WKaOca@Jnvl-6C! zb1@#YI!>L$sP2+?JjrgR7r5X(^LQJFbW@GA?4D^ZDA7TY}^ zUA4Jux?A`0dyF-|2F|Tw6;dG0evmBFZmzH#r7RgIT&Qz;v$P*R%j1j)tw?4)ES5wl zej8yvM+D`@7S%qbVT4rSMLfh23SFiu=TW9ReB9<)9euOD?hJ6&3|#+ogv|NS;bp={ z+~8^j&##U6Wy|QH~Mxm5=#~ z*=(Tu388I5y)do8Ey$N^Cs-`T?>>4dn24aX7;aSPHtVWYuEm(zVea@wuE!Ez@d(WO9J*45f}L8!#2)*0P5 z!>huV?`gXJGSg_jZ2I&aeDt~3IUrIaQ_svJe@w^Yw`-zgFB<}WkjFa}NW_y^j~09A zh#sG4z@wcS5ZWp=Nq?ln$_agHyD-F1KDy9BtOL{tmwlZf@^u zx~(2evU5EOJT$x^pQCNApomLJW*lQClPkA*+@YJh4y*c%I^Q+&{9#qNadxjj0kRIp za8Y9kl}5q3c)Y$(f%W*%w=fVG-_&~4JqLeMYPY?g*@XyXjDXmt$T9W7OJxOr+lBoV zHMQjZGNAmk1gBlUA@}LnaRO!_+`lrJ^|gb133SlA`ko{2(FrRZd|uFfQRYGPq9um` zT{&A((hnpqf4betV?9~aX?J(Ek%4}rqvw0FjTMoVyN@#1UMCxJ zYVM?3Cja5V4$F4^50@pjsMn9zY%s}CY-eF<(=LN4Sl0c>(pXWoHp89@coAG7#BxI1 z=7+9}p1&!Z%lbq08g2WllC2SpU0rV02|I@bWD%BqK-P~BlEr^Sm^0j0$XguY`qpg^ zW%lVteMiL9Q?T_Q4JIbIuS~=kCBE|FI}E$J&>PF4NO?Sw@h4YK=>8|uZ9H*&g%>^b zi^^9*n8~%`%eOE5(({gAV-+|CvcfDAIu4>IqeJfOW+{UPfVt1wJ)+BT$>gJ#Ta`U9 z1CC`Mmz80CywuCvhDG%0de=J?zG)mvCmcW6Q6%n?Va#Ev7sf%INTP`(c@bHREVsCT zarsD|rVl^E1j|j$*{|M#i$Xq#>3nLf1B1(mC3}fVuzcE%Bd^suufqo+)A(4wg@NkF(pgaB}^1K_?>wKAf5nMwF=2f(Fd_cyIC?2m%KT{O8 zq5BvE0|El#uyXDpG@nWi8`Jpw&9?h2^XgSONiZnZv3+{MM%%pn| z4jfFNe`a3Wv%fy&L%~8+RlE7{(CGJo zP*z(H8;qfqn?LKq47#%wYD-Urf2@{IrGaNuT~e~ZJ%1(2?sfXPhW-(`i{as&_vNy0q^ zY5?Yv#(5azg!ZH9EPH%$z<5uQhMO<5L9OCgHJ)Aeo6%r79{L&W`fEKhvz~reg4P3$ z23wP^D1{D)eJxChn7w2&YCs4n=we39ohML38a%bbA5JE$@~(w7a{~lBwH|7P2qd=h z`Zj;n&yiodA&4}xYb)|aD3D@HD~UiJ{XaF{b1vgIrc=K@ zA+3?Wo0^)c>#L|9nh_NS05j{jA55v37&th?YOmXVDe9y(Ha2d~R$6%fe)i%;?9NYa za0gYD069}mi67&lR@Rd%w<~2~P}!_J+nxNz@IwrC%?nxt@cS$+XSk7Wy>lUFxOhah zU7mb$+eIXqkgi$U*GZl#6e#R8>t&Er-?~9}crNMM`RA-OBrp*JM3CCjEl+!H(XUdh z%_~3{&sMYTgcuY@j1e^Es_=HvJuGx0m$M5!1~V zQ&-z@Du2C=hzpKsxsMSKlwsU2(dCLkI|#|}TSkTBP|3I4ec2g z0BQxPMv@_&sDJnnH&ug#r1#V($=T6!cZR_u!698Rs8%h3KkmTkBD+Q+u{YB=cBTS- zE%yFEl@{`@;A7e?@Z6GgZdRkpHQ-7JwvYZ!U9_j~UA-e055zVt4}dQjcBg^oM*wN` z*?65ib-eLmnEmG~7L##b-dR_YSI7bEJ&8Wd?FAH^UR$2X0&x4q&gBfd?osQV!QbyE zzxa~uv$1{eO0Q*n&F1~-Pl}&bsR5NunK{Y85oh|g=(i7Fo3!vpEw&~D&PgxzTc<4G zyXqgC9Yrsv>o5dW%dKMxu%Dy}gs<)ewaV}Woo9-Wp@=3a>}Jk)DK1b71CuSz5%j!!O8E9*IcDBjuYO8T+`}X#BiDvlF(Cb8@{Lj(JNIRH}Iz^b( z1dW_dhj-AjwdhK3S##YN!`w|EG`7)KDGrxcS4Yko@&GA4;XZ(#OQSh*3s9YTKYV#c zb@@QdZHopUc?Oo%G|zjcpCcGvU@EqLWEf?R>kqa8JI3SU(vuj*W8wNDKG1L9NgFV# ztx_IXaPtPy;nPqodd43aTT}cbiSI;m=X9&dxY?U?Z#+QX$``&%F;l$72vT4?I z1#nZz?#PGM;k)(JaH6#Ff;*!nMK61AiT!kh3Hv{^e5|HBIO|Msmop#VMh{>x_Wt~0 zB)|OP>lRJBGIRgGa8qoEEl*TYt$fz}#r_5es>Lfhh608?>g>sb>oh{pj(5|&SJ7P6i(sb| zsV#+-XFij9)MIgx!fNF%x4Tk!g$nUVZ3-g$t15wGU%GoegFp4LTOoqYV@>{;{t_l# zixI^0FZTx-hs5L-oCNRu3|~c_ha$Y+LQEcbA1wo8rlh1CJ^>a~6+H*TK3x{7gtv{0X3pTy*&VY_m~amCA8d5L!-%W2ObpkJ%SIkTn%lNhx&N0-u&f{IN?7%PV%Pq zJb>OI(A5AL*PLd>FbAyDkLC@m4CE2d@q;Kp#;(frI^XbQEdPA6co%nrrJw;bm6@w^ zvRI$f>eonkk^Q%?IldSUcp>t@baydC+H6?(U~c-B;;njw*DI|EY8-OeNG!svTixt8 z7E&}WJ9&hVg&Kw0o4$n4Dlk70ndV#e+Vj2sqR9OGIbSL-&(XVpCYGQRC+iF&r5ca* zzd@%z#FSMwY`3ojAcAiDhz>RaZuc<AKGnw&7;)@N=G z)=7hGzFr%V@j=f9o;VYsq~yx4ZHbuNgb!7x8>Out5h1l5_%7t0Rd){MJQYo1n2G{j zJIAVJ)nF0$D{G1N{5I;gdUbgP^k8yfcnTP{>_331VaGUSzC^`~-?72#Zz!qqBTHJ5;3Ej&zOgWC`q*cdJ0K_s8ahfi+t;~F_;Srx zg7|UT*Svt!`qw^opuoT0_d?fDR19T6ez*#j7mS0ah6G9ByC3#{bl97diPUc_;6{{% z83$GYQ%kOqq5Acf-^5ikbbEI1q+hnCbI<44p8p0KXQSQ{P*-Md$U?P^lKK2y_jlTR zLXw3lt5;?7TjLf5zIS;<835>YXqbPkz+VLNW?o(M4vcjvvgsRpb$g3nNDcyO zS5T(AzcRhs`faB-f_UO8!&Pbq53A_q&hm>!VGpVMHF-8`G6DtE$n>5wpMy3^(D zvl>l&q2JHE=Sq%v!oQh(R@ zDg!Eu-p4z;5-l=W6ie$Mia8%9@mGE+nna3yu78560V~q|{i2Df?~5JK67|YN5Ld_< zn-<0S+`%Hi(`e0fQEpEm(2)^-wC#Ow{U}%T<^Nqw^u=)vRV1J%`xih zHk&7}?&`2tX!$tMj*jZ=ltJ# zU0zen(A*&g@{Ja>bLpoWg=2uejr~)HRqk1U!!MrW72@|*HWdiV0Oqm=UzsKPWIerj zVk0w{8XQ~ZaDo^Z$kY~H;!rSnwNG{*S94C`P}VDVpXrI!u3nZl^YN#*oj3}HOKAG- zbsi@ona&BI9rH183nighaABcNRgonJ{_%Pl-K$mjOOsp*tP*1W(0$ zn?$ekLr<*9Q^xL_NSTOePgWiKIBQCQPGIB@r;TFEAN4Nr*C={QItd1xOgLU?$X>7# zd+f(-OuE@yBInV_On)7$$rbv2<3CHB>%FYD$=$oH9KKg_cyVuFAa;5(?3KI2O!^Qg zA)~)5`PG0flI*l#@vT{%Yb^JP@WI#|0o>-VD=;DR2B@r*IL_w9WnXJ!I|Xi zC{QZ%!-?!UcI@fPqX}LY$=6h_MECx-o%_&$)!QyT5Ac=D|uh zTy#$S6DuyP^nZh)xl|`-XEd)~{SdGIbiFyuqpe#EFibrUeX@cXLR>sx=?2T^TqtM>zp|vdYf4;Aw`(?; zx=mosOn0N1r#C-C){aE*Ep4tWLoJ1O9vh^!zeN9si0Dl9kJERV&9r8H1uS}*h%)KI z@d+91QiTpZSx4HbuVaIo&;y$C1B7Z6dW|1(hMq)+FB7GmC{Vs^w3cgYuE|nTWqSW| zYQM-Y&O7=e(R@_PBv#4n^~kjt>M=a?nD9*j31?HFKsMHH56e0niW42iRyr4(|TWgqM-Gf0b< zRt<5meYRU+m;Cu$6XSfwmHV$Y4gfn)Xf{ltLQYR?#4akO)XRICe*WY{7S*b?r3rHjehRcm1u2%x{0yjvt8n6^Z>zUB78kZM?*jq(+j1W^a`Jri^%_RI3G}V3@}7GBAcZOKsGclzQ^V#YB2LTiXB^MkQMR)U z;UAowvM(>)kB^R2W*jUmx-Y^I=EH&WY2e_-^d+(p+_NzAf@V^uO0L0 zj*JZ{0q9l2E!5(vQ5h{xbTarXv>}K0rq48E0Do)R6V9FR8TOYOsD|MkSVbs$smdZ8NhlP#IINLHnUII z&Yja3K>5|DO7Y{XT`0!4xq4rfBX_!P$z(9=%D#MUpgMPv!?IY63r3;F9BMNE{gaO$ zsSSUzdmZ+Y$2mm|QCtIfbogL?Grcd*#uS;9?C#S=K*lPlQ2F9O@zqI|@Xh#G}>xoQt^pOW95|sdi5BPpMWa&7)0PmyI0^Fl^$j1XPUa2F3xj z5li-EYYB^J&!?1p z5oYZ}8o=7(^9Kf-D;_cg+&%%5{T252eF2+0_9~i(W_9^>Pg4#ol{S<%EA8dqzO8Ob z`5~Anh3)#SBGuFTf^m8#;8W}7Rzr`#Tb_bH%cB5`lcaP0444ezBAd_n84HlqGxVLY zbsVg|M+ArlnIF|l4=^9}u9@pPB2>}QNLL6+Nb=o8dEL7#q;P;5E8LYpY|8kq2WG~Y z%(G1&6y%bkViqaN?sSsfH)NpRI~vG1TQ?Rp`eIYce9mq5{9v%bdr%DdJ6$7c;vrex z^^h2c@H8Q~hFp+YtL5c4ZdIASu{LDrHoKLqbuN0)S9z}3eAViqfoF80x_xZMFth^* z2IiD(bRV-BqnmDRPVZ_$(vZ_-eV5YjB0`=B>#hiz#37TO+;Mux5JIt`(e|Gw6P6-L z)>ZvapwI4l*qB~`YxWh-OX_0)#-WBovixK!opN>FEXdf%3wAV&g61RM+b8f-nRXXT z-=l=46Q$Gkj`my*UQj@v9!ginB@r6Hs5=`S=s=)Ry8Rw}W^Cv=!;(Fdq7!6=boR-C zAO-mMo7m&#GldXULfh!TK(ThSSDLzPRMU6Q0HTz))0C#8mGQrcJDcD}R=BfgK!B70 zaI*aCvz-l$w$Md~FS8c}-^%7@qh+)Sl7DWxJM0QoY>v6^J%N|xCnZ!QedR${drXCm zZ={!OK5^yF%#=?2m^MPkIgkf(Ur!yka)`!o88dPXanCf_1o}I7lo*E(>|E9&+9MEb z`40W}#+cu9FO6q`w{Pm8OC_H}B2-45*<4T~ zoRH44QQdm|&)y2{XsOA@W3pV-|CGB}_w4&g;=M*e`%e$KUfTENT_^V>V6p)Ow3nrn zJLL$B!^Yj(gt`8#kzW03ZC5p<-BB(R%2uRp*M}Q+(?uA5Z+4q>rtHP@xVFc>gs3@v zs_$wNQ8s%1RbVFAHTy;WFyqon7S}f+%fX~SbgBo18H_WY$ESpRP->_2=-6P^gbHs7 zY|)=WFTjqPSt4XzbJ0Z`w$A<|%w|Nhor;ej=Wbod2^j!07zINvQJ%E&K+u{I#K7K2 z0mn!Hzb61w|MTT6BQ3=ALDPolja8sieu_N7Lj@wlSs_VsQb%3C`y+sfh-G+F)m|)? z`6)N;b7&y8!IjcAf8Gb+!L6{+E=YxqdHq@G5{)n^tkC@;xCA2CZGUCjDZ!pCrMc`$ z$3-7WXOgjHuglH`l7JPL{f{ckrs(5twx+4R@mcoszFX-U&vL;11V0yQ`RKoul4K8X zMi`7?a~3whLD(Wu5exCd8lONq{7D1;;|PaX01yO)ps~A(_L#mL3o7D5%j}NCOT^@T zIN&;#PoYPJVplLx+81~EmrJ)%Umu+NAg7`})C2BY^XZ<9p4&qa+IGaf4EHh;SHzTw z^1-_Z`i?dbwC^(9@ikj`;7_7Glo&fv+&iBw@*q!VBDT~@6pyPotJ|jP17Z1(I>Cu$ z1-{!)_9*mkwXXqO30bc_?>3U8{U%DU^co)`ir-C4EQ3IwD%}{iFPE$<}qCnXN zKY{!I`xF5V06IeP1fY_4f&h;pkIgh#V8}a|bYWFW->04mp_QGJyzMLkX@;9VVx}H^SuT)b)JvFL4lzVR3(*get zw+r$3EQa4kfM*!b0YyWE2^R{KDZu__dAx3SccwCf36Y;sf!KPnh9xK$tKVg4TJJ)p zEM9BEV1athYq#v(#!Fm?=_h?+zZ(hrl>-~Mp0Wdy@Sjh@rsRkoD#S_2L_B08O2*xZ zl#!DNcp`QKVhb*MWYE{(O@2!H7T&pR@Gk^+d_rDFvaMYMkuTBr@+(7~bCGe-xCV2q zIQ^rzXnzUsb@nvb^l^g3F=qgK0ImeEjo-w7-4np=4gk24w*FCNL3AXw_`B7iidg_L zd=1gAd)P4Za5SS38EQ)=Y(J;vyu4lVZUf%zl=zLPhBEB?kSQq_=QN419F6rTYs~A_ zx0Ss$gs*(niFwy1O=#_e4(XbP+$DJI7a4Fxc@I}y>bCDLujGi1XWdqpq=alNg)PYz zeGavk7hF>PsBcC%hX`tY`#d^Vrtax3aLE51mu&poWbC_MUpHR1c`JyH2@ zJ>AM10<*^Gsk4=`snLBy99#gU;EhPkXmD;Ct2g}V8EjrWC$>k+l;?l%)SKOpdP_zi zuitzJC@9i0m4&HRu&#nSe`sTT;NQU5C2iobmvcK<^Ig|E5d1#Oy`NoLsj1TOlZC-{ zKwS3vx6L)YK4WX3g~uu0?`j*5D`Hc=CY=8eH?PmwuoXevj>8JyOSGU@{A&~-hC15v z^ke}+3Cbn@<3T+7&PQGw0vO*%v}e6Y$27%G`OPDZ<7n|(Sy~!p&F$u3o9ozNGJ?_b zNwid@DK=vK;0lMOCEi#X6e_=K%Y;i*p{emFyZ-)=#3@C0Y@uRZcoknqvj#2VOMK0oS~MHY0}eH`E0g& zJI3ZtEQnrUImyS82Ua}&O%PFcgyrVk#;3`I?j8b9Fg8_bVAH@+T)Pz~#bH1bEs&!f ze2;~e#`*5cvp(j;oI>EU|99{Cud4v=!vjH_+am4hQ|3F$!T>oWM!ms!ep_5&i}S@!!R#~ySepny>v-ZQXclyUxw$jV7FGsP3 zW|El60-nmV+tmdDVJyj>!7*khxUdkCEH>LpE0KU=@%ket9ZrKFYx{{RJJb}`Gl}cf zewr%pGtX<&<>y4K-XtE^wclZ`)qEsXXrXHi@~PqJ?clV@J%>Y*sxLl zXRu3^(enEg);$UINEwJ;t*3f#CU@kj$w5RDPJ%S2l5{j>5R@qEdB<0Hk z&^FfxUWGKiV0`AAl!Q`k1#+|ln_pil)Oo%WYJj&*xBs-yN9q4iUvAn!M$HxMs9RxO znj-khWH^~TJ=?|d4p%fO0~qb_Cq*|dx97?3xIr<+)&nWfd;5hg_GmqS2ZqPcjQ|LG zpNMLIr9?B4R-JTh=biX5Rzt2+vng(VzgMW5mheal3l3V_6)G&&XU0aX+g%9qap4^? zu@WX+Fi{D_gca&Kv9i1cFv5X5fe)8j&7W~<%RUI9E_-qjA6rUbQ?#Q-S1TJPWL3pj zyeQfv`emFc73&U*AS&VqmH~A2mBV&PYBVVuO8E;4BIJJ3D6Rb(1oEwWVWy@Wl~3_$ zO}yGaOb;mHCG6N;isa&Lu0OE$EM@vw5=D|spkbS@;4&@Jdu3qC!(5H`_m%R7me8k7 z{3`j&>&wqjbmA!WoMJwPH74JUwL|S?|nW3CY7bFSZqlXo>ro74H;+aw7a&s%zc3Lly-|k!U*CFYq7sR=OPHoln6F9 zC1raHQ12~;Y3I+gbAGWpmJ8|**W39OwxIwl8zbLupM+F4ZUrJ@rvmlWen!X_N7Rr? zGbrizo%iN!xj6H^L3-UviOxs9DVXwRu7Wd76>HSAS5VM=48$=prH`{v|P!HtzbKW z9m^l=4@PqRx3T=UEJ~aiWXy$v+K!3{CK3cjus%AulS*)QML(aFEJ6#*3NnXA^U+8&rVsh)7o^;o^goBvg|{I?%%Lj*xxRhWD%`~d26E1*}e)CQ`2 ze={y1(UFOG8jG=2=p|JucPzJR{bMELUm2=OEuPumZG&Jm4lLXKnJI-}XvZ&@ne`qsT%-{x4^1jcY6 z<{GnO{5ymE_a8t2Z$AU`OJU^{0oX#lok%tU)ON4|8Geu(hogn7@){WQ)QJt|r>rg* zdLNw#XiJfy_F~4I?{5^a54(1KMP2l|$ID9|tT53g+uKzq*244`ru-d-l&|{mA2Sr% z%D>9Ge_iBbO#!rB(-DlT4}H~h6%4Zxp-;yXRJ!JColh6yy(gOv|2pF<(aji6JmB&2 z;aQ`VeFTXQCy+AS^>|?)67+CXy8OQBM1+>04zIgP@Bb`GoXGm`xzK+djS*4Y3k6m0 zg8Q{7{e;KRHu=`w&4u&n0L}9KXFRdL&V~XGtpo15SGcrX!aW=bobX!f({-`1Sa{6m zXqk}b$9L~RZTy)PB*KckhcP5g#3q2(O&a*mdhapq0%H9&3Ut}0m%l1;6hYio#4F+( zWlhOqeJb2-bOo6Freh1D=y$`I$#2p|V+{NOaP?!~$K@gn*0BII!8~()qS^xnROs0p z?W0%!Uwg_kgnf&aT%2&5; zmV*Au$v`dLpPVxO{owWCN$N$?W8(F{J_39Yq15 zf2@jtaALkz;ZzIT=7`P9q0hrF`8<)uA0nks)aCUAGVv6gKra?@!hPaINbP)tliA08 z{*RYWhLEYBN~TW3J4lZ}@#A8>>5a$f?;|yf9>H>|mf@`KM_cJZdR#R2XuN&9=ByNr zAZR^E9JLJMYoLg=3h5FO`0ZW;chG?G>3m0<`7|;FpA^vw|8w z6WOGqfPoF>(I`+wPu(x01Rci{L_-b0A&|kkGj+;4t(Ap)haPb?mN?-Ldv%EPwj8F| zKz;}9W%^I=@tCdxI!Q`M71ow)0<$WBuKHdNVgp+Ygch5=-n}V; zG2rllo>Vr;3tHlt8{m(*V72Ws>eOS-PGfzKP-|H~2WY8uwclAEOTAc~|E$IR!2yr_ zH6X4lL}`SnXCp%a$2+=r6X|~X8a5kF*FQkCus}jr*UilbTP)l2*zi>af`;7LYPwX378@8n)i5`&mm!C#jB%G zrXY*&GM*}3PM~W{k=VQ^7;N|s6~OF)wm(>C$wV~RYuu>bPAGtf{cm3EZy*FvkJCY_ z?4uool zx~2fqiRnYpwXN-@Ovl%eOZ>qE_3`JW!yjVizmnV_|I;lOP(h041k;&_y9v1(_HmmgptEd-twDGUWMHvx7t(%S88w9VGbUkf-0o z?iT>Aj&wi<0G_`WvxTouv0P&J&vtn7%F8WV%{kKO_B+d$w`QYst3$K$6y~EE8Vs9_ z12Px>-oE;0hP7i@QvqZg-&JAy4A-X0pLH$J3{b6MHThgbe9jxD9QQ1_^qH5A9E_Fw zNyPaW{3wyobUft&m0AZ;tTB&!`x9t_CkRR-mzW6z6?CGSJ;q=U17jKV8=#2}Xt-RI z3>h8FlWqJ8VQW1iuAuPyE3(>`JU4pm&y2qp4f;0$Om$BC1>O`v5BA*r{GEx+Fylam z|7uz8&qnQIoUtuj|KfSv;CqR(B(#kL|JCs3@54t5`$$Yez4qo1IE~w$c%)94!3;5p zBR;gNu$dKna-rufLfStFcv-SJ78QV78%f-Njm=+3%YRz>-~aRa(cESLGhPL z3RL&ZQBcwP)eAb7;W=>lI%5VPPd(H3iLnlL9+O-BPK$INLnAj9MIqr%CkV84*tsUj zR6~K=Vq*F_pTueP{Oa;@mF0Nc|Ns5M8iLL5p+Oa3laJAIW9lGrQcz~Rk_~&w%i@=!^avXovlRa92{Ma*vc ziE$d+O((WqER_gS>Fu0Rk!A@Iu#zJrmTc;;!wmfM>!T_Q@x&io+1korF^V!ImPbHf zF7&;ly)qyl909(A7(j2hoqx144gZm`7ShNB4oy*B`y*OUKp|t+=pn%&awk%>Is26< zg~ldMw`%hP;N&7#1uWe2*%UNuQrb= zUy@+&-|x8rB9KUtNJhKsw>!GUkBJ%)6T~!38xf${Xrau4(5g|O8n=`^6AfJd6Bzh6 zBqEK5#_Zh==tMqbKY=bzff=OOk|vPpwf3MUrBo3LxV{dYtDuA zG=T%{KT4Uf^cT3okgBJaZ_I`55dKH=0LU``M&89mE!XA~kl0L~Ku?+%6#%-)2VVE% zvJ+L_O;TCSR;$LL&e+GPO#rs01tjD;*dMo~CZ3^_gLN;Zj&T4X(PJ3?ulfV{{*Mr3 zz*j&X$7QKtex^rE3Nd9e?{6KCJ6dN}dIhWplTfe7BTt^PkxFR0o2??(`T7`-iAeq~ zLU`V|zJgIS24SH6cv7=Le*HhuyMJe_gyay;3;WKE&*4wO+@!Z`cV0Wfkwm7WfrfrJ zNbzp=kf6Y}y9)l74v(oT3Nl(6rYS{N7X4IGXekFS84v_XB-WWc|FP7`MuM&{QH=2! zQz1gbYDm7*4I4W0y5F7a%kGNErfbH%!L|mWh6(>=WC&2MSS$@ELk2y68$CDWAQIrALYT38m zDo(S?{V!WU`#WQy1L7v+d?B=10v8)n{2aGkWc8nJmyyVy_4VtB`|BMRA|X%bW)Zzt z(^f+p0bv4=ugQ(jA;TtxgQb>@4~J7tsGRHVkscF9G?BEXWHZt5K)YZS=?);n9EX51 zsQ?uR6TZh&(E=eLzB8r!{Y3g7n95^C2PAsRAJxwadQoU9gkLx;)=Sym0E>K1?q>Ve z{lhpQU(@Qp0tm7gp~I3R>m`uLrnH!HX}F%`qnG*$<`w`zE9@ybbxEYkqct))i&{P! zBOV`KDtIXX1uA1oI6?Ri9~7u|!H{pHMTd(U| zWV^+tjG`$A3gD4kbZ?h!c?NbyK4!PCMK`+`bw!I91i{jBc0m{tbG^c^)6kFgx3tD# zag^~ked>-1>Efj_vAtYuY1XugSt*#<=#C-8Z(b++kJJG@-3UT4E_Q@WwVT$}341V~ zIih%Qxq)fM(?=;!?lwiCdae%QgE9}$?2YF@$h4w`6jM8+#Q#t9{@)hqKVk%s(4W(6 z0vfh!j|{*2I{J(fTkND^WD7*`ad}Z~z8ByWGeq%|@7nCcPoVgNYCTy}j5;;DvI!K6 zfIgB=r+QyO)9zX|zUHn#R@<-Wxo}jGBqrrf4+YT9h%2r{5Kjf*09sw;?h4cSk0i5Q ztl^1_83RUr(;}mj0yvKj^8Oouwn{aelV{Ei&Z~L6G%VVDM!jGN{eV+Q@_tS-a&nsp z&|QQ+OQhH4qn77(-&g+*^kKAtK8!~7i6pib0$MdHw1zo0mwf%7>{nFV6tp~5qUXW6 z0PN9`p^OHB&8-(=n5#Bc;io_s<%%c}sHpPoys)F>vRN4q7W^5f(5cljxPjC}rT`Ag z#LoUjgRVRzqZanWi;-1yGmaOzT?_R2Di~HZ4=uf!|H+zMyyCC&>I#qmLZV{zCSHUTp~Dgl!TsN|S`gV#(a; z^4SQUBzqpxj8-Ful`Q)9Cv_}`XtjE7~$;$lLI#{`r8z=+^&zhCwyCK4sO)mg54 zb1DF>qo=(+P&S@XBj=zY%gn{`6obyGLDy6@>&YA<0N*%>CT#{mM!mD;liAg)tu>1D z8@R8ouVY$TM09j?@cM7?v*GkpK1yfXrq9U~X{((ZYsYePH>9OwLJsxYJErjAg#h(C z{QWAi$0vV&7eoej_K52XaxoziDEe)t&E)BHz9(0(i`&zSa5vKBW09Yt(q*WPuMfB z)C)wNHimM6^^&o$@HJF&VQ=?L%p72eiWngwVIx^yUW!O!Om3gD^6(XI%jNU4x!ZKl zJJEOgn(+LZExn$JE}0*m+WeEbNyv0{SR2x#NhDm)(GY;A-DI48F4sGl9 z;dH$T%%)kr4Yk!Yy#dJ79!Hcr-pSbHw6U5cRb`3Egfa%radYk$5%MIYT>MHfbA^5W zg0azTsXqdE-bnuv7ZxHx+k=(9W4wHQRFLv*{)bi>F!iZqbtAt8t>ep=p(>XhSKpFv z^T47JtJR(m-C3s#%_5ZC*1m2mIs?#UUcNT9q8 zvho#?uzK^OINFj@-=tgzrkvP(6-qELMDq@qmQT-awM`1<$Nv;WfTaP9d`$HFiJGap={Qo)f4BWvB`I6L3Q3FIM08=9b zSQ1gd3QFQrVAnUvi(;GtlkNzj`RO%*cwq?8Xa4(s#gSud7a4<|VETU(R)~pzCDS%F z8QqGq>=4Uuy|3VoLy}YuBP67rZAhe+OZebV>2WM+KTpl9&`?i9_evD!1=ez^FfiyQ z63|isA`FB~?E75IN1fhS1Ze}_92_8zZ4D%!05bwa9N|X9VYCyd-bsQUd5y!KM}Lsh zMEs(Cuhz8cy)M$lo7M-eBrEAV_{DHJZg@Nz6m`8r=9Ffo^)(lU5P!G9g%t#lz~WKQ z5ZX>QhEIlLxOPvpb2h~QrhoOg`A7i^&**g%-R4Zt59hmmD$gr^iF9Gkl|j9FmkWeF zVfU|pt|KWpE~Eujqr_T6$;8Guvp$jbvhyG+9vuihm7#dQSV&#A1{j zQspooRvg$MD^i8^1gr^z<#u?8^oSW;FS}i#Ky&*NveOMoW9FIOifX?hi0Y+`(k43u z=9sO@hH%-JO;Avfil-;Pev_vL zwv_o`N^Z1|ezRIUlTOUQ;Gjm|JWXx{CvCTLUXVf&o+?TSpCU zy&dm*o8sxkVph6y%@i|eW9O>zBIjqKR`WA*x(%DgnEuFW)`k<~WdrqGi@8M5ELU&sSEiAn)!+^jjDJ$y_o~kDx5WyiA9(x7BM7LG|rbA^u zqoM`$0JA&O0GEp2_ZEjw&~)p~3G5|@6N^Kwz7{|HcAlMY^1xv(~L=_4B_0q`2UBrw+@QB?cat$Y5|dz zR6#;YT17xXzy$&6ROygzq$PGG1O(|61f)Zd?ov9WL0D>~yE_)%bG@$LeciwNx!-yI zcxHBn8D?0%-}BRP9tUUeMN-`t>{Q1|w_A;FdX z96v7Hn1xQfKf^alyFcneve7HXK!OLY!FxXdunr%8rzt(d9XC$44^?z>tdbeZ(ny3CX!czfu z4NoLdIrHap7~b8nHy_OheA-$urvDv_QM-k#NJ+4oSqYC}7#sSMbWH*jh4?rS5p9=@ zeWtC)b!)q=JjrCy8-2VC`yrd`c%h9?GRA-0#{(!@&a2E@KULM#UL*riPK}Pn#Z5FK z8k^v;+Mk_cxxB)&0KZ;o_7jCy^70>FLYeQ`2+%)&CO?r{q4RZM&8^y{6yD?f^KnkP zXFu6}FX|U1u?=8=`xh`%3xy{RC}6*&5kO2(0mj#5L0Z6Dw59%_Cs`zMqR#8AqHXQ# zhXK1l!)61f3_r6j@8)XU(T}H)gI7nBL!%MTK3k0C;OF6tf8{s{7dGz=(KT$f7t+)Dgt5QuY z1C9?rjjH4kL!L%9-X{SF`8U)jeI=A~f7PFH5QHH=;(unD!Hq+Kq>$Tp&Z5euhO!yvCY)A zJvj&!nve*%ws2_L`#HBrAOAi8v(HqyjdSJ7GT_tnyzK|b+ZsXx+n~?f1lc(=t!Rd= z1fTU3!mU)!XXSRCuXAV$FKUr8FV2zAkHB`kaC~mGKPv=YJN7THO{ohm`8_M@dsD%h z(9o#X39zy_(I%z+0Yz}R^u3m4!Dp);S5>bPO*U}9zapWIN|Y(kVy<>#=OpVIxbI3q zDa=|%82dmaHzbI%G%W+y;}&)TfXZ^A{s$_HUHPeb4sgT%J173};3nv0*EPseFIrv{ z`8j?}!mFz{o+2n%j=bSakp1D)Vz0Blx`q_Q{}mrg{UbKxS}oEQ1yG(o(22zBKOM6e zzBF(BRqu3|vbMjy;^-bzt;e85da8Jf(hc1!B6Qh@C_DSDa!bI5r~Kmvj{^DX;JdET zZL)dp^9`nT0IzxdMgGvoY?6XW1|R(}X+r?_-v8?GW-pie`Zds0$IpIg!nAKzeq-d$zzk!Kx4`N^QgN{H-I+NrL&W zZ(WE_#v6-l^x@s=^?Jl1(}E1ocT$!qy9HaQ{x(HYNPS)1e9DAwt;@)oE5{$usx$r- zW~a2aBQ}7GXNoeif4!Ds@=e4vZFO`T4tG$#!#i=Oz5Y{T)I6wmcVakWCS+tI=^8yX z&-MdKoKFE_)l+#o6^GZ@;u5z(p8j!2@EMNZ2?pZDey**ct_R@2RzJrI0+>}hrvSC< zMNIC{mzcVOQ+zgd?q-9Ot9QCue%-(Yeqxpw5=Jl9D<<|bUn8}0Ykn2WFaUdHe`Qsn z>>}IC>3I0<=~*L<)7gcD`^7G07=Cm%Al`ssW_qR6mzg7p@U(~_u{#8fP>!v2g@vTL zoX}|-rFy~>dNlWzzrPg8xb8E9lle5ffGua~E^KZQ z^wM)(K|7P&AmtF;jQl8o1GOZDY}`59(|>v64ud)?xDei{nwh)SG<9C*SDiSo@(7O* za+{WRo?LxrXGds48}LP1)J|191}NQ_4;tjsO>o(o?&-E3FO$)eqJg|-ahfdg_Ak*N z8$T<{Dmzd*-pN8!cU`(z3~HvI;o-7hfUB@4{yEowf>r|V#fJwAPO}KCD_!Y0)8>HLLD%cIB2vdaO-pH&7)S zH{(CZ)e~9MFuyB92N*1+@b%Z^(`z!bWI?w@jy1CcKFoBoL~n6>_nk9FhO`(Nj{ZxA z+bti&-kwd=d!+nm{?Ollt?rv0s(}e{X%Mq;>tR@2rIm?_H+BR%=d7~tWT)c|%{)SI z^Pwy^gY~2ZbER%O8*UM3VsgEHS5&iIjh<~KrpxX7HBa@m(C`z1#>-4VAUY5xW}7{i z-8b+aagmNFoXQ9YOBswZ>#GLsjZP-)QoHrbCko4Z)J}7UBBvYMgtv}n+ULojUFq1} z$k9}XYtA`!M!I>wbyB49Um8SsmnTN#D&6>m*A^}r<(EI4QE>Ar?K6Q5>2Pje@7wL( zaukM?0rClHSH!9OiN>-MHH;q6EnO#t3RTcN`(<-V;qi3LbXofRvo}qzO0}%%E*gjz zTw9z^GBPkQXxR9b_ab=Wbgxg0@{rGbXR(K-265Ri4ig%}Ks(ud7IqE&`LiTB7@(j0 zs4VbQR?Vt=tvPB+I1F;1Cm|nFey1Z-rIG?K-Z8{4j|AHVGX9n0fbFtmF+_4404Xn? zZcyt?s}!)C{%La`+H@)i3agMUrN3b%@@yu!Ad#h{rdHXY7{jAc%Q$NL#i0f&4y{|5 zJCWnY-;EEg^9$` z{14daH3+EITPA-0L1a<{b1sq6u!%1fItIg7Z)*?pN7ZLEqN<9Ajg0W^MhggdX3uK! zt6IA&(VKp(*(aU0>6SW{*d!MU&-s1QF_BYJt1jnX&6GAGHc`m zt!f9B+d@tts2}MAu*~cA?gv5H++K0qSCkJgM{nNTkH&7w?yIt$u9gc3y@ag^UOq1s z)0J0Yug`sB`~(1hDVS_L$+14rI!Em)9^^d1@v$WV_u0rF9N0?6pwm0+WN0-X%CUkq zrw)#?xQ8#yhm}h##Dc8Tq~+B7AKbmcr+AB90|FAL9*{t(*}V(rHqfNZm2@Va2@$M- z>g`||juc%OkJPw=WzU0gX64g}qlYdi@)DQa0{eUhY(rFo*8HfJ$~8!1t-Dl%2yN5XgDywz=W23~-k&QtJ1x z!qR?T9@`4B`0Yh4k>Zx}k6j(}_LZk=tt zdc4w&sZOXl<@hp#2fy=YJdCWq74r`r*I3ADqY@W=Nu9_tI)8e|$=>NXLeWI)&KGKy zw1DjrlbeW3-J_Z&@#ZB52d!>~1kFMP3U;b5{3W(2TJ1C){vKw{zvi?;Jy-B%!WkdW zzHwd2e3hpq|MPQoV3=emz1WYViOC8h%S7k)14Q4=C%wOzbc)ulu$lGhx3*hV(*K@V z;;wHs_jn-)fBHhOPHEv)z3hmfm$;+Lg)sxM9FLd(>Hf_t-na~q7aWjUryGQV=-#~0Ztm`6?R1Al|l!ON&n>0)qsf0&6WN;g_uF?I;2!rc~Z?Q zphhdE1}rKIAf;hg=rztc zHX9Y_HJ59!8`GR20uB4b)P873IpHUEO0X(mlEuD&-+H6y_F6QO*I}H`^VmLpV;X+8 zQCD!d=4w4v6C>6DN4uA0H;$_{m|&-hpW6wD9XG|m<>_YM5;I+%Yu}^V zZ^WjsU$#rv{BUt*Vusl6cO{d!@L6|7vnNGHNBda=h6k+4XV8Y7HX2hCf9_Fr8$+*d z)WxA-&0>Ppax1ldhCTv4@*BT9=9ONq+h1z9*fZ4mZm3{O!SQ6F;B|&>r$mo5{Yr*- z`iCQ`n=-d%JZ1|o&m9LL?bs=dtrueCWD^w;zlMf(cLTB&BYySuZ9nGa)uW2oYR^&# zA{OTl5ez3WmY{-}8#V0v*f00*ln^$7N`CPCq+5tZ&^2$w2H;S@I#xzK#RRR###auF z)+%=AqGPTtgdKFIb#iV&GiP#wNbn~{r(KF9q0`nofN4EmG7~YyDceLCZ1?Wz6{sHv zrC%KJ65NH?_rnub2(U>-_q`s$mF0nSohv0Cw@+`rb3bX%VBnPL%DYlDTHHm$Tfa}1 z0(0x;uIvYh3&;5g0U8x0H#g?Dcv9i7lpnqgXbBgL^ZqbT6CvIZQQyIvCwS7jzqCf+ zB4fHBF0Fbrth1|>@)K-cU1iToX5wTwpX7pz3AxSQA^KG2C(f!b&v?>(*SO1ph&v~{ zzbOW=LGr(+EEu?N;}y(2`E-Vb#yQgdl=b#DnWD7k!OOTEwGv3vSe|Kz=m+Ls*1inU z3=pGiLN;V4b!{2L`XEzytk^jos-kMeg(xs^?P=Gx3?QG8S>_a-X5!L1Ow_c_Yq;PQ z5vgx>(f8cJyOa#2`z2G_7-54i2b$z{%%pSOyp8jHeH8c#r|mcLak0*{OHD~GFD3l1 z-amG|f`fC?*m^PcMU02%8xH8mlO2_V%wu+LVBoe`+%e;OZk#p8`IQBUxtEjE4~{QB z6)p_G&u(&?D4Z&2K?r?l>Pt){lw@Q+NUqK2>(*8@TSbrn2AOwOMB*=ReLzn+4Jnl9 zsX6KEJ+Lx!_o`K z$+Ij{BM+vZ3WSqX%YGbBD6C+SLYzplWnCT=dRUVY$i?PYnAh!$HtJcusKp_;mR*U0 zhczC06I@sgSotey{|FnxyA)CKIwi?W;|>dK3NH{FgP$RVL-&^2d+Ua4j;7dxgDOS1 zFSOA^ZBOrDL&PbGnh5AU!;f-p%&x4;d6&>H-IF;6gwR9N^&Zvo#$w5)Xx_xPV=u8> zq{Mw}Uoz+m-ZO+cEA*y)tM^wCWW910nzf137J*oGTm9i@@G|wA_42j@Jmu4ro#|0B zQp7xowk{Br=<*V_oDAp)BP}aco*}6q;v(x$eU_u7bY7Gu;&%$b;+0GMo3gp)*z{jf z`DtbbKRYqwwn{#g!f1zS+=!+15Ki+r)HRX!3>EBF~41T_f5=1$j z>omEW1vj#C)vZ6iXym&@iy$V)&R+XER48~Jsy~NLF2``wxQ{91k%}IFQCs}^X=tYW zulUA~mkO^K%o5;}pRdX)p-@s%a$o#pruD0#W@z5UVV_3!NaPxeaP14lCr6(*5sjD0 zVz%nEpM|rd7}O@}1;xY$KR?O!_|`Ce+*l6xTKkz*?a0l+n}@6ndssO+7*X$`J+V64 zSNHsSsMV_*b_^i0(YBY+21#L)YW@O+WIpTq)jSm^aqoTS12e(N`h=u?vGr{z}w)0EtV7<7U6 zn`cRWvE*DVF|OVm8eR6--JrW`OFhm>Ool7yZqn(m!$5=KEgmKJ1H+@)w~0MIk$VYU zw_bkJ>6`%mIDT9z6fUvS(4N}TP7}-W#3``ME2L4-#=zj~!w$=%>7XE|zT1xsT=1h8 ze-JoNY@qDiQ%rkGs{GQbBSRes#mhhb9R%)5G>j89nWcCYFN}#~a9sZ}|C=f7N$=4H zNanKk_XnsN1#HZ{f4mXd>rIaqwX7lhA*eXX!w0||8+xnI_Yml+PjRrnY))Lt(2z0C z&w7!e*37WrymY_DcM50m$VYpgp;r3mCgqxJpyE&g_i~d@e|u(Nwpf4_$OL4D%k{)x1*IcHv?HX86&W8Q)TfOqY%~>(J1&?h+NZzZLLCtm4hp_-{uC3vW%Jl zY+080{y5DcvfA}a24Pc+>I}11(G{P5Zy)<+f0EfR#y{ilM-r?THeLuJLSwF5>f22Y zMv6PgKriU1bqmi}Hf{7H-=@WOo6f&1$wQX05uBEA>{Ug1?(g$=9^@_NjCoH@H<_^N>8sj5Z zPdoQdApNB)#wMm-9Y0>@8{#Y0>Y}&o$xmr#=e0{(h)&4MVQ`Aw!7rI9fdK z<)wYp1SS9G>_m*t>BkI&+4tW&uRjcUYexNjhN*gF)Ar3IbwBTNmh1C;W@7||kHgAZ z!!I~3WXb$G?{ah86j&omjbw!|Wfv^6X+p%?3B_3{;Kwrrq7b*EDOYwz#viNL!klOf zhOy9_SzP_f^bN;#)*ITM@l(*!Y+Q@lENS-@_wA7-FI|@~j2$ly-a`6`OBoP=lw(@u;nDx-yQZWwvJp+8FJ{ z#AcmG80~$q0JPUyEO=Z0avX*}BXtgBpnbp`Fzvpk`=;jy%vVa*_Und_A34vd*xUn$ zG4%uw6njHYe-lgOMN*Rj>8dHmG<>HT+ivV#><8&7D%BZ9J%ND{hr5? zQuKog|2ogwz&>ZF1d|NkvF<>gON`-NHBJ_+cJrnipPY zr>BEpT$@{6{V5?Kfth4{!5rr--CAJyE+hh^*`d}s2Yh)sIlpc0Nrlnbs8wuF86om} z{O`j$GVq0|kO`)M`Dd|@@8rAejaybq->f)XBwFLScJ}t>1dZV5JJQqBh>Jb?VB;EE zv_Gv)&Vk18lgm0q-1B{M3oFa#6PX9~7U^ln`K%XD&MuyW)K%tl4T3;Ev3NKk9IZKw zk6YH;_S)n|X=%t-yHVImMfKdZ?n96E&|hTXL_~kp0!KeF;alg#k{421(l)R&$JXLm z^vNS8#_=kyuH~w|`{~LO1-YM5+x*Z{3jO>>=}kY0fY7B(`JNxL5eZhMvk8L7Z-kG$ zhaToRr2mj_8qSx|SuI|&vP1iv?%yALnZnhj@62X0uTJynalD+`ujytpQXaQ?(p!;& z?RiJt%yVXjHJyJSUph#?t-fH|F%j#@4|4>$&X>kFZj5#%0Amflmo~!|cfOAjB zi}SZNdXECSofS4ieV}^cLf`achAd$>mVAICBz~Dqm0F?)WsSD`Qk47kvnn);x|a-3 z&um!t$WIL--WEj3xqO5|Py>=Lo=3G2&LB`v5HHqnlq=MjKiQ$kvMoFFg`#4zCI#rM7lTuTp6W zO2bPRP=aR*Wf!x-5fKrF2WEGqX~yVHN>g9L0<9Tn9E>GGQm)rx7uXrKeY`1PLW~kQ zY#+B?#$!xeXkl)T(}#DO5mlhiPS*-8mitp#C!*F8R@1{o(?Gb|MQX_Btbh9{QIm`*3!UkpM< z$!6D5-ugeBsEm?2zS!r>e@M*}UePe^bRsb$yu?>bM9e+0H9Pz3rrt)EOBXlfPu4zp z?~i9#{94aoJin;8@VaONMUT+=f}(y6cTSHGfq$D*Tu*P_r1p0 znePMYjI_~-{ihmB00vuB##(4Vt6Mvng)6}3;uvps*8rC%J- zXgcj{c05OX;gRgHq-$|-cAKB0?{}4HX%+2XK16{g>zS^pLMcq!n(9lrkFqR{>KO?u z0n~DSfF=ARm)=+5Tybcg z6!d{ccCA?--6?>V7ru(s`}uJ*LS_P4!)O`FP&cU)xB1X?rNC1+tfJ|4+f@5q*B%j1 zm#FGdP8OJkMTWZ}w42A})~#Fl?n(|7-e?#oZq~!Nk;)Th(J3i?6Xw>|>-OX~fpDc) zfN%{b#9Zd)z7{s51<=Fe>El#XRDzC(t$*Dir`=e{fFm?Mw3n1T_}aZa4(NJD{4K*I zXB=Vh@u=wFY^EmQhVZ%6w#QwdA-6rCUo}cS==QEvA83zaD z)+y-oFf1G*Q4NQeE-IzuEBXpahEpsifxFUz4ATk?M@L+*Efh~~I+SQ9Um zvmdFYYOVb9S1Z_I&%mJDsy-1-Dk%Mp>qH!yHTKhU^?F1`5ZaJhWIav=;AKkxODF|M z;y{9B{tVoZcaUNj>kWBZfR|+QJfPJ%4!1_wTNPF5IhtqzUd?>nQ{A3e-Rf`8%+nuTlSI5o;D=|>MHjW zsd#i?YFW{0TH_GOU$@KHa7tN+iqcFxH(+0%$u=5Bf6|t2&Mtsz^ky2QG#~}GNXZ{s zQ&E$bhFasC`J#)@gAK@oe7 z{I@?ZcpTJI6UB-P@J%qU_pi@W=oPGY6U5^6%%4R(1d_lQqBliM33zd+-!@svOlZu* z9-^jW%V???BH?%_#~PlYsx!G{^uwo^4PmSj?0-I>N&rO4F5!Nls9VUCQc4n%1jXJv z4E^&>*Mf}P`$yi-zLe#ZvDd}KH*vmzO2ygyO5$P!o9hHvNUVQ8pl-urfP_460{QYA z8Mpmq6$nu9#5bd|3AfaMg1>r*;Xmg#_<+&yH!&gS%z%6vTNtYY|DO-kFZ?zZIB7MX zBGCD9TKsvFhY)PWDDau}I$6OwOdEd)KW|Rrw75m-_r|sYn*JGdsU&ikAx$j_ra@3e z!1w$g2ma0)b5INr;V8fI@^X*|p9uq`u-3M=ngYz3>uC*xZ*zhAtv?mFP_ejI9Hgwt z3sD2D4W|=RxP#ySp#;V_nAe1ve_%FVM>VE^x?aN2(9o70Vl>0T>@AzHfeUJDjSuo2 zqHBf(Wa2Ce(6*NXD~f>i`ad2FE1U#qrz+Wl$>Nr~K(&=+N|P{G5x^PwDo9G^v>; zfRj5frI-j72qXMQ`alyf>ICHPMktA?m4RS10#$AmzvzL)471l!+N_?{d}6pNr;C$_V`zTBA013@{`f+W z%nwN^XED^km-f>a@QLHNV9xf>=Px(%=b+ZtSwKOm4jNvJ>SP8f+5tDe+A=_D2?Er5 z_``z83Rez@Bk^YDM@GX_5K2*QZtj?)`ww%)!qSn4vi|xm*RhECGK$%I?_ZHw52zm! z2V6_Z4JK~VW7@Uo8A3T!k8Yn6%JzIsdl7c2RKoDNYMto6z7!26dVH$KeaBE1yG#Up zmu!A6dmRrKvd-R8T*~&mo zIckjumc#r#OEmG^T2}+=e&(DhDLkDzQ~ve&+6<%UHQ=`X^+JC;#Xm<%PYU`qCSJ%NgWdYZ}!Mm_%1aIoy*Ak#_x5{Cu#i~Y{!NR+iL>w~UI9qPN` z)6W4Li{&o-oM}P|mu=1il%S)ezGPVU{=-#a{l0?HWJ6w1<#T(F-OF(6_JnV55G~6HCjJx_*R{riC?HHOM_^(fu0iLSqn%i@RMQc4Q>ucsmoyYb>0uOT(&rFtUNgW2m; z4b)N!5}Jr%cZvDzCVM7+>N|1+Z(#5r0RZbeAs&dX4?*%Vmyi{8~ke!bkbq7=^lNBJf`_IY^ET#{h=t_eeppz6siwx?%tIoylMw zbyN-8ANl6n?m0Hu(htlwo#?=C@K`4; z@!p~Xzh(e+%{u8}dv$MtC50a$e}36rRvzSt+?Pn!%d3o#1N!GIvca-E+*$+7=u+1= zu;rBv3HXHwiN-<8bsosjt`!O0(aKqJ0k_HKp{T*^-Yn-n&%TN7 zua~t`i1OvNH94?Bld-sHYH4X1Ih@)(fS;b0=2Q9OhKPHa!j`7O7V%m7G)Thjxn3i} zNj#6n=#6ne?oELF?i%)2ZcYk%5OX?9FUtv=;=9_Y9z$T}uXsV2g8I3SU5Nz>Hug|G zQ4|3hzbo%ctLjSqYSxmMx7`~zv4?>hx;T%0)jQaFgm}h3x}H{DS^vz+m7ZK*c&}|r zM`~Of%F4_fh=VO9CFMV|0Upk1W4!z|4ZzbZS`qoW;$?^!t_4RID*3OY`(4}quM-8m zpdS|oh|g=2d8|NjD>6f9{TRx=V*1lyr0{`1XN&WF#<0ktT*b+9S*m@~@TRP@yi2IB^-7zUAFajl@dyxurW` ze&+hy-wG0ZL}TZD10If6w2rdhKmr_%eLtLFGsJLj6Mb$Z|3WVevWv{W9LSpO<)% z{`atKPY#P$uch=+PK$eLq4Ji~0%SxV3#WsWWj;62u$zI~f*3#d?+G3zdH9X*bMIS8 z1ejIBphDx|l(No1;|h~G+_Ancr`bpf5eMPwuTb+(z`?4j0?#)g&t9(02pRbeUTsJO zkFoS8JElbSODNbyu>Jo$q#^8v%HY$^5e2B9ZsKF_Y<6YB`m6uF^fFdUMrLB0nhEK$4@ug_i zxk*Oti#b1=(<;YI2FGU&;+GIMq=^mg%@=_>v?Y)oMn-uUVQhfCGRVAd! zVJ1V5>I1%RgNgS|z`ia1FuL0Xf zIYjSD%)=?^KVF|1_-uha)qyPnpMG|s-kb|ftamOzRsQ{h^&9vg51=S3YwXnyif``6TEB7|3{! z z{ab$WKe;FMb-(*8R_wX2ad1-;>ivSR>lRB6nr)W&+{+>ZsdiIW$@oUC4?xHKU)kc{ zipPLyqo-x;;zPvCJwPwl`nuoHr;In;cjN?#XKHYtGc@EO8&I!0+o%MT-FATT*_ucA zUQv7HC{FxJ#T+K`UQu)44gnr3A=^}4Ytsj}2zzlV#kRQ#NYi~jcKb5>K|16eNB}Y0 zUTS6&VMF|tlAs)EsbB`$hab*|Scz_n^ElHpI1!;gt3ln}->SJ4J`5mg@=j+}ZJlg= zXw@m3@KQKTiaJ_#{kwH`gTZrJp-+Qyv1*0)St$4oAUtXBGO&e9uqQ=m-dHr7_b)%W zrLCbD)wOCa+bX{*_GFX=Rat-xl%avux5uiS?o&o<6}=-X-Lrp^ihA0Z+SXuZ!1`W6 zKJyE*{%%G-ujI$)mAUoX#Zm0XX$uswygT(Iv|ai%WmU=IenzaX)#*-2jGI}W3VfD; zwq=;Ay|l%S>D7Af+;qhM+TlYFnyQVaSF&pi_HaK1esQ<0r+Y#dQRE+zE zhTsRszF{i(F_Y#MKSbOFH*z}iQNx&+OISQ{)5k0Dt@tSkQ_KL=gcTzFa&418%z_Eh z-ZwfGm0T5wN?$z6W8+OPV((^ub1EduVf7_rmn2%JSVnq;N;cOr1G9nyHgPB_6m>QP zZadp}$6@`7Ql8>Eadee|#cR}4mMC56Y$9Y&a{^w*$B!j@aQ60=>R#eAw1X^j<=n<- zOk;!bT2k4?$y}Msk*f=}pUh3Bm001eR3pF^)pntXKIh}VJh&C$bZBkwuzCKrfxf<^ z4HPrW-p%H-ryjYRy@eJt-^46t(px?`qS!eu&g7z>r1j^am!s5RFDl`^6CHQ;eTnZ2 zRu2S&q@+X2N+5Znwon590wC`vhf(Xp-`k#yHd=HXi?>hy?4VURda`{Fl@dVbp{hzF zU}p3k+Lf=P6eEZbCw9?=>KA68w;kmw*=A;V5p&*pI-TOg(vxzFNGsv>fK|;Cx|YgH z+%3|YSvS`KxCA9q`ODVz(gb zF_D)>fTLfLSh6_%BImy0)O*pNkzNsJ=Q!(}U{9tXD`W5g>eu_5MF&@4Z7{ZPdI8ta z+61(7a8><4+r+N;(sfbF=U(k=c1$VI4b#Robh=~&>T$m~NrCrL_Qfkvc~RXOqym&m zy(bGtA8vBb{PHx>ZUr4CnwpNHO9vP9cG|ZZE*WcG_dh*WTRx)?3qCW=#r<&mq3R8{ zx_p{I2u|ec#5L6)6>JuZjg904Z0n6@^U>PF(}8ahnQuwaJPlN2Eh1n)>r=>wAuIEN zRg&{pRbURlZz6>7^nAl#g8f+*`k-@X>PV~~>>OXUy@PgIrRdSNJ$b_*Ex;Pr_r*8h zTJRZzq)$gg$jWFPPXqi{eS7X~8)?pb-YfHqX0uj-F@$ykuLyQrOT^*FfV+DPkO8|# z+5+>N9xc}SVSG@}SfZxwN$>fcRBA-X;g?uXy1ndg6A~R;-dKb1)m*DBPLczii2f6W zpC2RUE0;`mvQkH>339vBl_Hmlw^|at)*G9%65w_-Z-eC;A_9ydE?m;TQr&ZS!m~Vjbvgpeai|3-- z{N=tu9Z%Li@$Gjm5iD!Y@Lg;M(32d_@V-L*4&RZ|_8+#TdY{e-Y5LH_KfQ>0^6V3< zp5)od`2`jPp)0+B_gip~p<@59(Z6{&5G(%P_P;I4qaw85eM z0%vrr091)=mH%rZ-KJF>w6BPbheLNZfh31Tw|S zuBM04=N_XMZasRM0NMsQ}66nm|i1iWd{}Sdy7} z{mUtr`X!knib_I^374$pz;3@Qi3CkjQi2S=N@rGRg6O762q*dd&?g+-q;sj!XjrXY zYkyPsZ8P_N$UTiwxdVn@)UAUuWct(cnQzgri&#ZUhqz(mCY()ef3<_|_A; zlo&atMO|3w*4c;3AJ5Vx`94c!MxlsBv?ZtM2XRxkzFtryCz{3v4*1&F)haipR#tQy(P?>viv1tL7O_G!JzirP2w#b$co|GxxL4ZQH}J za1(!qR7`a&`qvQ^izmU4CfB8d1;(j8HbvEz{x?!)bRLLvc9j1Vu8GOdt9>}+okt7k zn#9j$eOeFIV3Uld%0@w=bV&+G}dZp*al=#@0q zpXsMpc}pZcps^IUK^7fU#WLw<;m(K}rf6s?IbJO>NC8v46(iO+*wxl(s$@!)Q^@1^ z;;Q6nwFL>;`FSt za;cDItE^7WyDurvPN&;$CP$gVTY+9T3DH;v*)Y{vx)LG_# zg78t@%QGLDyNqInlxFEa3n@%mD&#h=im3DS+$d=?JcAL^V3mp;t{vXTGm#S3mL?QO zKV59;Ov#UAu!c6lUp%^OToRhhpd7^|!)HC!r@NNul{;XAAHy~0drOaK;X*C?MZLG_ zq}Nrl76ptyBB`)#@5@Ha2wG~cR9EzQF&a#F zD7*0!CA)2NRxS%BwyMK6>yEHx$ew+(b~+{A^7m-fA8OA`?6cl((wpC@7o_$CVAiU? z^O$$f+oTg1CduC!Awy0{%1tCTJ@NV|N_M^pQoGU76CyR3jpr2TgYgVC^zt4_gcpdI zI~|JuGI+yk_Wct-5vt@bis=`9ta&ws}ayPAipnMx?++^4Ca z0}C|W(F~r7{$8qgXxxL=7K4pKV-hQb<`XzX`Ch&H7X6_$mR{%aR|Z-Row@rFZQXXs zyBPytqs)6MMPGIT4f!oL79ZJVcFvzXq^f0sNT0a(Bb)bT!o-cj=QNfgu7N)N&*-Um z_6_yK>9a16#1-}p9g-36&>%jkh~TD{Vm6eWxM3Ys#eVBiFSQ=#{q$CI1C7AL?oWye zcITb%r}Gn&Gv94%TvSoOZbS)1SGIO=X&O-9HFtnJS8)!dY_ zbM~|Y@(fxS{JGgjW4E_)g{QiyWH)WCa(UdOohVUZXJx78LEx*4lsw$j`co3ebBSX* zB#N{>OuO#z%Xmquz;HatW4nI2p14RK?dhXFSW@)6p3{Il38@fdw$%0;iB0Uk)QW*tR%|b}H7nxzOhS2_*qakb= zWT;ddZUO$I-|iFy1RMjoJ_3E6bN-=-pW z#s%h9yX)!6itC~H2;kb8wT&9xV(E1<*w9p;-Z>HRJhQ!=?!0Wg45`$rtB9z_!^qc& zO9%OVfv~pWHPY#qbq-OCsYEZ$zUp3P21B$k&Bj~LqrJMM%i5C^m&UBF+{O?)ZP!s1 z*^XPh7YH*7KkI@9lN6^+nT>Bd`4JutWvRT?^%qst2!-i|;w-b9i`j#DObxTAKJ|`6 z^EMK#OV{LZ4Yi9qFM94VdELNGbtG&G?3BA}s0A#S&mCjAB(RRGFImI3zUxs>#hpv% z8YQTC@2}3RjLh7(!ZUpR@)`=hU=+|<=<%)@%%>=S1LmSG-d!YseOnnr^3oy;X^<#X zVWZ{|=QB>`=S|@sY)s9DZ#F(kdL%(xwR}^fs%VavGGy|%FYX&OO}&GO@09&(!JwO` zs$WoaXNsO5ccw_if*LhO#Z2?|NkIxJ16`zg^`U(+h@=NtZOowM<(6y&gM(@M&`rOn zrR~vPbYG#Aqw!Nq(}4EB(?g2RxMFJ!MhKJt)x}I1OV@mac3g=6F-a5aKH+X;cNKy+$WLRP>vW9qvdwSb?KnP zx~#6d+C8H}DM$TVuM>N@AScev-$T*yO zyS5d*g!a~Y(W6AU!QQ1qQ2~ST+R*YPRl)R^Q2ikiT8?j@_V)KYj!6WqSRjcJsr2SI z&RAx@SWxfLl&+u1B+^q{3YR+6OP)apXHPN9i*1ecZt?l(vz=qYohoU8cYMU+ZA#gS zJ2satQwl*Q64waRoCL-nEY8xj>}ses5JSZGX?kNxJQlid!8(?!h4i>ytX49GH%XIN zCcRajNGwZniv&q}p`H1usX@6LUlDp8Z@Tw9xD`n_wdqmhT#$Ymx>aB{WHRe63$IPd z1{J^d#HUKXvTOw7#>t*%0bg(zy}-lWiYJPnGjar)#`f0IF`g3jMF?iT1^11aL+^fV zmbw&q)#cBmY$Y&X1GAHBn5XiSJ*2^B;bx259=+IU$MZ$5$m**d&}qv$(mm>_?EGf6 zdM~{nY)A~4Ff&IJl$VDL&tj()@d>2!dcf_*_k-CG4Z97>JB`vKY~ADj34OO?iNyT_ z`U8q>&>{QmAO!;LvTUx@<2w-X>;p_=@!Tg|LE`;-)T8&6IxK2#jF29(0pTRO+JzCv z`6X0Tq2wcz4QEe<<`dUCah9ITw1HBZ95ZzvkTrSszJ3#~tjw=XnN)MJIO5IQm?nxe z(jL8EB<^#SLyzN{?Cc;(Wl!F82eq7;iF{iDK=R?2`dNF043|14$k@oZ!S*S-(@g(H z?62#g3wwxj7#l)pWQE~2607$vgp_V@%B_CMJAks=pO)+y*sJ-_*OiGBR@$x@45FHN z1#Ou0y;DMv*gBTa^}7!3uT^4L=&8vGIq7MpY&tm1raO1e|7?Er_0F-oul!ZZ#_ca( zsuw4S^orE$B5oqTk(#d2Tl6K(39_LtQ$6gg3m!OH-9DHclONZ278FJ*D82ml(WZOc zur$VpN6+N#Ni+4~u1k&Rao~?RP}#qFg}*bW#7)kArnkU%|L1iRr#!BM(OcMt-k1 zb+ykMQ$crwQ(qO#A)dH0ysg{H)Q&15!M2(crv6Bi=qz}rawrsP}**K*Wc2L87d2fpw1^vt0`U!QkR=KFOd3<$6UFZ>>QziKQNgfcS`dq z7W&$FO0@ilM$KyRMv|uUGD6y|Q|fP9v=;fc&=JQDGw)2P=6?{gEVzHqySMF*^`hbB zO!Z-P)~$PfSr-eBFLaR3c53_I4WWKSW%q53uAq`->MFuY%W)HV%pZ|Y1lBF2xXw%{ z)icWekHk(PUN_?2NuAz%+$;7}6Lv!gF4{_|a}|`rFX_ljlyqYrCcT8RzO)1Oh^77g zfw;mSgM45MOTUYS8h~Htr5Mm_`5`oJeDuzp|&;qcC!Xd z&hxnGR#!h$;)`vt=O+*C#QCJ}f@tM5bMY!GOuk?8Rrk1@GO9~5wOBBN;KzS(LkO4aI5xyNu z_YoIDg=qM&vj-$aq{EDYu0Qf}A)@IbA%Y>Xa>ds8V*GTv#;#GKt{T~3{b|(Ow}$4^ zC=J5yx)Ezc-@DXa&}x)vRTBUJs$%_}B>hzx8;P7+M_{f37Zt$-Fuls5n<8|wN z!h7ENu9wmY;PKaZ2G=wwLBva=_1sBO6*KRnDB?uB=3V5kmTcV87ghpW0ql1mW<1}h z31~nGDC|@eaP2Zo5$&nvS0HF$Y4E@mc`F#7f8qje)9y^Jt(A1j`~z?pJ%_UzT&v zZAYY^pO}z@%o=^HM4i18YdEkQ-MviVtpi}8-jBhSFaMREx zraSSy)UNQPzI#6i-i$0sb;1Zee79l#q(Ts(5LfGS_km-PZ5FKe>27Uf_M@nx?nWF1 zS#bf6K$P(lMDm>>{~emJ(+8&3Ng3Cy$kA?}#ZaZia~{OGiAu>RFWzatkF;rL14_p& zxvw8fTuiFw%Dfkxq4|-rc9@Jef$4Nd+Vqux3>-00Hi~ajzOfQyB!da zkVd4Xb3nR5U<5@%K|tC8>5?u13F+=ex=XshJ$TOVjdQ;D`{P^dth441ANI`N`?>dZ z-}}0*yXtOg*b2WJljQ7KysF@PDz^puY1}iz=bH_tY#(uCz6{&6s?7Bad$nm9sju2R z#HFI?UYpuYz8cS)c^Y2^zsd~m;_J+{`ejX8W^UA2bMBlrQfs*5=4FP9g18UE)|r%N z_}y*IM9&(TO^w;tR%;+~GVZroZg7>#?<-c$ssH!8?t$q|VnAK^j5_dcYBvpfBSU9u z1=D*PbiH~cD00MW0Bk+qH^Q!Cm|0x{|B#AHnu3w%g|hEd0;B|$ z@9e_`S04qn&+piZ0=t^C+T&we+BEXfuFULq8^cCz7OY*|yg1-ATO1)It zqp_!L6wj{Cj}*J*3%++JpB)$X{A?jn5suHdP2fFGD2QT95WS`frl)9Tao?uy1gm>_5^-*eBd-gORb zCMNrYvUh&U_~!nnID9O@ITysH*$xV;Ru+rzVI8fRIp^`FPpy=~ce|<*W68*$be)}1 zrjBrklX_iWQaVfi+@`nBj{N^v3SEEYHX9DX)+Z0nj4w3IJ4jj+L*L;DXPpNgb*50e zc2-_}s-FkhF)GwTioB*JcAaW#7nsW}GE_dbl3CVg)0EeLvPDR>u@VLm_{ zOy|J`6{kmI#cFWQ$^6Ka$DN{^x~lkV;THpS=j|Pf<1FN=58vJ$drBp!@hQNad@FLZ z`ZY57wi#2d+Wz21kXu@-L>= zO}w8Tj3?f!-tdrMxR+nuc7B;V@hIPWSj$~yW@P#+6{w@gj&>>6&KOXrhyI!M`{Z%% zo*ObR@;~4NeQCJncYkCTG{`6KDB0xEb&j`&-wGo5;GVYZ9 zB2!Z_t_`LYf9i}HyApZ5?Ln$G^@d`t10fASBLsbj3BA$LTuoux>+e}cuI3vOJ<7we zti$Rz5S|Xe?%RP`=Z_7oma$L?ww6=s7prnY-A;J<-#Q>nns3G6!_SFZ$+reBjCQ+I z2_yL$7F-3~ z;g-daRzw4?R}w?TDx<23QW{)<+?jdZ^(Fujjikj06J+EmBK5PGpwcnw>><*=Ul4Cu zG1IfaH?>u%#NRR7s-oZDIp}ig+;*%jvw4&uMZeEc^t`<4uzuJmXl`tCOnCtf5E31nfhrixHY71?iEG1-?sAnS?{aeBa8v&+CB*0_I( z!xq;uVdJ$a@`esaSplC5@d{k#iJ>2_4D>L0HmHMHhmDAl)Eo=Vn`%ibF4D=4@--7F zXlncKw^K+}z8T1}JA4rYIPsifFJoxQbN72H_m)>P)H06a_+ZJ#{Pk?Q6%hAdq2T^B ztX{{tz=q@GQVZ;=LlZ7lSe%17Rso}cZ%1OHZtR*IT^DMq7o5K z>Y1-O>?su#;`H*{NvCwWsp^x%m$yaghAp7&f7-Gqvl7 z__c}9Gp&HEi36c`tz}rOTjnmlUIw0$47fgG&-|?UFs{tjJ+Y{TV|x@{_IMU=vzN{T zyA}q^p5)~TCvOYQpnj8&4TYJ>D)n+%h4C5E9n`hQ5&5A(u3E>p{WF@lY!8XxVQc)L z=uR3%hp|d)F;C~4c8|e#Zd0`EOmJ3!V$KPOdfc^Huhpq61`b#ff<^TYFER`$7AA_F zJJnz*e-5JL4V(!vY0(kSvqETo)v z-%u1>RLEgU=tj!lgA>fJF?yUoLYKhMzm+DHm>QA3hH98gNo3@t@gh-shFl)nY>21IriMmD{tl(wyR$`QXOIR4}Eb6uacHJ`Ks8$v3Fzqe_X7cCr* zDyD}zMzV|+cn8!JBkKA(eDb;mz`OaX5D`h2^dR!317S+ocYVD0^N!xTu zj~#4lCtx+_dWOd*=kHEqj?EWz0^ zI%WQ$#j2HkvgAY*J%EAPh?(P!A5b9%FGU+7_Iu3XB8BnOUd!G>Cj(6O2Gbr#-qreQ zZLv6|i5Wv5a0J7w1^4L6A)jc;LmSM^Hw{*E-vpaWGC?x~Z9?wl@9uMN2^mjM-}pI> z|3=|Cn%;g@U4>};swRW3B-=$M3-1MDF`V_Hf`u+zPO}7uH%WNk{{x1M5~9E;P3hq5 zOOPm)Q97QQD*jyDqz5>7g1e{^ZbBK;N-PBB-V4doJ=4Hy$Sh*T_XdnP8;7vl)c)Vp zpshPQ`*YS<`BUDVzj$-^86)8V8Qm(m53>v7wY>6P_iKABhL*4Hv)8$h+&Dj+*glUb zNzBVirH8f>YbjAbi!2xE91*whRV(`y!El0&>sX*E=il@d7cpc#vim+UG#(!I!1(J> z@;eG3iWaK7X6}qq&+~gsTypl=FMtZmLNR+UD8mn0mLFyYj0L22=D$Y^PolY7fph5JU*Z&A2V_<4#QSm{{L+cHfW<{`NB6 z>}i+oZ};unyMgJg)WoevrTk1Kx%qp)gT${CJ_ZEpl=ZCA9&cdlqwS_o5E8?~w)jVL zrRcB5J7g~|zxDsXdY)TsvGhf|7}2~}k_rk0_N?Z$pI!v?KL3Odm!lkUK$qY(k%akw zke}+r&h~(ODxe)bEM52APp@k6KUR3+S%N5Y4ARFZgOn^Y?Hl?4<_tSHT!_GXjRD?k z9R~D(z!;Um3T*(r4gtW;@&Q!Dfc_L!AhduNE6h4K@}k4i0E3>+ROt&mr0_dWR{vE} zR=k$c>SIG7jJrhMrJG@XQx&mK%q{+f{Sc=k14`GyXsZ5^`7lUt-z2OHc|A`#j&_)_ zM_vP@YkoE%+!gggUaFkQC+Z-l(0`B*!;sPhm-os**>;MfQ-nzOk}@+>UMcY*Gusc4(b6z z1gIi1Z8id|9Xe1Ak5}Ztgd8C!#1={t)SnUoR2pNQ@)?518V}Jg&cdBBp%!+N&i_Kk z|E0Y=+>K(`x}zFQp@s@wSwoUFhgi_HLbZ|P5}^q~{rlip@)N}O$A|w@3}DNM9#Hh2 z$w*xg1tE;&rKo2>On^efx*TNb5Jc73$*nJUp`+X|5!8%D3H1L>qD1m2D*%#cef0Gk zK^i`MYYtEi)Dn@b-4EWwNbV)~@R5ce1p8_Hi&IE= z7Z|_18L9W@U^M1>^Z4H%PK0cH6nobYNCd^Ny1qGe2IdlLdEn;sCDsdRfjvU~JD3eg zq$h-NQF#Ago?a8A1N}J!95GQ4P5miAfxEUJ#iK!kZcOax$ zL!6!h%Ip6ky0+nYoX^p5f!XF@KU+bH)2ZE4)!K76k z9W1~<)h+yl@SB$NfC`B&vHs2x6$!N0@Zq^n=#j$0+ii5|J3^1~(QugN7`)LXI#6lE z?jX6g|NXxkuMQn^^zqTslt9vJj{E~*#Bf0H1{+AtjaH8i?m`6j8yh%oqxuI08%a+G zGD+kHsbj!~TGYh6WtOy5(aiq3$^j)&n?@gFASipA)&Xzq_UBL#X!}Dp{_li#Bwc#T z)pnEf<)b=cZ0O1oasyK`ppR{oe;S8@rFfcY>yr0sR0Tf}n|+bN{U4NbBwP8%MRy+5 zQV^+z1X=S_K&_$&nmk#TwEh(E-Ro~{Ea3h_e0IHkmMf#CZX@-f;M)8Sh6FEL(+W?T zREORx%mPXj!(VSPgGuyI|Lsw(S?aVjeYFz8NuA>>s$&r#jLN~3h}j3@TlxZO#Wy(8 zCCN0>8to^pKZlJ1uXt7t6hH8PFh_-^fZAW?xGcIK$S?k>`>KE#W@&4m=Lg2gN?yoO z3J1JcvpQ89!8N|H#~Bh!MCO7OEH>{H1~u@oD{& z#UsOi93bE)^4DmTb$L9OyjfV)lJ^nJH-cf?u4<%nGLN%b@5H-mbE)>Lij(h+4<5ES z;OlH8y?Xi{VsaEbCTi^R7wei<2Zg4(g+kPxO=`I-9G;k<-bWi5~|96 z$O{N7tTM!Or2Y(R*dbnkO(?C2jaPLE`K-c&m*b zR=4*xdjtVtfQG{mk%F)aU~j7+WVSomN9|1uDTph;XQ>X70~Wxr(eN$tC?Mvs*lGG^L>=eD*iRWvhYIpl+c* z`T!@n5r|9vqbdEP6hT2efPGc4y$`Rw4D7+l9qx}Vu8K$z)Zs z+WO?>M9Y{5{24J%!bVzNIQz-tk;@8ta2!Hc9{KlfB6UB^wCIWUG}5K`I%5kyG!e4+ z(u?d%4Cbx`@OdJh-+W6myO{r+DG2&~Yg*$ZMSNS4sR!tyB|NhZp^P|cIoRcO$Eifn zQ6M(~zXZU8G~_QaMKLt`i$iHE_(l^AN+RZtAGw>(Q>hsw2Qpo@zX}Y#&+GMjxc!Sj(_gueFQrd?z7Z0|;W)xbl)^>p2z{AAIOf=B(H7;Z}-A9?dzKJ|9V3_e_2KGz=+_v zA^=VSkhd6$9)$vJeH&la4@CGbCqK`fS*S09MQXg&xfxgcQtz0WnW?^d^=-jdGK}xP zjIUZH14~JVe%F2zUz-B5&6WrMk!}8Wf#TS=6oEbeemV!{RsvCkH+q(C+XH?&HT~0v9pjTIz zg<81NAV#lHowrVV|KK1kl$>AH(UF^%msfVJchMpMShdtoybPfd9Zx^XO+R{bp|TC6 zn*v1tOAPx*(b*ap0dqUGXe-E|$q8FA(bmqJofn(>WG&gVvFu!6eJm?^+qz_7D(yh< zijkG|OyTG};)r))HtYQI*Kz&jQ^E48>OXjE47eB^JZ}}l_=ftZk@Jma1SI6Mc8^k7 z_k-)oPS&5wPElfK1gcj&uaa+vd}y}R|34Wj!i0RaSlfKlS$gUo8M4w4QWw4SeY8q6 zp7lkUq$F`o8lqX;DV)_qM{=q$%3Ddm2k=i5bf&a`ejb!TZTx$qh>S`Y+9Xzx>O1wj zD28scay}8x{6P4-_>AS!^R*qf!;+9jp69RCRoR1sgYWljEi53uzP{_`TJ61PwBq8) z<$a^0PeJ>rGT2f^@c*a$Sgs7g2M(9j{InDuXKR8o@6B;zGUghYM)OOii=+gMKt%hRl^C_Gtp06C$k*4eZ@9NN zX0IT%yOsZkcGs}yLWNv3rF4+RK;BPwZIt@&y-4?JQ7$e50AJQ73-(bzIMV7vWng$G zk_82E3R0cFRCfPSmEJHHD6dL|m>?^9Dza)se=(5x-tga|`ScK-_A`YEgT}w&`)hQ| z7$U6RUm^zp9zXESfU_FC1EN{@?gspy#uCVpuTMDPU${{`tVDkwrgJ&*1dQ+eIn1iI zO#Y9j((Gg8;^MyDrMDDfNb-i1#@t|$%^s5`7~qdgOD>*J`Cj-2hma2j0GxGi8`Q7W za-A{DEAo}Z6eQuro5;2OgNAo5taOA01d88t7;7_==F-d18<>dIY<%8B5(JV{7&`AO z{wiiz|0QBJhOHzETMnxJ}Fop_0@8<#9D-~_^yEd52@$T=C{w=g}e7_^<{@+LY z)U3y1Y4r_1^}OjNW`POxbFV|uzfUo+(EJYXudt?jmI~;B&}Z3WXk!dFpQjS}0n0?= z_Ocblh<^x|ktzHQV9W6qzLxh2=dS(oweFOWS|MBdOKrhJK+Uewaz$Fp{9{RzfpGQu zXDO(U5tX}WgM8Bo)GFoPM44V2!pwSM+HwEmXI<-EA?SI#cNcf=sy?Rca`FG5W%d@S zBT4~j50u1UtVs!JK=UsyGS}WLWY^v-_a>caq`_!`#+U^UQ^pAOXZHdiB@|a5p2~H$ zBR}WDX*`uujpOh%gPu$SCp>Yvoihl zVCRPvA=3T$b-dP%)@U4RYU<#(Z@*k#oT-_a(VLo^tARsNzSd6g6h~uUwDwlvtr}D` z3={MwET{UyP-|xXoX~Nqadg?jewm^D&dLAG0N;th{NyWkTm@yg{NL z^CFZ_WU@9n>s4sx{d|Jb2HuqC71D#4BX6~dYoy_jPcmS8jb1C&mGPS^b|pf}8rBAZ zAycDyZdn5Ql+^!9+#l7g9R5!4jCg}|3pp=^X&rSQ@q9OLa^a8>>NfhG5uX{qllkzbqfn7)d%DbN1_idO1_Sz(sbG5SYkaI6?;B52#?NqS(!}S&( z25jgTiR*!NHt51PaJV_){(QS~naYw&s%s3?q1MCETlUNwc18LEdzdA@C|ax=Na#>w z@L0Y|V0raSLsL_R+UFSI2AetD242>w)!Vi4z|#BvL^<%+7_W3>xGEpNy4Y#N<8fS4 zs5YfdbttazHdm-ydX^#1)}UHia;%5L8yR>SC6JDzM9sQrkb}5?hj(y4BcHO_17VQs ztsp5nzd0bSla*e1sNGK?@|0|rB99-n@@vD|qDBYUUo zUlTd%Q@r~oJ?&aX;^(@;T2iGeq&L1%dMZg6WD?{P@Pc(8#vK8^QQ#(emd&N=78v8+xIFJX=T`Acj9~4eOn}(`QnNj<*vsq znwp>oHN;S8++)Zfp#(7@dBrVEc=YS7s&)gtj(lg$5&h@Sq5I|erp}tEAN9z~^a>xj zZ0J4rx;jdTt&DvbX|kl)_NhmtXZhxq=+UdC?vrW9nX{T@(GmR#lZyZ}oQU9H%!_oy z*`aZmptKz|$II7Bn_X>fAMwP`du`&&>7VAn%T(1bUemtfxD`<}L-}EMd6F5+R>TDD z-r9DecP`YZuNY4y`avvtR)yqGCZyvIE{tt*vlTUW0IPrX}wl>F_md8=q>VLT)DXC>U>#z zq>2ye9sYaLv8N5#3o?tJ?*vrp9Zb9|vHlr+f9dl<7ynGFJbTTxFQwz(Wp8|>HS(OpD7=`(8`mxIK8VsP z_g?MqC4)DMrgT-vl#qJlI49VLTO7?&5u}VjnqqcZVnBOi)_`xX?-^kXef->D9lG(G zxkZW{4ZGp6&64Yi|0rHs|DZNij1+FHIQ_N5Zfq}gKc1^D%zacfOs8Zx*RyG|*q`dt zKBsNAt{qeMW0S@9r~_4_MDX(K-`U8&V=~_|B6hX*;y|m&eb#lu#S8ekgyhy4B$u!RjhUb0%iyh_y8e+5! z|649W-N3IMgoQ@<{cacu86rH1X)ySa!KBKBfR7EmSzl$lQgIgrY5nTPzIk=Q?w+W`8}(mn7{OD zXG5LeEc&g{a_QXsVnT??&G~cSc)lL)z(xRGlTRn7?Fe0}9odNYL{5RwA+^|}!-sey zKZ#hI4hwt*+bINoJ>6Wb73bBk@jZEOe1#{@Bk0+~gHG+Ku#tVmA1FsR{sFq;5^ll2 zMX(8W&QygAPpFbi!93YU(gT{Z+&`hGjg(t^~nv&m0=@e#5{f(Q~+&K;L zU8pr4E{pB^@oh6xpDCOwW`E$tJ0D>P*a;EV?_F$pG%@-z_V@eCuN|G$PUgJLF@#Mas1MEIJTvhpuy9X)6*3*`4OiVE~CCW&I@ zk<4np^S2f*W)6GQ`!yjxOZI`$eaY&|scMMH-B>3|HJUxUb9VZtAt)PugVJB1q&|%pF?xlBx~gZ$=P$?q@R$GKsU**FBf8Z?Lng$k7{k|K@k33#y^|5cJ8aCPg94A#m{cE z5MfJ9c$7P(Os(|P_e;yk1uKf?i7|6Om0Wr$KmyN(<;U(2>$@5DmiFQA!i1iV*%|b= zWGT=y-&Xs2;HU3s6;ebOKKhWerErSaksaT;;&aKAedk;qpV)BJ)FNZ~26fq&K|_7t z5YPLtPZy=Tm&VoWY%-O;ZY$z@OG962V7a(aF6yLkmghVL&`jlZy6nN!Y~6cDZ}Ah+ zXlmi&wJ5PXoanb7>;HU?VoJ~wGPGyZ0I?aW%1iJ$bL4WUCrd2)Q93n33SXxZ%*99{Igsm5GVfCL1*1OVv-F{W^Q3A_ewscL6Vea)`BpsrV4^Dg&D)0=`AoL@nVEB1{+cq6A4pmA1lsdq~lWmQex`67D&l^u^MbM}iTv2Q+(-Wk;P z&JZ_`{Ag`uJ#@V|0zT~3xfiYWorO7MY%!CKZ06LtS_T}P{6Cy4GSDtoXoD=vvu7`j zxBDb*?%)k~R^-GVKJVgCKMV0>jZz#mrraDK>V)vDS-S-EPElxV+Ae@ow+DIZj>PagILOezBpX3b~+iVp81HY$F;U;H$@}MCwh{{ z7CGekqSycK0nx7!1K-U7qj?i8g`f2 zz=btxdg(nzKRwfs?mgDD3H)$V)hayR+r-4N7tJ0Ap2q%9e1A|e!cfRcZj!)ne6+&{ z*48uMZIhk)WuY}04t?cz2BP^{LA{`P^wH@Vs?j9+!l-e7f1_mS%daHf9a?5|-lE!b zI7iM?=bRwhB^M)umsu@u)bDXN2Z-8EdhK{}P%gxHkkTh_@5^cmCNm9|+f zhBo_CK9<{D;K&V|$!o@Et7d}dvy#X%3X`l<{ErJQ4c z$tUKoMhHQu@)tcs`3X_y&b*vU5Iz2?l1}L@8aEgEm;_FchGT%;K#_WWGxZ!x`UH1> z{$)71=ix@5_x9!4Hr|-Mx>`9VgqPd4vue)V1H!yoS)+P$wLO?^+L$wT@^`E$SC zIVca?zsb9lltJpP2YkgEHN!3j3JPO=x7CP7g%mV%&V8;;z(bc zQl0i#X2h-&j=OB&dzzVb)!tJgoQN?9-fSf(^yK7w(+N>G4fT9-k?8f^e14p)5*dql z`>jsyzJ{*cu_9wmDGH3c)OBRzLa@= zoWAaFgj;oo?jF4Y$L$s4aM9sy56}G-#Jb1sPhxABX8O;o%OmlV{Q;$T?0&tQr=T$j z{kwPXct_E~1VAG4?!WcS^PE6c9I6?-YjlveYfLDII+kR+`>T+Dh zXVS)JbvR;t6>X!*3gY2qZnXGlhEFUT?*#OgjSG722&H;`*IRFMdAGY=jcv;_YGlLC zU&heea+}k(!mLprG;BAVvE?nx>fs&Hz4zNKRnjWyQSE`Tp6$k(xSUn^EC9R&0US4+ zo#IuEx9A4lnZC@3RNI{NeZ+N|9GLf@skwJ3iB4IwpnE7}sExF;^|&WU^Ppes4hOtr}-hSu-$TLUds#S3dP)_Lpq zbH6NvaCdXuKHT4Ts$179vx&`L2iPaO^rGpW(*9akt9vs}vK&Y~?B|C;!ZW`5#ESzO z7F(fy2aowU)7jB#zhF@~-0GCDAXoNeO?Sbo_1x;u+Ti&9!DxDDvuaABX&qwwy^_$% z3Rq0s<%$0m^ICs z=-_FLf2z{*B@Ne6Db{UkVo2M6NCp-+Yin87-C2&)(>81?Wrtxc^H``XfFLcrXrM<*kVgoGV83w<|KzKH{G6?EzKJr} zz{E&_!OV(>$c)!sD6RHyblbGS+8YKkw(R3 zs3Yu)iT-_hbpEKDBY_?ye9miw^h`Xrh_3@zp&`E5*+y|_94U}`Ri-vaZ%&kV*!k>{ zyMvyFixYNrd8UN=Ub{b`)W|q?48z~zb?a>N2`HaZXi&oTu^t>ts-*%JBoLg2xBY?a zXtTB%H;?_fYqny$Ny}op#XB~~DF#h(NzOb6M)IsAS80^d5OurK#$VIZ+v@}FI15KX zsWD-LbXTKVNSw%Jw&*;rtWKZW!6>pgO&if?&Vz>dDTJ21!Ewarv&nO$J!(2v085`8 zDNk`Zxz8@#Rg?W=*wEp38?NKVdK`Fh4hpOl8iiIL!`SOKI2NVn3n|XKaTXwH5 z%SS^vpY%|vU-31QO}H<=n|j}=N5hK_BAp)JEmb^Yd~JT@>$8b`eNYFn1)iAV90cA* zL-?W@PJCr6S}Dg{HSRv$TaU2sgw)h%td}`7FvXkh4T!IJ=WP4x2$>S0urkJqc~_Bs z!ln@TreBFHC;SEt2tQ{Ypbahyl#?eRB!kxYG4I>eo}^FLx$PP`#v6gQDIRB)%Sky` zqBf)7w6B1mK5_plO^kV^r>iTk1=RDp%77L4z+_TXlt#gndt-3dOPYMs?;)aRXyzY& zN9pfc;Ik!-Zi+d${N3!l)`$WuB(gjd%v`A_>LQG z?{$3C3E=3?qBXsovih_=__z`!b+V@0p}H?nd_J%pH$`lX4%c^WpJCb}gbz0UnS0vz z>TD)Rf&5utm85waCEv650{3UsIYu8Wo(hgrpc#f6EpOD(^1XXs-jPdA(URkQYiQih zxuf8sx6rpW%P+6-YsIKxSXSxHP){P*ir_#%_Q9QXy&19dUc>gpHN;g26>HhibPnf| zkc*QIJ7e!syye=Iw`(NncZoQoL1bi{+9IGi#g_2GSYPnmr@Il*E2AzWp*-B06{8Ja z&tRy4=ftzB^9Giyo{Eo~FYM~LuvxASD^ok~X}`Qrlj?JUee&f13P%t%}5mLhZA7KGglBcAdGoxrNyv>S5pJz5 zr*b~8+%k@z-pcyUbyFkOIQ>o;ZscsEbx5@gwe$JOZi`2C%lgDeTHJtF9*Hw`&enzA zmzBl_lH5)s1qHDOBTXAG1|VL&<9mY1*b?(pD&=jP03>$+kc=M44)Ca0I?*$Rx&pev z!R5dnRy0I8nqdcgSoP$XxXR0H8-WwO&hMTSYs*3tK3HFL#x9Y|>I)tq4S#{dx zCUDmuBhJ?})4XFg&^nlD8%wGDF_k%>6FUQZid=CQTj2nBP_K} zXQ)|*Zk0FpjekOo=TkyXPQTOzOnL&G`0km zb5m(iM^$5(@$*`%$gnY-7!BvD;rliNOy5AI)rzjHF^G~rmaDs%d`tXrtk`;(KG#v) zl>77>-&mQA*GHgn;NT)#^#)hCslT_<;@r4(L0&no(YNN%mtmry+!XG}!k=(SmA4ysKY$~p zWHt|)*?u{t6WIKj&V&a`sQ*}?Kt_vGM z`QQ5uBWh0474MI~tR%O~)sp$zDicj36uN^r7K+_N9Y2WpFw-%@45wQ<>U;~-ns)Yy-- zwhI+nN^WU6w3t_gWS|ZN!2B~m$%BTl9~TxD%<=*bQIyDME$~xt#^($88cry(4(!2w zBg>mNZ$Pr>ByoON!ay=^(GKZsYwNRqoYez*TYo*XoZ>=MWT=La@ygX+U3yMMyYDTb zdQYM+jo#w{Nbke=tZu;0hi6yhFrFDv4USU7AxH=b?AQoDX_?X5bC7YSRnlj|x!XY) z7DDbbtybCdK%G6TsilSgJv4LtY9Uf7&KkGOdZgPy4*`~9_C0%V3w!ml&O5sa&XhAF zM>6=9H9A$@v}3Fys!P^+r%L+z*I$E%b7i;)ZH8XsSpQpvr1O4k+*v+Xg%^kAVM2!+ z1fCtyN$VU&r~?(%d)-Udh$r4YJhOx3=&cLh(OX>|+6iQYE<;9W{V%@oKVu%pE20?;4?0XV$~kjs!M~HWo&Qr`06e!1g0V);or^?(%>Q}D(D}$vIylQ+T zXb2C#MbLPt%BM8U9Zan#>tLFxWV-x~GiS59LLpPjnixw}2b29^>xDr*aclL9k1lxk z%tMUxBCU#-J~WD~*L^uWJa(ziX;cut{5)UL&Ti9hSF5L8sYfkjGQOBQLV4EX)kn`d z=dT%LnJIesx$)8299Cfuzt0RG??p>eY?k@?>c!eP^)liLo07SVR))gVwgTZ()!oz- z7aPnhwYoEl;75Y&>R`bf!S%B|DF0xSsZVTl zwzv0B+%}AR!3mw4aQd)X=QHW$sZxUwFx=eaS}yW!|D7nl3Zsj;k_XpTyf0+JG32dS zi>67WbZY9f)a?|jsf<|A@TfNS1Tmg~=2R8q=$ZleurHyHEodovK6>2P%r@>zk@B&8 zKa+HLoQ)F$%`_8aikt^Gh=bgyQ{n)=jhQ4DyM?zu zfx?fr*u}nDF)Jtvfo@&|Z-o??t*~q9D?5fWp%$Jqzh2i5pq(XNxon<|3LFxHLASDQ zrQt+L^u;KtkzokKI`d^O#vYFFbDY_gsI-Wgla_Y>@C$xMpWU7wY7dw&s=^p>q z2if?osD2iMUTdOk@9ZQ5R+-d-Xy*?)Uhb_pquGG6FGGf8T$CF{`@3;1k6xZAi8dp;pQC(gA>w0E(cJ>i| zEZ7eyI^=8ty%(t=VaT*>tL8|5ik+LAJ3x#rQ|o>Ed5*PW)p)9^NOW}cX+q%0j6JQg zGfESrlQ+z_-0yd?0L}-j(0R;W>5?fm)Nu&5Fbt&uqVB}GRyoSJ=apzYs4%4yIv)ll z_ZtqBi_AA`|GwKyE@Ya2CUbFyOb%l2-ufsZN(i#?3+*(@#G{~ck!GTd$s_UDic7ZD zgb4#7y40q8WU_mT*;%|MTu&UqTXXgI7PW9Qxv=O=ArD z8}^&d+?Gc8LMm#?+;UG@tx%n(y@Y29YtRq|co3bkertk561_*@7D^VR;WT|?Y88767~}y+eRmp#?uNzf8@*^Z#&0|(M}CA%7Ua#E;hRNj^T7XlB`iK@ z7T$+yJ#6VG)W??azk8$PCMczXXTIQok2mnE(F~_`=~>?l$GonJ<$*nFa!dLeIWuxs zD@}u_M;MI;khK5)>(>L_c>65i+pB3l*%o8;!s`WX{tnF)x|i~sk{7j9nLQ;K`c(NA z7~%%(&k)Fu9OyN?=*5GN^0ml!$t2d0x6oTBA(jCgu54LSc_~7X2ae%?J@#ji>o0l3 zs0Y%iv5w2^h#$bw^yi996f|EDQ=DbpbH!x;w=V}M5kDZXLuu>=vt7DYWJu6`%XwX< zd#(MW|7zw&zz4vX`|GPArwn%EMpGE{#S0ZWQb#`7wOkyKyQY}c8SbNsMW%1*b$0ZdB{Lf~({)T^TrYf9<1i>g= zvGrR|+LVL`+YiXg z3$BZ39sF!P)+8C~ML#ZZZKOX7z6ZvR=+o7|yw6MA<*+z`iSGMfsqttHUdtwM0PVSq zh;~pSx%vlMsML~(fX#p@G3B_2`v4@o19bXaL1gMf{8{F-J1na=MiyiB4{Dq{9_N+|%Y*1VIz=kCBShPo6)a?6%lmUJgK7Va zi`1WttLFo&iiRNoATGWE%*;^Y*J*_xh$EO9N{#;)B*Ys2QgfB8t}uZqcbL*9chaBB zFR#tY^bAT)pnLQdXXCZ&RV0__e2O7G zd!Y{)bBRU}YpTD=eVmq^#_R+#<(F6G3x;hMcPCOKKinJ+Y81ce1ioVST|MDSS*Ak1 zP1(llPS^D^Vh#OgidUX}CirvhfOT+*=mDJFXMC*Rv_lI#{U+w=^YNP|YjrqI9%uCE zt@svf^|wLWcdOv3VB%G^FS^!%{pac0%6e~i|(UTRr3ZJKt*lvglP z4$PjO*+Z>L@pdp{xQ(^ME_((a{+$HQj*t_GS3eRMD?13XyfVBP1vzK~Y3VA`{D1=X z&mp3Nvs>p6fHfIHeetlSMEOj)Wb|40DqpWX?>?9?Bsw-Cs(a3~LO(A*!>~v)&5|=! zW+0E4Wnwy7wCzV)q&s&oN3EIr4ewP+ZOH!f3+}*w%1ZJ5a+XSQ=f_G9e<+Nd$>z;z zIj$MR!NX)R%+x^Yua4K$LaJXSGUBn{0|$HQwT<6Tm*0=sOE6BH0fuDOWX2>wr#K+Q z7LRR>%M9_x$z^I5LJ*^T@2`aMpW zNd51%(NtQDn)X+?B-)=c-jbMY*rD^;Hxqr9_*t?{I=|@$Zy^U(eYewhmua3=?OAS| z&VsB@-obZb?E3FS*}Q+vCl7x)tlggKQM}NtN$WA7A!YZAjm~XcD?+70#4$UGW~}8p zzx5nb#1q4Khsy>J;*Ck9T1a`}FHPQNg}vm$!6qTQ+C(Qs`7*S*WG~s_ zWT*cDYuGJxK%sbb}$~}h*Q~1nNYIGx7 zlOHFy^5Hk(GI!@{2Y$CCvWom^v#MMnT_>wY%QVpA!0wX_CyS2YH-0c;g{>N!fETd({8FQ$yHAt=7~4rO5eVH+ho2a zw9I8)J+HX9iaQNEgFxKFqqbO-!*kaHiEw)A!!}Ng0M7=3W;oB`rX}I{kLnz#1stR> zY4{Z|FAKuWw}sXPU_Ui(;KU{|CX#5toEbq+aMY~2WGjhi%~E?!y2fssAqot&h*6OW zFA`~=<(J3$6^z~!aGsUmzrQLZ6g;B^eE&P;II-413f9D?b!;^CQqVwu70eP7A_ z$4rOfeD1ZEi~@vs7RylC48fX-Ct`YsfK7*nLYXtsX9`|Z^Hi(SDw@*&(W6HnMFR;C zy=8dMDBfjcJkXN_>FDc`X-GbT%f-Q7bzM`_3dGdUnoJx%G-XWC$W)HFep~ z?#5}6D7f&R24pO+qzEArGr{}*=3rL%d;o9$;*0(>99M0@4;S@%us*&P42yGZ5?OVg z^u}w-y@;|Lu+sTyRtp6z02AD_;|2gZx`Z1Sk>p=um}hjlIv^Y$XzhxX&t#^v92ES^ z$F;xSF7G9O)8XAr{S_1R{^e8v*}~__A!|6h$Dpnh`CDK?!EE2OKs8OQznj^M2_%1> z*>e0#=z2)z&(s<%GgoR#>aVnnIYN!_L>4(CwFk+y=+z9TXaDU(?qvRXnH_YNVoX?u zs>hgxKnhoMVdxT?QOdR&Ia)R((q_jYK6$w#cad&fBw}Z)P9Sn6+uh2VbwDGSW5>R^R2X@xwJeS9a-y?uv1(8Bts0=# zW#SVzm+pxgp!e!`Y)^16Gm+C|j-S6LfZueqhk5SckMIQOsC~u&_5DYio?&Kb|Cq=` zl~}V+rN9(`_`ki%uxkFb62B=v;;DDo0CmzjHx9}3oW9_!fjD|QtXW5?l$Qx~3W9nO z3W6#Tj3v%oIQZGcF;SM*_hk*%U$l5isWHrUN#{t_fCI9ntz?v39cfw)@vmLH*n$#u zDAGkN^x^ELhq9}=tjB*#m;Oj|d#J(PcuK;M7U~dsgA5NazmGTMNd31M=~%ktpJ%;S ztD}GY?Rx`Oy1w(hf0=7tWd6z6vQhg!puXFZbTQbRI9xJ3#`{{&YP&wL1T1mkL`rrI zlbnFlP=~(aL)2^i{`o`8izF&F$u2MDkY|(5uT8Uk%dN0N)OPt;_(3O!Rn z;HXZ;4~83$Eoq-TQDcx#dI;`jeLo*AtnazM!Nj6dB?kH2{20gJHl8D%Cn+8~sm*)y z?*UHDHGSUmSjGw)7PP=W)ITur5io9?CT&DyLf$oWjEuzgVy}6$$?lNKvXh#9e}kZS z=RiwC13NxIu{kYueE_0lJ18A|1a(~+YhzvAq=luWj?q$M)3w38{zfl1WGhSY(IuiA z@K44w4q@g^V3ICjCaDcxQ&Jf-=C~HDB=tu?z!oA+-&fNXI7rQHXLcg{IC8-5EJqb3 zz^rp1!y;en5DoPxU7WtoeGALeWwYsohnaLd@8t7faBVhHj) zgeo(g^bMG5=REWzzqE}_27P<}c^VYsFy+_9#)D~Mh16c*bKM@k<@-QEWXGYY*)0p+ z#7!I(s(kB{K(go*vRy*DNGK4N*D8tS$Fr8uTN|3~AWHTg;a%stNnVEW#}JAI@KAdH z{Nj1G1*(0+$L=fXfeR5btREU0ew^=fI_L$3In!Tr z-wzPsyRoOlx#6ODT8_Xnyk)l^9vr4sL!zrbtKyx4N@fsFq-*sUa7wbbqeW$@uO z)%IH++W34R{0OT7P+G+YjkAs3vi%aO_HE&C`O$HC))7rG}S zgda~cge{$vh6<|GpgWOx$xhnk*XXyX9ubyc;gi$dy?ggqPV`{2^}bWcw9t#smr~a{ z|1>5={y!L#sJu2xw?Wl)$TMvZDD!Q?=SK=hfbo1Jj6Teq_T8);$-u981;hC=f2V#F zuqX!&oH#c(pO~fb?~B9D@%AC7)nQuhc}nK@m-)y+)i#bA!>~j5pP2xk(|teSbJ`0I z+Dh#eI+5*-xnb6D%V}KGsKoTAhb_?(S!?4D!L76}sd(trFQGiGGK^Ly6B0hAyF1T9 zAyxsKsem%lK0^UkD|$J_OJ3h+PwfaWBwd?UJcjJx(&TS9RAMo_SOb_@WnKq%M?c_% zR+R;1Wt?=su&`(@9eUc@&RFAN8Fpb#QyW+Kr}b0Y2#&zP40S~cCVsJ7p72kdZJa(1 zgq5(TFUF0uUXIzdukh9EC(gZX=WMWfQ|Px#Z2OamwzQN#>Q*kP;ChtyNrY2AXn^!l3_bdh__zw3r z?Paf2A)hW@ceVEj$Hfj$rqUkabMF$W-3ZifOm}Z@EP#HexN)%w?g#M{T8TCumd;rIAe(>VQ}+wi2xM5j%_m&f zZbBXoe6j1HtLRO~j6$JyWk*BoOqpqLg~baW1Q?5lxmboOm~Ip{%VnmWBDd|ib^QqW zR(pE)N;BmflYFlOO_u`&j*)UL{HBK5AyS`vO2L{E;ZH@(iOfRu9wtk~tOt8O1u)jt zFfNr)v;AVfos=Q-ns|{4TjkgQm3_I#T%GjUi~b9+5>FjPAJyYWcjE-lPj`-I)`yFB z>b7V^16GU&Apu(x1O5HZpG(&EtBMypV-xd&Kt0!+6Beddr-zL<&|^ROA{kU?^z2&1 z&vUCwUi{TSPc30EAdZ9X~| z42F>w%?AVn*mIqK2ySLSqJeq4LHyL7_#zre*Y4^1lPv)B-L;i1wKi6OcvnM4c4U{I zOq0N01`JS7P8_7{ z)gJ-IGpleIyz^_#X4OPPzWLXnTb?|b+Sss<+)9?}4Lq=rAEtdpRI6#}Ga+iB2#;J6 zS-0Zg??Uv|({;G?vBHdJ=0$xjbyda~7zab}Ow9})L$)kk*CJwL&F{M<$;-R}DIONh zwGbZHQm7sANqkt8{gUX|i~q5;%-76jG&JZYGFss&og+0`F)oYmqgVTELE}H?HA=}M zk{}-M!2ohzbZ_=ZVbK#ifQN*YC0a_U@hgR!j@NZlKTaz-$-J<{Gz1N>}2@pdUuhxPnzsG-US)fC8GryY~PXr{xgT(jN zg594&{6QJbO2xi;2DyF6Ga)sCmP4i1Y>!ZeD_D#u-80zxWJ$oBU36~yoocr(hs335 zk;CFUHP;Kv4LR+*ss(|DH#F*+-DZ47CbM6%*cDHEJKI`6+za5K>c9^GpQh ziNq{bC%K9!J5j+Hsa2stJY8Lr{Q^II!Lxuk>OQJZ1-vFm^s)8S4Dijej09ppr_+p& z*j#L+OcFDWF;Z+XJ;=tYGaxw^=Iz8HHv{S&q7GY4LDJ;Wt^jWu8LZm!DuB~QY zK#oH*3H(#05}JPb@z+j>yQN9YfS7kgtn}$n3#hZ(WLJjzSJlrE?L`4 zEuNJ_#goZm3Q#_@EX){jm{W?gZX_gP&UlNghbXXLFi!} z`zJ@A*6Y@bi4BCQ{7y?-?=V~4+HIxul8sg3BG)tZ=72@q#EN?rki&bc99u5pqnqEy zgK&L^(4&K<`OXG@zl&G4ys!{=CRfyISt*2B)~ksxZvQrssV4=YP_O+Jv>S*;0)6TM>R&u@JfI*f1&moDl=dcsNe?mAVXgff`-(5m4Xywt z&ik$6ZOeFWxE;|wvCkqQv(g}rnNW*0eB*!)6xWvbFT7BPF?Oodjo zJ~55e%dS3OH#oN{o2G<%u9UGM4vmU7J1P!m&e4pipd&#htZoiOwtX%Q`{UN0>X}DX zX@m^Vd)_mdDJt`BKCkB3*)>{a4s8d}rByt+Pj=B~zD@Rg*=+1ZKf|5>{ zqSk$J>Xr6|9sa9jKeT1v58`d}QiV2ef!e4Qf22!d1e(GrqAE~cUf$Rna_ct?)JP~6 z3p+esFIg`G#}RZK=RJ=%56)fFCzfJ+sSt{F{PF3RAr$+>tMjkE2UzoLEXEp8?r*9e ztEE~O*D@^pk_a3W)bd_$t(zPj@{1azY^G1wdvJz}nVWJf+JKGGY|pa1xi1nDPmj_1 z((Zi<(NDiNLM=<-7J;w`tcPiW;*sr2-riN{X1M*tv@B%YY2&ezR|^MxVKPwY`vi$@ z)kMt)yPWE9J$=(22D~M>{@(ruFzNr`&}##=?=J34W@`JwY17V_)iMn|7qy~I06%1C z*h};z@+zDQ8vysmE}&*y`EOClzBguI9r^;lAv9TC@Pr6NU-_#l4gHf_+W+JH*h@7} zatIik0my8giwE;D_Qt5Sm_T&p6>JINUa3;+&U8=8hYhBx~Ocfin(Z z1%M{XGRJY1?cUauC}IN!ntCc;HTy@TJo?O3=OXrrjhhT_t;h0Pk_2oErhS+6*skxv zN**~scbl9sIpLfO4Wdt=^Wt%vS@I5=07`YDsdwb^} zO3KR0evOyGD@Z|TU@D`)&Fm%TQ%cn4t^u{bJM*sv z_vw5}3C!W_jjhkdejb!$t;L^PW_~IyOH4UcPxNhU>HZ)@+-ZWbSokUEyObh4T-gL% zrlQ04@ca%&(u7vjF4r7mvQWy-8Ta{6&-0sX4T|JE`X@oPV)dT*^1^O!U6PRF~eW`2;TFJCk|<|BOpy~tq2La@iTRWlEy`_I(=KV>LtcyrVRdw*i(X$Z97fLVcI=uhbH2NfdtC%f^ zJ)L}NmO6mv?B_w(I_e9b0FLz#AC{GMw1ucCwi1=8>WRwo##niycC6Fpc%{(LM7A8n zNgW09Q?HURTydn`RC@UWK_bKANJDnG8V3%O~r*}+W7d5m%R z>=NY7H>9WPdEW42#R+IK;oXK@PLJjsuk3z|)whcHC==_cm(xM#Jfi2ey3d4P zZ8g+UPZmhiuvD$k9&IXsFz&i^39l*c07%DLz0@1|_>G@4?v=`NFk{a=Pi~aD5v6s{ ze}=B%Lb!ahQzdOEUI~l76J!73HP9~8x?u3r2q4*&j0K0h|6Jpcb0auG7oJJpRQrTAZIRb=HUQ@v5DB_lP@O za65>v^LwS7!;H_t>LxSGTkAyakxyHY@QxFjA**fgg=y}CB*T{rU&&ITVWZLJe` zoiyu2L`@AijgRancR4am1%j&#Rr1a^MoTltW_kp7WK%Io9Yz7UWlY2@Q6HVy$rrW& zA~W?8{i1a*z!j1|CI&rQFo$J0?5X?D7b=og0l<0@!sE?}qu`w2=V$NjnzpIE{J6<0 z-UAGduFt`ch5>#753`eE{Ki^lOF?!F2aaijQY^p^`&$Y1K82#M13Rkwy$x=hiFz`B ziX<#U$sAa2DvJQV$XS<`oIF{*EPtqgIKP}GroPIM%$UNOoD3A`g>pKNjcQKW;Y?KG_yOq);eFjCO z0rltGhbm3uV1ab;cEh4?3{K3O+0@BXm7Ah&@tJjn1lV^FYp+*)%(pRS$Uws0g5zQa zz0a#vZKnqp1k0dq^3_#r!C{ZpkFlQK539_&$s5mJ-(>w+(2Xc8&mMNr^`0=Kc!+77 zQh|z?dZA@%QZp>7c=-m#+*OP$Aah^a>Ei0uOu&83v!Vcw0}d?12%TCkzSce=yPBt~ zS&_~@YvPeF!Y(!xPdpKg84XJkwFH-nTNfbuIIB|(wEk^bd9uV@C#gT$Vm>37l@m2T zME)w2Vx-o|yfNgrj!SniZ~m8+k7%mdYyh}r<4?22F$p-*FVvz}A77$*8n>vi(uo&2 zC693}SqBR{M{g;-0O^KQjsRnpa}-|vRk_XH^({8Y>P zgt0EH@G|j=0Z}<1gozEJJgW-d%#O_9;s-zM#xl6Oxe$l^8wSrkmeWH>5Hq4LBh-R zHay&(Qxg+_x*XX~SmmG;^Zdf@^JT0nt-IEsR9>O`G9_8CNz~yd21fj0@YZ5duPYT_j@p?xAx_R zz7&$Q^0~ivTLx3W{>hGzIJT#{181LpSl(QVHA|C-<{b~(auCH>e2;*I-37ALok+6l zKpSUF=)-YZ*%t+ii;T=1kyJ?qLA#Ve^Lh*nGK@Lm+!0(ZD?SoOG5FugC2A9s7Jbb{ z|8z$zxr;h@e8LwQ0-?Tlzpm3Kp#I0K-w;Qt;~99r67n5`&PaPtgn|0BsF%^{H~=X+ zF%?Mog}?wrvGnc1mYCnJ7!#S1oQtqsffQpI_Li2L45-XVf%YctpUSvCx&&rPSXwNl z@())M%r2;b>e}FjHWOdAeVsaL0?7UmeNHdG<26myyRBdP(E_pGU8@0l>(o3su9cGW zp2v?@*jBx-H~z3NoY|F^Fa%{BYJ{);Hk&1Yd~e6t6&r(V+vT&#Z{9I!tv_-iQ{t_J z^jYB(mu~naz%XR=B{Xo?VXBoix3W>q8U@ew_rEq)$B>9p$J`+43#surE4cIFt&$nXcu?A+d&2Pb&`qu^T8)*f)?~n(#Z__KA{){9R^`8=xwF)vk9ZHT1p{@ zOycto3F~p0!a)~>NPQOi3?VCuov5fg$sH9Os?7Z_tZB<F=rDn!W~Boew@zSp+REF%H!5`*dE|zl#7qR-j#Ms0in_WR zLk{I;-JDlR4hDt56o>_F&&aqf6pOaCw6r|yb9S}T+5d0s14(NxO%d9`g}f4d!`O`q zPZCq@g}$oeQEt@Vx*x=Ep)kPEh6&5F=hRYD{m@#%ZTuk2M%SP08#V_F@!tcs0*hgZ zrzy0iJvD2Z6&4>1#%~0p)Rc^WK55shlE4?4IKjfkOW_$somN}Vw6>HY!DQgJYdGER zJQh;uR#gq?0M?egkw`S?w$Od<2Mm%l6rhm~whsqq^Y}cR$ZFfOWLRB>{tRz+t32n1y^5sM}1rt4_a@;YKQAXo&x+O0T1?xA9l% zK`vaG-uEi@9_m#aP$SArvxlrFXTo$j=ecQ1ORgVD&VXBNZ-Q_7e$KS+>0B_|Ys5Y* zO_nIxu2{|sld&|SU)39yuEY?Uo`TC}$K*mOs2&rGeUwms6<0TwS6H~aDsa7wV0=Cq zH^c6Es{0)75%6@bU2nCTBHD}bP+6Bt$3HgWwxXTv`Jk}*LF? zIhgh;L717FnPHu!Ffmlz!htJt4Cb2W6tz5b{*~?2uo}p71!|Yey=s-+1+i~PS6Qm_ z8$=qo&t6Yvq9I}$6a{eGM2XsXAF#c48rwKv+J?McM@971`qan|U{Dcu;B^NG1Rk6{ zcrYWTJ$#I*0ZryV1_ILUth*pN#tJ^H+Wh!p=`xTx9isf@g%db98b$(cv<*QJR>PaSs{<|x`PsuLG05&W&F>OVlWC)yIKY3* zCmHmERi^d&AY-Nyz)8sTof81Rz^|~-^`YGm$4YhceyP>d0s>99;*7?=X1=W6aa}Ct?fir z=$N}`ky_leb<{#=91S0wQN~DuH{4QkHTZ8E2RN3Umeq}Swde%0bAEy*08Zw~v`i{J z{UlSGPjE!#3d#Nd0__2k<5I@@IQcr3Ay1xodlnfEoIT;55#Ez{dL1KO#bNxW-8r>m zqu{@S>%Y?zK+^d||5~oXtiQtM4~5q1Mn0zoXrsc5Q*M?rU(;svS1$a5T>%*fP}-=8 zyW_c8$o%;hLFx3}1u_jN`F(yVB@*?&;rqWs=)ZxM6t_$=pckmRsH8fRihB{c|LQmE z`y?9wpZ|OJ$Q#l>LKK!4Z_@y-qE$Z3$D02ycml9*J{sKMJ;ky9D#9QKxyca_03zm~ zj@N*M&QUfH`y0ITJG=s7F@)Gd&~glXpaj23P&rtep}z2jk`~~LWq6;DhdAwhyYo+y z;nE8t&v@-S22Hn1qof9wHgT1hI5|$dC0*#mOuMPO;wpPMyomnwW5B)}zd_oOwHl3S zZufPgJRsrSyIgND!ZM*d8r}r|L}V~r{3~zpU=N0YC=Bq{o}!iu4)eCs5!i>u;CWob z@g$1>+e_>k%qWeK8WwT^>*U4 z?AGcYeXQAL{^xU(UOcxbgIp}^Y41}SEG;XVmUuu*DVy6yynbgp#~=O!KWs3=!>J(j z<{TDdi@1a5M~8G>HobQv{Bd;>k-8P*4u)#7oUCP$>f|K)0MtEooNuzxjZ)Aw)6I<~ zC9#<|@|nCce?_Rb<~@Yu*Dce2htd11PffC&Nd=p0en3c0E(84mC1(80LI~_TpB>EV z6r+VIw$Q^A5hhc#fqQ5tGzz-P432Om!GY#(X_w1srxn zOS@Egp$L4xb7}3bmAjfP1FruZtWhq^Bon=uWC7YiABbnUI!sxUTJPvTTF5;`6g!d4 zW6iF7gjDoZALa-8@Du#=X{s>IO8hWBm0>O%KHOnN4=d2hVKA|jycx8j`D%i3q+G0{ zr``_$!EyON{td96E;iXuKDfZRpQK$;0e07{>5w5(9-Lra-6A|3^v##Z{>AdA)xHF4goAA znMc{u7g5itFX>M5<+_A_e*lbO1z4c0uE`cN2F%i7(g%}N9UtbVnUZ^}@^45!Y z>xKR~+$&FTqS=%bU$Nb~V{io++Qc!tvB26~^suV$3@JPC`s@u@ibtpOZdDXr&LjTk z?*}D!aK2;w&nx)MP=<@%YLg3mVUBQi`=6wF-WyzbfY_E$J$>+EF94wOrN)wEpywul z<#f=(SCk}yMK=qGCCZwb4_UM;ex7&*b{=FvXD28tU4!WxUSY}fz^x>YSwR8O$xKB8bWfy3>cjAd)v61nRr2wg zwr`?}tJ$Kk3`Im<+f3FdRoP834-E~)VC_B|On=D6HsbP7A{_MU#>+v8do@*q=RiCM z=<)@n{U6F5cw-3xD*eI5HuM^(T|c;nxb}jGM|^q`#1U7HT0CU-TyNOlf%r3?&q~?O zd325=eHV^w8-{It@)^_h0^gJQ9~~W;QKylD#x1>j0A2q!UTNLUe~(3bVZ@E#|KY0v zl?IN*XR{4}fHE&XSSAPkH1M1L&V*ynoTD&5l9{>RMO96$Jq_r($33(Emqhri9XN~q z+rV0B3Q(lLRnnp@sOYHPp;)vglDH~Y*)jeE5I7a0zs z-b)--MEu^kW`qG?cYqq-g@1qR7=QCtI=y3OK~bvLe}z#O&Qmy6dUNb3P;sm)}kx37}GYuf1V z#yBiL9bLE;t6(b#BHF_M$AqieCQ{wEtaHF~6ivZ(o+LbR_ zu*F>b71XPL8O@AIYUiwbMWE@;GqB`HePC^vxK85dJ=6T`>-@;SJ88jA3v8o7U|Xs; zDZc~4Vro2W%*zJmMziSm+JnsbT-Q%|zx>M_P_iL1>&cI5s#PQPpPj?-xceB+pZ1~k zLX;ZzvfICY21v*928`Di6FG32+cBX02ARJHKCt=9Da^{@58s(4<@^_DdCQ4{Wp0j+ z1FEqd7!tkJDi^&lxz$n?LvvGlZhoE~3f1#G*kZwSfB}4l3{X5(r`apklbC8nbEK8k z2}`RjzR2An`jm+e<}PeR0&H%(s2Kxb2B!t(-AK^AO&ZiijC?19)=C+e5>-R9&M~mm6su&xT_JgS&y;`b1St^ z9c7f?&1b0+*vj?u7TuVcaZYZ5zVBWsuWN81G&z`~%%!odu$@q6ZQNC+uy#N8MjtIZ z0?PW@BCj$-Fk5P|UVTRB!+`+g89&kS4N2RYl|MLVv#xnGpZDRX$NC!+TsM#>F2VPd z-M!JD-{M`fW@t`sCcaU$jFu`vA-T znWuf$h!le>GH7BgTl;HJR}mS(86rU)3RdE@V&$N)^LsW zk&=<<0CY*JQxD(q9#{r-{4)s&+B`Q~1>B&ABlEuEd?s+{v;dLM zTC-)TquS<2G8`7N>Q0;JRuF9G(TvuSeLtDFvUkpLYh?lJ1<@4~KfCAV0ruYWkjuRx zexSy`2Bx%XIA~nsxzo+NIb!<6kh7OJx;NBa*sK2?SC3)}%DYt{k1;ZiP`FSKl-#xj z&zujyWwtXOw6xw;T)n&Uo*iDGLx+d&F6|k6Pcnal9q8N3!EPSqY1^_)(zoSlrK^^mC)Gv-Tb`qt+Q>Df zlhiGt>{GYZHaef|$KJ(8AZ3SXR?YopWdRL<#f2fRC38EqQWxe_=H9yf9M_;JaSt+yXYtMo)2-qbA|V-Jp+COQZAZQZCxSREFcRi1SU zmv@U=3Mb&f6`8MZMn8M=COPL|^d? z`>sE!3vU^Un`n@U6U!6`ir*RvRXL5_l17~B~CQ0_t9cVao>Fn$# zTW-VaE3ImrDF$a9CnHsz&IXvlCUOd`zYef3(bmtZ@Y)G}$qxOs6y%Y1;n@n+yHd&KKWKJu%r#JLMUJtIQ|3c6!ifuo0C<22Q}ySsbMT=*p3ma?T^ik{K| z>WwyQ?EiGw^|?0ovS{#N+hYCTQb}WOIE{o6Ll_NK>|$Q%%oTHgDU3hDJ0T=Dat^8cRlcF-Kd+%51uW6)!!N$A zHiFye)<6NJTCT}qzD0Z*lBPhl2OJcu%#xOobLRqi39+dG0ReHnLyRWg#FnM-X~71$n7gqM4-#~o%TH|f7KyDXXrTGxfy*`W_x;) zYrLhhb|B|{w!hpm-RQOHwcQ@cs8+&$vLFcBbV>2zs2oiOjg&L804EJ<4Qu@0UW*LS66#!mdQ-J zZYM#EYTCmK-|>ULOL5MiPE0p#%sj(^YS@uKs|Fmoh3uwZduUf@XiAHV;P#^d$L7{T zt?~`?R^Swfy3=?oluSU_vV?tu_vLemP^uogjsdfzICDw;{amH>ok29RhHGHX0S|@; z(|Y2*%@Up;_v4h31J}n^l5tCRW^vOhziegtQ123R@sq39GL20-%IwmzS@tE^$Lnf&v_ccFKwc9j8j;yd?qjK^lhF81CkX+NbL1E<@j z^fX=86RiH$2#0rtZJ%169pBFgd3EZPSya>=R{Pv5?wi%?uTHXy^H%F#QB>{Sng^70 zyqs(o>xT!2i-xyO1HD&Pf79o%nM&T>?yJaAE!UD~1fG`+3Q3sb6WaqHK7`r!k za7=NnXr)ZWHpf-kPl_EUsW%2nkZUz43frw{LMn6bB$x9UmSfVJtmn@<@J0Ok9-rK9 zLsQI7R|BM6vhPP?NZo7z#E8E&PbFvVuFf_}N`~07_wJ5K486Wd_?25XGBvhB6~~@V z)*E_i49)(C7=Q0WtSx+$MqciT6w03Ry-G~CEc<@Ku3oNnI!9=a>FiuUKw$42d5%6Y z7UKDH2LwG-%LQ1wQlJ9c(}F75ntlQ*_>_WAXP4u)mnD)?pq6h*Z}vi$lXWK{=<-E; zs$xL}p|QpUni^)ZXtp|5sJ>sp?r^vI*m!#T0G zdqWLQ=ScXcO%%A!hu_fXsjjU#>pw5(H3`Y{2HtJ;<)VeRrzfSp2MZEQixLX+{L^4# zS(aeOn8}2Cu!VlU@?Q_tT$!pyjyp^U9Fu+vo#!;$pguX%J5H07n_(L%IC0@M(-sVy zJwt2{epznt2pO)y81 zX!7+PL8Bw<p%HNT`WNf`NmP#n!bKsPj%!m z`-Wr}L5UbS2LY7C-Q9~Ia6#S0G%R)Oi^)|XrDq$*>N;%?j+#6XvlKE!GzA0TA$5NPN+xXEla z2_m25vp;rhKjX1Xp$2TLoQ<=+hx?g&MY5>2cN}l}e0`vT5=WzUaCUdKw3kbBf-bwL z>6wzlq*gTQ!zS*rtk%U#w}F519-~xaE5PQ!8JM}4-Q@mdujnOG$%Zy5+Ru9&N2vvA zk~2& zP5Z0*vF$k+^S~NlA>T2J3GJ7LuNt3jxgiH0ReP7z$9Lt&*9_jH|B@J;`os%9{a9~2 zPPXoc^KW?^jz_GrL|D-@B~!j9oBUhFjr-%jjR^mGtFSWSw^v{w4rTp)GfIUZq9LiL z3ycGEll_aeA6Cp2q_yfQydH2JYImR|?Hd=9lLR-#2Ysr3^1PNL&7m91TOhik3x?3zR)Jpwphr`dyhZO+m2UQ zLJ>>9NL7y1?TKYoY(@z2>+u9~qEOlFHk^NBKCYqh=+dH+rKCB--n_GWN z7q{BcNF8a-r>tgw)N&_}9j8}&+?Hsc>h@uMA7p#5y}E%#d^vgl+I_!{;%gw7_APE% zAH!?s#gU28Ox==o?Ckg;^=!lZs=h&mg_7*uJxJl@)QW?n z`X?_1K(ukiciD_{J?YIvMH|ttTkN0pYBe@%HubvOmZtXCH&Z>HBmL%DRN|=dm_?a8 zf%8+YRh@rlsk?k4gdn`k{PLd%gSfc(extj67St(1j33H-`)ossiSyUPNFV#_zC2^R zQv1+;FRINgv`tDN<+i*@%DbOqc5dp2)PIv+hbM%}xA>^U65q-;nOHUqlWe#6=I)7z&N+$)ykGIcvx zj}=~kf?ErIUmc;H%wFF($?Iy=X5%+YPNE?1HV6IavX%6|R^_SCR`gj#UlGZr@bNP*?_eJc;XWD%kF zd9fs5+{1ofJRlRzhG`;X)d}_Az$|{qs21|Q8jEd`PtYGHJi9^DLB< zqsg~1KfgnX-TivoM7~?9uhmux+eBh4$kM-{W}MH;j}Mkv$KK*LrTrhq-U2GBb$uK* zID`&3fWQ#ap&-K0ph$`$E#2K+f}}Ddk_sZNgoJc=N{5t42?!!7DJ>xIy?elOJ@?%I z`hMrE1uSIGe&472;re8u(PuxSLj@Sd~FD;muox0a9ZW(o3SjOi0!5MH}1<;#>@wdPh|;iALO`wvwzoQ z9?vWD=xEos)|X>?BUz$0pP%RI3ts9%76SV^O@$cd8j`rRNf44e(hSe%L)@o<2EaajRA3znXsF1C-gZAy9B`%>I-ukOCDsqeW*U%opB zx`*!$$Z0+Sc-u(8`^9YoE{mPqm9m1{8Ab63WuD5=4 zWBkHE)3a)IQc2AgV+C=oX|I&t*_0i(yp*k?CB}Izr$ba=f2^e4#!oXuQ2BAj`Q%mG^9lm_>m~3#4)_dt{9HfFkt14IR(vCYt@A_U6Ui+ATvsOPu@=>oK z8_`y5(RL-pJ7ZBN{Kmyub-;RWF^&uiIxQl)Mf4VK5m z@4H&ceDjpsvAdY}itIcy5ws=saBUJ;WIIb>*ll%+!;e5B!=_SSmA?iVs6^C{8=97P zBVP;S5u?S@4kxwCY#f=&ZvGmL3!|I?eYT>jv;J#!M_@T)+Ok*w`ibF?nSIgb;!c}L zRt;fKB0H%0UJY!Q7E839y}!1W;7*X9W}H!@I=%t-mqN>P0i0y9>H=KW((MvR>=epP=QRbr8_ zZ+9H_U6($b=pd^TVQYhogNut*_~M3ZG{RZ#k})J{O;wZHg4e_nuW2V{gCUCM@FHs3#J$M6Wb5qkIay(MgsNtFsa^>c+!=8@mLyy3 zC(ZFX&Axa4ZJAN^rw85z70`eHpFPiN<&V#1)g1_DN_VbJ2turHPC;V-u5euKM6m| zD=1Jb-+nc?*l~N_MC8O2@IDyzjC$0J$RjxZyrHF4wX1l6LdG+%e&S}sqYaZ8-?hez z)w>EZUl~)scmVZ3t7-hkp&gy&lh}lzaM!F~;X&4Mtmf^oB9Aeu*N?uxD}E>&4koy`P2O@{_-k!)*{3VT zU+tN1KGmmk{^Xdaczd#%`;ZHA9aZ2mm6oLj`Q&El5Ge!iuf^1ET+~{L*ksUD%j<=p z0X*sruU0t;iLw0z(;KO_g_~btrBfnypW4D|ad76y(oMM7NKuN+h0s=n!#V}8Z^X~n z_F6c6l6^UHAN?JFXv+vri?lk=Ad$TKr@$I@Cuf)U)dzKaAy<9-5I{56bRm%hebl$!ES>C+v*forbB+wmmZELj(QU8Pzqc%jnMoEYXpu{ZExtW_SS{a z&``Ry@EvCR`ol7hz1isPx+_QZ#K!B;0z^`>^a#x(Pnr;G;pLwR$)@;LABOdZ)*MGU zg+DR}ltjh~IKE|NWxf9$DUjGV{zLV-jO^~xFh^FH4{(IH4-^t_%K;2%zM=f?6Kdj1 zg|Q50v`OgoYV{29TvdAHy)Qu1RQ0YS^{vljC_l3u#xblf?cwL>L|g^2LW~>YixKjS zJ$j%T_lh$V6;t*0uC*p+BsXR?1ZMD}{_%eFZ7_|VFO2f@OG?L}C3jHK{EBkp@M!Cu zNa-#W6;Hd@b9y~TU8Gf+Pw(@w3Dp!e9r9sw!>Ulj2bS>tH3|14!}(p9b-OYMAJ)xx zwcs7ee%{fM^Al^2x#fdx*z!_FwY%sG0*zgVm3!w?c7$0g1zOeiN{qMvy~NRyy&J_N zv{Xp9QlX<_ew8-wwTzCH=UHrc)QGkP`h$34 zK}IJ;v)JtWA^#D`Wh_kwE0TU{{_YMOuD-Rs9=AH;ANxP1nzol9e-a2WCZ6NO>e8zL(9Dnj1SUYP@e1gu5B@&6KRR4DSFv`vQq0ao z+b;EhSF8GA?rneC-ok_#(n;ZYpY`%tzTBueEZY^!2gY8c%2XT6VUiq zHOgedXg~+)RzQs`mXRgeV(POVu9kl-nFa0JVQj$%Uw(ro;ke|t$_%n@^Vnb_*#W$_z>eY*$AyXI2uxyH` z1Jip(a6BGdS&VNcWtNTkx*yj(jd-nKVb?yhsvUJNEOBmbF6Jl~Y_=U+|2{eTY@C+A z=o&xQ6h#MP{2C&j&vsGCZvBh%?et1IHjNg<)^T{@V9JZzS=C%W)qv~0KHbI*hS~xt z07a)YO7c?XpNW*H-&#<`H{$%Y5#4F*y}Mtke|Ud3^3G42p~DZG6P{muecP^dZnki+ z_PW&>=fC_>bCB0LR?Y7i5!Ubj5qQKT8&oeUI-<2b1-nC$l}&rZZHa)s-IB z=+YiqJ5=g?zzv3MM7q8;{o+ml0)j+>8pg2Zp-` zrlkgB!?zY+>U*fP-JBS{aTs|Q|Ax%6d1uwU6%h&BeNw71cS;iSzx6t!s<;zD5k9bO&UFMqDF;PBQ`7y_b899d0LAPFZv8gXP={ouwK_ z?3qHoz*A8!qCf+zy^N%cbqF=-RTT8URqe;p`Eyk;(PYlQq&hNa9@@!J?zVXxetZma zeNy@Ep?BHFWgpo!FCi=`gHbqay<~MsyRtJzp+5h-r08EzHh2ztEx_5Tg zT6h-5((68G{&n$&|JHXJ%k`0=p*bOrj!>Rn2rX}6jVkj}068G>Lk}#Q_E0bkur%|g zfI{vsKQ|8X<9gwrId@AZzJ6poCOV8QTCI%3LWLn8f%%+4Psc!Zp#=)%nNgs>@fhz^ z-i=D??EFx2AYbftsnOG%Z_6Xv9ZbQvsLA`=r8t~=ef+#C;+4h6pclInMmRUYsh z-WU&9D`xp(gv`&nKg-LTStHfd%S>!obl+n3ek8w#i-C11Y=BZe0FNcxmWe|xM@iS-I z(Vp3XVj4Ww#aUVvS5TbsUJzkMiCvXZq#R0YUFJ@DxRSYbXvaW~{*d2DEBWe780DE6 z>@$^TMeU#;&x8@73JhAYRgu2&m0}|gZ<*samSD^lP3&YWVzWfgW)*gs`J*}nI)Lcp zohPpcU(fXS(O!S!ot&lfSS-dB@?5z=e%vapG~*hPtmWaM5u6@#Ud&0s-$UoU#|`YG zIq*7rZqOQnNt}Y_8gfdc85{2kc>$UX1y?$Eax}~-p|grokfZFBxxUUu@9pS^16M^-JrXtnO_8qIPt4`X|E=6NdiY}EBQ}eEdQrKUNs&$hT4))*VF(f|`d zIRt?`Ou95a^@=$>;ifviusy-0`;vHnBlZM(VY%2-g2h`p1-9_#dO7n!-XPdeqNaM= zxt2AtR7th#b1Lhcom%8(d1lsMW2%FVSF$|T)3VII&t|2AJ>R+y)3S8y?hf*;DZ8@e z7YQUSs?!t+`>mRaIi5_Xmc`(M)Dh-rLw0%LZ=vUpjzIq>?MX{q?k=&6O=~&ZVtcCX$Yjxj`j5q14nh&_;5GbgIaI2{;#K@TiB>EDXSU7=UzfK!WI{< zawVa0Izz*&!j3R;ltX(AQjfT%+IPZy= zfXSAT>Mch>Y{MH6=Yh}U90Z)l>h$G4w>MtCHp*c=(h<1ZtsKMrzJOx1UkacK)H!&a z46CbVvg=je`K;$HdWrt!uQB*AT?C{{*J|UeiabV*jHzCs*+=qWkh%*5u@aH;7 z7uwiE^TjF_4dfAM%_)d^g<;~3ama&l1{p=uS<_aQI~QP$zt+3=(-UDRhY!4NR@18k zhijRrNniPupS_-g>AhYGZ+`B@mu0dX>y8Q#q2Pa94kN^*L2p#s^?v6j1X>UyAGWa# z?_A8iBS-iS#B>+{5Z7lSdq79Qo}=$Vh;E&x?vFtG>>xtob9y2m6(nalnMN?V{g!X1 z`JT)EfnKdAWal?N&~T0t`tikl3(4oZLoisapbrq`cjXU4J9FkWtdX#IFf4p?>orL7 z5kN^k+1wN9`v#J}Jr`1Qq(c}f(N81Pm*TyPV2u_$SAM3}HWq+LQQlFR`r7TC3oT!c z*OYi27C8U?`Hd84=Yay`MPoi(wt3qI+Ab-G5Hopktw-ezL3l9TN|SGUSMDt!Xz=h{fVh&sPsb?79JO_43PC>1WsOx=2iT2*#R==`an-W?F zBF;uf)#1TIi>l99e2zG5xxkCy%m2_Z@VyCE=l4&r4V{Vb8HUq1 zKMxdC;T0`%Exlx1#hy~Tiz;y6*HYy(&aLZ+mbaCrL=~8Ix!4j=AFfZje(2LUO5f^I zS{!+yKa6P*`8U-qJQ2I<+&W78x{$9%tir-vo&v4;9U?nkY(rfNyXKf{xp!oW9r5hJ zfa|y4m$u}DubiY|Q4Syt8}R+`y#M74w)%C?Lw@HQw*#-96)iabht51{9f=Fad&3i9 z1y?J5XaMxHw^cEh(rYjQUmAe}#7^9lQ=eQNFvbC?S*m@6+EiNA;B-8~B5s!X@a?l_11s-yE~5QtoPEU&J^96t1h7EVkjt zkvpJlC;o+AN_Sn^B_HAR-QkefgmS{ z=iUho6YX4NsuAYG

7is<%pUvbj{u-N%C*-DJ+2{rq4;>8|fq9EFw^$)`zJwv|fo zu1;>0-nL`u%0&^CHsAf;Gbs)o6EFUa@jhbI4=*y1$(zXG!CflG6d7y)!+bITsS8p~ zv)RR7c=o^;+~m)Gt2q(xrh;;4EJ~$B&o;GXridI>g9?$!(W^Yd@@sX6D%%;ER^116 z!1c0J_1Fnv{x_Gr1_UYqD4Jz7OQb6bG#z4*1BAO#sm(x% zj!*|1axM}b!C5mhln;*QEp6xIw49}No`24EvoGB%cC&~mnBDTF`xg~|wSeEi<;7(I zX4KX$la?iW9NQZnVk>x*S-Vuo_j|SnndA53un_39%^Usvwk)Uu8xQSHm^cz=cYG(6 zZ(~k>=ct0(bHCMlzoPnhG6k4ZSU3Mv*Jmnta7|YQz_@kegN_g+u5&A7@qWV>#{+}g zcX>4U{fl(IuUT8cZ;Z}D*s8rZnFB~ScBuw?s)Y9m*dURH$N!&LY1`q?X*HX{wz@6r zOaDd?yn~jio~MuYby=%5yhmq#lr~4ZP=pNtZvz6J21*f1&_Z?{f8n`7w}S3j`Uy^D-f~xlPEeMFUAOGeyD$cyWU z|C?h7SS69Alp>Yc+A0Mc-+XG{RhK=T*9!Xymny}8%|C+;A|MiWKY-hN`ePX7>r3-Z zdnQA*gVu1M+q+y(PqxHm!_ev?##eB?yOgP>Ow4@%u;c4;<;WW~l9R8`<2U9KU_c+Os*7I5!aOybH5 zSdtHMP!8EBu?m^N>(%rjV(tQ2V(w;fpnn=8F2TGUS8W_%c@<%mzMYj^Wm1wv{Sb9Q zIW(kYxj)%W*QuQ;x`A@In;|A(ZQPIFxH5dCLg|JHc?eRQ4|l)Fh}f-3yHR;`*0q79 zK4nzIC8g?TRU)`yy~*)P%kY?n`0-Wj027S(XfFS* zw`DJNZ7+A?E!=A(K*9vA|w2irNO^+MkCdK-25LD=!+b~*oJB2VE`J7Ez$1wLl?^cbSmSoz#4}@ zZ;-oJ1S>^3BRhU0h#d{hCFY33e2y~@CY7VTSB4jB{<6i>6hs7H{r;u8d=yM=_Q9nQ zbdtm;|2B~t0xC(<)0w?PKmio5&##}<-g7Sd|3|V@r9@9hEeKADq6&BiXkS-r_98#% z6n6=J7-gKvIVXV2S9S;2T+CPTUXCt3&+L8bI2`E`eK^ zjnTg9wj?$!+P-XwWX+Z8jjNLkjVZnlitnNeNKkgW!eP$}RhHZ9&I^-Y-r7QlgRP&P zy}d6!%%8x1{{H}bQ-X>yS{nk8A|nvA#5N2X3m*EY#WR1?(jzGM67i+1v7E0%G7lQ? z@zpkDh+3CC+wZASZTEXgDi3&Rkg$tn1Vvp@yrYY4IMrX9<WC>k>Ux=7 z^N^f|UF+bGT;+YO5H0@i_rDmqRAVEo8G1*|CZxc0?rn0PGlQ1IPm+Sj9R=R-_aD{?;2z7jO8{b_Q)J zxrb}_x^v&4E?8q8Z#VJIN_|0Jq6$9b^jK01yK222 zS`n^ph;F~!sV#33DnbH=t-i(R9^k*fRKW)7`Wu_d_C~EP_Ecn6m1ViBaou>8v_6Fe zyl1T67M?I^3YWM4#DDm*BO5G^TbIdw)?`He>YolbGT{+HPxj z!kRhw3}Bz>Wz8p<-q4y$wM9A1s)DrIH<=ja`c)q6XTk?VB(*z^Su_kDx3;F);3g6NN>FK(*N|TK$Y_xI=Ff?xKpRFi~Pg5 zqX78D>l6xf_NAww>&BMl9KN_MLHZDAmjlXc9Co6-0zPyRO(5`reFWi^eJtC)iV(l? zDVa9^YB0F)XELzmWCe^xcB2vhU)R35mRnVuG2zQOLTj_ehik5HK#AsRyYAfm5hlL2 z*kFfJ%%_%>fadxFHs{7=)4s`h01G#u&otu1jn*YGfRl23NwHGDvcQKMzbl2rEeG|E z9yH?lVi~)1CHRf2-nfqx|7{*$=fgeRr2)dc`Zx)c$qb^(#431;#71iP!55EPD^N?W zkY#HN?R9PMb1A?hEhBiz>L;dg9Xq-9l3|jV#^B%wO^z|W!bwmCtE};vN{uftvfF6I z8#gz;!iL$poczt_(o4w*G{FIUeGr(G^h;^r5V6Bj5)-)f-n9>k7tAyHtwrPi9X84QHHj? z1GCf)J6WLnciGU(R3~FNbsq>V6lK@&tB=v%>NAC}E&=e3h6quh33utX^5|#8fGPcl zgAUoGMFX@jD-H_F;|5VwUw!QY$(MsmR;VA3i^WEwsKIT}f}ba(KQ&2(bV$55d&&Az z%$+AkOoQP=F$!ON@-}*u)}{E;)ILC;x2;qz4%p@L?$Bukl9!y-*PYZAg5TgAlQRtusMw^{H`YnE3Hnl57_Bs2^Y-Wb) z@k+Mvhbs~YE4csXXPh?iAI+#=8B)J?b#*-h$NC*iJB_($?hf@0q6k**pmu3ofL@ZX z`alZ<)XR+Gl%6wK8I`ZWk#I_mNPiZJAbt^(mA19(I%qZk65;vFRk2zE-Ei#>>7czFO`g&lvdE_u45B+l zmkeFAcd;p~;8g%xUhzurRM7}Okmdbngy8XVO}Uh=Fha)jqs9)64ZbbMXjtU1{(3n2@M^piNxScjf!@J9K^D?TSyMb26`)VI8Y~&f|{rHc#*|Ub)>JH#m!Lrfo`I=C=cFO`o|!f)&xB7kC2In7bGOBo}zQ9m+ROMgu8 zfL&A9Tb^6eG-lXJrR3AgrhXhMKNeN(cgUmgIdW1JF?>#;qw*Y-2Qc{^f&qgnphG|f zB=;0QM02RKspqMHJ<#2qy~18yCcK4~!9s5RRI2Lw3fD!Y=Ag4z0U z+~&`%Y;0sL?RZ}E>b&7Mt&1zM$)+ez(tRUrMZexG$#JIgV~eKwn=~kp{BU(V6Eu)# z#l^=D1`skh`N+0Zgn(QC?cnR1m0I2753;0sGmGl-2d*^T-Ja>l8lad|??#5u$kew_ zM%j&}cWP^^Zlm#}YZFR`>h*2Ezq>CZqN=f<+1RhZt0`0-_5jSF9YlQ`4W?KYvG^Fn z2vJao&N3!u+N?M@B=&$j4qdu(B^lc=l`rM&0UW!O+_f-Bks9X6KLRTI7|6=9W$N~2 zTo=jKvU+e-&XDQ5y&$ZfjP*uTp z3eDj?@AY7Pl~3;!6!rvz$u~feuy!J-1%5-eIXTFmkQudHucqt%C2_E4Y*P7VeHzc0 zo!6aGuZ0_~JpyitwlgJnteWPj2isz5jBwT^?HE_aL5F*4-FB{hCYW){JyW9xQbnbp ztw6nP$Qhw_rhLD;C{LG{k$fyP0merEV5GD`2E&O4D=lMIKi=7nFdepVs^ zzHgOnn2+!EtphocZ&yIe##CjYc`r3+)O#^DO?FIE8SOhwIjNX(_eD=8QnjYdRMf7* z5F{cxyL&-%3sC~)CdZydJA##J>3Gea`}U1iE#n$Cnw57#k$1a;97D*~jCo#bW)JDz zRMFj@zn%4of~?aKosz%xU~G*dqbFW3@|E$=cq@tgTD9%H&BR>CMb9CnSLwrS`58~# zzNBRt^-&sO5mc@UMegSNe)e5Unauai?c}oyvAyMeutMic^W3K%KeX*hl+T4n`P;D` z+WVGCX^H+?`iGcD2gTKQ6KTaa_QNpBoXY-Y8SFX@<`BZ)oO4) zPj&dv^(8LcXm|WZkk_D6Bh*7w1UX1mZyN;Ei&f0V-7J0N21>988rDSKJ^VFaYxT*z z?w$OvUvguNua0lnQhnba*)!!GLME2JKmm@UW^T96uT2N@_5`P| zJ$?aOyVQF4Mr~2Y@ph&2{NixhgOTpNPOD5ZJ@Sk$(kd1 z80B{Z_zq8=a|f-CN0H*2U+M|A>9H}M!opJ1f~AY!s&PDyCn$(ly=(GEU6yEFf5cAg zAZP2_Zsf==AZ?s~ymlUVU%gfqT2l8yBoF%w$VCESRo1|KtXs+X%e$~MNEt~yTl?tv zsGP!K(%b5X9KWS=;jqY&Ax-r{R`yFP_)gK5vb~JRqwDz7$8NRP4^_U2i#!1-hg#L% zzi{%XYx)eglRz8YFt9<)g?GotOZ^;7hc7e^>ayWh&*hpDXwMay%4_%99?Vq^cU)-9 zQ$;TwHbmdUVbxZcON}NOqRp{edJh7m4fhv|2HT`r&v&ZReB!^y4=nAh^AqvwL3!S| z(fXeU&0L~gr|Yf*OF`?-h%J$aI$`UW4rR4han)DiNi`A%W~>??DWCAT{yLf8-Ioh< z72f-o`pi;}tt3Ht=|DVf5m(3VXbjSL0F2rk1TCbF+aXN%yx895RnEo5JYTyx2NLh+ z_3A;Z(bf_eru<@02W%O#*#=91umiRM?P%S0e@6OA+s<9@4S(eU_wX=(#(MBj_Qkp_ z^wHr^etl{W6_WLrU`Sk&)@_$}>^opMMCBEuiM2vSF(HRf1pPnPJaM(!;Gy?wplDH*-zYH z%X}LXNU$^Xm(Am>I5m>+#lXR4uwYzN+(}~fLp^AiTtR;5_1WRp{WUdtK}+ju9T;c` zwe<<72Mt%i+VPc5V7yiX83mxlNt+Da(W~IILG0ZV*c0l{-8+>3%vfuT+EexIWi~UT z>#vPN*UKga`}lgHmdJ6aC33~h{e|Pty$P$Wal7;Rb;}x*9HhgNw9`xDVFXu5sW><#w+Cr7IKLv1C+El%>QPRL1j?TuF&>zZHWmiLPI3u@dHB}00z=h{C*AZ|;R9ANEsh2Eu_<^g7|N7%uE zV2R8zzZ6E9-Y&2LO29LZ%8!3M6KNj!#`DpU{Q#lovT40kd+=*ZbbF&!Ar?Ekq? z-7~Xt2(k8++gm5r69i{y%@T5cF1g=Skke3``bmxa>BTNVcIDdrcBEGT-Bl^ggfx8C zD8aTDG3dIolsk9Dr{6hE+!}MZ$cZ@2AQ$Y{lh&wlvzNtAMtCz%mfOPpKPsq3`7Bz0 zXPFdKm;9*k)ysZ67RALv)lC&rf3xX-y_JHe#9dFdz_eyMYK3v8v0q#@EH( zjrq&!$D`&Kcp&S`;144g3i5n&?XMIvxCC~)D`j(SPe3xba`-$J(&TYuHrH)B|8Z25 zs7$Jqj6=S}={0fRG0ov1o}4vHvc<)Y_xn&K+b{<=R-AJJmBCWg*3NxnS_1}a4VO#E zTB12o@^NC=$u3J89A6o?;$e<((2bTJAx%-L zs99>bY=hX3^*{P4GNVI#sojti)mw~RMPKlqL_&%km&=Au&HuTUD2$vdmBX=ICYO8FiLtH46n@2oiNrw{GbSaHz&=HefWPhQ{6YZJ3MFF?P zcy)YEtmwU5(hwt9=j%K^oZ%J|0-DTL{Yt?7V+pW*?3Ker)SL!3k)m9*QRF8sj!VH0}GKZ zTSmMV1L6)H1{ceRDQYf-pv{Z~)nLvp{f=?0R<4!O1jojUn!&VBWz z7IAsh7#;=5JyDdR+IvUjNs^aIdN!etB-;?|1yCoXzMyeuffsKVtCYfZ$_$`9{X^}YYSQ7cjd&jh!jKRpv5P6d zV#DoqZyL43Iy8;ig`2L^-TxIsXG{!!zC$WeIZTc;f%U2@|ML?4g{^i|R}qt!P0sKE za(eEclz0u%jTp$r26UwC?#yX1+3#Dy4`G?X$yD|hth-}2O*6J(gwi}B{${8)!_ z4H>OppXxa)hKR);;2RTo>|TNK;uu@8Q9fzK66WE?Ft(S*>a zCF?*HjVz^zq^!&zv?!294A>1g@+LL~e-a7Wz?}%YqJBgHNgdG6rgn3>0E34~sS2J}+KBQvU2?PwAM(e(jR0Q?#tfVd2qfZ)N1+6GA=YGI{Lypp0~ zvX|vG<-oNB9`Qo|yr`IvFEyi}BMl?>!+;+mEC>z#YBE^8(b&E3z=A64rg*=p5V2!b zl6wskR$wI9TqlSg=S;*9G0}{W1Bb%?-r|D>Vy<=Xr)kb zkz&r*h8FSuOMcXP1k6SPzo^kIpR@+yF`68uMTMC zZKibqU6bb*MZnL75s&KP=!c&poL59$R~k1K~nCHgup zhjb&h$}ZPlcj#?Mh(Sqf2y1+L&JnJme+~tIOMAl^igbo8`j6zhE@$Ij(GHd3#!K^c zX}4-NnV~>aUjq-U^|*obY2)`HQMdb)fCH_nS%rO3KuhV}&v}9X%JyT3 zYjfCHuCE+1L-H_kS`58zL;|8sAZ`xxG|{1-h6K#19{!I8?js^kVQTD7!Hxwo#h~CC z!UpD_Ls8RZIQTUormJB@E4bBdJLxA;38|bv2ebkt3<^4V&dd#dUBn(CpI`)WXvr8f zK{g&r{jyN(Q+a>GXv+nLzFRKe#P0_6PGph@M!@QXMyTX7*ouMX=e$_jrXMXOVasxOekLm_|?RX7(27BQ! z^9%kt2p1jRm^03OZ;@xSaoDsh*yE@m@HQ$AK75(VmHKey8p?Xrgh(iderxhY-vFbF z;bkeVixRR;XQulaL_@@5w|{&{EEJnnAR9tm3^KEWQAvt+wU;vZ%Z?;<`r+imiJ6Ky zXnkPU%Fx%4GYK1&@dE|qx3uNLmZV^@bbI$G4?H9<h2wXUo z51Zjy^s(*Seh6`y)Rd?IQ+eo|Mb{P#VE($i2$Uegb+X}S8EH%Oy-1F58SE*cB@x=| z_-b6yxk7nC>QsM4x4SNV-d=;5a$JOh_)C6+sE`?(rkCzu4J;JV6$|{!%j9gzfnj)YypXNNb z$#Egcq=z(8WK*0o$!4M~Yzgn*=%G%={j4c-8lM4X@5uv`fkJZGh*60S-aCTWh?BCvto)(QkX zBGhS#PJ`1rn^nMP`NE6W(Kd@^e zkZu=3*NIXhpWQ4chKy3SuX@jbkT(g7I{6`q$w@+{B9;;#c~&Ciz0C5S#<8H5uQF#1 zvVx@@vBiz!-I}EB+($n#M_VT;^pgnG*(k_q1IOfE;vx9$x5_c_TSj#z=mM|UN5o*{^pNTEbuZ{2%Be_72CF9 z{2!h_u;L->UZmktG41`iHO0T2%TQ-Yf^UR+c+3 zxU1#!ZePezx(E3CU1F2BoQb-C5#_SY#EI*S{rP%nA9-Dw?}OgyuEY8fk>`hmRIg zhL?*uzg=kJoJ%II!_nI7XB#N^EBeV<60WZS7F~YCgG030_n2P>&Hg(7@L2>}Y-SkF>!Z5gTcjKC7;~ma zyN!U6eWl7dMrl*17L3tXALyGAjPa4zC63e7_o&p+gt)NN;|wYL zs4c$G!zVJGQ96W%4rjuzmgZdnin?Pkj^qI1ljs#Xe^{o)s01)TDz$22fZS!er5}3D zzUn2w^#kUo;3~gO53O@R8V4>95hXk4OwAdepR+OM`-_1brKE=6`jP5#mex8GS6g&@ zo;*m)=??U34InC?q|JFcTN6=GJUucq3@}_UppxRebUvgxQa!UjO+Bmej(UZOTMxr| zUULT0w@QUJ9Kb|#+jxm^k}2iQ;Fy~*mHhsa4MP3aCNPYWwbbW{B{bJ)yc&6{8TAD%oeohl9py16#&m1g6P5hivcC`y3ZeIj zeu-nq8X!ssM)!SoC14w#7>K2daNzp@_aSZvDC$@2BEazd(;aJ0?2LRCkQ~xa)OIf9 z{bLfp27dz{WzLt#7*WVN9P}r-onGU6m{NdztAqe<4Y|Kq zYjVny1#?EV+@j`4S;jO!7>z@_L8w@EY=zNAzoHKNT^(`-y}b7s$UDqa0d%_q8pFAO zMG0T#1FAA|B9vGScMJ?s0RN(rYqUZ1x7GkgEWjeKngzS#b)-O7E_QFd|C@LC3BCCI zYfB|<27aM{6>N5yxbh>+dO#;?E{im)1Y-=>v?m|u1qO_IyFO<~<~oGRHmT(8(|veG z=Rw^!hXvX*MsJN~4S_dc#HPM9L%@) z1`ry(bL#GZ9n{^_MLZ=iGgN8fl-lfj>ou#STJlTL1!00kOAH2d`lFswy{nqN3dveo zJtB#`a2?Z)ei&gI022v!%dT?1ZLAJP(at z=WoYPL!{4xeRG}Z2UAfMrhbA>ZKld})os$!28y%f$x3iUvU7#IwDaCc${MD6G5wX! zEu$D)m#O<1WJ9T40oM+&V{ofTDMIje5rRe>2l&xm1yt#1lH65Yt8eVJ($--CHR;yhbq!T8R7K19 ziu@aoxxTDRYXc|Dp4tPynLw3U`YMW`+b|%Ui`+qy%aHE6s`Yc=JPwq-0h$j$X|ae4 zSo#XHi^f1kIjsPIywa%DA`{b*aGxO;0}O}>t!-<`P+{PLan~XEyzVCoMG{VXtyB%f z`TZpNN%Z}sXa^p;F<4oEF8?HqyoF{py%TCn;77S=^67Kwo3BKbc1$m;f#AW=W2gER zK(tqN9ZO_>!GS7?>Xb2R#|6$*nCBw9n11KxAnqe60E*u+)f->-lU~i0iR~+#p4BBpyA%IrSXzoOZ}i z!MMp8fGkHc3^)26HXbySAWh5$a?CdRu{u;Sam9@gT8IozuY1{hwkAr0%pQ6j2J?ol z);K^Tgu(=zZfA~**etR;-Z2dzC&^Y|{5q9L+4rG_rMBxPP*0#s)FGc+91}}m#f99C zid4fd*MK2cf)<3pT_U-W-Ss!2VWJ?e{=l8MRf=V%{}yE9ou>Razj9JJ+zW=i>}(gf z47i0W7Y5Fah1?8GPT1mN@gJxEMjNF!2M_vBbV!StBQ%tvL{gyy5W2~LqUrNf%L|IS zB{6Yz;xB#$X~{hWW%&Fxy)NwRp(S<&qH67P30p6+0@Sd zA<^1(*+E8TOv`WRZN~;v(I_p56R{f{$1;DyctQ4<*V!4w8p7tVyyWoEz(TUgoDJ{yyGOnjj7gFYgKlw2itH>s1# z(Nz4(KO!0@AAq5X@L4T-Hhghb36HAGn|OCjaUeR<95oYj@dh4V*v>%`@O-W~o$t1-g%JOKo*-j5{vACSs~A@gD3XDOxsdB>jgRm^?=*Rusiya%O{LkP5rzaqIf1~mR>pjQ<` zZ_Ls72X6zShwgboDSbqOM(_uw@~7Y=KX(dF04>v=8K(aND1gT)*U;aE0aSqNaiJl& zJchvW317=Z(X=N>6#~j{?qU~%6&jsHh0kcwzbTb$r9^{w{63{;)qFuVkK{}eC*bU}3|wDTWl=;VzUVk5~GJ>gyMNB>*o{O!>@wb7bD z_d2Cf0F)Da16W2x{|4Ua_df{*i3=F;uE)Sjus0IJOsaFIuf#CZzwnOX2}oF&yb@~% zJn9e71##2qLl~(1O_{%$4q|xlAh`1hJOLn1B*owK+`G-{j0uuL6a{g^Z&7#(RY{-| zF$atkgs29Cflu@(h!10Hey5R7o`DYcn@VTGAfyA)aB??r)?ipxSFy3OTQiC3+zB{N zzz@CWKN2xDG3wsUKk#r$Wv6`fUqHby?jM2zx}9{(V^k*`5)c^n0nlMn!)PKvG2)f^T-LQ{zpy#IYU`0Ir_IW1SHOd z^Ec!EEr0!3oloEc-TJo`^8D|oBI#@vfpRG}iiBXQS$O}H2f;D_By0@G{#zRUO=+rg z0c`(MMPL{Z!bQLyhGu{~ya)ako9gd30*z6Eb%GLP^MA>TCsFVJA!6RXPhXt_GU?La zTJ3M<@k1D4#OQAh4u1%V86a0oK`C@5?Cj~)P9A&?CNMyZ`2P|Xrw{&3+!)~e=GQ-D z{@;pC&;+hlrtvLn?sb_6Acr1m^IfZYUlq!pC;M-bzL?8i?7{&!^pk`sF-b6Gx%FT`!!?{qaQZY#$3vkW23Rv%>`YU2%7d!>R zsvB@Ipj8ZXAE>PeE{Rcc7PRJuWn(@` Date: Tue, 25 Jul 2023 10:22:26 -0700 Subject: [PATCH 153/163] TIA frequency tables. --- doc/7-systems/tia.md | 232 ++++++++++++++++++++++++++++++++++++++++++- 1 file changed, 231 insertions(+), 1 deletion(-) diff --git a/doc/7-systems/tia.md b/doc/7-systems/tia.md index b39d31db..a3cf1208 100644 --- a/doc/7-systems/tia.md +++ b/doc/7-systems/tia.md @@ -25,4 +25,234 @@ Furnace isn't complete without this one... - `C`: low square - `D`: low square - `E`: low pure buzzy - - `F`: low reedy \ No newline at end of file + - `F`: low reedy + + + +# tables + +pitch number can be used for absolute notes in arpeggio macros. + +## shapes 2, 3 + +| pitch | NTSC | note | cent | PAL | note | cent +|------:|--------:|:----:|-----:|--------:|:----:|-----: +| 0 | 67.6 | C#2 | -44 | 67.1 | C-2 | +44 +| 1 | 33.8 | C#1 | -42 | 33.5 | C-1 | +42 +| 2 | 22.5 | F#0 | -46 | 22.4 | F-0 | +46 +| 3 | 16.9 | C#0 | -44 | 16.8 | C-0 | +44 +| 4 | 13.5 | | | 13.4 +| 5 | 11.3 | | | 11.2 +| 6 | 9.7 | | | 9.6 +| 7 | 8.5 | | | 8.4 +| 8 | 7.5 | | | 7.5 +| 9 | 6.8 | | | 6.7 +| 10 | 6.1 | | | 6.1 +| 11 | 5.6 | | | 5.6 +| 12 | 5.2 | | | 5.2 +| 13 | 4.8 | | | 4.8 +| 14 | 4.5 | | | 4.5 +| 15 | 4.2 | | | 4.2 +| 16 | 4.0 | | | 4.0 +| 17 | 3.8 | | | 3.7 +| 18 | 3.6 | | | 3.5 +| 19 | 3.4 | | | 3.4 +| 20 | 3.2 | | | 3.2 +| 21 | 3.1 | | | 3.0 +| 22 | 3.0 | | | 2.9 +| 23 | 2.8 | | | 2.8 +| 24 | 2.7 | | | 2.7 +| 25 | 2.6 | | | 2.6 +| 26 | 2.5 | | | 2.5 +| 27 | 2.4 | | | 2.4 +| 28 | 2.3 | | | 2.3 +| 29 | 2.3 | | | 2.2 +| 30 | 2.2 | | | 2.2 +| 31 | 2.1 | | | 2.1 + +## shapes 4, 5 + +| pitch | NTSC | note | cent | PAL | note | cent +|------:|--------:|:----:|-----:|--------:|:----:|-----: +| 0 | 15720.0 | A#9 | -9 | 15600.0 | A#9 | -23 +| 1 | 7860.0 | A#8 | -9 | 7800.0 | A#8 | -23 +| 2 | 5240.0 | E-8 | -11 | 5200.0 | E-8 | -25 +| 3 | 3930.0 | A#7 | -10 | 3900.0 | A#7 | -23 +| 4 | 3144.0 | G-7 | +4 | 3120.0 | G-7 | -9 +| 5 | 2620.0 | E-7 | -11 | 2600.0 | E-7 | -25 +| 6 | 2245.7 | C#7 | +21 | 2228.6 | C#7 | +8 +| 7 | 1965.0 | A#6 | -9 | 1950.0 | A#6 | -23 +| 8 | 1746.7 | A-6 | -13 | 1733.3 | A-6 | -27 +| 9 | 1572.0 | G-6 | +4 | 1560.0 | G-6 | -9 +| 10 | 1429.1 | F-6 | +39 | 1418.2 | F-6 | +25 +| 11 | 1310.0 | E-6 | -11 | 1300.0 | E-6 | -25 +| 12 | 1209.2 | D-6 | +49 | 1200.0 | D-6 | +36 +| 13 | 1122.9 | C#6 | +22 | 1114.3 | C#6 | +8 +| 14 | 1048.0 | C-6 | +2 | 1040.0 | C-6 | -11 +| 15 | 982.5 | A#5 | -10 | 975.0 | A#5 | -23 +| 16 | 924.7 | A#5 | -15 | 917.6 | A#5 | -28 +| 17 | 873.3 | A-5 | -14 | 866.7 | A-5 | -27 +| 18 | 827.4 | G#5 | -7 | 821.1 | G#5 | -20 +| 19 | 786.0 | G-5 | +4 | 780.0 | G-5 | -9 +| 20 | 748.6 | F#5 | +20 | 742.9 | F#5 | +7 +| 21 | 714.5 | F-5 | +39 | 709.1 | F-5 | +26 +| 22 | 683.5 | F-5 | -38 | 678.3 | E-5 | +48 +| 23 | 655.0 | E-5 | -12 | 650.0 | E-5 | -25 +| 24 | 628.8 | D#5 | +18 | 624.0 | D#5 | +5 +| 25 | 604.6 | D-5 | +49 | 600.0 | D-5 | +36 +| 26 | 582.2 | D-5 | -16 | 577.8 | D-5 | -29 +| 27 | 561.4 | C#5 | +21 | 557.1 | C#5 | +8 +| 28 | 542.1 | C#5 | -40 | 537.9 | C-5 | +47 +| 29 | 524.0 | C-5 | +2 | 520.0 | C-5 | -11 +| 30 | 507.1 | A#4 | +45 | 503.2 | A#4 | +32 +| 31 | 491.3 | A#4 | -9 | 487.5 | A#4 | -23 + +## shapes 6, 7, 9, 10 + +| pitch | NTSC | note | cent | PAL | note | cent +|------:|--------:|:----:|-----:|--------:|:----:|-----: +| 0 | 1014.2 | A#5 | +45 | 1006.5 | A#5 | +32 +| 1 | 507.1 | A#4 | +45 | 503.2 | A#4 | +32 +| 2 | 338.1 | E-4 | +43 | 335.5 | E-4 | +30 +| 3 | 253.5 | A#3 | +45 | 251.6 | A#3 | +32 +| 4 | 202.8 | G#3 | -42 | 201.3 | G-3 | +45 +| 5 | 169.0 | E-3 | +43 | 167.7 | E-3 | +30 +| 6 | 144.9 | D-3 | -23 | 143.8 | D-3 | -37 +| 7 | 126.8 | A#2 | +42 | 125.8 | A#2 | +32 +| 8 | 112.7 | A-2 | +42 | 111.8 | A-2 | +28 +| 9 | 101.4 | G#2 | -41 | 100.6 | G-2 | +45 +| 10 | 92.2 | F#2 | -6 | 91.5 | F#2 | -19 +| 11 | 84.5 | E-2 | +43 | 83.9 | E-2 | +31 +| 12 | 78.0 | D#2 | +4 | 77.4 | D#2 | -9 +| 13 | 72.4 | D-2 | -24 | 71.9 | D-2 | -37 +| 14 | 67.6 | C#2 | -44 | 67.1 | C-2 | +44 +| 15 | 63.4 | A#1 | +46 | 62.9 | A#1 | +32 +| 16 | 59.7 | A#1 | +41 | 59.2 | A#1 | +26 +| 17 | 56.3 | A-1 | +39 | 55.9 | A-1 | +27 +| 18 | 53.4 | G#1 | +48 | 53.0 | G#1 | +35 +| 19 | 50.7 | G#1 | -41 | 50.3 | G-1 | +45 +| 20 | 48.3 | G-1 | -25 | 47.9 | G-1 | -39 +| 21 | 46.1 | F#1 | -4 | 45.7 | F#1 | -20 +| 22 | 44.1 | F-1 | +16 | 43.8 | F-1 | +4 +| 23 | 42.3 | E-1 | +44 | 41.9 | E-1 | +28 +| 24 | 40.6 | E-1 | -26 | 40.3 | E-1 | -39 +| 25 | 39.0 | D#1 | +4 | 38.7 | D#1 | -9 +| 26 | 37.6 | D-1 | +41 | 37.3 | D-1 | +27 +| 27 | 36.2 | D-1 | -24 | 35.9 | D-1 | -38 +| 28 | 35.0 | C#1 | +19 | 34.7 | C#1 | +5 +| 29 | 33.8 | C#1 | -42 | 33.5 | C-1 | +42 +| 30 | 32.7 | C-1 | 0.0 | 32.5 | C-1 | -11 +| 31 | 31.7 | A#0 | +44 | 31.5 | A#0 | +33 + +## shapes 8 + +| pitch | NTSC | note | cent | PAL | note | cent +|------:|--------:|:----:|-----:|--------:|:----:|-----: +| 0 | 61.5 | A#1 | -6 | 61.1 | A#1 | -18 +| 1 | 30.8 | A#0 | -6 | 30.5 | A#0 | -22 +| 2 | 20.5 | E-0 | -8 | 20.4 | E-0 | -17 +| 3 | 15.4 | | | 15.3 +| 4 | 12.3 | | | 12.2 +| 5 | 10.3 | | | 10.2 +| 6 | 8.8 | | | 8.7 +| 7 | 7.7 | | | 7.6 +| 8 | 6.8 | | | 6.8 +| 9 | 6.2 | | | 6.1 +| 10 | 5.6 | | | 5.6 +| 11 | 5.1 | | | 5.1 +| 12 | 4.7 | | | 4.7 +| 13 | 4.4 | | | 4.4 +| 14 | 4.1 | | | 4.1 +| 15 | 3.8 | | | 3.8 +| 16 | 3.6 | | | 3.6 +| 17 | 3.4 | | | 3.4 +| 18 | 3.2 | | | 3.2 +| 19 | 3.1 | | | 3.1 +| 20 | 2.9 | | | 2.9 +| 21 | 2.8 | | | 2.8 +| 22 | 2.7 | | | 2.7 +| 23 | 2.6 | | | 2.5 +| 24 | 2.5 | | | 2.4 +| 25 | 2.4 | | | 2.3 +| 26 | 2.3 | | | 2.3 +| 27 | 2.2 | | | 2.2 +| 28 | 2.1 | | | 2.1 +| 29 | 2.0 | | | 2 +| 30 | 2.0 | | | 2 +| 31 | 1.9 | | | 1.9 + +## shapes 12, 13 + +| pitch | NTSC | note | cent | PAL | note | cent +|------:|--------:|:----:|-----:|--------:|:----:|-----: +| 0 | 5240.0 | E-8 | -11 | 5200.0 | E-8 | -25 +| 1 | 2620.0 | E-7 | -11 | 2600.0 | E-7 | -25 +| 2 | 1746.6 | A-6 | -14 | 1733.3 | A-6 | -27 +| 3 | 1310.0 | E-6 | -11 | 1300.0 | E-6 | -25 +| 4 | 1048.0 | C-6 | +2 | 1040.0 | C-6 | -11 +| 5 | 873.3 | A-5 | -14 | 866.7 | A-5 | -27 +| 6 | 748.6 | F#5 | +20 | 742.9 | F#5 | +7 +| 7 | 655.0 | E-5 | -12 | 650.0 | E-5 | -25 +| 8 | 582.2 | D-5 | -16 | 577.8 | D-5 | -29 +| 9 | 524.0 | C-5 | +2 | 520.0 | C-5 | -11 +| 10 | 476.4 | A#4 | +39 | 472.7 | A#4 | +23 +| 11 | 436.7 | A-4 | -13 | 433.3 | A-4 | -27 +| 12 | 403.1 | G-4 | +48 | 400.0 | G-4 | +34 +| 13 | 374.3 | F#4 | +20 | 371.4 | F#4 | +6 +| 14 | 349.3 | F-4 | 0.0 | 346.7 | F-4 | -13 +| 15 | 327.5 | E-4 | -11 | 325.0 | E-4 | -25 +| 16 | 308.2 | D#4 | -17 | 305.9 | D#4 | -30 +| 17 | 291.1 | D-4 | -16 | 288.9 | D-4 | -29 +| 18 | 275.8 | C#4 | -9 | 273.7 | C#4 | -22 +| 19 | 262.0 | C-4 | +3 | 260.0 | C-4 | -11 +| 20 | 249.5 | A#3 | +18 | 247.6 | A#3 | +5 +| 21 | 238.2 | A#3 | +37 | 236.4 | A#3 | +24 +| 22 | 227.8 | A#3 | -40 | 226.1 | A-3 | +47 +| 23 | 218.3 | A-3 | -14 | 216.7 | A-3 | -27 +| 24 | 209.6 | G#3 | +15 | 208.0 | G#3 | +2 +| 25 | 201.5 | G-3 | +47 | 200.0 | G-3 | +34 +| 26 | 194.1 | G-3 | -17 | 192.6 | G-3 | -31 +| 27 | 187.1 | F#3 | +19 | 185.7 | F#3 | +6 +| 28 | 180.7 | F#3 | -41 | 179.3 | F-3 | +45 +| 29 | 174.7 | F-3 | +1 | 173.3 | F-3 | -13 +| 30 | 169.0 | E-3 | +43 | 167.7 | E-3 | +30 +| 31 | 163.8 | E-3 | -11 | 162.5 | E-3 | -25 + +## shapes 14, 15 + +| pitch | NTSC | note | cent | PAL | note | cent +|------:|--------:|:----:|-----:|--------:|:----:|-----: +| 0 | 338.1 |.0E-4 | +43 | 335.5 | E-4 | +30 +| 1 | 169.0 | E-3 | +43 | 167.7 | E-3 | +30 +| 2 | 112.7 | A-2 | +42 | 111.8 | A-2 | +28 +| 3 | 84.5 | E-2 | +43 | 83.9 | E-2 | +31 +| 4 | 67.6 | C#2 | -44 | 67.1 | C-2 | +44 +| 5 | 56.3 | A-1 | +39 | 55.9 | A-1 | +27 +| 6 | 48.3 | G-1 | -25 | 47.9 | G-1 | -39 +| 7 | 42.3 | E-1 | +44 | 41.9 | E-1 | +28 +| 8 | 37.6 | D-1 | +41 | 37.3 | D-1 | +27 +| 9 | 33.8 | C#1 | -42 | 33.5 | C-1 | +42 +| 10 | 30.7 | A#0 | -11 | 30.5 | A#0 | -22 +| 11 | 28.2 | A-0 | +44 | 28.0 | A-0 | +31 +| 12 | 26.0 | G#0 | 0.0 | 25.8 | G#0 | -13 +| 13 | 24.1 | G-0 | -29 | 24.0 | G-0 | -36 +| 14 | 22.5 | F#0 | -46 | 22.4 | F-0 | +46 +| 15 | 21.1 | E-0 | +42 | 21.0 | E-0 | +33 +| 16 | 19.9 | D#0 | +42 | 19.7 | D#0 | +25 +| 17 | 18.8 | D-0 | +40 | 18.6 | D-0 | +20 +| 18 | 17.8 | C#0 | +45 | 17.7 | C#0 | +36 +| 19 | 16.9 | C#0 | -44 | 16.8 | C-0 | +44 +| 20 | 16.1 | C-0 | -30 | 16.0 | C-0 | -40 +| 21 | 15.4 | | | 15.2 +| 22 | 14.7 | | | 14.6 +| 23 | 14.1 | | | 14 +| 24 | 13.5 | | | 13.4 +| 25 | 13.0 | | | 12.9 +| 26 | 12.5 | | | 12.4 +| 27 | 12.1 | | | 12 +| 28 | 11.7 | | | 11.6 +| 29 | 11.3 | | | 11.2 +| 30 | 10.9 | | | 10.8 +| 31 | 10.6 | | | 10.5 + +reference: [Atari 2600 VCS Sound Frequency and Waveform Guide](http://7800.8bitdev.org/index.php/Atari_2600_VCS_Sound_Frequency_and_Waveform_Guide) \ No newline at end of file From a99fb1ce4589f92226e8f1a95e2bd6a8a1cdd26e Mon Sep 17 00:00:00 2001 From: Electric Keet Date: Tue, 25 Jul 2023 11:02:58 -0700 Subject: [PATCH 154/163] Oops! Botched the TIA pitch tables. All good now. Always double-check your regexes and their results. Triple-check, maybe. --- doc/7-systems/tia.md | 131 +++++++++++++++++++++++++++---------------- 1 file changed, 84 insertions(+), 47 deletions(-) diff --git a/doc/7-systems/tia.md b/doc/7-systems/tia.md index a3cf1208..d4cf06b3 100644 --- a/doc/7-systems/tia.md +++ b/doc/7-systems/tia.md @@ -33,6 +33,43 @@ Furnace isn't complete without this one... pitch number can be used for absolute notes in arpeggio macros. +## shape 1 + +| pitch | NTSC | note | cent | PAL | note | cent +|------:|--------:|:----:|-----:|--------:|:----:|-----: +| 0 | 2096.0 | C-7 | +2 | 2080.0 | C-7 | -1 +| 1 | 1048.0 | C-6 | +2 | 1040.0 | C-6 | -1 +| 2 | 698.7 | F-5 | 0.0| 693.3 | F-5 | -1 +| 3 | 524.0 | C-5 | +2 | 520.0 | C-5 | -1 +| 4 | 419.2 | G#4 | +16 | 416.0 | G#4 | +3 +| 5 | 349.3 | F-4 | 0.0| 346.7 | F-4 | -13 +| 6 | 299.4 | D-4 | +33 | 297.1 | D-4 | +20 +| 7 | 262.0 | C-4 | +3 | 260.0 | C-4 | -11 +| 8 | 232.9 | A#3 | -2 | 231.1 | A#3 | -15 +| 9 | 209.6 | G#3 | +15 | 208.0 | G#3 | +2 +| 10 | 190.5 | F#3 | +50 | 189.1 | F#3 | +37 +| 11 | 174.7 | F-3 | +1 | 173.3 | F-3 | -13 +| 12 | 161.2 | E-3 | -39 | 160.0 | D#3 | +48 +| 13 | 149.7 | D-3 | +33 | 148.6 | D-3 | +20 +| 14 | 139.7 | C#3 | +13 | 138.7 | C#3 | +1 +| 15 | 131.0 | C-3 | +3 | 130.0 | C-3 | -11 +| 16 | 123.3 | B-2 | -3 | 122.4 | B-2 | -16 +| 17 | 116.4 | A#2 | 0.0| 115.6 | A#2 | -14 +| 18 | 110.3 | A-2 | +5 | 109.5 | A-2 | -8 +| 19 | 104.8 | G#2 | +16 | 104.0 | G#2 | +3 +| 20 | 99.8 | G-2 | +31 | 99.0 | G-2 | +17 +| 21 | 95.3 | G-2 | -49 | 94.5 | F#2 | +36 +| 22 | 91.1 | F#2 | -27 | 90.4 | F#2 | -40 +| 23 | 87.3 | F-2 | 0.0| 86.7 | F-2 | -12 +| 24 | 83.8 | E-2 | +29 | 83.2 | E-2 | +16 +| 25 | 80.6 | E-2 | -39 | 80.0 | D#2 | +48 +| 26 | 77.6 | D#2 | -5 | 77.0 | D#2 | -18 +| 27 | 74.9 | D-2 | +34 | 74.3 | D-2 | +20 +| 28 | 72.3 | D-2 | -27 | 71.7 | D-2 | -41 +| 29 | 69.9 | C#2 | +15 | 69.3 | C#2 | 0 +| 30 | 67.6 | C#2 | -44 | 67.1 | C-2 | +44 +| 31 | 65.5 | C-2 | +3 | 65.0 | C-2 | -11 + ## shapes 2, 3 | pitch | NTSC | note | cent | PAL | note | cent @@ -74,83 +111,83 @@ pitch number can be used for absolute notes in arpeggio macros. | pitch | NTSC | note | cent | PAL | note | cent |------:|--------:|:----:|-----:|--------:|:----:|-----: -| 0 | 15720.0 | A#9 | -9 | 15600.0 | A#9 | -23 -| 1 | 7860.0 | A#8 | -9 | 7800.0 | A#8 | -23 +| 0 | 15720.0 | B-9 | -9 | 15600.0 | B-9 | -23 +| 1 | 7860.0 | B-8 | -9 | 7800.0 | B-8 | -23 | 2 | 5240.0 | E-8 | -11 | 5200.0 | E-8 | -25 -| 3 | 3930.0 | A#7 | -10 | 3900.0 | A#7 | -23 -| 4 | 3144.0 | G-7 | +4 | 3120.0 | G-7 | -9 +| 3 | 3930.0 | B-7 | -10 | 3900.0 | B-7 | -23 +| 4 | 3144.0 | G-7 | +4 | 3120.0 | G-7 | -9 | 5 | 2620.0 | E-7 | -11 | 2600.0 | E-7 | -25 -| 6 | 2245.7 | C#7 | +21 | 2228.6 | C#7 | +8 -| 7 | 1965.0 | A#6 | -9 | 1950.0 | A#6 | -23 +| 6 | 2245.7 | C#7 | +21 | 2228.6 | C#7 | +8 +| 7 | 1965.0 | B-6 | -9 | 1950.0 | B-6 | -23 | 8 | 1746.7 | A-6 | -13 | 1733.3 | A-6 | -27 -| 9 | 1572.0 | G-6 | +4 | 1560.0 | G-6 | -9 +| 9 | 1572.0 | G-6 | +4 | 1560.0 | G-6 | -9 | 10 | 1429.1 | F-6 | +39 | 1418.2 | F-6 | +25 | 11 | 1310.0 | E-6 | -11 | 1300.0 | E-6 | -25 | 12 | 1209.2 | D-6 | +49 | 1200.0 | D-6 | +36 -| 13 | 1122.9 | C#6 | +22 | 1114.3 | C#6 | +8 -| 14 | 1048.0 | C-6 | +2 | 1040.0 | C-6 | -11 -| 15 | 982.5 | A#5 | -10 | 975.0 | A#5 | -23 +| 13 | 1122.9 | C#6 | +22 | 1114.3 | C#6 | +8 +| 14 | 1048.0 | C-6 | +2 | 1040.0 | C-6 | -11 +| 15 | 982.5 | B-5 | -10 | 975.0 | B-5 | -23 | 16 | 924.7 | A#5 | -15 | 917.6 | A#5 | -28 | 17 | 873.3 | A-5 | -14 | 866.7 | A-5 | -27 -| 18 | 827.4 | G#5 | -7 | 821.1 | G#5 | -20 -| 19 | 786.0 | G-5 | +4 | 780.0 | G-5 | -9 -| 20 | 748.6 | F#5 | +20 | 742.9 | F#5 | +7 +| 18 | 827.4 | G#5 | -7 | 821.1 | G#5 | -20 +| 19 | 786.0 | G-5 | +4 | 780.0 | G-5 | -9 +| 20 | 748.6 | F#5 | +20 | 742.9 | F#5 | +7 | 21 | 714.5 | F-5 | +39 | 709.1 | F-5 | +26 | 22 | 683.5 | F-5 | -38 | 678.3 | E-5 | +48 | 23 | 655.0 | E-5 | -12 | 650.0 | E-5 | -25 -| 24 | 628.8 | D#5 | +18 | 624.0 | D#5 | +5 +| 24 | 628.8 | D#5 | +18 | 624.0 | D#5 | +5 | 25 | 604.6 | D-5 | +49 | 600.0 | D-5 | +36 | 26 | 582.2 | D-5 | -16 | 577.8 | D-5 | -29 -| 27 | 561.4 | C#5 | +21 | 557.1 | C#5 | +8 +| 27 | 561.4 | C#5 | +21 | 557.1 | C#5 | +8 | 28 | 542.1 | C#5 | -40 | 537.9 | C-5 | +47 -| 29 | 524.0 | C-5 | +2 | 520.0 | C-5 | -11 -| 30 | 507.1 | A#4 | +45 | 503.2 | A#4 | +32 -| 31 | 491.3 | A#4 | -9 | 487.5 | A#4 | -23 +| 29 | 524.0 | C-5 | +2 | 520.0 | C-5 | -11 +| 30 | 507.1 | B-4 | +45 | 503.2 | B-4 | +32 +| 31 | 491.3 | B-4 | -9 | 487.5 | B-4 | -23 ## shapes 6, 7, 9, 10 | pitch | NTSC | note | cent | PAL | note | cent |------:|--------:|:----:|-----:|--------:|:----:|-----: -| 0 | 1014.2 | A#5 | +45 | 1006.5 | A#5 | +32 -| 1 | 507.1 | A#4 | +45 | 503.2 | A#4 | +32 +| 0 | 1014.2 | B-5 | +45 | 1006.5 | B-5 | +32 +| 1 | 507.1 | B-4 | +45 | 503.2 | B-4 | +32 | 2 | 338.1 | E-4 | +43 | 335.5 | E-4 | +30 -| 3 | 253.5 | A#3 | +45 | 251.6 | A#3 | +32 +| 3 | 253.5 | B-3 | +45 | 251.6 | B-3 | +32 | 4 | 202.8 | G#3 | -42 | 201.3 | G-3 | +45 | 5 | 169.0 | E-3 | +43 | 167.7 | E-3 | +30 | 6 | 144.9 | D-3 | -23 | 143.8 | D-3 | -37 -| 7 | 126.8 | A#2 | +42 | 125.8 | A#2 | +32 +| 7 | 126.8 | B-2 | +42 | 125.8 | B-2 | +32 | 8 | 112.7 | A-2 | +42 | 111.8 | A-2 | +28 | 9 | 101.4 | G#2 | -41 | 100.6 | G-2 | +45 -| 10 | 92.2 | F#2 | -6 | 91.5 | F#2 | -19 +| 10 | 92.2 | F#2 | -6 | 91.5 | F#2 | -19 | 11 | 84.5 | E-2 | +43 | 83.9 | E-2 | +31 -| 12 | 78.0 | D#2 | +4 | 77.4 | D#2 | -9 +| 12 | 78.0 | D#2 | +4 | 77.4 | D#2 | -9 | 13 | 72.4 | D-2 | -24 | 71.9 | D-2 | -37 | 14 | 67.6 | C#2 | -44 | 67.1 | C-2 | +44 -| 15 | 63.4 | A#1 | +46 | 62.9 | A#1 | +32 +| 15 | 63.4 | B-1 | +46 | 62.9 | B-1 | +32 | 16 | 59.7 | A#1 | +41 | 59.2 | A#1 | +26 | 17 | 56.3 | A-1 | +39 | 55.9 | A-1 | +27 | 18 | 53.4 | G#1 | +48 | 53.0 | G#1 | +35 | 19 | 50.7 | G#1 | -41 | 50.3 | G-1 | +45 | 20 | 48.3 | G-1 | -25 | 47.9 | G-1 | -39 -| 21 | 46.1 | F#1 | -4 | 45.7 | F#1 | -20 -| 22 | 44.1 | F-1 | +16 | 43.8 | F-1 | +4 +| 21 | 46.1 | F#1 | -4 | 45.7 | F#1 | -20 +| 22 | 44.1 | F-1 | +16 | 43.8 | F-1 | +4 | 23 | 42.3 | E-1 | +44 | 41.9 | E-1 | +28 | 24 | 40.6 | E-1 | -26 | 40.3 | E-1 | -39 -| 25 | 39.0 | D#1 | +4 | 38.7 | D#1 | -9 +| 25 | 39.0 | D#1 | +4 | 38.7 | D#1 | -9 | 26 | 37.6 | D-1 | +41 | 37.3 | D-1 | +27 | 27 | 36.2 | D-1 | -24 | 35.9 | D-1 | -38 -| 28 | 35.0 | C#1 | +19 | 34.7 | C#1 | +5 +| 28 | 35.0 | C#1 | +19 | 34.7 | C#1 | +5 | 29 | 33.8 | C#1 | -42 | 33.5 | C-1 | +42 | 30 | 32.7 | C-1 | 0.0 | 32.5 | C-1 | -11 -| 31 | 31.7 | A#0 | +44 | 31.5 | A#0 | +33 +| 31 | 31.7 | B-0 | +44 | 31.5 | B-0 | +33 ## shapes 8 | pitch | NTSC | note | cent | PAL | note | cent |------:|--------:|:----:|-----:|--------:|:----:|-----: -| 0 | 61.5 | A#1 | -6 | 61.1 | A#1 | -18 -| 1 | 30.8 | A#0 | -6 | 30.5 | A#0 | -22 -| 2 | 20.5 | E-0 | -8 | 20.4 | E-0 | -17 +| 0 | 61.5 | B-1 | -6| 61.1 | B-1 | -18 +| 1 | 30.8 | B-0 | -6| 30.5 | B-0 | -22 +| 2 | 20.5 | E-0 | -8| 20.4 | E-0 | -17 | 3 | 15.4 | | | 15.3 | 4 | 12.3 | | | 12.2 | 5 | 10.3 | | | 10.2 @@ -177,8 +214,8 @@ pitch number can be used for absolute notes in arpeggio macros. | 26 | 2.3 | | | 2.3 | 27 | 2.2 | | | 2.2 | 28 | 2.1 | | | 2.1 -| 29 | 2.0 | | | 2 -| 30 | 2.0 | | | 2 +| 29 | 2.0 | | | 2.0 +| 30 | 2.0 | | | 2.0 | 31 | 1.9 | | | 1.9 ## shapes 12, 13 @@ -189,32 +226,32 @@ pitch number can be used for absolute notes in arpeggio macros. | 1 | 2620.0 | E-7 | -11 | 2600.0 | E-7 | -25 | 2 | 1746.6 | A-6 | -14 | 1733.3 | A-6 | -27 | 3 | 1310.0 | E-6 | -11 | 1300.0 | E-6 | -25 -| 4 | 1048.0 | C-6 | +2 | 1040.0 | C-6 | -11 +| 4 | 1048.0 | C-6 | +2 | 1040.0 | C-6 | -11 | 5 | 873.3 | A-5 | -14 | 866.7 | A-5 | -27 -| 6 | 748.6 | F#5 | +20 | 742.9 | F#5 | +7 +| 6 | 748.6 | F#5 | +20 | 742.9 | F#5 | +7 | 7 | 655.0 | E-5 | -12 | 650.0 | E-5 | -25 | 8 | 582.2 | D-5 | -16 | 577.8 | D-5 | -29 -| 9 | 524.0 | C-5 | +2 | 520.0 | C-5 | -11 +| 9 | 524.0 | C-5 | +2 | 520.0 | C-5 | -11 | 10 | 476.4 | A#4 | +39 | 472.7 | A#4 | +23 | 11 | 436.7 | A-4 | -13 | 433.3 | A-4 | -27 | 12 | 403.1 | G-4 | +48 | 400.0 | G-4 | +34 -| 13 | 374.3 | F#4 | +20 | 371.4 | F#4 | +6 +| 13 | 374.3 | F#4 | +20 | 371.4 | F#4 | +6 | 14 | 349.3 | F-4 | 0.0 | 346.7 | F-4 | -13 | 15 | 327.5 | E-4 | -11 | 325.0 | E-4 | -25 | 16 | 308.2 | D#4 | -17 | 305.9 | D#4 | -30 | 17 | 291.1 | D-4 | -16 | 288.9 | D-4 | -29 -| 18 | 275.8 | C#4 | -9 | 273.7 | C#4 | -22 -| 19 | 262.0 | C-4 | +3 | 260.0 | C-4 | -11 -| 20 | 249.5 | A#3 | +18 | 247.6 | A#3 | +5 +| 18 | 275.8 | C#4 | -9 | 273.7 | C#4 | -22 +| 19 | 262.0 | C-4 | +3 | 260.0 | C-4 | -11 +| 20 | 249.5 | B-3 | +18 | 247.6 | B-3 | +5 | 21 | 238.2 | A#3 | +37 | 236.4 | A#3 | +24 | 22 | 227.8 | A#3 | -40 | 226.1 | A-3 | +47 | 23 | 218.3 | A-3 | -14 | 216.7 | A-3 | -27 -| 24 | 209.6 | G#3 | +15 | 208.0 | G#3 | +2 +| 24 | 209.6 | G#3 | +15 | 208.0 | G#3 | +2 | 25 | 201.5 | G-3 | +47 | 200.0 | G-3 | +34 | 26 | 194.1 | G-3 | -17 | 192.6 | G-3 | -31 -| 27 | 187.1 | F#3 | +19 | 185.7 | F#3 | +6 +| 27 | 187.1 | F#3 | +19 | 185.7 | F#3 | +6 | 28 | 180.7 | F#3 | -41 | 179.3 | F-3 | +45 -| 29 | 174.7 | F-3 | +1 | 173.3 | F-3 | -13 +| 29 | 174.7 | F-3 | +1 | 173.3 | F-3 | -13 | 30 | 169.0 | E-3 | +43 | 167.7 | E-3 | +30 | 31 | 163.8 | E-3 | -11 | 162.5 | E-3 | -25 @@ -222,7 +259,7 @@ pitch number can be used for absolute notes in arpeggio macros. | pitch | NTSC | note | cent | PAL | note | cent |------:|--------:|:----:|-----:|--------:|:----:|-----: -| 0 | 338.1 |.0E-4 | +43 | 335.5 | E-4 | +30 +| 0 | 338.1 | E-4 | +43 | 335.5 | E-4 | +30 | 1 | 169.0 | E-3 | +43 | 167.7 | E-3 | +30 | 2 | 112.7 | A-2 | +42 | 111.8 | A-2 | +28 | 3 | 84.5 | E-2 | +43 | 83.9 | E-2 | +31 @@ -232,7 +269,7 @@ pitch number can be used for absolute notes in arpeggio macros. | 7 | 42.3 | E-1 | +44 | 41.9 | E-1 | +28 | 8 | 37.6 | D-1 | +41 | 37.3 | D-1 | +27 | 9 | 33.8 | C#1 | -42 | 33.5 | C-1 | +42 -| 10 | 30.7 | A#0 | -11 | 30.5 | A#0 | -22 +| 10 | 30.7 | B-0 | -11 | 30.5 | B-0 | -22 | 11 | 28.2 | A-0 | +44 | 28.0 | A-0 | +31 | 12 | 26.0 | G#0 | 0.0 | 25.8 | G#0 | -13 | 13 | 24.1 | G-0 | -29 | 24.0 | G-0 | -36 From fe66d4da829d73dd7037d0804d2b54862f7b0add Mon Sep 17 00:00:00 2001 From: Electric Keet Date: Tue, 25 Jul 2023 11:31:02 -0700 Subject: [PATCH 155/163] Clipboard format guide. With help from @ltva via Discord! --- doc/9-guides/README.md | 3 +- doc/9-guides/clipboard-format.md | 98 ++++++++++++++++++++++++++++++++ 2 files changed, 100 insertions(+), 1 deletion(-) create mode 100644 doc/9-guides/clipboard-format.md diff --git a/doc/9-guides/README.md b/doc/9-guides/README.md index 80c96a00..808c8dc3 100644 --- a/doc/9-guides/README.md +++ b/doc/9-guides/README.md @@ -3,4 +3,5 @@ here is a small collection of useful tricks and techniques to really make Furnace sing. - [using samples with limited playback rates](limited-samples.md) -- [choosing emulation cores](emulation-cores.md) \ No newline at end of file +- [choosing emulation cores](emulation-cores.md) +- [clipboard format](clipboard-format.md) \ No newline at end of file diff --git a/doc/9-guides/clipboard-format.md b/doc/9-guides/clipboard-format.md new file mode 100644 index 00000000..d12af1cc --- /dev/null +++ b/doc/9-guides/clipboard-format.md @@ -0,0 +1,98 @@ +# clipboard format + +when copying pattern data from Furnace, it's stored in the clipboard as plain text. + +``` +org.tildearrow.furnace - Pattern Data (144) +``` + +this top line of text is always the same except for the number in parentheses, which is the internal build number. for example, 0.6pre7 is `162`. + +the second line is a number between 0 and 18 (decimal) which indicates which column the clip starts from. +- `0`: note. +- `1`: instrument. +- `2`: volume. +- `3`: effect 1 type. +- `4`: effect 1 value. effect type is always included in the clip, even if skipped over. +- `5`: effect 2 type. +- `6`: effect 2 value. effect type is always included in the clip, even if skipped over. +- `7`: effect 3 type... +- ...and so on. + +examples of the starting column: + +``` +org.tildearrow.furnace - Pattern Data (144) +0 +D-6007F08080706|...........| +...............|...........| +...............|A#500..080F| +...............|...........| +``` + +``` +org.tildearrow.furnace - Pattern Data (144) +1 +007F08080706|...........| +............|...........| +............|A#500..080F| +............|...........| +``` + +``` +org.tildearrow.furnace - Pattern Data (144) +2 +7F08080706|...........| +..........|...........| +..........|A#500..080F| +..........|...........| +``` + +``` +org.tildearrow.furnace - Pattern Data (144) +3 +08080706|...........| +........|...........| +........|A#500..080F| +........|...........| +``` + +``` +org.tildearrow.furnace - Pattern Data (144) +4 +08080706|...........| +........|...........| +........|A#500..080F| +........|...........| +``` + +``` +org.tildearrow.furnace - Pattern Data (144) +5 +0706|...........| +....|...........| +....|A#500..080F| +....|...........| +``` + +``` +org.tildearrow.furnace - Pattern Data (144) +6 +0706|...........| +....|...........| +....|A#500..080F| +....|...........| +``` + +``` +org.tildearrow.furnace - Pattern Data (144) +0 +...........| +...........| +A#500..080F| +...........| +``` + +each line following the column number is verbatim from the pattern view with channels separated by `|`. each line also ends in `|`. + +notes use the default settings for note display (no German notation), including note off `OFF`, note release `===`, and macro release `REL`. From 1bd315b683c8839d0d07a9d6ed5806eac244d670 Mon Sep 17 00:00:00 2001 From: Electric Keet Date: Tue, 25 Jul 2023 12:15:30 -0700 Subject: [PATCH 156/163] Moved to /papers! Makes sense. Why didn't this occur to me in the first place? --- doc/9-guides/README.md | 3 +-- {doc/9-guides => papers}/clipboard-format.md | 0 2 files changed, 1 insertion(+), 2 deletions(-) rename {doc/9-guides => papers}/clipboard-format.md (100%) diff --git a/doc/9-guides/README.md b/doc/9-guides/README.md index 808c8dc3..80c96a00 100644 --- a/doc/9-guides/README.md +++ b/doc/9-guides/README.md @@ -3,5 +3,4 @@ here is a small collection of useful tricks and techniques to really make Furnace sing. - [using samples with limited playback rates](limited-samples.md) -- [choosing emulation cores](emulation-cores.md) -- [clipboard format](clipboard-format.md) \ No newline at end of file +- [choosing emulation cores](emulation-cores.md) \ No newline at end of file diff --git a/doc/9-guides/clipboard-format.md b/papers/clipboard-format.md similarity index 100% rename from doc/9-guides/clipboard-format.md rename to papers/clipboard-format.md From 934f0b53e6ec9a82e082733b18e16477282a1026 Mon Sep 17 00:00:00 2001 From: Electric Keet Date: Wed, 26 Jul 2023 08:41:07 -0700 Subject: [PATCH 157/163] General docs refinement. You know, updated images, minor text tweaks. --- doc/2-interface/asset-list.md | 2 +- doc/2-interface/instruments-folder.png | Bin 37929 -> 43379 bytes doc/2-interface/instruments.png | Bin 38435 -> 44143 bytes doc/2-interface/samples.png | Bin 41265 -> 44742 bytes doc/2-interface/wavetables.png | Bin 23217 -> 27276 bytes doc/4-instrument/README.md | 40 +++++++++++++++---------- doc/4-instrument/list.png | Bin 130716 -> 0 bytes doc/4-instrument/macro-seq.png | Bin 17322 -> 17643 bytes doc/4-instrument/macro.png | Bin 138231 -> 0 bytes doc/4-instrument/sample-map.png | Bin 81307 -> 80746 bytes doc/4-instrument/wavetable.png | Bin 0 -> 60602 bytes 11 files changed, 25 insertions(+), 17 deletions(-) delete mode 100644 doc/4-instrument/list.png delete mode 100644 doc/4-instrument/macro.png create mode 100644 doc/4-instrument/wavetable.png diff --git a/doc/2-interface/asset-list.md b/doc/2-interface/asset-list.md index 936c4a32..836d9b69 100644 --- a/doc/2-interface/asset-list.md +++ b/doc/2-interface/asset-list.md @@ -33,6 +33,6 @@ Everything from the instrument list applies here also, with one major difference ![samples window](samples.png) -Everything from the wavetables list applies here also, with the addition of two buttons: +Everything from the wavetables list applies here also, with the addition of two buttons before the Delete button: - **Preview**: Plays the selected sample at its default note. - **Stop preview**: Stops the sample playback. diff --git a/doc/2-interface/instruments-folder.png b/doc/2-interface/instruments-folder.png index a2fbb5ab23a6941f429e6c7d051363e9e57de937..0afe5e0e94678437a058ad6ded398c559cbd7c52 100644 GIT binary patch literal 43379 zcmZ6y1yCJ9(=H4l!QI{6-CcrvAQ0Sx9NgXA-AQn_0|)ou?s9NU+Z&;xB#i`*4-WwWfg~#SEjm{jnn15xgKdl{g?VWD(6I zxn^5xv-%;jCWegLRR(OG&wKTFo9)e2q0$v`j0TRPCwQGrk0EFzuniMr6&dKn3>)4F zc@&X#l*}ps9>RP)qAYorXCK)M{!@P?B~KLS_|?mWnKdF}`?kNY%N|r(FYORsH;1-! zBwdE($IT7?`|!d`JIasNS{>rxViREFK5-q|%gNbK{m~ponlICe29G!l3Xg_iBgxMQ zm|grnA(j>X7+spul8!PxcOk?P3u!AG;suM{%KDo-VNBwCuQ?0hu%IdBY9jnYw+&43 z@;^u5GQyyvll&?au@dd7wCO_(R2<`G0|ylH6p3<>`>e(Q0lLswT7m zEZcd*2EUS286jNT2rom>n}npKB=n=DvGErK6Ha`w&s+c>00RvzA=_khbhHk_%*@QT zNk=sqoCP2!Coiw8v;hD#(saClU@*9%yu4lif@z2wZQAKx+SRV6!L(2`i-v)F_~gck z9aaDEUA%(v@AekbrC3f*j%%CV2ovPH-FWJyo3k@xYSwPVRUH;p%Q1t`p4#v4$*IYg zOA;r0?1y(M2?i5yjjSvPH`>H@nOJ;F zQt#b^O8!-?3fhs)p~Hc4y<;!!lp?Ac;g30uu#+T~3G(0Rign8si9{1u1~Ag7_6=ly zF_qiG^I)9C<~H9AfxO&z;;oRqR0#RdJ=Z3}9Ijj-)qT0SwK444WPky&OQ+j4p+C8G zE2oL+X$?yo3*;jeDG}3zyq#s~`{POOnIcJ`f6jekRS{^VDVFH1l7OtJ?OOtPwvI`99Y82@w-(z0gQk_7k?VXr zF2v?0=_R<1@Rrtf{OoakaTM6DpkrH1$6f4Uu*Lur+t83JxwMs`Gg1|K^*XMZ1H>6r zyuNw+d5l7wR#D*wD|)ecSbZXr`(%lliKeJHDboUpsl|bH*U=M52;l?O2NAjWi_}|Y zdT}iYX)}w2d6PdM3Q3@F*{^lrhQZa=w|MKWX~VCe=q;l4Ep;Z7Vo>SGrFHSRX{1ev zk-c4~x|4@^$|i}8A6=N1njW3(xTV@(YRMSC*mC#E&jV?&~j7AVOG@>juZN zBP9rn)|Wt=Jo!sM(&cDDEy&Ar={y4$-Yt`b9S;XTr=7nj<Il8PGqS9<>;QU7>R2-Ij9Inh7}C^C2zh!9q|;P{ym8L=YT zZ#?v3pI zPrl5AXZ6sHjdP_vH%#h7RzEfDR3y|nOEsio?pLwes&%Ra{mNDADjU?4+rFe+p8m6^ zzO`;NOhywCEhZeycK&*zuY=|*{#{#|01xqasi zz2ljT{Z}8!aESSGM)K zFy0z9N=)zRoHpCxxzt3Nqg%9D=+XzRAAWDl%@q<&?j_4lf5Y+SmvRm;<@8Yy5f}}S@NDS&b}3>}rck!6 zx5C+DhA<9aQ)PJhR-gt_7>4%0OozMPH;Ubo{g`Ns4Aa#QBy zMpxPv%NQB&Lw9nd_LlUSo}27ypJWbSt7r~lPv^=axCtTxVWq#R# zO4#yI{2}W>Rr3jko9uYt>_rxZO4xg2y%s zf(L)8JfzoPJspyB1$O+zO#uz?=Xv)sqfY1Ntn8-ep6r5ro6@$YL9Vf8IhiS8iZ0E* zH@T;#*&;p8G7qk55JB)3LVh_LEer-KJrnKX9vXN_8Bt+T(^omZO-aKExRzm=4J>NS z@s)#a7wdK+n;eV7DIP=ik^GG|4VKgHf21^bFdV0?)>{Xa4NRR9d*VWp!iCeV;e`m8%Y&Mp90vLqrS2% zlabsLA*3JG3f;|HTkb4t1_|G+Wv{Mx_)Ypg%Ddw~da%$cRi;EWioeO39AvR1;wM7Xz~(l5-q{r zxl+{;;E(S-&ONrp+taf@TvE`_Z$fVYI?8qG)E0j|4tOrsSyp|mdO%6j5yRrz8^6Id zzY_xU$AM`zD35-*kOMOPAxi?r;`taKy?5pK^e2F4|K)?~b@4~sM$?*`o_Omf5^{L= z8r&S^{JRIxDakPQKY6q@`1b%!w;ZA~);C z6EgqtwG+gpVtvrqN=qt|^-qj(tzsw|iN_yqeZ`LZgmOe@^t2MN@MLJy`OppEt_9@E ze&e_T=jN)Q+4~^WemD_u%M7;9kNahlfUR7bKu9km=*^5#p4?AcX(e$@TN(vlg-C4h#@W_PE=+=-fQw^7;i-Uu{3_FXV5-V>D; zaB67P3-cbF(6%<|qC0+8gpO_PvI2cG&g1+&vTiOJu`TEOH|%ZRp|4JY>;b7@k7`Vih3LLOBV@98ErdK+bF!GCLX&2~ZddfNBGY=}k-Af{K>iUr9dU8Z{LW|At;R<|k*!vj zpM@RU<#7R-Ghx33n%|-HO3Cvve9QJ+S&n>`$Q5WXLQY4zu|1v{H|HQ!{$>yy6$ZJv zlqw?k`b)ve+CiTcdCc6I0dz{jH5>6ECVE1jY4x%=K2!5^=Kz?BAkvf%c=fUdgFvA5 z^>t`yXud;6TH5e9zYjc{jndYq84(m1sVI0ao{cLX9VHIH;`krUH++1w#q-E#kmtOp zZG7`$G~f>!7t9y(Y1@P7)5rU@u)|=}X`6x2g4KgK_AlMNCKs{#tt@>?b#OG4LZRe1 zgc;#0&s7q?bqgNG00~!qMr7A8THxB^{pu$PX1%UOtJ9UaMY}SC3%tr29fyznt-TRU zl67srC)+L-$Y#B)Lrd%Yo%_5qdgF%JP+h&wld(B6K-CNG7~%TX?vu4LUSr`8W&`uQ z3#y^5Pm<{Dj#aeE*WtK?JR`6b@YIK89%Dj*JgKa0y5Pm89;CcjRVY!2Fb*EhPypZG zuX$MszERX=umDd}?OFD)S6ts|$YFVDL_u81*bl*2Pi8P&|5+QzH)m>|8vS2YK={0?AYX5I6&C;c@ zv0P&zJm8v|4mUo4GjB6$Qok?)ACuyACff~)hS?+jIyuwCqL=rDI_nO^eI{(8;Fq@< zPBW=q*5tG_;PdXTK6ZqYzdbOf&tx%Xn%?b!66N8RNE|WJPjGMS(u6zLKhp5hnjV6kyk7>_szm(E{KLp^pU1 zncyJ-Dt1J6vXKdNRPE#8FtBq0!DRa)T#l0CEb8T^1fLq<$JTArs*adS!^S#y+WqMGn3!z8z(LgI2| zp}_i{DIsi;X0s#!P!Iv_^&b+5SpUFX!2D}*V5a0~ZGA%6u0qMg6oaylbRc-XUI%1T zo6R1~7^SWJope`jJ#_5SIM)KBr{v8nR?~DLGUhDIZ8r*6nfVc&q1KH0%s+5xH)u0b z7oSec`f?FCa7u(fDj{1$&Kx!YKZgB{j*@=MNj}5FKd=d0|I;ay70{8!S^azmcFRHu zEAI5v5o?^Ans|21=~VCn4p4-{WCR`(5c@Ga(M^U6EE9^J-D=;p*b|MV#y4%~)ZFT} z41Q3ANxjoru;}mU8%WC+zle)U?4aob(6;-bq*1sPBg*w4URtKAX?qC)HrxnI*kh^q zf)9DH=n&Mv>;kb+{nqbpYv4EPu*&B641h4Xy7~a}`AFJQ)hacs4yM2TiSPwZnPuj& znW7>hkYns;&Bkq$zKt=PLFh6jnU44CBifaCNx88&C+Pyr*t=(|vG=6@c2A{2Nn(GL z*%}?Q73~*-2Vx84A}}0>K;XBP-Q)zHuZzJJIaXNim-i@1wn$SebLPwI1@9vNJbc($ zy}N^{-VbVO=awizj2XCI#z_wgAvdOiY|0x_1U*q9P)Olf>4Qe4n?hrxX=D(fuCSP<|a72=con=E3La6eZ6zxkVN; zS6+R5-+~cI?Q8Ov=Mlw-f1C&^nens=tf9PC(XNUPJ}p-AP1hM#X6~mNcCmlh0#4n+ zwhr)LW2T2GtIwjsQhqx`Qi!XW9RWFHDYdv+7VA{P+D^afOz6e-_eEl*MH{l)rcD+0 zk0Cs8Lk#m#;d55kAblNeL8No%#G3q*B!;$04&Ry_b>KlBF2!j(8|+Kge2Rs6$t`i;_#jnYtEMURz$qx%*^Ohu)$KBU>BwM3Y|+&W`A66CB2U1!W;E5U@@tk*wyVU zbcAsRE|!IoyjifQtv8ST%C%rQ$LwEQ>oDpI)zs8nw&^k-#}`iYqfXx0ABidC*O-rF zz}m7w4VM9>marIX;ofVTIZ*Ph(46wG>*DJ0oru$>wfx*ZFa863zm|uV*7+e`uN#h! zY<~WGKBn6|Br!hunlD*aNJqw-@0{55ZhJY_Pr#RZq1-KM#g}TiAK0qPKobPilV(3$@ubqSU#dAMoeDnV(=|&7 zU&+r?L=Z5HSuC2Sq8!W6&E!&KU}S`ca}3e|FOvT>V2!oDTw?0Vk0pDBc!0E~#W&d+ zT;0{++5ZvPf1C7qavTZlb~(IVCJr61HGOPdA>k*A{Nc>?o>~k3un!^PvVHd?cp0}R zTH;&!sG~RGS@@xj$6P{BzOIt;SU*I>tri^o2O@a<*6NJ^6ebO((hLpr zrXZl6;stLj4|=A4LJX;7?8Do1GIm@MF$)SDIK9xR?SuIzs6?Fd-O=T5qGxqh$}+@A zS@Os}YtK-Co({%sh_ashS4YjMm5olHK?$>%N@TZF48oJKzSuo(ZO#g`ya}=lqV- zsM*~0b~MbsxVB!#Jf9l@@gGz`!UKYzyQv3wHV8CsqM{H-O2C7eiH~pdtkjok;%WP> ziq=1=Ut3dCf8D{GMQl-jtr%>m`eNeyFHo+Jh|5iWe|cqNH`Bu(LQnxmPv?-k?9M|o z;%uQx7ui_QVGHV@8B+BrEX91GhNF_R$KtyluamHv=kB-5$*wi9rwgnuGp^STx2+W4 z^Sn`7i|Ed1!_QL2pT-!5k#Jg6v8gapI{C6;=3fz*T-USik6nPF{N*D>Y}(R;YpK~U zcFhtx;B5!;**&itW`Pop(pvCzYBN_YEAthxpuX0>ZKtVGG)+fVyJkb6g7^cr*x624 z+|A-CC7GW2xal*0fq!s_MT0z;8rM?H*8MaX*I~X)^;`9IoL45sw_5iOFOmXmd)Umm zS+v@i-QD)?l~N4~%EVysL(M;G<7VuC;XP|Bq_?|O-3cL2`?G_}jI``9#^)}p2N{^$ zz&W=mN1$fM-~oA>y8&#A*bt}qL=yBor+Cf!^F4AfwQh9$@`V=qxzN5+G`7Nppi#h< zkNpku!FEPG&?x5)PE8uOyu3_-R2Y^a>3JYh_|=dF8h(|bkyE{vHF-yU69nv#a%Z-arVyQdY3&cD2pU5p!N zrspg(`YlAaJrR#FcDG-EVzBF3bZui$d}qNtLv2g-G?cqtC=`Cx7`WV)VpA2E1s{3RuDwl9xI6zn7lO*d&ZMua22wMAiWq-nKGNXHAI-jc9wUGp_Z zIG%en-8NE&n!Bm9q%28U+jRqWIUH%O?<}YwINO0qq=R;Ym*3pqTLN7QsIC4TV9PFqtH*)oM(g;R#lM9u}f+eTjtVx|~b1q6~5bf?Pxz;b#OXg+TW!RbTTxc53f(ef@Zq_C9y zXjtN5&U2^1%I&9R6##DpeJ|3Ijb#c1O|M*tl8?4imI(G_Pe52(S*j!iZN!ywf~T=y zm`d7JM+crrve2g4G5pin^0B^t?ltG@=in2vG+zd>NRCb-e(X8Y4UCv4i&k+HI*Mft zwb#;MTzK1x5*kiurb0k6GDs@a3O&2p-pwuz^qhWVM1%}@K!baS6^T<6^j1Wa$R6LNZ8x3dBvbX2Q2GvxNZ3^-RLj~4w!!@_nuK(k^#0%Njy^*5g@VJOJa zVhzVsU$OtuVkl&vO+MX`|xM{hkcEs^qBKMY55nXz|lc$gmVyu1wb6^%iltz zp~$kWOBH#~ay#AW4)(CZt%bX_GWjvTjaKHNk&M-<+e9}d5pVY7u#N@$#yw_Bk;Xf* zt+bsMIJQdpMMpLyXc*S1r-uwW;L_(gb=$j9=967K`$7DFFxOW~T$m zSVo&WE#`0g)xXEDWS&!s{HeYiG>9F(pkrmrLk%8o6X?+0cd^^}q49*EfjT_q_}h(G zm}9QDW<>KVU#F!Hktv0?d~hulH^o2GeRHFuD3&~P=tDVR?w0IU&)MeD3wjCk>DP03 zqnItNLfjqhmti%spdY`hM**8umjGiRJr+Z~$y0J!!Sm@aHjNG&OKo{?HB?FuQtus_ z@H_FzcWFDqt)Tx}$y<)z3hLnY!}#WLPHuWqlkvZzkJ*MKePn+3gnRQ5KK6vXl%suLU=5K?6Ez73 zMsH5#k+HZIGChfiGEi&(YPX-kaiISkBy{v!=>l=tZCtCjSvf@S0lp=3((r{|g>oGr z+8T|B2Wl77=7d#(3N0S_hy$3uH%$8wxHGDfw$6$W^HXS+S;`w%h$~c|o zP%*HmWV=tkky^UZaKXFfCR$u`qPA@>qb>J*ivaVpPf>#1kaJE~=$yRdscN;ABycGN zs^Y#XcdKsTe0$3!KCF(myEILGC>dQUuf{?Rq>ONIQlWUn`6o=<2Xjo#B%TG)=?AgO9!(!aQp18wkM$fWC)s zzqL@;$IyUBf;3M&uY!a|##2*vlTvDD1fl&R#yKm0Tq97ajoBexI5K+MD7PZFq?4SC z&pbT?8_KwyXFrkV#9ef07N_jsL7-0Ft-5wEpsGl%l@dC)(Kf7*32tMX$BlK$#B3Rw zU47)o%eXrm>=MFK$Ew#T2{Xdr4V9P|A*zJ(*U*~*pt4_E0}ZotXU;9`g3ROJ#!lP& zO2*Js6%=X5M}tUN(xORaOM*!x>!+p_Dol!&t}J3?4ZJ6$#v(IgN-)Dj>tVZ^{Y6?2*&lTPaM8W4gjzY z-7quS2mUr^fSK&a9%$jwdjyr#Jn$1_grCbZrn(czsf{=}0&VX2NwB>R2n3dnU1Fol zht!N!gR9cTJ+YH4b&8QK7bTm>m$h0-DWec_5~+}ggu2*5?I|TtgJ78Td#kiy=c;Se zHKyyBBGWeb#9abp6XWd%;3jVi`w95c|EP)hWmwIX*BO63Gs;uZKkHry@%H6;QVlIO zuU8J!kKPK=Gv!4K;4y?kRuzxH^rIghll`?`qK?pG*~?CcA@Ce$AbD+-{V;^Bn@&3% z8_Pj#V%ZqE=S9#c>Y+B2_TbsDMo%N)1luhRN6lBXa}SkuPngDm_$U99f5lUID$kb) z3TM%scJ8l_3T{v4NaJF72Lrs@9|cfyQXMzR7`Bj`@Mnm41lJrQ@?tRNLKw?M#Cs4; zY`DSgeipm6tt%RT<{aRp2os6$zAx*kon_+;jODRnRzrBWG(Vn1_+Ngrc4cEi))9oo z9n~;iY1gooLcked?M{S?LSt#qsPiU3FU(dPa;Ttzj6W3$X0oKujqS5wOy`U?^$Wa= zOYqkXcsEL50J+P>*sG9w#pWoJ>n~usHOurs>p7I9U8tq`$mEGM0d$l7LUi;+Wo3^H z2%(Nf65s_~PakX8sDCNHzccipy5EJk7C8

pjis#S5@J}IMk4M@-O4g0$h46gKLQp=mM2y{rAD+un4N5M3PoHV&r-VpcZp=dL_ z-^c8yaXuSmMwS(7YBneHM8Jlae;P`1GG+WwoejuzmY-T_U?nN9&+zz=Wo~sH`Pk=m zdqMtB%k8B|r9==ZGN@?#+}(ScC!*hg_QF<}x`PR^Lsk0K-Qrz!g-`g4zzbklfeJBk zX$evkT;#ERZSd;+7GF1DX8D|rrNkg_v*3PX-PoINMMz&&@3KdoC$S*{*!qOV4;v$e zU*?!31sz3*L^aF$4JKVS<@>%b8Z|7Cn*mXkj!19TzT2Y080zt#gFj13cC~G0Tqy%LohxG`Y)g_XK4tSnG;(}jXphVQZ$UJ%zj_BVudvw#8# z;viQ?=NvtSA<$=;@2fv896!;7BwSjv!|Yl}<1ov$cJ>yIkzaqG%mgbhmy-u5>Qx&) z{ir5CE}21!gkdR?#dVEsF2_Ts3F3{{gs5vjt76{60WzUPfvdhsaE!*s$Q`X(iCsgdwRgxTM9UZvU$5^NZdh{lj~9uk2rmTUYgZo)Qs%e8Nyz-c|4b*?&>n82&Z-;A}FV zi&1o$@lh&fwV2PoZUHIx`FLA4_c<+3XR+EMl6@s#K=%txhh*6>)^Bfc%|gh9^yejP zB&1*{cCEKb$p<~xpKnuLrY)+A%@UR*uu>~`Nv!6S0BO2qsUSQnaBvhSO5SCDiiSu^T<*<)b}8xEB#o2H^2#+Ux8d#8 zGDjEZ)EKZ*73_O{84(^n?VmCs+{e047OvM8tEAu3d+sfD0M5FZ#C zaf$_R{h6htvW?=Og(2CjibAy&;J^Hkr|?nQ0tjBK9cDM5{ILvIzP3jzo{-MD!3N=@ zzRQ6Lvr$030*CaWb zREV`J>c(DpM8OgCQ>cQ1eM52qJ;wJ-nq8_ajlB2`m)w{V#9pT#`FEv(^xmc4E0O__ zmEd!(EZKd6)vU?R5O)e&Y;48zt8*G0Iy|M5Ad`*oWc1y(33H!!qp&h8-<6o>kM>2r zdKz-Vw}d?Bs8UrY!9lgs&;4G0z!Ja?3l38V`t{2IgkiK#wwCoEezSqru46Q6r5MOp zXo$6O2X&vgK8bL8L{MgSrL=cQ0gYguH}WJ^?3%#nA%4c6iD;FxmJM60j{+cG zF6MS~YA)-=PbWZ)M7RzQi^=PFl$@s3gi5zhN5AM6Rx}K^3whDp zb8a8PDl@V2u|)aQ3U9 zPRV(4X$yFe<<8;6KP+twgXmN|@4r~A&iJuV9CFd}k(dliN#~ci5h1E3bxa6hHJ{}y zrL$wv7`_79*9I+H5y$mxFQth(g7m-Tueb3IcwFnEQsTHccEt3xQlP+RpYa;^|MXYC z&xER~$Klpn%`&qG<9nWj$)~=zM%D9D?Mb47+~+KWuE*p4!#OJL0b=^z&YpsKKLsm0 z2MrZi!!Pf}H@$Q=?L>VFV*3J`)-|C`D33@;-p6unKjDi_o>rTnP_It)JXGzKl5vkJ zw&e6*49FWW?0>}>{)50|o#*kK{a4%EqH?v)=Gea;uSw0r)C&JN7D4(o@>}5wxZ)N7 z?DS5O)ih44*dqAd`cSCqsnLS3EclGcLr2%1F}#lZvCnTiYR&M>^ytU_Nihh1&v2PC zTI@Uk7TH*bsEmE)q?O(v@j^W~$=c43GxQ zZ+b0r(PREK-#V|tAnMk^22BwZa0t9mXg?zICV=bS4M-X4;v!`kNsu68IA(^2$nL-k zZ##{>xo`X;u0VlSIt*tHnLQK&0~tjqG4fwZa-UD}E}!@>33=Cmq|<*XNh%0U(7@Mn zQI&=ix`?}{|I1*42IMRMo8zwem)88>JCLqK{BM3nc<9|{7Oj&&4s@fWze+UXRpyXI zX~&J+UBdYPPd}xG5|c~{Uxv9XgHXF2HRTN|Op??%6(RBu?lb5xMM^)rH5-uNmeKeS zH_ReCPXa%NIUWtDb``4F?=GQVMWg-_c@(1jax!Dp6FV*k*Xw}Os|AMF49Hlas?J3x!MJB8`=`}B$l{24Vq z)`#=Xh%5CkH7QV}*Q0wu*>LORsQ>0$daW&zD)f<4fLhokv597vF6?<`I!wn{raZ6r z>QLrwtZ#LF0t8-SaWVaf`!+!0Y?m?(SHBH++ zLh%+$`gx%^xVOzQ5n6{DL8v@Qw;~F1#C}9$x%@SUil*O?S-xhByG+;jDD5aShua9NDT*GN{OlG4 zJT52?6eWg1@-F&^7xJqc?Az5#=%nvKvBlcdHdf%qamdgWRfEfV8BVX$2dd=HhS#G3 z%SOL!20@m2oqN7>&q&n?Jw{Rh`19UI($Tl0>$(FOn`!TNjUAFh4tkBt z->r!j2|&cq*`S1f2`M18^U2w>UH>M+=8vJHWkgZFzv3&_%O*D#4&P!vBwkHoy_RkH z)Me+@s~0Nm@QySWjB=uf93`Ad=nP{QG*YG5NSujn5)i}FoPO1v!&z8Q$l#M>LYpy9 z|5{w2lymai8v!th(ycu&Y+b9Jzf_S3ncy|G3|l;jNR>7IiNNHfJWX3`v_QL2MZTR= z1j+o+^{f1rY)yi+`aekuCXNYMC*-`WZ8Kau0E%in}-*UHv}944md4!eko@cP1d*rL?jQvukR*_^76XKy{{pp z8M<4E%+j+sPC%omh@t8;EI9Y;Db*D*NM8iG_q1ifr)ox)Es^MP`F zEexMFJ^}gvvDDL`xMYj(Auz`gROPapQ>CW+I3#sT#WU9}abzGXv~0!if3XljR9Aw> zwDc?5MXZcPS9nS-ytF1*mJ+8qqc`Sd4V1NqZznmQQJPm4Xu@8ly0+Nhk@yw z+H?00Lt$YExEfSqTx^k9^y+e`T)XVnmHpXQ@9P1y`P5wrAD$f(&k`hq_3snFyLi9c zu2$+b_SbM!0hhR=@iK%ZclpM1L>CLbS(N8_J$Ah7d%gO3@9=j%6@nzV)cg1Z?afmEb3yb@;whq3ytBUVUo~JLijuZ zsN7&2#`VQJAFn=@0d`UAUB|dTorEvV*z~8#_!LY>c>u}ANb?ybFgU0$n4cF8WH^=K zE9@HKb2?94A2z?!2z|0wPk3b*&o~?i1(y;Va^%1;`a1u3YG( zYU*35J9XlN=nZT|-abPnm0sU0uG*Jt|8B3M>-)UD_7$Ua#=*O{WA#ySLxom8tf5p> zpXDl(1K&l!?uP>esUcoP)W-s6gCJj*aw?uq`CesQbr*GdIgaL?Za9k6`ot|x1Bq?`Sv(P zdHaKhXx%W%}QR~ z=(Hq0S7nP23(|dne9{2ibBddJm`uWhX*I+n5IAXIu75*5Qx!3TrymrEh#ajb%FS@r zA}>|Eeus$6I)pQJz(3mhhMQg@t5g7Q>wvCgt`;RN1%|hKMkSl)Hf{r$z}gbBX>ljM}~x8iocUvH{}~F)NK|8n&{*Z2XBE(;2Jyh&op5r%&kDD zF)HeiJT$Gl9k`h=H1yotX}Kiq&(V+w_W6BVWjN;`w#CjM_|zHU>NR}>92-1g+m;d$(?CS8sTeNwk@?Ukvq&Io-b$gxw zfI7E!t%~LAHpPLHhDY>{Stv3}H=?Xm|5hE8rH5x8F;H&7pXhhKmQglp%w2j>4V;(- zV&5VCZ|VOK&-4-~MNqv*!6PK3RvTnK(;D4OV-vS7qtZ>C?ByUxt5WZyt@y9aCqs_f z{ZZRGy{Jw+db;YegOpZx5EBC9=x~X^XGA@r)%Ja+_k2G>DZhjNsr52G2uKNZQ$6al zp0o|q^uG5Q!A}LBA%lh72MML>762gt$$>GbyqJtBYCl-bG{2k9#{w#%{O-HY*HpZl zj6w`;%aWT$m{_E&#)bv$gH)P8Ssosle@*Kmq%BS^-%9v^T&0VbN@~+pl>C%8DZ_UV_w|O;2-2$ z76^rnmF{3>WZzfLTM))_T#;}w zz+SzN^Oi4Iv0}6O?a_1C4NeW|aM)mKRi*Fi)t+z;X7ASwFDIId=;w9Cc~ECfi5U== z2*$E;8JC&atmE(hhkB;RuI3qwDdRjhgB9*RmG?me4qH8db1N(Gl9Z(7V0`1zy8$In5ho{i=ARU~(s##+wPJmd7w{l2Or&T~ z-=0*Y!9V(?`dVbuT{oJdd|ATao7Us1s5yLt&C<0pQ0f(Sb2wv-vvSA7Il6trTG!Fp$ z;E7|G@&JEDE2~d?tSsum^X4l(|LebG48ToE_`v_X8H@g8<&NQ_E2!(7SW01j^|dac zyL!pgozh;0c!hcPxP2B-X!qnE*r85=W)DBzp>506;mfZ0A{XH|JXFDvGt0J}m%$l* zJC4aUF%N=yO^y@JR|B7g{yj*lsiY1L&rXN!A1HT$E>BlnlC6#9aq+J2e5$t$`u#6}FU;iv*_=Mdn z)nL0DfXKcZcjo|u_7@@&CL&T-?|zuTWl(F@pM=L4;JZis5g`M;TizEFzG4To^U+5Tcq1fexC7_33v=F;

JWw|av2BbY>Nj_S#UE%99dLITADOX@} z-04)n7E5uE!%6JF@7Do)pi}nV{a`6HV19S5>GJIC>I7+9yI>kuRV9$2P}fX0n82Bw z#U)v3HI~E=({1v(1}C){=Kla|frL}{%P9xgc`4`Uv#Bx^_{UnsWPSw{d_27E$#V0b zo*m(2#d1-!!;c*;4p;gUYEj6z^d&J{+uHQ1YzjFBz|j$z&(^zl3EAr0-K+>4QU~b> zQ_JC_UL#_BMPAK#Cg62u=T7F9^*a7(2yAUo;`Z&g=gg&wcl%a^`kR1x;{SO5ZT|R# zhAQTC8_Bxe2t)FROR5^{X^2lxPlv$3yzDj*s>b!sD7vhb{e$IRIljQ*tT(~I!Qmw0 zUT4w5&Q@FMbOQ(p!?AVU5Vfp(X2vwkL{bI|wIV@|10=&OV2iBkx0EjDb> zy6WnuhV|sPTT_*9#P}5@;N|bThcjfubJcSqsRW7)8$6nBZ|cU;#_}}tl~RQ>XvDoQ zj<%6ir$|;?5K8f7N}|F-w;+#7eC(H z^Gk0qX~VpKK9;Yo-JbsZ!-L`bcz6c&Zl!T?>Qy!~KNCVV^R*O+7w$9|f_&Va<1@ea zoB0zBGxYNEQtuHGoYQ5dT+|+M*JlzW5Lu}KAcaPiR+_ngS`M@dbz7`&ug=RG8bEy@ z8Moovc=mXbuRz=b9$$^-svorDJbHSz+?xp2A|xm%|1m@*UyJi6Zpy?jReu74JISQM z2pur~5lJILnJ6EOPs0S|)+qyk8zk(!5s*$N;e#%CY%Bsa0GyrNK;^(m+6&8v3$}BO z2D6G>C52qS?h~^-7G+{$GHDG^du;Wr_7xngk1iQhuQ5a^12H5*##k)0hdzXNMo^jS zGayu7qgU_>^ETaFY`%mI^GUuXgTtUud^+*Z(WxS?um5P8*4fRs+PTlwyPH~D=PQ-V zgg$(H5l+TE{8J&b8ScS6;jr8jIx?6hR`&&~6djtYmNgpcx;smg{Pz#5a+>~x0<%(z z+r`oP#&B1PFB#^Dd5YL77`;62dmX6nkGSwLN>LUhlQF4z(OobelLLS2D zJv}|o8cj@0ICU#P_AXm7nPF+XP*39as$97LGX-y>=P5p07f&t@QX!U!Xd6#9jD(1O zvh2g^wL3V-;&X(89sS^D7{-2 zhgP2&nIswfmTc%9UKGV-ozr?ODpF92hsYYp=>|tFP*-S@gm0thv>jBM*Q}2)b8@UV zPf%DmP1yPM=F~d4P@pz7Nqp8U-i_*O7ss13wa#x6xl%=Srz$Lg^K$U@$B!Qy9WsUJ zNWY^`7&t!**c0^Fj2cD1->i?YRxd&XY2gVR+BV>HoJ;uI4sHEGqpSF`^F3IgqX+Q-#?ijmM72t8WBXvXBFT?c(c+E zY9DTHZXjQ&7zn8NKW{IA&9%E!Xk@;^Urkx(5YT*Qj6p{o8=i z%A&l#!F74E-J2>>C7k*TLe&$`LFUIf7A)>_Rc$>{L@Va;&Wal4&gQ$8aC(gZV}~QY zPQ>sy$qSI1gYo7gF#J8>`TKkfsB>W%{4HFvp$|61_q z?$5jC&h`DT{YRM?;Quiv5OuhF`XA}}e-o+y&G!E}>9$~gdnmCvkh|cwXQ7e_xL~uu zdyJ7>1J@QD5O9_C5Y=@H@e%te^S_zl^-vg$PSkA=&>gQc!XdX?&>!q2kPq0kCfw-Y zV60t<|9X}vr3ji}!=q?K9!dCk&3^hPa4-cRWxa$@z%M*@rfbTNdqze^Iy#trZ?3dF z%Yb2^;5B=Dez0u%RbEmiz&-d;DQV4P={Qw#WhpGCEJ>taBg! zqwY{c0MT^mPvTRZZ|1Z3^SuRFz0OD)y&o?z zsHmvel&;S9-y}R0vY8fy=Bei>eL}zQl$9W857|N%8#U>aoBfy$Bjw0;u1)g!w@_eE z5f3heg@l&-zNrtgeK*tu%xbdFTP)HbZy{cwftVRwqqA;5GJxq7?#m!S`t&wl+|&TFW4zLuf{4H11( zaLH46BDYZ_?%SjnxxTkIz(SD~;`|Etn-;K{M$|a2{%!FGw{@^K#2B*$%n8Z5prD}F z+1|Zmzh)ptpp)B3wxT@s9l9$g^B2-1eyg^&ww9~|iN_)1l0jF|dA|ZoYtz+1xTpg# zS)csE?v;gR0Wfd-X&&=ZEHk zm1=I_f`OR`w$s+ucF!05<-5Ni8vt}vFAc8{0SF?;v#V{SfQkh0SQrRBdl~-P-}rEEzKt}-zA>XkLJf*UBHL-G`A#?ytsdn^kv-Xbm>KOWf@WJu~8Y@lefGq#bphW%wryK($B{M5Jj#W9#ax=bC zAth;=Q_B=NKCb1Y(Uv@sGzeB`!XawBPb?>QVy9ndp5x3^Lx}{S^r{Q6jXOa8hZ}Gh zvgb!gI*$f@i6Gqbcq0o3DdMsfZAwK1`~*@J6>0tg3CwUr~|vOCKu7~l&Th>DU$1j*o>vPNr? zCn1C>T+nbxI*)14nUMKF3aHlpEcd^`&*8oC9IPQ0Q@cvPK{ZgZlV3k(S^*`p>G}lO zo5)S{uGY!2uui|)PRb`l${&;7Q^0n1wDu(YBf`bek(hVF5V&Z`xH=jC~BBUPE7I2?9%zZWnA|f4x3vPC-_8AN) zm(5(`Op~u~VR-pJ3MJw3Es{<`oTWqzfai55AAo+aQ2ISUCk|wrfbSPZ(tawvJmCi5 z$_jc%y`L%v{j?hWMZbWSE*J<-<}vTVw}n68V}umW7^C-pHj}WafqT23K~?rTDL+5| z9iif^U^+muQjgEY#oV@v|7^Bicr(>JW70`}>On^nDDEEqWC1)+0E8INZD;G*vTN@A zpM6-xM>H(R2mpb51^@`z>UArvhCeLVIs4!{?{ z^6qQ{TAG-sC~iA{j~uRxw9xlzR8-XVBl{thAI|hn!2W{p$xX5}kkOz{%Py?WBkVfH z7$L`%$1U;%R_st|J*PMRC^szfpxW z$n`^QJ^st6?|A9Kc=~afZql>9|9gjul;x-><#;De^!0~vzw>O>0Q4LOZoS+)6)Ek8 zGko`b1e^&zvgAzu+<0ugd9Ox2>Swo}PAT7;p;|6)?u3?k!+-x|@=jrJp z|GEZgxuw_ER6dz2rVOQKndM~pw)*^9gH1u|o1>wy`*+)f>M_Gf`?O3we{@n1HMSpm z&8jI9p+2!OJV2q}t5mE+&eT`n-3R+Za0ctOXzf>=UU6LsJt#yirN=$F)<*nLU>liUF zop(fWf<-EJ&|YfwH?iaAG@p!TMTxO&YbXCQd7QtT=gJC7ZonBwsw2jGpa$N^em!Mj z_&NuC;M>D5Tt@LP+&R#STIb=MD*bCXq22^%4I@k<$MP3fnpt+9!I78an2cU$-z%-4 z%9NF|)>hy~O8Ner#)z{0la!F{ccI%p-ig>`I!y`)c=5DJ4}!*-3Xc@67YR@I5q?J9 zSRf5Ezba7mZO(0e7NC(ghFrs$tUiB7O%wpGJ4^JR8x<)$FMtUaEC&iv>&r_s7uEhOWQ3eEcNmWWlC zM|*|q+7rx++(M0bC4<_&S1(q@!>#zJzw{%dT>i;Jrxq0JLOkpt{4l|)*6Bnxfco@S ztJaDvpu$3otrg9O^U3p(V-%l(G>5ZO(fA0;7Q=G{i()Z9wMQY*isFc*_q3fa8o;|W%;X!gy{3}uGpenDs5GoD`RAauEe}PW2RrR-<)$es|EKQP zwsZVf^7Crp;wu@XQz-MS0>PwQbCeQtxF>Ddq>kJuoN>%pG%R*T>p$ir~mZmR?3OKfEMh@HKQC`7=9Y;oFb*VtDRst!M| z5`6}NW>NP(e)JuKL$l#uoc+3rYeU}RdE8H2zKr?#^q%bjAOo;AF2IC0%Y*qF?{ny(V@Of$L2$a_pYMdw==;HIr`Bi3|y0#}@q#+wM zA0w86$G!ccxCpql8|ugzCW?0-vOSaA4+79qeAt+pS)D1$JJPxPsNsO(!HtN>K+PZn zI)lT7m*z5TWrz4AgPd7_(sDuaNkWjKTsN;u{`~ijv{e!xq5qKc#J2=5c$gc)=P-1Q z%Sz?Ls;@BgR?O+IRsV}ZckPHamr9Ro*~7}(eVuo6vy`JSy&DbR3&b_JOi6<`tP>Wq z?@*DZK`=GjPZEVXvEE~E&O0^A$nuK^LE!=n?k~fl48QhKSV077q?8T;X%XpCN~OC)K$@XD1?iTQ?(P~I6zP8dlxru2haPoGRBFuL|u7BUUzB3{}1EFUk}R6SC>K zeX3&U?R?P9?c{>@8obgVBfXn6vAa+IE3cv2@2$d~LYMSd)9ecDHq`Y`o^p4Alm2tA zc+zBQfnfyW=7g!Nr>EM1F)tuT<&~s!#lOuI`Vl zg_xo6{D=9*JT7;Wn9zn7_%x#p12JE>=Or~|k^QsgM~edD_07~-+`9b8j92>>N&dQR zY`T_%oZ)^5QgX!&q8{;{?d|IUw0J9K^m07NqJMGJW8eKVH^w+rLdmQjNQ7UDmi&pF z@Ih9jUsS4hBrm~fH{m{e;^vU^fXlw8_-Hu67Z7`m4oQp{j<~xR)>)G-3yJ(jNs_t? zASt8UsO-bZpf^ggmyUNX?rqlTlFck;o2ml#n}O3l+MaZ=UyaGY>o$8E^kn zGx4ufpqWR(2=ZwsUXFj=4fQj_wANv0scv@IG+_H)uXVDguVL3J7?Nd2c~Y1YICm3b=;+C>Y}v^@;WhQ{x9$VQXie{3bw$jezi$`bpJI~w z#W$JVDzkNJ9u!36&(tm5w#_MB^}0xK@;pyBbz#xY2`TMFWb1e?Q(oZeg#>l8Pb-@r zt6p;9m49q&UJ zyGwqY2LAN4u2~`s?zDcT$rSELMWMu2JB9Lfk|l~kry@lkH#|@pEa)Gih`7F%Zzdrs zOct4jQyM>skosy~lfd*(R61zKn5k%W7JB8<{ZZ68H?Vv|$uo|>gv2;K#mD4!8)f6Te^?ktF8{H&+JwUWb>8@}#3EXCbKFl8QVSXvmDwV6o7Qs;1Nd|E5SZ*_O_o~P^_K;{8%&c7+Y-!Tw}3I) zaP&9WFgKOfy-BB_Hz`coEezU?gFNfxKBB9;7r5e&18dY*rDt6vI1UnQT<>OTZ7sgm zxJ|a;+#DZfJTNSD#21)7xifVp%sPYbyGPElbXqHLyZ&s=>$dYygl`!x%+{9mkh{nc z)w^`Pgf^H(^S3#`4!LIW{-aHLOWN`VQ!UHWBkF{rLJhOXkLmzNA__sGcoukRU zf;yOnms}GLaP|3Q^fc@09Y_M!na7pzbugacGU2Gb-j!P3>DU@dbeCgFVkkskTIa`u9t0VCnW?TU zjyR7;ut>{MF>OwlF*?yC$Suo{13?EF#+@= z=D-vz{15CywEr~*_loR$AoH&=fTMmO%>IWI?i+*uY9apno%f3De^u_k-U)9?n z-=JDfo*|j2mKh?iZ`T;xht;fow@5hblDllZjjDWC6MkVEw>T;TZ@Dar5(LCvX@>1i zU|dmWdyZosteE${R6cwYl1D1`#(7@sNcojASrqL>&F7`Ul*9;t7D zJ;w$Iw}h-LdX1Xf6(791D!aH9t5TFM-z0<(7KgUFcYs;Bg(h=VUT;E4=jncd1s#Tl zgPGkkPUbW2lWkUd95twO$;vGyim6JT>YF3EpDpthVY-nq3$v0pd_yMkt*n?%pCGS< z;|93tG4p=2evBSUt0u%bEp}gGUz1C2hf24J(xCEWOQ#Gc(~XzlZ=o(ULP`2m0o&g0 zxbq2G+Oj(nn-Xqu?OU=(edBdWuLrj7f$EYq;;Uir(cAH|Z-b%o51%}J5z}V(nI7c@ z^=wXbqkeo$kC*P2r!af&+?n2KKhmW`A{ku;1P9(ToYRk|* ztQcn{YRe+||Jr3yNvHCRV^Y{%U4P|^*Q+`4he?@nL5h1I$l@s@r!wmTiE{89V`|Sf zwyA>g7sYUGPM3xLNI^bfa20WjB#BJSdj*Dtrr(!_4++d&obEQ)kNEgFiOJ;uJZaK8 zLj&E4?B}DS&Oov~OzD8F4b!m!_7>NEoDfn%MxkwnD0YIYdp0Q7jpG~ve!DPrq;Sy?EDMePv=~6lN8ky~>1tF`Ny8WZ`2J#4dd)^pkgBE=Dp>DL* zvGwwtJvzLxNk2%y>oC!ymRW+jy(-CgZJg2iEMm@j3{5M8BlbarZc#xSii#Q#iizfI z`;{hJ*k&*jYy^*75{VRs=FF#1i_RV?D9?CaG=tcT`U;f(aE0qk0i2QB5{&E~+wT38 zOPOce%Y9B5@Do`4lQU*@<8xci-lXZ}9o2*!Vw>z^Un8kBM4>Au#|Rljjw#kJh31Zj z-GcMqRM3E6APkkcUH*rd_R%oS&uM|WQ)Viw6#PI4NmNssP$L_xIHGPTgjj`PI};H=>P6)LtV*>j(ShjkaNg~$prlgMKA67f$NZWsMW2`1sy<=llq*7_=dDsgD@zolGrEWnujGgyd2~~CEg)-V~WhL zmLjWdX|@)}9LwBqudJZK((3H%Na|}vHRdPKZ?Y~cRleg7(qKS>svWjVO)R)Js3Ds2 zTwqGv47E$Z@NmJY%sE_I045cKU}wzeIxF<~E|F_XLw>1gP419e{i5z6d>EJRW)Q#o6WV!*7QBwUrl#pDT|Y5q$Z%V%2B|;_{n)KfAp!*iBI*HES&^(Pr&i_ zBAexaVr2uE!I)7=!01A4Nw|=CaV{dUs;EQ>2x*iAKE3#c&vJLzXzRz8T=(Lwsc`Bk z|7}{~x1@EUivd?3yx4xA3M~beC6uVAHEC|!bgt#e%~$$=a{J|DzWx)s9m(;*>}`Ak z?N7&%7p+E>1Msc*Zc?23dQ3J9QmeNu?X#-`;UF|$|IVzygTBopi+;tbQ(ig4-(_)Q zJo^A%tSca~M7vEd!F)NO*;zv_Xp{YD~AU0 zEJ;5cX&bdPr~}2FStoCXH({JweMZTm3LY?7j(p7QtZz;FJ(t$jSY>zVEK|=q9EUh@ z@umpSC#;H$QvOl)B}xz8g7eLuJ4A_Ip3Ltooh>rr`{i$QkxuYZZ_raSqnlv=1?hRN zwXE9A?Dn826br&0RUPw3Y(z5ie~GiEPE*}qB~I;)*d8zF(wuqx0mZ)zTB@xX?#-O) z*nU0bNWR8O)RQ!}m(f=kYb6dROf9;Ire8?CxNm&YaOrmU-srfSx*fIgZF&y*{&45n zjj;G#?0_mKMu(_Rp}gBGqfyI}?5Z|*M`Slewp}TT^`5%JkrtLrN3CMHKj!XBRr!6{ z(8!`ul*xs&a9{Y>douVm6pWd^%;F-KjD6jO$Cb5LnkK0Icsi9PvY1-ec@wf8&q^}{ zHnxc3PTUw^(GN4G68YS~vC%y5ZB!K`MBGz4(?YnJDqZ=#ejK-drP8Y4geja!Wae%R6{s2T~gzK`vS2^o=2;|B)w&Zc1L z<{6o`+}Kp(6IoR7Fq0q)PpD)(^GN?{zru$%l-hz{@O~(ahMpJdTipo zi)j8e`Z`(aVYdse6L`{^sb>|e^^&6(YX6OB3*li#BVSm&R|`;~gGv!Dy&9*{H|_x& z>ARWyT(B-PMMJ=V+#RNAC`S23ee`+L@waLOPRz*$y}MEGB@`>Asv;^ucXey|%6D`8 z9V4k9y>69JV`R$*BL%NoK;d7)X>O*5u#k;AWvE>#_J$gHA6STSx|-)o@;D{arX2bs zP2x=rf?D>c&}#B?;Ou*3^A28fqfXAT`i^{d%@(UO54Bu1Y5G?kWd(GYT9k2)U3Z6~ z{Nl(@|LsoyMyinMzU+agLaeioMyv+n1E1*|Ug-$(g*RfSn!WbRSvut8hJQ^mPe+-{S#%jV#g+X;hA5+*0v|fw}`8N;zWl*5Z*Su`YCn3Pi{*F z0@po0jzBmk<^$?88SEH8oRun`Q3qx*B@=ZX|2zxf z4f{UgFZrP#6)OGw)PgB27>bcah91VBZl^j~yXlHO$HV{ScFnTI^*Ba(I_WL+VCzMR zH%Dd@l{$ABrYSnP`cS#U&#mx{qIvhhiEx>~OKg|7HD0zu&Iw$(C5aG+aav*PO8H zH3Dtq@J~)hx_d22uhbL^7!<-Ks{a%xuW{ibNHDH6aQdf9>G$0QcG(G_SKu}>c)ug_ zPnVlePD&b}xo7roU}K1BAp+Df@`jv_@-NyH?nN$kpZLJ|8t7iQlBWZitjPXzJ@+Ys zULePz1Wa>DW7WMPxYvEkUm-`r(8@};M1zB)q)4SCSUND>TsN*zup{DbbSNmgk_3M9 zxcZt3K>P%z`$_b|z=1(M=a*Bc_yl)~$rg>b>GrE#mnnVp-5>%$beU2i5bAb?@t0NF zd!n2|j0m`N5|yqK7wnq1J`ml@e2W8KCiq7J=$F0R6|#_bv#RL5M3%+b6+)<6!2ycj zoJJUi#vRV8;L=@*OS51T+CS-|e*YfKb8GX*e)?gR}@0XzfxCI~FQ1uhEm< zK3)wosZ*f6Yt}m%Bi`_$ z3*mt3PbHTRiK~-QenpOEg0`YoWt}w*YuyU3T6$MN@H9DtSehuFGW(<|tHjL^?$U!5a)6Yr2c+t1|p%_!tG7z+tlEtBEw30%BQ9D9x(#XoJ8)MQEVD7E$@#iLK; z8fQAaU&_TS-?hH@SSm1d;6*fHmr8XDZeAuFu^5_+Y=7{UmdjOJ_H(ovS*oD)`Z5yZ z3Tv9#{n!(RZ6q7Z4*J0b#c3I$YE-%Q+%>X1&l?&Vgg$~YhgqRVlmlDG!A4{ZQ$*AZ7 zt(GZbDc$SFV=`mX2%yICRITyJc*}C!e?L+~qwOtNsrK|{cc<*smf*-;UfR>n5JD_# ze9T(VhKX-HyxP;fA=>PJhW~&}atTzU*{VaNHf`Q|M#lpA45UMJqsiha2~<%}0IR(J zf|eO{7Ef_IVy9W0GMWslK6e|;e1^vY5N2u8QTm1yFTAiY9@2W?330h<_(J8$SvZZq z$27aAkHoNS+(R`kV~Ht(^2x|P4pd%$YMJ7wbR%?%@xuI-n?V7=_LGYd>~8 zQJNGsihzYngY?S_ zXa?P1+c{Pe%Sfhg14G2ru62BGNWnGvyDY^}zcvggRQ>LxJ@Dp8%suSQA<6k+-)by8 zu=4q>NlP-#SiyMd&I_YBSU1B%>t%Cgv$;9brls)1vexWk`3ggnMjHMOC+kvJ+Kz*_*ag;>LsmJP)4oPG}VT#EMJr5dT)UFnvW7tJ- zx1=7zMJ{bu`RS}yH*Mkp{ zt|G*b&3riznU*V&H2?lsJgiP7TeKQS(MN3c2khm z@Vn*%5Zf|7;yily7EccEA(~HcFcO-65Rn+Q%1(2L4+f5y=nj~#Pd)f4nk62l{d@Ms zdhSAdb&{Fun~KF7&wzTM5T5(BWKFS^*LubyOXdXh;*NIQ``5-s#>CaaN6QL>q@VY& zPZ7MBP$<8o=Cq5`nZ&=G_Ee1ev;5pGvJyN~F~#@|*C@&S zG1==-l2;3Xm)yK#Z?hgLn@wic^3MqyI9UJUd+E4%G)k5LeP|idToO%HVR!x@`Rw|q zE2R@h^85TjvAaIXd7#v6Y&U?~^%Awj^gxxf^^j-8njD=8^T)&SSr`BY=~ay1K?<#nmxJB&8; zVNNL~Q@7jI5`Po74{7S+WA68b2O>lJ?DATw(6~=STfH#W@2QQJ+DAE`^BF z)w@n*dQTt6r?VMh`x4EgCh6$A#7;MVezB_yrME>Wz)S|xKgP747*vR+EQ>&Jh4Xjw zNZN}nS#&^@EF-G3q{M2k$Gmxnce~ZH@AsOyzeZM!`VpaBRxxuCkt(?)nt8@<=WU6D z5q8y5l(FGQ?a|>mIy2eC1Mk6)*7-eavqJJlN1Z95MNwHIVa$DlL9vQltO7}I49dQ5 zVo>+y)e9g%sGA6yspIW#r&2sIcAu<1=lJwr)4GUl~wfU%u44n@fw4sQH6jL&8)1OV7`g2`er12C>vjfK^3s85FFWj zKE83KH%y{eK{K@Qh|I5#HrgA{+8sM5`4+x7RO^@?7}fDr0SRWM^7mahyA(G=h@qR=zSCdBt2mFb9E^P~!i%%w21|Pn{yFz0`A1+oz|Ubc)O@wB z;I(_qfifzHuOe6M`KQS^Zz|YaQ)*Fli1-V%<1Jr}GzdCVOVXKCPAZIy)AOG_nd~G) zxFK2E1C4p3DQgJwjV}wsjS)La55C5Knw%dmW4!#e5jxsZ=V~xQe^K=QLFRmN=vf70 z158v*o`8t)qP&`nKxUxH`5fw0Qu*~Hkz*?`J`8i8uxyIM>6-RHxGLC$IDne8R@={_lalL8^msj|D!SvB-@x>mwfR!#9rCz5|!!O24GBafd1Q z|E>!l-e~;rIX`zs%ICxHA9w>(WDOd=Ic0V;II54jFrTCL4+czdO^P6^ZXPtgbNBt_ zf%aXDQ&iSLh0Esj!c}DVJpaNSTXtrK@N7Akz0(J!rxLgc^06^ zp^Brvd<6Cy%EU+4IV`C^K*Ni*In|J+W=E`dxp!>N-IU*cU=4NWuzfca{;Q1C<0YQj zI-htKhJ-?EkCOZE^1w|F?c1oR-{LqU#N%?@%j-V7T(Y}2maAVbCNcJ2>@OHReXToq zv9fcU_IGpoU}5O{g$oyt*B`D53Vx+9&MyD#=sNcE)>j-Vjk!A)Ut9OoY!d#RF%}d% zO^y)Tg1wAzrX}C|mW$;|`k~#2`xi z_qR7)N1?TOto;i+6B9|6UM`Q!W{zG^C?%l?F9LfS{S zBdGh>8;T|^#gW2kzMC_*|Ni6G~7f0v=KexJz z9}9K6{!J~R*uwdc%#Knsj_%FPmd+fTtKm1_T3JPhX{`0nCMQwJ;!ay$3xguh>0%$K z8YAwMlx}CGX8joi;g(4fHaND@WU|#55c0WO)3k0j4)d{#A=QEYTE|adXYC}jTR=fN zaToaa31f9iXOZjGx{S_&KQ5&vu;L|itAd8&49lif9ah7rKxR(j$1&+=Zc92#7IJ}I zcF>)cdfxoaJ#);~k&x_8;^!Z4wfvC7pC<#qArQ5cAZ_@9o5%o34F2C>kKx}3T=C5yRy1BBl!ibpkVKoK*ZivvkAHRV%z7beO zT9qgcfK9RcN2BO{*}5JpRzErd_Q80amh)w_&nssU@TIu_d20x1hZ@Q*(= zSO*GLe-mJO>dVc|y+(ZszgcoRa*yM0lry(W0pt@vSg*obq*?~-GG@R0F)pM_ip$)9 z)lJ8F3CYgRZXN@^#*lasn7YYpam(@s(;;l z%}+V=7|1&FOc`X}zI|)+1n!5Kg99GfQYbN}g_@2c(HzH(stGX(uYKS{C#0Vk=%}cu zPo69lBf!5wwo_DIcJ{Nq51%#&mRjR8}Z94GDQ~zF8Aeb2jgWFMc! zhPhUUhezyV&y*7w&T|Im;I5+G_V)JumMct!gg2%mK>wYgK*`=Mj+2c^!u=N<^Y;08 z9aqz_e0jvj=wB`tH9p_M7H<7tYL)B;;nL}}dTPJKSWg8C@hP-;ucHC34*{+?X4H%G z<$7Q1u+ltE6WpIq+L{vgw%ToA7TSX+g8L2!*4tS?s^|qnT%Btlex2;_m213(gyQCJ_ zA^CwJc5F<^=3-fpeI1S_Vtc^ZlTf5~RBpQN%7=VrWMYbOr;Xt>?8Tefju5&95AjDe9+^3hLV=2K`$NO(RQWY4W! z=u%(ZY&1ZWoh;y{l8U=z<>`4Fh(nznGgD)Jce!0wZUF?|YdrF@O6g!rE^BtTwl2q2 zb(d6rOdL)%*sOE{P4YXWIA+b<3C=y^Jeibi(J*mDmynh);EX$p_XCMXl?a4OPQh?j z*T!89G=s&#%Dbdw7o3~Wr16Wn0&;S4^d@T;JQpL3@adjJ#c3{tPPQ{5Rd+!L^#%66qeE-aVB`<)`;tK8R># z3HP$CX2uW#j+eW`KGr~}YOxv{(0y{48wEmvYyFJSRC94_3spAjnrp#b5uI#1xjgJ| z12CP^)6?h4rV%tFQQgKx)D_k2uB|x$D-pQW!NJaXur2Va2oW*@?f@FkS&(;b?9Cei z7eMs@f9SQknp*msZ2Rqrfgd3wS-aWUFLoMi*Mcc$JnwGKzVXn~c3MOF4h{~~n_W4G zNPvN@Xn7dILll+^yi?0sJv}|H)ev)YMx()aPL^=-2dBUiqbdO}AD~K7XZiMe{61wf zy8^x;-i0rfUU!}`55j`(1YT}{!uug8BxF=Q=2FzP8n^+i4;+U<-p)TuOi1YALPJCQ z=CVCe608X9SAlFsH!}oCEx<0FGmsZO3X1FU@-mjtwOj<$`;Rs-lm|CF0w;x}^bcA3 zzf1or@$F@X+Z4ROuZp?ta)_kD$T%&&Q<2JVo-KGqXalQi>{Fb5sjedh5!#u-j#HwaW%cM4q+*z~lz?+gG6QLIl(fizTu3M3OBtsDxzSFf-${W>Q~ zwHd8w{tTbm-MOUiRSgN6j~B+q{Mp`i+nwPcsj*rR+%(4_CJw<1War^gFT}D<74p&v zWs{r%1Uf-TzQt@Ej(%J)zO3nr1gzSWS|Rcs({EZYeT;yn%dMghULaSWm;;CMWf<4| z+d*J}Jo_^&QjD;@xyj?SH*3{;L$IO1Pb~hg+eJl24yc`XEgD&v3DWNyI|U9nU|d3) zc0XSV_&pB1{vB_2gl^9bK!pju2G23T=__A+xeDB$6itjW@v*Tve`Y7j!1FoyhPN@3 zT0r4*adFA~Y`r-`bFn@4NpV<~<#HT{Yh~=b3pj<^qZSWM8g*Me^ON%LfD2@?5A36^ zMv=0aG6kOaiU?EvCT~Hm29Qa{UK@{)5Ey9G z=S}{d6GfEcFDAtbh#i60^iHeF!aPnmhbIV8IUi}ug-Z?EFaC~fk($@m2`LJl@9(qi2R20I= zR&o>p8Cm#aZ)dxp2X`_bKFEz>ClwR`_uSR9I4QBnh5D_X{lcwtI@9%fa8je}ECzeb_by^N6a?*yT7057h3caM1d3&HNwU z2Wa^pn1=;-EA|N9lt%z$?T2z!so{{iuPO#bhMe8@cz z{|DfO?_c;oLH4_OD2k&DDsh}mSnyler6aXtAAqhA4w7F&4*!msoennL1N~h(1Xd>@ zw6IvJ;gL38^8wq2|GQpMy%r`~Z0 z8Y{^q>3U0|6Klhjs3#ie735$M1Jlv|xmt|QD2(K@=i&AB;>J*oy_3qxWi{9%*fDIIHiKZf zdlD(QilVb~j014&7Qb5%ABpkJ3HOdORvDStyb*N26~j*sa9>}FhM$&@=IaKWLS^K3 zK%0%0yYuX3xh+t|1CTcOWu1G+R9YJLwZPU)b1gPo(MEkHuS_j7#^e3~b7t?ndmaF~shR&8-eiGBCZS4kbx_3|HeInEm2ee&NdAei z*hsa8=;<#X>ax{2F^Sgv1N>qU!0-a@>8(8SM5_R#z4umtcFErO?9Mkvn-Q&Ja}_^4 ztz3QVsC}=DPrID(6l=~F4mM@Lhny8J8))gA8c7G_kwTfJhO}%mPGU`(6H~v}mzea&dg7`D2HT$8)aa0m3ZX`hI;nb0Fr{7V73M<NrW)#Rq$DfxN|%~Z`>zq3j7l4SIV`nFwKbm4Xj%;0|=cg7N!N= zV}#eEr7;)faWarb-pStSW5E%{jdhdTDuOVtIU~OdEI5HU{8MacF2iG`boGY5H7Bpi zlHTUBr z`Z3TYPs*{8)M%OSb<;nLyqil#6L!eIxtxA?2<}gT9TOURQ^O|>5zwM(z7YK7306VM zG)~<_X#8L=!MnT4Iz+bST&!(AI_iu5*rvvOk$sk|V-w;*vN5;L8lPpf08cE8;6-vC z&=ux_89XGC8`!np=*4Y?Tk1bnAN>NI;;1{5x^}y3AnqJMI66Hh6n3yX7)j8xkkU6D zKmduUv8Xm6NmjI|C1}(!=)&Bbphat3{G$K6_PANW0nhUA(d(k@-<%S#R9AV;fH6rZ zC*~nQ?4(b}GG5+!&ooBb>wV;9&`N~5IgqI<{e9qrWi=n|wRF#caEimg!M~Ewmu9;T zrMOZUOv&`oXOrP~`R@4F4Mf&TCgg*R33188l;`?cxaGB!e*tY z8yfA{1@q;#yTFfY{`@Uir`e!!x4rD2owb^$+W~vk|I%)*cyJDVasf3vC&#;Ia%hW)(ojL0gov;G9 zrwRO6fKik8WW%h0R&vO}xUZ)MS%(*~&AX2SpAEMD%Sv~||6^hh?DS{j-MO=7 zHHO(Xt;RQ~!q$2m=6!{o<6R?d8YYbuUgjy!+b!x=)z$s&+mJ77uyY(Do~gWptW>dW zDoMxBxFprw;DYKhX7yUxoR7O)+odL~A5fi^)7gF9505&m-9 z!8GGiaM?$GiMZjB)q8R5>wsclXx3;H#;a;ugSdOrL`j?~pAm(xgpvukw9Jm&a(UB$$0Ch(2#%nCAH$e=YhFsk@JtM=#<#OLS8bd*;j z9eNzBt>0YUT%;SHDf``OHoe2R(lcL^?}K)X+Eosi)k(R=QMB@uL~8`RMLfY5IN! zP?+-Yi5QgBndt~^X@|aok)Z_|gf~d0b_X?KYpPzUf{&wY?A@`g8DBRlonfyN;MRe7 z4;RVy^Z}ecC{_3Y$2;8DGxEEuWh;%h>R=22lD;Yf?=!iU>OBe5kfhTf5^5cCPryc- zEL%wPu%$5bg%=5l#t~Z+%Ik*t@V``}VTHJ71z9^2?I{ zFMgj&i(tS9jA{&Ar6&`ng$+2G7PN-HL<({f;FJvV?1Gu$vL6k-4RTlr)H^AJP~UJz zA{p9Ooh_}H2<<4<-W1dSr1OR~ZiokuVD`j|>Rh23qObpy%Mvl1*J+W^lt$?u_wL$$ zGS$O~Ai&2f3mo!8y34Hkq4tlyZ(Dxsj@S>zQzFD-zKR2T{NCWrFrE4Nmc(hoc_mQ{|ABpKa8^x|6hu;R|vn|Gwo{0 z%~IT&CA;23vLX@@fqJ>QGj6LU`;`JGPb(=8EteN^E4MxwKnQ1EQgQN9dJ2oDbq}}H zAWAFa+_jpUYk;xkdr*9HJZ80l&P>c-i{QSQk8v zVo-KF(()P&KCie+uy!;xfN8@zwEFAAnoXa$C>MSQkoavW)#Ai=&1c%kByP0Z$T3x5 z8qdydM?Y8VxLDAsu!^51A+acn!M()?br<$zJi&z%0Q;D5AWha9sbGI8=}Luot|HDP7p%H z@lGAtNnz_xd}E(McPRMHV9S~FcLK%$1>WBJGR-*MkFxrPLlY%X7kNECU;b{fsiT{S zQ@&_?3m>R3975fdSRf#4O*LHeE3j<*Hw@N;cPhIUq!kITHz+t&+cyex%u%rCbE3en zFp6iXGIIwv+pKVQ_eGeD6VB+owoCIi_NMQ6`_^bCcEl)8@=Y;eV)Q}FvOmf6A(q#I z(V@jshJf5UV`GajO#kXIAk?E6zWGQ`hmH6X>AYLkC3c>e{(%# zz?6EV+`KEek5=52c#lx{qzooy?C%?tG(O-1L@hc(m)IHQF`2rZ_aM1v;nK!*C<_gY zF9|KK9`RI7wD52m*>p|Z&|*kWS8eeE8PK^Hi`Yk4RaY^rcHIi}jKs5U>tH}GiPod8 zc_X{SciG`5hJ;122nN;s3#RRVyhE%}SZT%>d>G zaJCc)NUjrt)^};ZO@_GQ>GMcinte-vuWotaJ-@LkjKb!U#ad0jg+Ev5%FX@N` z4*TKd(GPD;NKUKeH)mmm?ff^;ewizs#q5h2mOHs^iCvJm`R>?tS&6!WaA0OCK1aMC z(aHbssd~_BWd4_`hwnxH*GLi#L|%4^M7+7dL!+O*l#<`LGwm9Y!@{c-B)0Iuc$VTEPPUR-A8Vo>n$c=Qe=1NJHG0Nyf#WRVb? z^L0?Nj0>;;dLw>w1wVbt?~WrMi(C_4&WB2&ID^4#N~WjIjRei@?N&Gu!OfdTXraU~ zO8^l>sy%qOJ7!d}n(IYjTs%d(}fx<2d3*=jKL_aoXtG3bt zLuUL05Q;qgYt8rTxh4M1&~t3k1-yaHG?(bsABA%e)RUw#LrS! zNW>-31}%RrG&4?fNXnmZv_Jc}_3Qm{T7b5u;$T*D=Iz@leJO7tYPM76V9 zKzMof{RM&WJL^z2f`+F@sXkk^O<+rsfYKziC_;@J$+-A`X@xo?Wt^YAaoE#aZH=+( znxE*YW8kow-OM3P`&f%GE~06CSiS~M{9|*sNDWvV!33*4X`|J^g1sP@RUOpt`-xZh z?se3E?%_eWjorba{SiKSx9w)}&g;DFC+XG)FGc|Q#nfLTnMFZ{Ze>fh8sIa%4dmC6n0Lf`mU^ zXbL$xl=_JR&_al1KFLeU^T|_;!P<7H_GPwt%c%=h>CZrg zv$5V!08M>;etMl)^kAo{CVE2FBQ~M80T~z*j#6ctQ#D3Qeb-O!6WN~<-~XWuO08X^ zUYPNwBEvVMpYl|^DU7hi;gTV)%=@oapkvHLD2+B)`w+Q$wLhsE|6({*?$}f{vd)HB zjw$o=N;R`t4bbGB@KMcM#bw@npqZ^y{yMfa;%#E=I<-|Hb`E}!1Uk3g+qSkB_$TJ`;E#hkSxot*R4P1 zK_0zQ?pBF8R!;9j+tXf$X)M^PpnVXaPz|!3;S6=0lnh( z1ZI>B*WU}%Nz~wP^NoEkUDgXZPxVhvB>4o*=j)=C9s(%ir|1+&@D>!q3raFe!MrNZ zw|*;h78C5^;p>6!{KSG`%0A0RI zIsS>9JJKvr(0Lnf6y;@38)|`~s$Z&+igyc$yuuf!xFN6^?!fo^>9ArBtMrq|xJcxE zP%jjk)^$nhUJqaVyRUeGgUt6=o3Ncg^tD>M=x%sX$D%ppHBSV(z>Ubxs&?P8}R<)Js3&ZTgf7U@5XI~07dzP49uG|X1eZ-?xu&hJs% zIXfb3;O8j*^E4^H)q94(KH^!hghYJAy3BI5HirAx3sN}EAPVzFkDyZ1!bw9zyA?C` zM#!iIU<|{3i)iqubo>=lT@e63Cts>b0BiiVm0-=xEPZ#R(lq{3Q2L}Pu&RlhZvq|D zf^czCi^x8oqK;*j<)pIUp7h?HQZC7L#(jJ*aQUZ<`42M>?<)T9%4pyp_`gf*-`#bL zRR_lci5}uTl>OKRmK}28cNE|WL_IZ$&Fog-KS8by`q4KxBy5oLp}M+!P~)icBxWS9hWEMUh@T z{T~0h(5de7|L8yPy>pvO6#tLu`MbAaowoDY%$XQCX0Qi0r;62JJsFZ8R>*JLPP4m+iA8Z{%c2mi2wg=M>Oac9#kE>Ty$Flg*H%(xxC(g zb^nRbgHWRKx4zw%LQznUCIk@g7NjE>QJqGBeho$q#&P7rKY+Fx2Dp19^H!xO*6dM3fnSK1tADGl#e`Y|*0<{qLy6A$Q;F|9t{7Uy=;4@B-)j~?v{>}<5-|&0h=T2lkE&^1g z_$@Nc__X)EVBB)klte*Octe4+GBeGq&u%#Q&Z0DMNLg|cd+}D1CTj-ekAsm~&Em_` z&M+7S>%v%d?|M!drN{7CihnW|4+Hj$72RO5xnTqAgAo&^pD?d3PQ5;R6)LS-ks7g0|3(LG-27+jAWjl^lrvKSq zFjfm>5ABrDZ-~kM0xbP|EUoPKFqEI)kT=1I2v3MI%PZm|fZPj}J2ikf3%*8$z?4g9 z8w7L)uSteooK3JE&FZ7h1Dd|Xr}1zIE?`iEiJK9>kXi6+AFJoFHgv)&tFt88X|?A6v%mJv zCpT1k1hC8YHm|xG^tkxQ;^(Pho*BP^{lCB*VAPwgsy_97)z;o$I=#31j{pY??qpY- zHg?}CU-I>Y?bdC}k1SIXJj)a<&8~Uz)}~i`JfkPh1NQuCvg9BGjh0(@Ti>9q^5^-E z)E|(ZCVI;)+abLTIGA^_TE~=ojZQAG%f%|%0X*GWq`TgG^26>=@@FKr-a4|OH0n#n zZ(tj))b8ohYrtj4ZNTBx&3`U51*WuJysmGz@WQs$#cP2bf*9bMX1R>@Pvh1D2N`}= zmvudjw*Y1h@H|FKGjLZ$3m@Lmd(}+oJZG+1e86Gd+nZli0o!W81`cp^3pk+KJuf|C zPw{L<(JR0voTL>nWO$FpS^xS_bWZ-=x_htw7`tm92bC9&|A0023my6JmOmW3dw0(V zS5231$(d_-E;%E&8+g1B*PWTuCbXaRJ-K{ir-M!P+OpcB%op3C?SEiTM?KSePo;mL zYDV-#$*(s9H@(tYRJ@UI^~GC#@60YbXXPcKY@i@xyo(VW;&J} zN>`ab(ZuXY>&-=z^h>UORGPfu!MdcR=`#$!b8MMw;cNY2f7Zc3=B>I%*8DF9j)*>f z#swa231O7nv9rQ46M3z_-n=#^os7hFu~MvxJIb`KJ>v(?c3@lWKld28>gjIJ2Q8O> zzCQ4-FzchsYD<1Ez6f04-BXllo%l%1@Z`JJb;;hpOI{naK&OGOH6FX;v?g$s`)%NS zIk1d6I(ysa?R9Tn#c+52D|LS0w*V z-Z=#{xKlA_m-7woSC^WkS~`y=dQ~r4b7|{c;QX~#a~0c(lP4gul1Bav#g>+w@vnr7TN!?K=A?4`a8r>rSkWgtc=(trk$Dhf?B+=ac^oGmd}H U^gP!3n*j(sUHx3vIVCg!0Q|oLO#lD@ diff --git a/doc/2-interface/instruments.png b/doc/2-interface/instruments.png index 00102e543b604c883c5ee83e70a7a28af6bb2b16..56f994957e5c99a89fa7030371a2ba950778c11b 100644 GIT binary patch literal 44143 zcmZ5{Wmp|Ov@R5PC|=y%DemqLh2map<8H;>-QAsyyA*eqjk~++&NeN)Bl;VKp~BqpcsL z1{jh4%FOT*z0^2RU=Qbv#Z^4WyHAd*-}*_Dlj@>ehyG%Qvm$tcvn#NHqe~;2iv65x zt<4w!%a|NC>QEX4I9>GVa<$l*DMO^nV;c@0M^17%nVf)8iDDVVNz2pG2pcqf;B(3& zYb%&m*tiRDa|$u#T%CVrF8-a)6B9d?qv2647hqHmi|*f%*pNP~v|8T9ziA2XU`x0P z$&Z;I`uFLHn{u2Vskt`H_LGH|h2zv^ct0!aAX%*?gg9TS4GkV~q$xBKhJ`3U&2Mf= zVp2FG^eM75sWla4X8w|&EgBpk9pnj%)yDjXBW_$&qR)&8e}vD3d@UaSvBw&wc;%l1 za0Ow=!BKV%0$BC#-t=IGKE@ExI>IK!q0O7D4c*W1yhE63BkxqKhWgBsim~=rfRd0_ z>^Dq5gFQ+*Gsu3NgJH{?5GX&+!2th(lBM`@0AZd6P4A*&Vq(xw7Dh(j5RBP>2!CDqaoeDyp~YnykByDhfti|`0-Cjz z6G0g^q@<+fm6g^uHtH$bo&S|# zcl04rL7%s?jdUfPm6hevt~<&A{$V?jeC6uoM4z0o7j|8TNzr;j=e@7;MGf)QY#?Shh4WxJ|H?uN=U7zyPCv@&|y}|!WYSqSW zY;sn^)XoI{L_tizFez(mQTp+8ntd)$aVwDcvV8?l+gm{vC4KfMObsVt4&@I+*B&hHSCr?KJJts_^T#35_fu_K^I| z?fb726vC8>3RhU6%dMm8Q^D+K3)FNpdHE@+R&WeWHq86ZUVnTrZ-{=d@TK3xUQ#nl z>j_9(8AOa*JoykvyoD>iZG*RTE&!k6?fd3U--4p|u(tQ)*>tiYg=6QorIY5-c7A%+ z4(;kLPQq#H1Qwp}0@ReW-$_o-Vz9x7-C@JO5jj9I4Ufftb~|v~d=qcNhb6jca40)g z05flU^|#KEz49eqiR9A+zq*jj(Rb$BHeTFyxA%42%|j`-$}n+&m85Te0q&nnMQv8D zj6VpTWg%(GYk`J{rofPtRNx_L{|*cNjTHhzjg*oR@&|(;fmZ?xVulNhoh^|PE~17a zholC(RJ1x+o-E6zOxW>QI)ufE*|x;hS^@NUB%~Hu3T5yprY9RE$Qm)pB?3$ zRii;7nxIfoVSIy@ds2LV%9t#P=`&1PNi-wJ1&drZ~i|BI>2)#CsrM^@M#CeMw(PT&a!UXv2OKXwa3 zr^#x|s*Xp7xGDuBX`FN6*y+u1^n6^v{g>j}FMiX;ZrU(MWY)=zvb6LCUf7w=uNK zv+<5nKAh!JX(sM-^vLmaK8YY+@kZzd0W8nov1doeG2lVe;c)Z2YD(T<)X?3ZgKby$ zV+H*hQ!`UpUvAQO(j5kZ2P#1y5}VBih5euCo}>0NHJd){4so7$6EOKk3;(i{=4HlK z+n37d=^ui3v&8q8^%!27ZEK&Uj^3)M4x`WJ%fdJcAJGquV5Zi?2F(@=Xao#8Zc^{| zm*sa~8zEzs&Qa1kN3=D#9ZL;QgVjHmT~4ke8Yr-IV`;_SUr~r`7V#bKkzpj8SCFjN zb7`MwKmO(7{D4TzKq|@)!j8V+Ye~qiq}cZvMHs_;o$^{=&h1)!q3PVcEN*DtuyZ@U z97dmA!7=UTyczUXRxa{N%W{PNym^e{87#!bMnP_$qIbDROA3y%;?jJarhi>nv8NEQ zcoKQccvP0W=?WCr^L+e(P+DyPI5TbDsyxuEVtSFB44%JAqY(X~uNigbJw|ZfVM1`{ zDU}8H9IB^5a;m_JoxCle0{*(_S)teN`WltJ)a=u}CZFb%otY+=Xw$6pq!4-M7N6Vf zGm}igUMHzX7ZtDocyoT=tj$(BeWl*X4iR^C+=R4m}UeoOuvCKmxZ^^G%=-m(_9cT+cvpf{*K8l#d}m%L}9?w zs(I0$cIIO@>)ynijyMFNWZ#VMqhpmUUpkBd$Rh81&koCK^ou*+DS^Ti3u*m`k6B&p z{m-SZWf3(S9irOr#tqlDZAm@%<@-qlk55ypZg1A}@}-lOqZEts$5D}_C0In7e0}q! z%A-Iv2~MY8K=IDZoSJje_lw)$I~#4qI#o*ZJoiJ+%MGSAAIsjRgqg4r5v|QXpqf8% z{`nKYlp2&L-)!(fsezznUL%ow^v}Ng@*lLPHZOly4y!jro^TpXYHoXDt(u8Q;oWL* zvJ~_0XZ1Q;@f`zTq(o<5l8;Y*u5vuaUg2CY+*#6Cf`qa2O>>c!&+OADuQ3Q*tr|~B z{!Xl)A}$x}HI1*fCL>w>LZ8qqhM*FCQgiJub~wP7AvmX{7KMc;L7T~kZUA*JB3BL= z#S}QTR0YgE1fUMU34&T@vAoqDR*e0&v#I>SJq@9^(#AON>MMJ7PJ0VpaQS`Hnuf+U zJ$gNcY_e-7X57YKTtpVe++Hm#7=b@|(QsI7?-dxkP*ZI;D|Afs{lCol5Q(QVz9O;AQT?0-f#%*4WWWPI{c&NVJ-GuvH4N>Jo_n&eLj z)|e#?+$`hgK4;9JYd zf--Nvz&7A0(&WL`_g?$POozkXTzAjD7*8JK%1STU0Hs{;Cd0K&*nwmB? zHlU%QxsT|nsY7FYKXI)$OWU4j1yNwcBj7zbH?O_571(T+CUQ02fBZmOx(I)1@>mc8 z#I`I&+T^1Bg!x7~12~L4dwN(8ISMqHu^tRASUZei{npcGd>O6R#?-G+2S-KDA54mk zpBB3MQYHFFr{HniChpqTkmLqN6I5G#Q2i{*sN20{dA2&gWLu_xiCbBtZU33Sy+4XU zw4vqu4CrP8Z_&*-varhEeaJbdHEM_s*3s=c9iJz$sd~j3$KTlAd$v-)B~jzMe}Jf&zTz&yMN;;7S4^0 zFv!kkGhHF57~NxU64Q;%`?y{yGwz!>&IOF+d~>!! zsisuRnjM!1y+7Pk$B(h|cLvAx7|h2_QhVG{BHUf$3ByJQ@E(kun{nm`MjKw+vLwYy zX8pqj-RiyF)H>LEEQVO|W^3;*XFYbaKMn8tzgul=!v4W!wi&DHoS*HRKUbiQlG&n}%KVpN+T)%+qQ5H-QfdE_4CJzcwPdO)Q~-R%_ZDS3 z=R3kf#R|(zG&F{esC_yb0d_4S81Gz$%8;|2=JA_F6EOcPB%sSb^0xpr_%Mw@)jv4? zYHj6J7~%th?0Mcsn?$X*t5v%Vo3V{z+jrSxJ(Zf9taMPu?l+2}y3S`Acu}55N%69p z2$maXlPNOpJZ+r-U|bP#MzzAbL!Yqc$cV|uD+{zqu8ygr>kd-Q6qBB17^JooNIzYe z$S@_+MFq@Lte1IhVPaN zbD2YFW7L&@67I{bhEJRu=UZWP6}%XQYnm?w$DIT?Y{%d#(?28AR9aA9cm}U*hpb2I zVpFM^UoZU!&j@~uiAoodGKNgTk7Gg6kkf8E%BH#h^=}5%|8fjww&_e^uYS1)xn`h* z6nA-O3pdVBPrf*0b;)@G2gyQV()^F`2z}|EX{JJXSMY_-@3ih)?Fhz`W1Ba%YwmPf zhd#+d#6PIbne_Jc^d)7BUqysOchU50&~^qOBvClz!^(BRUR$TDsr&G4tT_-Eu*Q>r z@IB_heg~rjW)=ts>$OR^u7lnwLn>Qh(`*DtRaFO(FGf?AtJWx)wK4wMoeErHmszBr zn99o|0@=oY)ok81>scGI=m)Q0kZ60oJ)vETl$0BZuoExBjDL8v8F@|V?etdam&6Z5 zn6A?>T2g<*dn7bRE&{=^@%lrp?j^=~LoNlHXIWypT|J;A0Fb6v=gn3&3O)q?xqGuR zd-ViTd>q!)&M%WU(Wl{f8YMg~2JMF2EA63ncs~LfvQ%Af-3@9ph!s%LDh%i$bWR{bxmcC!!C@E^o+Jj+}?z#{?B$$!)3<4K+AwMsc zRZy-bJqo1~q^P_`+I02dAf?)*s`(-br?vKNr#z~DSbt32O;H&%{lf;^n{ryF>|p!U zcR*+|Ha2zy>)9QQiUlZnVihL!s5xqFB2U7BKUsJ*gW#&>xPO5^2B+NSQ7i z7)N;I02|?^_`zOXg9JI&ib&(ejyaW^AdI#}3g4C(ap+DOD$Wj=3-qD*xJh@LM!z5* z^B*R8Dotec3-_X-RIX+s4|YtcDPl`1H)jLK?o!##PD!S&JQ<ZaEoz){_fu%IYDoXOWpVY2S=OxRD?RE_1DftaW3%VMiyF9TTP^1C-euh z*~OoQs2;PR_}Ii7?nG&RZ7DDA3qq6oot0=`ULTIda@UB_6Vq=?+62gvJOqS8l{>0a zwzW~FIJQpf>FS{y(V@{L#qOfI24Qnbi_oHgPsXZr&Uv&D>x4T|dG_JjEoKc>n)eD7 zq? z(u*WP1au=N^XBOYhqCXcGD%V}QUW7c`YATAV*k`(jWoYqVd%(?Cwd0CH)+m@Y_T-B zxT(Oi{=>6_n(}^j81?UQKDt{W44$Ypd1_lF;vooEbNcy_TnqZN3nKUl`0&7cov+8=YlI-WVSWj61MJJZb@rZT?I-Om;D~?kYrPe~D*y{#o4n#D_@M!(PfMkkh;9@rtI?ki?i!r+s@M*7+c^wUd9nAvWTJ?fs zXNWVj(7Abe!!u<-9`nXlu!UMHf5&m4qo6oG02X$q2?zg)2%5OFJm)!sNr9;}MZ>r) z@T(_##of+o{&e(fB^Z=EOu#mW8?;uh>(9cIOoNCRA(fufQ^bgNXXiTqec6kqpnnqP3yPl!rpN{uO?{jFeS7>(80~x#?5Ed`| zA%&dKmwYAed!v8gX0+VaGAS74{rJ#?GUXxPp3I|J!~1IQ@@U0@u057Ojy{L z$B*P(p)Q0rb?hz#z6<0zt=G@~`nIXgt+)wF^Zv2&4Ty?z1rNj#ut#Ejo^`n3ahO5P zgHa$`(H3VIc#0+BaX6rca5;~ z#VQ?SBR>0Wh{G0e<>!zjv&9;=O7>oJ30*En0TquusH>^&b&!WMtPUfN=Pn08ocm?L zFr`&!cdX%8Dg7@abc1j>O^WDb7;)`<=@2tW1O}IljE56vU@%YlXc3E+C^Oljfgsrp|G?U!&vzD3hnvhRV^WTo+^cb3k1G8<50Z>ll5li@dHzekE z>5QC2*KES%g{R)p|noH8VPXHR8t+r@Pl>$MeWzC~;bO7Ofrm{@VO zIQ;b2=o~sEO>xtQZ51A77nw|eUSJog*?4(C4y4qHj9t0Z{C>fIpb&{Acgbhyx9x3r zi+s3~77H}Yx`$Jd#3?T?lcUz{GAtVS%d`hZQZ9q@{x+o>=SaT09ZVGAi6udg~h~0xR<>%g~2}w~d zuAmfO~S7@O7jZqVyL>WIe))U%@a$A~1gYz^+8-D-xz99MQoGbh2UEG?Ac0ybkx*+DayFbpLf z%437iL>Xu^tmuDJS@JO;5!Is40qI0 zpFLDeYWZJW0QYmtgS}^;X<TA|qO}b)MZ|F_z#!VGa zoC#~(e&cZ4mKl~Sw-~j$NSV<=IKiGvu6VURdcPT|KlQPp%wkCU&^0k3J+!m>IQ3ei zO@eU-_L0**Rt**N&~(MHI!+7H=Y z{XL;*Gg3OC>g1O;^|%p?5TzD75=#6Gmt+D|6`~%3?7-o1CI-#Ix3x3g<_}V{-qB3H zdd};paBC&|o{z+)>q@q|JtS*cvQ}T66b`8ARsJcbHVe-st$@+w7j>Bf(tu_mfs1&P zSnfzx^3`y+KL*w(xlQD>DfbHTb~CmBj2&P~OZLarF}nM}w%=H^!ScbJJSgrW@vY!w zl%lIB0B(>g*aO&|+VfVYW_bpUxr}!unqab96?p4+Q?*Qk+pdz$N9FGxHgQ?MS1iQ!Qvo zWxjAXpehl&ntnkpm|J}{q#r$UNyE&NgBm!}&fBST;B338rv8kejyf{#@W+)f=`yUW6xz=TXoHn5h0gY2KlftlfP1XGR~^pOlOds}+D_k8R4^?M2Q8RP}LVbr!} zAxc?TfZCtxF`F%lD;pyqEhb&P@pEEX!OPii7WGbR3oThM6;yI}Vy|7Q(0h@o z4@q16?STK$lJ_j#Rn(!K$BC_ztnAc;W}|;apK}cfddNI(aSvu|GKy!-=ReeAoPgK5 zHgz6GQ>qFFB$JEe&)=-p6~(1MIjuM`i{kLi*LY?zN3j#pxy82fQ%r*hvI;!W0zfnR z9vAyplf8hyvaN391aRTOG0+MLs{GbtVoNIlQXD?(-m8V+Rk*LUQ0+CF&y+-L=zUq0 z$3`NW$h1U)ia^bS>%9Rwhrxl1CjR3;3YUm0t`nMlEs8<9kMOPOQwFcJO5__hLT!9{bKI|ETV`IGXANcx=?;PB z6aWXLo5`h{4VPTou0q8%rz$&kQd%-EcL*@Q`sGDg4cO;(_%BFHo~zc{i2Rp>ASxcJ zvbXC7FLt(_V?*kwdrDJOhf~qxMiUjJlW57t(IM~O_AB!EL37wzzq$7dVt5mx5Jmzx zjn27$5F?;AYt`d&g4@!y`dFghLRW{JX^)&%plKMyAAa^{;pb3d-GcEo*yy?Y3|R4Z ze-012$4PR=a>&I1l2fPTho-=djBTA9?F!U8`&N{i=#o+Q^}^8v!AO3~-w}oUY8%#-=OKtg54G zuM=)8u*(R`ook+BM2rYSw-myj_^6_akioZuKtO{r)pPSI1qNAbcZMw9t_n@qw-9J0_%V~oD26{iu5BPd8cXH7 zZ6hrj-2lanyYTMsrZFZY)~3Qhu??ry!_9X2&hUL1)$16CF#3({FFSmn=x4(`e9K60 z=l{oy4rXcqYp|75_o=C*=8*?4E%ZW`KG_XVMrG8|0cd^CLxkmdh{wBp;v5}WKCEJ- z99Weq;(?W5pL=~aeqK}mZo%bb1U+l&*^KlqUCzX06I+~5p z*rG9f-xIG<$X#VP<f~0Y8NeF*pCq|B57YR$eUQ70!Kk z+%tj!0DGp25| z+Mx~*N5CFr?umzsKx67itMkJ9UYIFAY+peI9(%?g$Y4R69o=tEpUNI-;_H7E6Zcog z@53;TuE|X%%1(*cGdfF=RBsW(wMD8ITGzfLA0Og) zG!CBETSU*XMZDkr<+Zvwa!IQfm zO;5KRRod8+$n!rG))`3>-E2vTbr1rGk#xY{a~cE99FbD;ctV3O-zHLHgmq<{lv~`>AUs>Mr|Hz!Ii(!g#DE^n| zi{d|`dL%HfmDYfTCO=}tp8VhP!_@(#!b0_=@P!6OXsUliuU=*XE#m{V3&vO}87Q&G%BV6lyE+2;Scbr{EfH!R|{ex9X>K!P#RK`y)Kl6{2S zzbKr(ZdT}2WhRICHs{C7ofG{uU;r>hyp76%KRA-fQAO_pnn8bkS<~c7R5yHocVBN- znRNL!w1fVBItX|+S1I-xE3|JKVJdVS7NhpUg0F<&j=VC*lUY66y)?DB@$PTCnx{ln=%Kc z4ZQmDGCG{*`DlE?*z@o_Hc=ORSrG>ymR{W81F6~hndKrV*w0KZgbr5P zZ>&v`)(n(9l>lQ!?eQtMBirG+aN_x@M;xFJiT9p%d1+%a^Mdvl(Hz)s41&_qeJ4g@ zMV z2_4c6!lEUXTw<}({rL6y`qBGXlY@dX`wItKzw7dH&=mmNLYKZu`o}m_R+vjhhBV>;x><}IMpbzp zHbWVa91VufRZXr+31u=-N-kDh=qtw+Js(VMZ?_^mI$&S6+6hd(@2+h= zWO}-9jlE_+dTmZ3yKrRP-!TKMEotc)t^Qc6i8GvxBCvCQ#`R~vJJ|$=)qxM1Vydez z?2OJY42~`j_c#v|4|9;+aN2z4pv};x0Rm`r_NkbDu}HuqfE^DiviwO`oO9KVKJ_Je>m_sX7(t$9;!; z1ngzayP0cauJn~<3FrCW9)=L&;My-V*2uV-uLG`}95)Do)5Ft$SEbxd@3A-ET3oa( zozJ~ZDGS_JE;hSAi{&%uDZUpbB_-wMKPe-bxmlG|KfARpyyfP+_%1PYTpYQKel&$pi^?fTzjBZML z{zwB)IR0~MK6)OgUDM#WqA_r=q@*8ihJ$;QP;bDR-Xb0fjC&6@dkq%Ubg%+ji?^|{|x=NPedP4!1k zC3l7UnW7M(?ABexJyMfbNoll@XJ zUTYBEui$MP8Kb@VAQo{~S}8WW+Wv0=5x;<8{FH4dzEr~@^S!})0s2`_oGh>*V;qvh zQ3&=Ad)?xPSc%lj%m(ujQ*Et?^~{_UaOGB(UmE}jQOM=}_n2vVWJR-|4eCNouC{vn zD^^-174%9w^(A@63c72FL|cPWwH-$%!cuo*dhf)oaPGPSr=i`V2n7$sRgm6zyxs$n zf3_!NXHRvw0}?3TGqbw{N%E8>7F-WYvf*J-hz^e3U#9c=GdMZ(;2U>)Of#&SY*X{o zk^H?r)@J4Fad{n%D*P_%w@yL*d9tUfm?x0pW8Y(47M=Gu8cymQ6tz%Qb;`~551yj& z!ul`_V?Fi|>xNd(VTeKHf{@Y#=avtZrKR?yXng?y1qH08CJ-4}-dcP*KNW{dWVhg; zY6?9IuznRA50sshF~$L-e$l?um$!0{6h(B&y;c)Krq@SV|8+`l%*6fUo6dm+b&(cVP}9he?BR5XdKMD)CNH| zCurdA9&$2LmudlvsGDtSaTx(9ISZnhR$%c*AwIRe@ z`bQw>8ny>Mq3}v>DU@?Deb%ashu@~z+TJB}9#N9Eg8uE2t*jX6Uh)u1U>)1?-N6l* zdvrb3jJ}buC>(Nz%Vq{U(Vja8TS%#xotcs%*(NGhOBDGs;Pq;6H*><^i|R;zE*Sn- zo7&@7roK;moYNMyMaJ&yL#w-|HZPZyI)J)O?{Kof%S-BqYeabT`MRvb_SGLe-hdpU zY3+dqX26iBnVbXFVC=lL{I4{JaM>}Yw{-EFQcuksp9Ab!X8h*7fD(DHAo3pJX2XCm zX;e;CJgxR~FogZA&)Kmp0_(#bNBeOn8~)pG$PtF7#)gZicg=cr5`P;IF}@`|V;;QoKh$ z&j!>H0nofI58o@@yawCijJAwOP6xlar=_88nU0JsK0FlSOk0QY0}7FmQ*5GhSElaH z^XTlqaP-}!kVHCED$xmdoM<1a(5lDB>ukA>l9ICiw<&^j+1A$9$%$pWguJ}Ghew-% z+T^!6eOJi_dDg$qy-v1|o%-1Z^&AY&@rSTp+wgjF-{fc>t95r)99HZ(03=_pQrHPs z`ojTT!lX0c;c^T8=U2m;SeZ|oia%(eYi>f?#t_-p>C9F5N2 z(58BZOxz%+y`%@Fv6M-S7f)S>#9#yjaN(uv>gi?2#R<!V%$V^rk-#Xz_ zx>BQIq@#i(j#WN&Wved_IY?sb+hwMZb)55tldYqbqYe1L*CZxq@LMbHtV+u3(>0I9 z?BVb@U!8^1ZOvUlz@m@Mlpd40IXe2Im9&S)VQuH=h)!6fTPy1cE}{?67QGK?BOv06AEQrU*st7_UIMJ@{jSBOGDOuSRtwOdKPR7SngBbA2uT1FH- zI}lhLH+~{&gl+J_5m+FoyBW7J_tM_Pc3S#kMEm(l?KJFbt_G`@tc z5$bFiIZWnXwxRAg1Wl8*xu5Bj9yHHHYp#gOhF&g*)g3oP)6OFH`s>;~>fs1R1gcq=x(@|f~N=)3--yiUM>uMOH!h9;5nO|N;#=m;ks1qwW*(|2VU?3c`)Tw}K?Ch^S zW5BC2UN1unOW4Rg6Gj%4Ta-teIN$2@GEPonv199Xc>H&qqC6_iA$0&FD3e%U5rxw{ z9(q+7wO^+r9m!if9=|$=sPnT)38j?Oz4;Q7L3gQ`e-j_WCxM-~9}5dB)<$RgrLzSQ zd#qz?#K_DjP~D~|rN6F;6eE)ris!akRz_RERVIS;cHZlW8W{3AUsmv5==-@pFkt2H z&#al9X}!=`p|;;;|Lo9y&$(Ye(WT<2B5E&6>(0no3tPrCGZS>t|r{o{u(XZfD_B+P@r@<s0we#;y9fEhuW>& zfOsqns#(=DXpF;r(lhMV%p>CmUOeJ-LBjrbD(gp97S=U%BE!uA83v#HW#rhnEJ*^K zNk<5e3Ge<0HL%X=ML7YQz1N(1t*T<9^)`Zb>-FnxN?Acar}uNk z1}z~tXY%h*{@LhkVRL3Lp)clJ9>H=??d`6v=L;340DP78Hd}6XUJho|<^)>17HcAI z_w9M#>RfqLm!0p*#;S8GIlleEU+Ra$*ZXH+v@bF78~4N68t5ysv^ey;q`g>B8VY8c z@#dnRV$^S!F5fmV50K2$IE|z;dY~y|35iSQ1rWtgJBkBTfqG zyqim>i3IxNR*-n2XC*B?8oLo;x=ilsu(x6b_4V%Xx)3LRY1dED@&IXhh2j(gIx33Q z++TGfWyGs5;hRVVcB7Tju(S|%BCo@a7?t^9=4YL&M1=*UGR{Le38a)q8BNhcb?Eft za8b<`Z(^4iQNGf!DI5a>c%kgDI-Suxrij>YUCFxjiSOnEVd)?2(@>4Gex_5C2l(F* zP52MBgZrn(v$^Li<*RF&odmtTo$e}?geX?;F8A53*8rwv#Uptzh_@v-;sRRwWY%`U z-dR(N&F$^f&-Sw(WI{zME5in$hd=CnJ@xcO^AaIX*wAi>C|2Ash*bVsZ*v18wrp~v z2hZH>p1g2`J;u}4HmMkRHBGif?YE&FJS>4>X`lNMhnE{2FTNso29gs9lcs1_8GL9% zL{*ljCl+Eq|8{OqjR6FIe-OjksaO$!Nmk5gneNvsG-z9}|In1%)W=t$O}cr0gBNWE zVTu^keobWTJJe~4wI?OX@@?Lk{cq%h=y{1h5TT{FV4w}S(8hOA4sM}O#=aZ$ zfXPv=4`RE@lsJ2`74JiXF*6(Z(C&tzipZ75tbl>xWWrM3G<*5-Wz5__fZ?RgKN%ec1QE(LQz7{d+ww86_;XDkS*+q<1_hx3>|oS12L$48-Q+j2%0zPm8~3OORt=&tG&B@3+`l^dWA% zn2fGVxe_!E{2)56Ky5BbSjd-&jdd6;?q+cOZV&OKqa-EiWKD5e#jw%8kP_1dHzC1y zGPr^8P=0^FaLI7MLWR*;g^GKrgWYE{;4}kCa zi0pcDhyBT=e>eOww6-9Zj{pDj$n95Ul>&(g#dgx(n!h zD*&Zhz$^f-B`SlBE?zd?Wc*^I({mn&^ESQ>ujwl+S|$l87qJ#5m(J(N{&$v7%E?qI zYS`|6d(a<@)PC9Zs!^@;{M9VqBp_hDO}#>6B8&Gi5M5y_czIkp{-1}7jb5s^?5c*g zjq}5bxxUx+K;e>dqixso!6;o#$L%C9+({j7Jo!qzgrnQ#MrVOq1U3_8$wWPc&1L7K z&*%H&e^pP6IaZr(r)-G4u7us)-L139r8S0u9`606jd`LWT^}!}^QUw2=lc7FL=+Ee zj*5DHO-;*dQt|WU>J`7c_<*(YlCQ=w{7vrh@~i&kt?e&iiO?kTnn~ z#Vdgb%Hcn0NfLP{rVMop#gq5Dq%i6d`aButJM396*BVY{a{t$1C~Tdp2axUO{gQs% z?O~3u!wBc|jIQhYfK6OK0+IV(T(xeyganSE<4zbAq2o9Ud#5Dk%l+vP|Lb|P{+?94 zm|ptA7~Z0p?@|=!h7>CSH{>yk@nEL&qSmJOqpq4CQPhv0mUl-pYnhcNQ|UkHSRLN) zmsDxpUXDvbP>2ZCxjx@+Tg|`1C8Qthp%aPZBWZgO(1=_Q%f<evafRaff?JsZ{X3Qt#yUxOYu3FVhWOB1v1`m6mH!gMEjM7O9 z^4lgEGRh9&FMLEWwox}peMx}>$us~ONoI6cwOEei2@{issdX>@{&b1T+s0>HKSup5u8Tv`NXx-`UK@ zxsD4H+^|S^X7PxAPB&Pn!b2xeDFS8-rHMmCR|aE<6kk4K1Yvb;<>HLtK6@Zg_CAyN z@R@0&TOFJp#NqS2AI?^Sv~Mhia|FC!)PL!!uSpR|$FH~yMH3`Mn2sfjOS>6<-xwBV z)7j9gGa4XpT@z-fpjP>;86jVy%JGUHO(L#mYSgPsL|_8hwQAZj4XP0oZ@d* zEm0`R_Bdhceq#l!wS4tN44$e?NiCJjey6H=V^q)XVu}fUdPwhSxPD6D^$PGG^X(8K zpMx}Wfv2qiYnRJ~iltZ*QLei>p0=yvjVGk}2NdC0nP7-I_c*~S7=_nWy| z)t6LK^gL*=)rR$bo=s&Ir3YT~LJ0HgCveinn7a8orVNaIf{|dJC{0Gco+3h%(56|Z)>$wt=nQ}SXdh=AG&Xn+GGQQhWGliJ$~ORFAh^i zMmiEGZr>PP!mr}`l(-p>+)8}(CawqsJ~J`Czn9vQk0dwTd2rawlBWzn>o!>di!(g> zRZvS)jj(9g4Y1XF%<+~Br4u&Xc9CQ@6MJu2Sff(qmCSdvi!l9bx<6jN3_|fY;gy_) zi&CtiVzk||*o0om$w}Tzxq5{Z{M+4l2A42>-}fYxk%_g3-55a$Q>lMt3se&@aAuv} zcQca8DF10Fd)$+-Myc&>Ic01qkQ_**|?`Alhee#;5!sf!9aLEk;;$_1gE1H-Y-|Q1n zT;8sSRN({LEdepKLy1Tn7T1fl(zH1RUYjpt8f2Rk_%^P*QhXROU;uxR^dXVs^Fo8o@VmhP zlP@d!Rvs%`y5(234(`C~+wGKvO~KhzS#g;pu5>(uMNvAZEn&fMLeR;Vy^a#UCZUIGMzSykGa-sYUaF*q=8rHcEne7YN{pEX^euD9N z22q91fd=Lmr1AnMl`w(};?QrkubxD1CR&M~gKZ{Z4a3?vB2NDgXKx)9NAPWphL8XW z?hXm=1cGaDcXxLf+$}(`;O_43?(VLGySoj}8}fVit|#kz_gk<3=vB>Hbj@^EowN5o zyK2DrW9!Rr%?x4Q{$y~?F4GSon`WXwKY*S0D|o=R)LsbxF>J1EV&*P6)djzB|0#= zkR|OuO+VOQdP1e4wB~3>lQ0KK{ZSypeh~8=yu^}YUdsK#mD!3m>4iICOO9m9Bi9%WqYoE{@Ti)Tbnb zaxk8f*#Ojk$=^S`t);xBa(a?L@}S4qvu$drjrYTD!r|ukXcEel%Cz*mIxcd?Z(`B7 zoqx&xgX8`izP&dXEhWHNvi?0nf#K6){QHy=``7q?;Jy~mhItQWt9ghPpva|YG^S(IXKquVO9D#Pv#D*5E-xBlwB=iw1DZC% zap|)5!hK;smQzsqexnLGx%Tn4Z(D`ECt*wVD4Tr%IgWnq|B2PZP$8xbAttX00vyziLAQ!0}yEe z^)&!JHBZ+20s)>I=L3L=i>ByeGwU`sl;kx-;w+k#y(dq8-EL>#pFe+SqIuzeEut<& zur!qBuxPW{Fndg1L4&TSVe}*|!VnOTuGLxVs&X4iw&>+r_6Uk8=}Af6j~x=%K;49) zl!0y_>v%3-GjDtQ>A~NbCN;#RJ9B1QbC0$FjO6QB;Q?<>tZ_Oj3LgBVT0npKSdEwX zL+;8eCgxaH+GzL2xv4W~C<^a_V4Nb^JzGK?w5EJEE+n~jgMn-^3O$r3G>n7eej3MZWL zrUCc7kdSLPwnxC>(1R=#B+1&NXc)I{#ZTq9DUwr)N z7WZ4lzFYfjl6S+`i6$7I*`O<9!KYU%+S23Ky^EPr48O-Vo!j0RFNKzC-J(WC?u4@^ zaTSCd>`oaS@82R}z%{i<1#FIj%u_-_*f&0B_i;1n ziE~|N;({GAD?EjQR{=87zwKA2i9s!_=N8f^s()y4wg`4gS*8o#j7QbI=Ila+PLbc> zPJT|s6mj$op3=CQZ6}rO&ylCOEq35A97zwiIpgn3t+F6^6YwOpb`!of27md`lk;og zcgq@^{lsbtFzXsRxLJtT&!^F(FL&@oXCQH*;<_LR)1`ZO<+W}0L`d&=+gW?o1%WdB zxt8~&JoOV-SRXwgXuV4>=fkacU~0g|3pLM79n-k_|_ynkVEMk`uIQ< z(>UQ^h!O!gD^ikmoF-xFY6{Q4mkg9M zn4jCf{d=0?$>xsJ*HbF;(yNSI+?`0dD_GSt_P5Z2dj+y}N7ShkMHe1owv?^eAn%?0@XX7$nbaWIf#SU-!CW*m^4t>?E&j&k$Rb#2RAlEtjk-#bOx^FJ=Iyv+6 zlZX%cUeXZ4ULBjOtJ@fV9Iy|C^)0>7mMQ>cK4rTlh zB)#T~Tw+}J#)~3FjL%tp5}RDp6=$$uus|MrT;19U0$4me1<6EAInAp$}YeNt4Nc+PV4(Vy#dCP3St?p z<%J{fWN(^tfR})~10m2Fq%x5`-^b~tQ7o}Mwzyq?v~JSA}Z;0wB_k&72vE2POB*z$+)DM`O$hs7SAfh zKC`{cZrfFa6TjjWPbk%3LrQdTaZZth_mr&267cMQzVMS-=|aX{wR$IfDRw|nex zK*6Jv3XvPw+)NeMM0xBRa!+E-(62RluzmKkub2-I@w-mKk=RNf!P4Cd-JuCH3=2|` z%~S|=!cXp5NtNU&KZQ5Z>Ttg7RDug%b5gR%X3!9+NcMr8x|j9K=0-=JzPy{LQxbj_ zJ?s0)dSJ6{*}NtS`};DeoOpSLNG_rV=v4ikgrkOZNS5|rijHEEJ7)O^nz1jrj3b9a zIUC(+3F=_6wRCw9{TgpP9`@;2@m~JA_Bsh|F7DD1p-1vFyZ@-%@xT^9r`<0r*mk&D z5RrU=oXx3W0JX+>Ch2y(OipMR>3VH%e9^2z5@Cz`H1Wu^Wl z{-ySmWO&$L_@cQ=WQugra1#*kDgv191#S}w=r^*+xW&N$v(8i*!HSy6& z!b~FCaI=6}HXWe!j~Dl92H`P_ajR*Iyrz=SRwXA@>{+S}8&^!Hh1MP{s`Fi3L_7+4 zIA+fd$;$JWD^sQP1m#n6y*NmVj#4|N{dQAbRNktE_8gD9NJdTwa0JQq9D@2!pE7?C z3yo_M`f%p_UsS+xF!1&+j;1d<%0p$6g$Osd!&*U@Y_DIACw~8zBj|VP|OLR~)G(Dv@+<{cgk( z(7;xyjyd$5RabTNJ zt(*`Vf1i*UM5TAcszq(!tBsMlslz(3y@wE^F?fw4vF9ZaTghEM^8KjwG!-jVrJ1LW zc$B))r@~@pn)jdcr6Qm27eI%x{gG4(6bF4dhIy%B%v~URlA6(w=2kxh206PYgya=` zf%;fbPf~R}*K7eBAA0+?6>F9Cz2+H>{0mtl^0fFT!P`-A#DoY+owYE`!c@(}FK6J0 zN7U{TBcJcXH7AhlLxrkR7{JxMNsmb5rLdfm4S|Y~Wj8Vm^;qp%p6^J#|OEyoiIb$j*UR^ae2AMdG*W?w{(mJW0`j~(E4j^!441|TLsOE7ZF9}Rgf8T@NX{2EnriZ3 z=|uki(|A5MibAk1xrIfKQf+8FPOXxi(t5o8;<3^Hdt@_1ChcDjXtlIy#&eJ`7$fV6 zqw&XXapm1>W#BV1|LjBE`H7CGcJkV@@Le^Mslq}@B+1lZ6YDT;qvNq^S5h!rwBU2w z{xR!N$Z^g0{9|m)us7q%OLFxLkRmG791tLw%8tPKkH$g*IwYw5x62f zt{~w$d^8D!rthdo7C~j)+bKn=7pa7`nC}nu6dL0Wl5AKvq_?v;>Zv~)i*!XE(p1AJ z{6!Jsy5xkn#bIPYF)9dHSj>W`c=;Vyq&q>_EMJB%Tnae`EeZPaLWO1aBib64+J1KU zB16()b8wDT+Crh{B((L^-YXsZiAu$IKSi85>x*+&T6t>qZ3oLY{1yfu*V=Z4R@WJJ z2zX@3L*_2{I@7h#*SQO&+->tSlBHi{f`4R`6pS4zcF3di@k)^%EeUbO0Y-tY2_C*rsJdTe5UF|l{d!=`#d{U+#N3;R+dx+QWg&pvc$O1E-o0e;f)| zbc@OC$Y0Msmdu)k?4#k1dS1x0E|>cyLjAkipIwCnp-|fikCqzXzhoov_}P9ZFmFos zqC&Cs)By=YgmEPzj?eTf@nylokB?0ufw+IP|z<*lAHWV0-fc9U* z<3T#0d73enJ1vA8P|1K#T=R3Z>i?FWomuogLx-##e}fF)7E+h5`;aMSWVwTeHihq$ zRb=x2w(W@caOK~F$tD?D?2K-3^_lM6x`IgMjSlj;8SAWcErg~sl5L*C zkuAG4`dy{efN>M6^T&Zf_2n**i=oQ)W~f0;v`lScl44`Ltzdk#gUp##FCgzEUW^7^|m&>&sD6lrI|NZ+|J_}4^U7xpA?xU&c~A-HxNd# zHL0IYUe25eA7qG#z!nv`%iG(yP++rTNnvkaAA@Dd6lXWfVLLNI3GLvZNVBwn7kvf{ zGJ_@VuzUAd@{R%D5{cReWQ^`GNw_Irq*qsXCQ_nus~iaNSKOe=f?tk=$Zf-`;-sP< zhquKcct^ey1y~Vvlcd)F#G#lmv$;OU4Llnb5fJRHl)~x?P(BJ$iE5^?M7_&8O>z5( zJ28n8)E2TxNS}d*9F^`t78De;7@bc~2#Ji0Y)i%tKKHPIPYjme{Ve~}{2#CDJ-hH+ zuu^cvFhm5wy>heId>{auwM3UK4DP||*itJ; z#~R&_NA$EEg&e!Gkj?e(obnPRXTr`TM<&&Gx zNOah$(C%F@bcae3NU!7$yxZpq3H|2rytRaT@5z8l`dONt{_b!5f&?7|Z*;Xv^L9RW zj+EuZV2qlRe9wkjkx>L#S6r9-bdOKqe}!8PAPdmKWd6zc^JaO6w|w-3S-=TD(bil$ z&@rZ%)&&*iOmnTOD?&RuHZQHOp@jpzTy0~wXOglGW0n0y^mPRMzVvWIR%%fD3kk&` z9|C`e0*L~#qxaaY7W(^o#j?TrOX)!aJTy8-{Er_jk%BDJ%6=ec+wzTjri%x|bN>i> z-WO4STk!KYUXE*t?Y>SzSJBVWC#CEdgFvnzF0(VDp3jT~tBfsC0t`=qUR^=J?+w~P z2$N09?Vh$wth;on3Tx0u*Q6hv;! z*>}+>34f(sW>P;}3JR^u5-P=T5jYLK>PwT_Z=b456{(aJ6kKgFWyWPC%6#|m$k^0A z_!Ais5fnsg79@ODhZMiFl&-NPW-n<-(>(o`)#18u`>0_`M=>6>I{)0TH1cHS{Y0bb zu&qvN4a%bxl$ng-sv2(nbN>myW#+$l`WIX*msk2{CwqSdYeYw@MD$#wa?7xY-8`R_crTaFaT`IA`Y=6TmNb%$oaomNf_{^D%w1&zn`t)#VOY$T~&w z1&(`dHP23a>NggIlGe- zSAFXQ3m0$uBU;s43F%k~h?2Z{=rkR5fukT^OP$$f;L;y6r^g@?f_O~G#)_*R>UBM> z17C~V)MO*dy)mD!K1>H-X8j%K2(jWXwrkatZKJa4)z}_w4kA}0R;fv*N|T0}VJ9VE zPM|GodCM4`Gfps4*iUbE8Oza_cgr#YC2V!7#l~ju(5S8c?CILD^6lpnKYP3Oe0O_f z8Hqo0EFdIVYsn4LBuJ&*6(d|%r(S7_tYbEk9v7KmSs1c@&tbY#z?o3njVL3$n4lyO z(jh}kJn~_aol`p=LKKhQvN0Ux@{BjUPiP<*0V(X&tp2LMt0nrNGj!&qzD`88Xl=y) zcp^|R%`g0NJc^g|@o>*kmGG8DUC^f0wzoaJ1}DyJ*QeLbZp{CZ;WAtPp=7!+gZOjC zSq++5ZO}%q)3aOd>ks;!>M-vjTQdppI@N~kuB9myJ?q$IxZCcxy$l40#lVj4Hv5QuXU&KbA54&r zg(if{ruzO_l885}S`nSrHx%!-R)3Ra{j`0Sbb0rvM|voV!|e(G=8(ct!g@?c$5evi zM|ZLe7q9a;kD{O;V0~ViYl_*M_c!${8BhBky$0M6VzkNq!kbUoG`sm4_ai}Sw8~+W z9H_zh2Ya*A63Gm>NXB%43zad0OF=wz^aA;&D#5Vzh^q>e2Q<-qkQ8sQI^EaRK2*#- zTFbHH7g;%z1%&9NZM3LB2}nBq*Faxy#ZiY=jT)lVhvk0!Zh8@$vPUWG zDWCOt+@nNzQPr3FPla^bl6Mnqd9Hh)iO<|O?!J&Tb0O)4>$cJ1#xGRoH?c^qGZ zTYPwow}avbS*NZ!%S8TGVAoUygx#LpH@ZCcF(u$$*v8+kHS684c_&x!k4ihu`6re= zT*}Zi7ivnfVKgK}1Ox>M*`Z3HPWcq&Re5N3K}-maB*m#9X~|ZJ45=%ww53fIIDtkD zNEywVo#`lc_FxqwU}K$8(4i4IbH`y8ou#>>bCEj1F)k1?L0mc-&2FVZow^a67}nSy zkf8mG_b~BIL1&*&I&x^F$w!otW#e!JstFiMk-ufkzt(jv2-y5~^D|}VdE>ty5iP@D zhh|D>YXqr_y)b7*hO56U?{A)SxhlfMGKO9uUPgg)GU=(*pvDv*miU3%2NXarE}Zw+ zp}ze-T6VrJJH~`6mGou)-a!?=oYrc4IA#tS-U|y9*r&K{4r5&C9^;qpIOn&!h%9OrMiB$zEFr=_h5&d zP~=VbgueGTWmQR@y<0pm3c5SqVEt0uXB8?cVI|uX9V(9To2_6r=2WptB<)m>j)TA~ z)MLSe;f_!5QdK@`Y@FXA$1sl_R#n1nbD9sSzK)ax#+12JC>0VO>z5-^xRZ0&So zU2w0zI`JtDa38X{0TlVN{F#bv^X4PM3SUF=ooh()_?WHgxeN=F6t|32H6s``T3PPN zv0zxp5ZsTTdGgvGcr=I^I^L%_ zXhXMgiODC^U#%?IwXAkLSJ*tx=W=B6Vgbs6PY4V-bT{UV^<>G{{_oQ(kHXVYB$K1U zGiw;f7B_+#XT3MU#mn{_y*TCaK|IIzC1!{|cZ!dx~*3RArKe3U0ZwHHR-gSZ&~{S1<@vy$=b>Em^Hfd$S6a%ja9* z|D;%CzHG)b%tO&31#z`W`9^_-rcf!)L$Y!N;In*DQEqe$WGLh$!?WV-fmX1v3AriZ zwEkK+yr+f9B(%EK=eLke{yxC7N57^`*(8xTo}UUwauQZV)!YJ)Kzua^K8dZ|I2T!)Q23V%N9bg;7u-{s6q(BUq@>fy;wMQ$Ja?l(YNA_pxU$ z*8AH#F)Ce_;VJ6phdXg7*$gd(MI@=C5F|{u2b{;EM(e@+ToeeMbU9vpQC(fi%qG^~ zchp>W^ilvNk5f2P`%NI(G9Z$uv0@vC=zr1}gFycQ0lx{2n93DjRfQ5dm9n^>W;rp} zoaGK6@czxdw%8vgQ=DGs+v4_#pq-qut5$Io?HYS8uEBx8h-kQM8xg}{EwdEW`%@z# zE>u)~x;i50){ZI)He#@{P(lMx`lJ^@LIO_Yx_NYYKWv3$Ncb8&9p38IG};;pCSd4q zb>OrR3b_VP{L75YCJQ=t$9Jsiay-|vGaVS^J5m#jQVN3_ z=Kdr~N3&JET+B1iU^%12l)LfS`K76^$CHiJ{Su09>z|zmZD^ukJJ|0ZYtUF35&+tQ zO^}O~9ttFUv1gYj2=S^4zs%_}KIV^Z;mI}(uy1^%PzadLiS|iMYs%>h4uXS&6YN`9 zP+>Gao-fNr!NeS>EE7J5SysaVM|-FKke!2z=!nm-)BIq@c8IWyqqPoh^m;D8pDU$I zXP1~S7qdDhZ1hrsf^a*lIl9UAZUsQ1M__UW{BQRBf1%L-n*a0lGACwl&*G?NHQPUW zTr~iBX;X4SOZWv$j;lna-#Z60nFaG3L+TdI=)QmS`Uwt8u4R9t%i>N0xF1gw^3D%i zMC&-h0z$j^2v{S!NhMsni<=-dZG3k&49fC?jCqm%AYNnf>Wqp%A*cD}829;WMs++{2_iLHu>EkcMx@ zymuYd&UdkK#L%g0m0VmmN?lh<&}AzI>8rxgc2^WY>r3U{lLq<>LTyI(?LWw`BA|hR zz9dyc3!W>Gn`gkkPO$2!>(1oM6lA8dCF)w|S=aBhYDo)fO6-fSk`f=C)9MEYXGg6U z@@;R2OCMYZeI9=9-Jbp^QmbQ~5U_Fesctg;@q_V*#WTv)=~%-g;%!IC1vshAX)Kwz z)I2{ZUj8a_7aSz>rg!)G>(G995I9*!71TdD<{un>$;EFvwI_#-$0r{Lyj&}KLP8=_ zD(AEtMn~V`XsGVPxDzlIs4O=oVu{@xJno|N-u3YLW8lMwhLOr`QZZjr>Jt&~fzEIC z`hJ30*=CzS$XgeL-5Gye@rX&-dq-nsrS4-|Ci;Ny>eJ=%8jFiwE&8lWy-X6j(PC5$ zN51<%aaDSSg~a5&T38H_@eAZijUmMxPAAVO%goCOc~5|C%h9uSJ??AmZa$C&M13)& z2fHbCBG)QszW$_2_eCgu=FB!W^vUW*=$?i&ahC7QI+u*`?597C)^#g6%xio8YdIin+Arsf&B$ny>tYO1?OVd3mN z**6D-|2Tz?sPZl9eipLGUWfJL$g}8G_flo z%;LH=7o)?q?Wu0WhRSS9x*=9KZnY}jp8&3?*jBeItO=m~E;9bNqx^wVG(6GQ@ipG8zeV<7GS} z?zq`DH=z(FDarbFIWs$7he-Rl|6K3*vxNyqY3_8W?04#e))9!1>^;_`3F&63n8}R5 z^cs*}4fN&WNg?|w(U0Vee88rm-Tr|5ICP4e{r&lNL`|mwYg_B)zSuuHUjaA1q}$uR zR5c`kNW0FaIO3U}x6w^bv6aIkX1VV)eI0l@(;pHL+0r0gsun8GW4<@c(Om!*4&jzX zfBkHSAMNdychF59yGldV{ZZD(5iv888EE1CKp>|uz1x53cMo64z?MLjP|6=Z{ZZZu z&Q2~OpwF4^Y$d3;k;D;jeRO~CLbCPnHx7e{Xe+js=HqfFAcLFkFn_k+@%O2o+4=LU z+ul`c--zyUCcXvg#4}4(%^;I=>9A6*yZL<8GW@wQT%q!jv%o zVW)Y!iLw(z{153u3Ru@qe@f>$de~Qm5++YDl~b-05bz}>O`P#vRbEW?Z=!y4u=cv1 zMINeb@iOrPJm!S7>wd1FeyYzgX-_TY_p*Co9FsC#Obxg__k%U&`PxWS)Y+R@ic%B& zt|NXQ>uFgNbI{FGaS&wtx)JnJFa4kZ*UWy6-g#XE?JN}H2pD*kXwcKg*~@vEE85)t zT@sD`!~3-R&JnBG_x6PP$vG2CJJZ26b!QHeQ>bT#leOyAbHBSN#v(!VT@AJr^OH=n zbMqR(;YzTY6?f-Ma-@S!sE)I-L8zEoN@6j5;p;sKT%eaS*VYOKChe}w*D*}VnQ{m$ zYnf#j4;EMX)0_Zja`iZoCJ_8X@>qn0f4>K>j!G+C@_8D0e{aImp`5kV$Bl&b4iAFm z^5`)#-0HIrd#NjIwx;4E$@BzkG0uDkecI+zQnDMj&a?*(6@(FV%(; z_oCI8X~n0_CL>V_(fqr*H?Jc`9vb40NbiDlkd=z;-phwdy+_~+XSB96eLA0RKjTBD zlDn~k>F*OYY-9NxjgH5cfbIK>Ej5@(v4Zlm9ymtDN=&b1bli4@6#@)=?5wp?e@biO z`V5v4fV~5-L&E#ucKp`(c_T@xM3akp;ng@&VM>1Dd|l4C)SQ3p`Eiq5sCc1|b_xUT zpp<0&cgPo-hJ9Ol^`Dmc)f;pzK)|PS-JYuBNwqW^CX6jJ4*3ec7|%3sNz?p!T>_P-DVej z9RULaqdyW$Hkean0t4vf>Zk*Ysrdf!cC;fRH$7I}QBe^*Heid+cMyO*fu>;RW)W0p zdZCit^|t8MFg%xnfV*yUAp^btR%fhB)|Bz>3o&&wRRjNbA|i~T z8oHYp8FRJm?s`WU^}*FCY=EV4c)#6>yZg*JF=@{v8%EC8xdv&%kg#A3{EZ_%U_%*! zX)!$Z-Th1FO}-I;x5HDiIKE&R}F8UyBFxWq(n^*i@g2 zY7w^GeD+r=!B?pJb`L)4EkVIt@^iDh?N1M?HWGA#nW5`QqicP%fdXq!Ds&W}t6`c& z-GH=tioqN@+Gf7E_S42gRpqxjKG)c>nX|%)-K~Hknjj#U6K8-iA~wmL*2CkYc)ulh z)so`Y))rQMO*ui0N=}F8OQT-P>#NqkVaj{3bQc;9zhltlTkFARV^Q6$U6M+vh_q5Bez{ttti)G!a|H<3)o zMBvteJ60u`Q0Of`bs)Tj)DagKUH{b~NDP`D`-_EzE$X%la=3UYa+|9d2|_5oz3L6Q zjvuD|`aY2B9qY@dD)_@*-$0!SSConBNoeJ}(;EZk_{I-zw-m>!TnXvz>ABR>cVkND(=oFm0q^WD<|&X9HnxDtVhK#aN1Izdf&&-145Vw>%ab zO0G@2j#j6v@Dj$Jlo{mcU#3PzBH;%6y^(-FHo5B;odz$BC1PXV_A3W4L8FE~VQYJ~ zyDu5^Rl0^>ngOo#)2NM}T|`*NR52sh2>7k1YHl^$CJ2B+Id`$6F8_^7BjYz^TR^8z%M~G*d5qS}0neHXlcJKc*2&(0W%Ip#y}^S% z*afmAgsl~@N7L|LH|kHT0I}E>8)=6c;@wrAvy1pCXxnc@8p4EwyXp45K!SW=D6)fy z`}6Jh!5W$>@rjn-EUa&zj1K4vjRdc%<7wrK49fQ5DCEI3ssHKr!e0`H`~ z&Vr|SpxWKJ_zUH;7HrZ)t%B6hYr+!D@=SMv;8?bDQ*cXE%d0_@vGGofTZ1H&7IymLerz;vs zA3(8I+;15k9?_&?-<04g#iyC`_tEF^|2t1#*pwe`;4uTP-9;5;G0M)jSU<$a94Q}a z_b*L}I|Rhg$S;4kPNNxeTC8o=!LtL zX*!Dm!TxOOG8X~X2##_u+?QP~B%Vt=eB|qsJ=m#@|0?+)X_6}^B_L&dqoh=%ePg9o z-!l5E9CI8z+=_5qIUf#rQ*^t!f)H}BJu>dLyk_WVV9$W&#MV&$w4uE9{m`5A3B4Ku1mBgZch{>H|DShL*dX_!%sg z#01p)(dtZLVSg2r(}Y4SNDKI$nnkkvMoJJmKfXVkl#J?Zw?~!>=~}RNd@ldBviOWSbywln*%Nql z-uju4uXE-6FH_49d%6pbd=m``WobC^P`^CP5h^`lYrG^lI>9Xu z%AzWUEMrB*FJ;@o2evkVQ-d4U60hERCaCbh~)vFJqKGVft37!SZfx z=#i`80_3lpXW|;d*ASbp(1?}ont=7Gn$CO$@ahjuPF!BW37OJfc0+lCl@#FQQlIN7 zxxb*<1KvDMNJu8S%Y`wNs;8@oStTZ~I2y$vFY7+kPlck`#7YLhg~;V0Q*=>*Q%TH2 z)~=bNe;^Bns;~rmL%Jo->56nxJRAA{zC2IWLAH6P8s9t8^&B?fXTxc+fkeDzVpw@P z-amf9lh{F_RqCMfTQC?Oda>tQN@=a4qESO3>&4e`K_)Dli**Cy(~wG;3UlV*0F|vx z(NCYE3<8cPQCF+{G}}K23erU9{I_;Z#k0*qpu*H1Odl7ecb~t9xW)b-={ow$S-R_= z&d{;3|A^O>5t`VOU>C;2V^7HzmS5+E^wB9;lh>?r3rq3ad`%zh-Zt2d(jof0eU=f| z9ETG1+s`=$9=FPVjA84B)tDt;+p)i&5QJWI>>KxSlsau0 zkk+giE<>(f|LQSWV^syl54u7gFBfeLn+(IB;qyD4(wDIsw1zaOKr@~DS53s=VbWhZ zi4C71CJ!3tnSUj#N8bJ}_T6{udlLLPkEyds;MQHvvs*{w8_w~}!|)zM)enrQ(``h; z2T&@>UpG|ll80jL<0V~-tA!Db+LTQ{BWnpx*gO`5dmy;9uInOEt+(B1)^H$ZtHWy9 zC@p<98Ld?(RHP>5w&Fg^Hn>U@@)#6re7RJ%EpZ`Hp5k2ns>1>BK z4LV0s<5kXi{||8s8G*tpa>DJ>Ul=X>U!PY9PP_cSJ*$0Ha_$#q>wQv!d~;?d2BSct zhrna<3`K)Yf5(NYQ!EH9IgEyJ50nebu}H1PnS{HoGm>-vMiVH6AAxuRU9pK_8LQ7T zJ{cizFGqTXzot0@`ULx^G}_{TG-k^jcawc#uY>ob9hbt`yG87XQ>nN|cKh#A&Nf$xU4pfTt-4q~u73AxSr zqSLc_*8s@-f_ByV4^5A;h-g#a{ORx{cpYys)^oNCf1ZzyM8dmxzTN(@lWb<$SZ!a` zB$8|i<(dyxLwqWA+7 zJZMxE!IYjz+<8&Cx!n=Wzro}Z%#!sN$^PMD@abRk|JQZ)!NGyd#r7fW^4(|q4LwT$ z$(tCsg9@FFcsLk%_!k#Fcgu|rTq~_K=>BgNFOE|-4<#{Dkun+!y2sD<3WE2yTEt*-}FdLg@wf^CB+lGxz}D)Rx&3b z_B6E`WJp=Lms@G&hKJ;;_*{jD_0Ja0&nP%-tQKhIWLWH6J48JZ?8Lrt)1GXhzqwn;{SWqL_h;o!eGkg`rrjTBZUHl+kSpIW9$%%;wWbej|j<7 z^z14#*o=k%qXLEzfZdyiY;{9;*xx>Tup!lw1c3)!FmgDYCN-QOARsU{HjZuvKlD#0 znVH|hHN=$uXTU8v{f7jX;R$$}0QPW1WB;#lbrbj(?~SD)^KB{H&{rRB+33ae1x;^Ja&?D)1LnA}YwaKJNFW@9D(Ni61{o=!kT9oG^EV9wKCJuhiopwQLVqfz^(%iPPSzYG8nIBLGIJX#d=m60t77`Hl}WbK2r^Dfuj7N}h1crm&8Y|>PXwD~=Tk=wxz zvh`Ba-~`Hx5g{Y6_fFM91${^A5da7*Z@4tt2OFdnS9{k?>{}9p601l}m~l?EswBk3 zZuZpftJgFpD%!}-eZMU{PCOpqBEoz9tQ*&#f^eJCkSQ|BmBZ?se(gton#B99-`)!Q z3V?~xUXPs%ZLjd_#&7_-cuvrs&R8(@x4cdkvmv2tQvBieY;m8yT=m97rHyyO5I}I6 zTM@h8;GYC@3%~L}QTe_3e0*c(D@z4MrVSl??SWe`8cCo5QyE}=Q&>?}Fa!dBM(evE zjh8>{SCh9G9PlGlw9Hk=Kl>0`plW!t-^kR&u~|K%X0H9vb%%!QmT+>N zbynca-M+m1QV_4L{&G4##*5#%ix$rUhRG$C$Jx=bK3QJN*zjwA{#awRJI@rpbiGc* z?md@>Bxh?z(KI9S&OkEYE?|R`yf&zZK$AeIElgNFqCUXRDrCqT@7+j+u4vC;wvp9> zNY5Q518t-&m)CG#(VF`SNS52S3m&oJzFMpv_SZ{ZyDTw*0#`4bft7?a6P{5 zCwf#2x^gHw_;bf`QsG|K{G+*X%6qx72P@w9qsxpfGrd(4@+%|1qBt{csL}^bc}b9( z8|a2u4#;vRd%L!~^tM{rvkng#u>uS4MjU+6B}3cNYI0>O*Y%n2!Ktr9eHzc+Se%vK zcjTMc&UFsvJesU5A8L<42lL9WJhMBFC{~6ifiqHZVK(F|Jc(&OaF4hNT~dj{e5!&G|R63@Gn> z%$D7r+ol|5Yc@tU%kPPs=koUAEC@YlEBABp_K9H(E8-7&**%E<(|2d0qFFph(x-@AmsiiZ#R};em{rh%{Z$9Xi{L749KO#1T;b2g zYD4^Ypqrwa9E2cA8y4f74PS_KONN8nQ$48Yu+t8s5kQ;JVy_(>p*) zb6AD_;+zc`3!&L|wf(J+^ty(+VgtX*LVDucT;-`E5hey~rB`TkEy?Yb9)+C_9$Mi+ zZaV+D>Iwn=YeK_XWG+$!NSZrqwv*4^2T$cgHUzhyvou$)PnrdS^oZg?)U_I<}SU^%Qlx9y87H3tmr7n!wSW8+4n(XL1hdJ@>4ROY-lFu8up zbWU4GgPm3t&eDAixZn zg>m-N+!l*)ZXQdarq~9FToQ)RWN?7gjyoBCLMbf-i4psi(Ro#K+yU(H_Ttr_TZ7u} zL$rD=%bTgEvI5ZQnRGPAiIEJmC8ppoHQ1DEfRdW~5%beggMufLQ5}(h_t(=BXs#SX zBFbR65ap%SvTJqjj%#rq=6Au<@t-dWL-U_6>fpPIcK%ebGIZ14W0t#YLi_{<554kA z!JLkIy}wAAH8t3v@HSrsEzaq=B+@_zhu^b*W(%(Y9fgz+Y3?BrZMA-p-i|dZpUuHcnUWpZ7rAB3ll}tE^ zGE){|a{Fmdaks+MobRyTe{4CTxuv~YwLqN$qe(Z(NWuQq;Ibh;m2~}HaXQxJ_?Tn5 zgIYdL>Nz_7ZPLP+3{AT)rv}-ur;ql&8=t~Q*#jFX%-%g%%wL6hMP5%PY*Jl)t+`aD zA7|+-Vudaz3#`FWp4Lol;DLGJBJ@&YX-ou5CP2=Jjjds?*e#@nQ5Mb_j#{2`2r|!@ z#@*mM##7FP(Pr{zq-By4ern~7O?Poab!J@=4@r+EmcGd>aMmiXItapg#=mk|%&X4< z10_McDI68v#oD`Z!hv+hS(XcEdf*^;A08!lJ~ z^HOuPAoFfV?wn^AIe2O@uiXb4DgwMB&H69mO2tnyE+`1IOV*S(kWt-dIct3q&@ZXUPMyfeg#gmZ)Ckln@=l+k{YWB_-C|zEX&2ZlrDOc;$}$u8 zH#&5bU4MoRUS)lTlP5vHMSQcl9HM+?WMS3I&tuG{>VM2EA@Fa5?bOlFbKPcbd7srh z+vAr&)(~(rMztW_hW*Qx1(D=}o%TAGgfBLREIO@+-v&=PbVwj<#g16kNvG=f=G)U~ zcnR#x*Q)LsiBu3(cwT91me2+<{~coI+ULjsG%xcsJs(og>~e;{*3C|=^Csx__!`d! zQKF*cQV1u6w`XcX3oWiAQ*NL^kA|SPv|f1x4EZp3>~};fBu*Go;!p6BXJ|6sZaw|` z?NwJo{>-Yi&cl=7jmT-+U;n!qSmF&igMg693GDlQ}QuSwFx8(c0Y@e4-ojZ@oV z`|3?VK0h`ki$z{0c=qa$E#KA~B}{corD&e^XNra$th*E3xN-S5CG0~Gug@G#_2;;9 z&DXkfjQ%;x0>>Zbml;w0dIGC!S=}*|!G%`l{vnHAP*_*h=#n5F)vljg>nfb0p3U@3 z!NKC}i<3_!HEOhpiboUcoybr3k-)%ZUgsg{x0IxV@ZJ1~ZNoc%-3zN)?i2N;HjKLq z7w0Xj`cCA?CSwwirD`jgYE|D9tEOs(fe(_Kix+O~*467d{0FL)rF$LK(_S#9TBIH2 zh2+-fs8Cyra^GdL@zJX`Ow34cZ*POz|1_sZ{A*61eDovzLzDWK5cLl&>3`n8t%aee z#6OS#Lp7fF*F;NT_Loye|EJ~Z(T*VXmt_RL{QdSZ1+)_w5E)cxVEgwMzHtmd>qVjb zNhB~K0Q$qJ0w@9lp4^@vKaE*v5d?uYO*ahxw{RhiYxkE-wQW|c2F}lh6KhxV69F641|9TFn!TWH9^7trR( zs6+Qi5OEC0mEMnnLMy0JXn^OdW^+pA>aWTyH!9R*5l?hI|Ko1@&^otcBEENX3{n;D zGhKm287?@B&L-?2%@R?YPnS*CBx|+0M}6c3(uAHTFrQWNTb zf1_dz%`~YoQ;mwBn%^ANsr}rA`7;uU{_Ucsb!@Fp)m#~L6M7qY2GjH zKUhSnbE=f05{*;)^TqR*f@C0pCd-8+oxW@}{;L_rjbn#KuO#f)DkkYSVm3EGCIltj-jo$~AuU^-Uq%PFibj;vSj#@(fkFZOX zwcr4amSCAoE;Jo|=~p5rzp9+s*~8yN4Pvm{kd;O;UxlMx<71gl z%-2B!^Q9UnvE^fW2Xj$1=IKz#`bE#HAivLrwjEZ}x~iHymyvt4N}r>#kB_?wRuqO|xR7j{bQ5Ig16v8t)) zWS+3vAWs zu*kbJ#4Z=dHRjVmctzhjh3EQDqafC1l2#Mluq%f1){vlw+tHO9jdq3$8vI>&aoH1; zVA0KH_1lZ9&!_?1bskMy`;aFPV>sU?@8e#P`ZAjn!b{&3Jw9abme}AZndFo|GD^yq zzfF$PlG@ilRw>SVD94GnWVx3#2!zYOt@QWPw zn3rbUrgH@u5B*S3nX-)-%`P}ehP>8_a=lm)V3PV77|6BArl3ox+UsadneWbcl%@5h zt-@`#_?=8e)!p3)an#O*-{~u_tJNkPdd&6x%N=6pn-Bx65+y=>LP}JP-&?f0auQL& zXz1bLpb#VL-XBm!e3JN`Zmk%fg5eoPs_n3`2m1L|@$!+Yi|mj|r6zvaQ;msha{ z84<-KIcEAsByp~3#20G~CeAi60+KB16REd66Od`TfNaWOgaI@NK;1H6ub!Ws^WNWR zs51|y66$H#F*b|E78xlk2Tnwx7%UHb0XE12gTJPnnPsGl6Tck1zq*y8%R95qtmph2 zdpmGx)t9aIx;k>q%pu18yD@m2l#xRiZ*Ul+eoSAcRvGt*taBNXUmgWXc+2OGiHD&Q zF0DJ=F(ExiqN7|K7uRmI;vdHV$KHlRT!G^dbzy+xgUo2iS#+PUh<}@_p&rP$f%=l_ zIY-0k3ckXC2&bI&akSnX4K629Q?)gex2`CgZrNm|E^waqH}lmY@4@zr z#hN5;t&q@{3fIt|3p4MJP6Hmb^0_D=)IykGFcjMr@JDlTK|@%EetIOa&P1-m9E~_8 zhoPjDn!2aie8r4{iRR`y`y1FtZ#3=eSK$?co@H(?Ga(Qg@3{qWDnU;GFMr+_HQ+IXV{fKDn|2;Wv|)fOwJqWZuA;YK+G1z3L*yEi=$lE@THS$&mzd1*G{3AeAY$B<-=cV zO03@a>>k!{6a>7q0v0+4`P&QHOvybnuW4f5Hpouc`2om$mPoZ(OfguweEIH{v|{{K zB+mL=RwreOB=*<(9+9rH`Kqu|wa}+>?`I2aqd)uF{sp8S(i{0SjtxtZKI$>Xvm z+ikU=&RWKLzWEl)oh4r=A~{6W*Z zpT$Z+;fm?3$Rcyon1{Og%1_kxo0KV!0kXXOS>CEQ#??WGFRMl!8Bs9qVl-&v2fQBL zslmThncHrSoC0|++3%$xR_ae4+@WpwS_VfpCB|D#i zX`uic=5ZR|wn3HZ0?Az#3M^v$Aa9e|Frwa=?+!!$b_=yx^;*S}DyIkY{6((s(+!u7 z-uzi#FdjKGTNo+*;yDNHek=2zv%m} zf8S{7HT>)9b;pP2#OqQOrV{a5v$P4Iy!V}V3$x`yhf5+vv5LCc>SzIc;dl3#OVl8Y zL5M_X`yDOWlkDLM=fu)%N~ZTd*3i#!%slOzJ}p(OS+~h0$r^6}8r0}f83QI@V(k-1 zvpW`G#21b^tSGf22J8kDhYK_K08IYBW%~n?vHl|cj(6!mNq{NvK)|U0Ak+U0;q?}l z8^}m{jkf-oO$g^F2@KFMw7wPt9sxw8fJggV^7FSSXTQ^!-;DYPBwsLY5B$yl0$m&U zD06{21GT@nU8HE*l+6GY?WEoXRl7x|zoF3Vw%p^zLrhyvzPlMNM=cOc2#f~sc7#l3 zpo(R)8$LAd#Pm@u+_o9IJRdT=@%KlR*bp7L7pJT%%vD+~s=+AWxf2*H2BG&+^Z@&~ z1H(CGJ6U6l)fiEmFbk6P(oR$QtC&LCbaf6w4QNNUElWCsg-Us-6Z32iBfR@0Eimwx zEK5)(M0}LnymJ0`&=<<@GNxMeXQmZ7{X=wa!aL^uipX;wTh<@?jouSjr3vt4D|G#; zkQGmj3^HA?oh){|qM^HkRc5xt;(2ic>oM<`tOK`a($cQpk!NI9o;2PVv6DzjQb<^Z!jns6*WsoW|o)M#@HV2aNUipboz&C>2=Sw32(L>8rN3$zN=Z zCd}4#Ka#T4+K1=bolyThG2ewp!8}tFFXX8y z>Kv^byd&lMf~qtg_>^=U){phs_atfFtCd6i9KtHL$?aTgLcu|i!r^5)+Q%S4B1MyN zVE9pW<0rhgr{3of755VDL;6*_DG5YuP#0+5UnVt4H?{4iP6L?S3rHfk*8HE72m@VB z+V`GaYar0}`4P7LdQ#5IS)#`Pf|Jr?00CsfLcPa!Ld?>5{R_%ZSSMiVGpJaOP3bt5)|JMEHb=PUoH25z35HN^r?7(N`n-jmDH@-<$6b}rKxBo0G ze4893!Xf_iq&==5t>NO#D|uhs)~Rl@GD^yw%0`jGlMIn9@t! z=@AhXh7&tg$tvKHF@!Of6V0;;us>i&AAwC@q|KZl2q5+z=oTm65U=)R-zL+9@iZ7g zOdx|tCrkcaGYv#ka_x|(uaF#FuCKhQ3{w{%`{}PL>SWpYnzsUqi~DAo!NA0wU`e?o z97T2XH1hT~^sB#>KpF7?rf#t7Y&5D+g`7NOEaDwdA0R`r&h1vlmxWMj_MG0i#p7K6 zzPt-#Z9}W%`<4V>g^Uxc7C5raDUAgk|8#uQZu@e--ok`gm~!J-Dj@XeX8TLG;4oFw z!-Qqv{N>$w_3HNs$OQCN>hYlv*-XqW|u86Wgt$EbviXAIM9cgnj9I?(Xh9Nko6<83^ zO*t|wupajAPOCtlU3_3}s$?+c8l6c;mGj~j2scnRf&<-R31GdWpY$0CWQX3iuCwVo zx@#_mz6qFtpAg^R=x1kFu(8^&k9R7W2mf8Ae`GyMK8D7fMDNGZ$+0d?(eLaCM90uJ zjaRN45~!d$xOs&e$BacDC?8D_;$c|DHbYz8;VVhdj^|$2q)W`=TD;Y_onfz$>6#v{ zDVcb9JopjV8_@%ULK2oc-u>ColjE+mmmW_NXxX;@RCs+=^jz$dNKeNwWs{cGvyo{* z4{)=Za9Fu|poQ~LM{zy8V0Jse&$qGg0gx}L_t`r-PF6k8nA38bNjUBWzK<2P&>n%& z2;6xmT0ecpCV}rRYtAD&nU2PVwuM{pI`R~q0oN3~rZvCOI>W5#5ZHhCo16%f_kFBy zzyrNdPYWLRW|;@fRCvOvc^66~0pT5rN^y&gu8O8hAWG3I2Uj#x0ewV?O(sM7Ork8N z6t-(U29^^rFK5~9W%Og%q# z5Yqbdr0pNF!B6wEs6~W4lxj#npUs`PB$f9eKBM4l%^rlQK_WsVgAEpDY@3ZQ3{reS zt7~bY>R^<{hlsv)ON^=5gwk5eJ+6&OuiDaL{HoPer8c{T83~HBHz`ZabSE%Ece9dM zytGd;Mg^@Q6F3_7*ucpOlVNvdU0-Pb#=s!*B5$67T}1{n z2Xh3o7=yb)&mzINb$mKFgXsQDn(T}2aQRKV<)S(Z`oNXM?iCOK%Gw;ti$z{>0mn@o zaJ@ol4*LS3a&S4~;9JtTpG9#cmhA_nEAmW>rxSHLXO>bj)7$&A~E z7rx7f34OVao=^vpcbY=aQn+Zv$^RU|jicnB&i*{2;tj<^KYIQ`yU*L~Tv$eNk=`wP z^#N#N9BA~<+X?(*9^kZJ==zGwCKQ0=4+a&l4=(1{qpTz>HDBlvFe@~6Kt>5i3+^Sd zhQ02pVWSYC8DjhMk`S!J>r(TW_C#B5q7#Coq_w)tZkW+7qqs=xOCd#}#_;{1cXie# z=(b&7eoVBK+i&d`QXwP%z6ntx-B`SE$P(#$j_elyrDzga>bQ5W!y9hGJgQYt0es-+ z5ea49nD_N7cEeU;7L56(HRR?;I`QLO2& z($?ir0>L=HK5a-tUL#ssMSWT9z3lp9VQ9{rj`E1<;yA{WOWC~h!(HLrCnN9b5QV{& zMZ^SH3_)x9pqNJb#u$-7$_M)6moJh0MSn4~(pzM^hJ5fdM`XC~)h*@6p$!3}R4yY( z*5W57X1m=1sVlK>l%3l2$2I!JBmF9em=7$Tt`y$+VY(D0ITU+R3B6#}M5{J6nS1L? zQw#uvQ6lury)n$Uz9GBGdJ;AljzGyNLyXDzU%4Xofl|)c9|3#SCPAUW!eqkKJh;T` ziO;}IA3u(Z_R{=1f;K1-D;;07jR+5k3f-n#?q@hiR|PSv&3Rs5kymOTh*vo|C@AMm zB8WWSL_`kVIbi~FDfGThHf|p`s|;{89rRSvsBsZv8eQb;85C5c{tmMJ2JQp9*NoFRPkjc;$M6y5XsesG&qv!x)C zdAZX9Lzr^$g*xwT-%>k9VFYQL^BtKQvhbUloL6g$_)7N;+DAtTBoF5UD{?-?=FUte&RkewAI_6(zzLesup=FeADkh44BaLziXP7iT>~l zl~X}w`PgGs3ynEdseE+IY7!6Rdz%-tzmL9#gLKih<$LEp8x4tyu zqmt~bFZ)dI$cz16z$~2<%kbOIkV;lbr76lJTR4!GszH`-G5`eCIL&%ZQ z>s@78Kq2p%s@=>ds%r@J-}>ks^R)W+_9AOV@jOu8$|m;FP6BzY!(>*6{TQ8?MDjuZ z^FtOF?V6DmzU1l#uWLjK&baTGR+twv;kT<(zp1mZaNv&HAuowNZ}Uw;Z=#t5dv>Y= z$5hY`dn&{P8_;mYj-h{Mxh?#&a=`@zKH%b*ZLVZwQhDi zAp{}&8+9Qso^<9@qG5d?Po|KUl!IWM#P5_g@mf8@dQcYRGXR_&w#;&iE!el6k+F1` z1mu4@FV5eRmo<>1=ul{L5U}uQpz&@b4H&CkS#mB)g3fn7pWk@uR2w}VcC&*e^S!kJ0}n_Zw+=c-Ck>CqoXzdW17oy%}+r}eaVqrE-|0Tz7}*1vr^#%QY5hX z__+5PSCHL-Vt=={V}QE@<4v1~%07KgUJOINFfn^WteXP%)sS^8MxL1^AZRgIwL!idEt`7`E9W#oV|8TN4i25ka0d;mKX-4)I zWTfDz1%OYGvOin30HqSa1EnUpKkm+ zv!Gq&B~hG|NiMVT=3`CucP6!wTniDxfaXi)^fr&fZXhW8D99)>sW<_21GVMLmk536 ztsd8!%jqh8Bmf$2ak*Xtvd}~53)(dvH|TBkcI)%Q#Uet!pR-XzL&`~)Z&`LSP5u%l zLZIk0yBUM3nm2w%8&?0$IP4Ee3z?rMwslY?=bF^J-0Xx%zozW^A|eE2XNN_es z_1uqF@4@UwH|_pLtSvpSCmZZt#}j8dVh z53W*1{QLf(Lu+FZ$nn#vw(e!by5-fBlfrLusm>3UVNLH1yKp(UgPo_9DeBx0fj333 zNq(Pcn&%vwu~Bi*+~d@8Fr8+X@GX$l_PRYd9+J3klGgZ{0IM^fJRm7u)7KZ?XurcR z__}oVsdYQ(6g738eH-Tq6{@~M!`JFAo&h4rB$_R>>3|PNUot8BYrkXL2sS^z z_Y~#-#y{YE)DRAyE?`c~F=*+#qpRLA(Y0XUY3Hj4 zlD^;_Vzry{lVSmt$Nx-AE5jx8L!LKwuf(GPR>Ln8R!8rcts+^a$ds7#y_m^apvqRn zLNSJFv(B6qcifhs$BYAmIHm}S4YP=}?vyUoh&o8hcCWe!ZN24o>gYTX7^+%`DVfay zmG-^_D}MwOQ;Q3|y7!eOF}M|knji?Ju!MX{V0B93F9zduJk>$FM(3N20{e1|p+n>;*^ zCKE@&!_~@T5_5d->u}?BTisRF%nU4cZK!>faH{Bu07gR_)Y)KXYdvuOcfXiSly>mL z;mp~esChYSC>J)9zhElZ*w94>c*B6=L7sqS!COSX36uAN4OWF%WRp@YKJYDc+z(Af$gahV^tV4RJEN8-Ga?+EoF*F%Gbii$}6tnMQA*+uy9O=Hj7 zqpUs^M(m{&^*TjXqo;JIcup4W-YWSd^WQhHaq_cr2RN<6p>5wco&c#!pjZQ9y=fiGqgS{B+qJdw%3VjblTPYwUn$XMf-#Cc0Rqve$+EQDHs_c3zemE$QkY4xmJwrZa`mJXBOK`oNB=}9t}Omrzk#FZ zp+HueR~XD-ryG}XpvcigtiojM_vJL<3zdtb_HKo1+9_`S--FYP)=?;%VKnJ)AOA3D zbp~iI=w}DBVm-({`FhaDQIwn?78sXujq)gbO(xtbSNP!7>Vp(IdVH{=%}_H5fE4{XcvP)-s%n)O4&0+! zqprD$oQiN|d7*F#?^(3Wz*TK0f;_DHNX%a&cB9*;dPH+jX$GxvGpVNQl=5M4&lR4_ zuK}5*_X?^6$nSBWtZdNn@u139+5`c|!2j3n#;cU6w#$A(ZSe_k6Bm&dF8iYE^S=N# CJ13d| literal 38435 zcmb@tXEs%qW3VP6TK6i8BFv# zy3x(qe((QW@B4l`AI@ACvuE#TKhJ*JTK9dgwIeju6^RLH3GdvwL#(Xy=G~n;_u_#+ zk_Y#IGa>4J1b6NvZ7IKz(eXj-WZ)Y-R%8mxy~j0E`RP61bIgL~V}Ja{aANLf&t}Nz zyt*P8gut(~tRi@5g+^y`)&yy-c7!nHGoY1A9;+$O(_PUmh712J-+&L^PR{VTmZPlH zjO+d%WU>#K`$8(>wG1HwHp*EA9^LPT1g4J%#$0g6sWM$?KDuTP*=4ikJ_DQ@9zA? zw|Mvu*7>-0`x7F+I`z@QT+@gE+eF5X=|>g#;Iv^I6%+R(sewdIZ?Kta6JsHy`Fgg7 zJmH}4wREl8#oMOKmo!-qj~xz;mQG$nw%MSW0y@A|PH5(sUmC>i@k&`(-&qJz==7rm z{%IW8wY{p2y?1eV-&tanjv#b89(drU6QBy0wtXW@fi=IMaOqDYe*9gSqLlq8t8b8CnTc#bGsy6Mt>=HispBsuG?BKKrgiQ{dLJ5iOru&~Csf7^W z=)d!+@wM~&c)G)(xlcB9X@xca-hLvQc!EwRplr#?FMBO8mUu0J>s4bQqgoqC+l zteqUfYhs*x{gmYhKid4`)k#yB7Bb5ayeUFr3%~sP5^P*hf8acq&Jae*ZUsr%5bg56 zIz{M|Z$B)KLI~gJ8E1b9s{Kc!M55bV<4~np3Ymlc8jek|+hGw9)!W`bpD!53y5qFY zO69K6reb>|j(VjXx88y2`sQtqD>nxy%Vt}A&?K#+N5$|>XZ=~hRL00kYdTk(+Fhx) z5Dulk^tQ7c&JgdkN~3iQ{>U{y!Q8(Ir+3vq*>wGfa@ggIXCxouch*Dgevb?9mT75? zC23a-Xrd7c^NMfeD_R1s;luvBg@z~#bjBsQjc1T+Ichxj^g9@h_YTQE>Q!x)`!%!a zXER7%!gq?zjuv)(POKcI>)<O}M#juDH-3SV^f*|X~3kQ?iBF_UVd1v2#$5we6bkA#~Ss)jrE5X-aae&r0 zrCvSEL)0CS!7`2!UiP4Wvr#FcByn+CJtJnBumJ6ic330H^~u>L(Te~k8k+Qnv7|7D zWhFNjoDI?1m>|6oNX6B;2K^TXEGSKgVE#2ENEo3Y?sEK?5Zi%4?Yt_%U|sK(sGB#1 z23^0x$X;E)T;kL_VQT$|$cM{|2VBvUvKqeW_2wJj{kmx8nb? ze3-jDF02me5jj^g*MM-3lQv@2TM(HoA0!EbPS#9y1$~^R)gN@aVi{h#lB=KgGkH5K zbCHMZgK6IDou&j@breAo?C7Qkk=}bQWcfTdGPa?v?)tD}x*oercc>HcwS%t&vb*&$ zM1NDP1l{ZGaGV;2UAGfPNZR#9Px^B}8%s=kYiH@gHs*}J=I1skRD7=tSpOUohBvri zQnD9tnV*|TQyJ$(p~ob}^Tj+&-J}f@M~g9MwRJhk2xjAzs_x1%q>J%D3)aGe>dvbD zob7pTCIdayT73<4>(}3|wl~}*s??0G?%0hsy%=aZ!PmS~0H;Wrjr9IL7KB-=m%f_v zzPNan87lt03Yx{a{mp$&q_u_?{=&TE03k6$+fvi_v+FDfuE63-_D#(3q-8f@YQ>3P zFI7+@%C(n<41Ul?QFPbkCNy~Iqs|Uv{@~g_DFm=xvQgKOxsijSPrcM+2NjWJSKUOH z#qGIM&>ls3<-?LWt~=6Q4z;^QQZqsAvPHpb7$~IH`#?cTip7@Cq#0s)ww2joyIL|U zUq3b4MP!bUa*5Z@a1xJNK?IUbHPmcYls~W8+@lk)U5MmaUJpWb2g$Y}Om%5~Tz*CK zzk0iI^e?NRikubgBR+Hg@OzcVb?ItaCDlbSg9?PIe0m;acQLmYNG}XE3@-2R{Q6I@ z6rDrt=SBU}_b>-mo#L#(Jg~LpK}K--g-_lSeACY}gDQqxAoUO4QXfh(i?E!Ts3}L%A5C?cr$YzY}AwI2Mez}BB=3PrA5!7eAU?k~qCLF9-y_MTzXH^G3`BkJUU>*c+dbMa+i z&I>^~FEZ`knzdYq!)xd;%IGSxVz z@1lz%*M>U^c)|j6B{l1R#G1CC!v#3%uK(E+soD52l<+S2+~LMT50ba+!olB^&ajug z&(U{N8{A^k3((=KA~~d=A34HHPdx-jy%v6CpcI&l_n5ODQhgr#CG$1gd>JdUry}>f z-}hM8<}7f)?1c%;I(ELG{pM(*>-nT>3$`$9X_01b;-Ah7Qi4mp^)hs4J+}eU{;$qz zhU;u-MqCyn8g$BnIA*B0+Bq>k^)SgQ;N@ziO^TRyUf>dJf?kr;YU!{a+cz%%;dEXI zJdDf0k9|^v>hOVdFy-`|E~m8kOIzG*(d!}{vYtix9KMASwK9tDmY$0XPI&coH+K~= zk;CVDo!e+qf7{I;rBozdUhZCBCQ0v3(H{S;5;P!^!P78}l;{uU6nE8Jp2hYkuX?&2 z?aJw_=^)S7!pv`CoL;xHK`$3rR`t#6U2FAvo-V_PPj1|=%eMj`3n%`}Y8k&LV+?Ule)Z4OVU)Xagb0{Hw=3tWkm>ucmyHc6Qh?~v7G`KxTk_?*j6R$T&5v+D^ zH zGMTwZRLdalD?cZ&E9Iv*;~vlT0{CvYkBagMZQd5OVI%oc*#8fIPtdna51HaD)hTl0 zx<)ID=2s1pIvHV@o%_m|D?dDzQIM?{(%d0sIT=?y-F0_Ue9=EHTeh}RQ6n$y1gmZ? zznXj5Ik`wjn%T%+bRU&Tt+CxzCjIWrX1F#jiO2#Z=4e!l7Ac~7KNw&g8dR>@)9HLH z;Txenzgxg-($$`@Uh(IGjPV!mMQe8Vg{idE-{|)b3br=-h>Q%Eq{0*2wih~NJ*DQ( z4jX-=;`&Y*r7|y08is=lLZQ_MH@O^3H(z~pZg$#*kkfmjE>ah3KGzuN6%&C)N&4Nk z)ESa3dT7s}+#l%fp{J|OK~M%Fv)++q3t#%?qE)N9MXnvMiZ5rt{K@Y2IA(A)&BZ#W zkX!f~;lGmpDS>kFES%4@5fVfq&l(d>aT0tQ7uOgh{k4*@5$* zitI{|2^aruM}2pe*&rCm$v*^q;k{g@0L47Dt8dKux`M%fFv z&%1S`pf|r|rY+8TSGQ|jyR$LUH&T3X9CxZBlxYb%21L5}40~NsefDkwC%N>}(4=HW zCqrE>dQ^DJJ%_MvJtzpUakQ>jKK!o{Dji)VwS*IgdT^LYJ@d6$rFZyFBPnqQ>B`yc zz|uDDDfL0BniH2u1cwgNrzr=0eRd=JI+4hOsfR@w_K=8}FKmu*ixj2}#quVoScvl{M<-!6fL84&*0k{b*V7 z1@0Q~)W_FiN`VC#d!e4*f45qsgfQqLt5N(CuBF?4SgpUeYA0+oYtyo{F0DnUsh9S) z1U)+-lAB%^1byt1;q(oE*E^@a0vqy0YqVAsq-LVqP*gEi7n{}c=UTt$*_#o4=Y=Qa z#Z8sbji>}$zMr=GLm$}PTQA8|Tj%Rk%>?`~gs!b}&np}^GHK=+@02_#zf`vJKkF|8 z9)SEe)6FHlhuPF}0^XJ{H$0s7qluO#n6CB^&or!v(dE%{j>Qx;%yisge_O=ati=yK z>K`r8dHnD@?)5SyYHZKy#RKK28AQ1o$lBVjrzN+4D^AP->G0=+tHt|im+t1^e1}Vc zM94Dol%e_ZX*=xtwJud1b(3C|ymT2{Yx~_A-&AFaU1o&OMZk1+>*2)4uTngMqS(}G z*%C;)tI1419{QbHfPC{&fn?Bghlk>@j1oV^3H8cqtMyVH-ik5t{V^fVe_B&i{tt;e zwAwPc=$2$c8rC{NcRK zgW41Q4ci4gPC-jUt5A4XA-aIi41nPBsPOF>@FfzhsCzCygfks7z44@fbw<0hXN+{` zpS`aI`N&`V*sNB6rdhi`&n8oncV0cw0v&d2b3s@>4o_YsWI7h=ACv#QQULpVdL-bG zq@<3-uT4rnUhO1SZ-IIc{0L=p2t;s5@HEsqKX0h&f;_0@n9L{3aM+W1@S#cw_iw-P z%1Enn3So|Il3~SMUDO_U7oI)>$b2`SrJ$Y>OJ&WC zr4QG0UUXOje^M-ucj6yZkGeUnv~$uhKW<^5eTo$Um-w0EdYd*(@u5Z4FwsNQ3><|! z`J9k^)ah#VryjDf01V>9Q;)}cpVR%*k!!jLy(pM$!EAX!uRAF@t4&!JCO4hYYS0iFE`U<>FS!Y#aDR3$-02CHdNE=ba!%6t{zQ0F z$7#lXkhDeXlzjhLV24WXDWe!Z$H8%zL!B#kPa87}80UPh;kiP$yv#RW&zL8&5@D8( z$jdMR389}5sIo_7u9Cu6*1cDDV4YW!2#m;2$eV;`ycHD=-Qz#|qN=t47UIUSd%=Jo z(yJzb$75luT(T+Tb28Q3&wsbBdo7@mrl<3JEYW;1LU5%8qPs>3?Orl!_qJc3F|1T+ zsJ01t->gbnttcW^XZZidG z=s-ZQwBxN4PzGX`ra_M|*hw-m1$PMf%&0f*KF=uoW8JZ za`)nMy_PbVu}Nog(@Dxb9t+)N+T;~O(;ciA5$U^*bB>qBg6|mptJ^1)L>1&|k6X>W zh0=MHPGy%intP6XQkws48%`pWPQu;o%~6;82U?sLqT zs}?oAOEy2)FS4~TzQW}s#hKebx(kxD z8jqaVTO7-86=lhprz0=pNAON|o}Q$Mh{Jd?@g%&@8{!ZO2v1EgYg(;k)LjV6TdI_v zkn<9lE#+i5^7L6EF~<|5GgQ#A!NZX&zag)YkIMZEY$VF6IdLlrUT!_vsvv?Io*acd zcpSjL0OVR^;zqwgG`*)`TF(Kn9Ge0(oWi^%utXavDLY+Q!@vloe|Yroz}>1w(#L71 zhNqbPG?$6=^6z`;5W_9xDO6=hJ{wx3GVS(a5TCs6|6XuL+ z?s)R9)Pir)i>sFK^{>FaMXSm8ZlAVXsmM*9F~);j_oTvKzs*m#_YABFwi1PsYeY|Y z1&TQ9s6Fkfjl`$;5IqggjhY_XsM42v57Nvej%wZKYiu$TEZ_@&#xJ9UqKo5rL-k{FW({A=uQJqjB4T6J%h1j z@UA<9JdIcmkwrUL47cI&j~=pW9#rWLh-^AKAhl4KN@pD~efYS{3HJei72-a(##NivX91Trv0Dh``3ynHYr>yj-ijn`lCLzMCnVP?Z)&mZGd6%oTk z{H5act#=<@vSlJX)bTAhM7W+MLCKbw1S7 z*NziGTJ3iJX~z_%m)i%wCWIZiPN*`QELVEbxYKZfC))rgjhz1`va4NOZ~e9ef-7ff zpcm0CMU;D41|mt%>Bj+fJX)D(%C7nAaSv+!O#&=;fWxnR-ZgxtP6c`2!MhoXC4c76 zuS3U}lzH!gd08`>@#Zq!>tAfkFAZefJhp@e!W!@MbIJ#j;xx71Cs;%-&nRA?jm-OT zCd)A+GpQroUC>{455R;pwe8^?BRUC@tUXlTjNyT1SY%9N2)V1HtAE>p{iMF6Ct`8K zp^p`DBX}{YxcbJ~2m)~C$IW|q7ZDFR3L54Whb~C;$-P=*us-TeKRm|Es5e%=x~ITX z?Tm{So#Bk?WDpH(V1*B>QQ)zmx-m(*Ix9qkriQvU6iJ#{ye67}zrmIVmq#2ldZ@OK zzAQO~WJP59(_5VO9qGF`CTV0uvVOMdOaT~Lc}AvuAa?`?*6AsJe|tjs(eA%7JG8U# zn)gwQ=N|KJ6E6h6FcaPmMGs$x--9)5?T`tXA?bp|LRQnf7{@SWk+c!&5Yq2;N|#S? zICvgu`QPuNP%(lmuiZSA-MXhQwfe;B92SGSB(?|0Kttxp!ko<#v!BWA`MRIVJL9{y ze{q9RixecfNQdH&>lDVw991RICq&vtsDd@c*I{P+G_RX-zkY7Gt=^4V**rX};*s*0 z;g|*+y!}-&=nwXd< z8g)GT1u&s4AIfW`kwNhYPM<=JD4(!e`<^H5P#|D>wC#!>{$YYt&DneUK<1}%r#RxF zX_yl6rnDoLnE9UNRD0I&OTCLA4YJkJg|>a1_m-?j!@)f@8RMdv4|YtRQ1}Y(O1V|8ZSsW`o5|`2=h&7C+CsdE zG7pwk9q4PvBHNW&b=BpaVU4pvH_Dtn^+ zGg0T&w~Yy}o=@7Haw~}QLoWD6m`dg0CJexng>9WqgH|v&b=r{ZabNSaCNeNkv z7sKQuLx7+QIE8ulvlsjsG&dQ)?J|UMatcrPSmZ;OZTU)8!aOkXk1Lo!P=dHxw@mPd zzzPmTH}oiL+nWp?7+!uu*I&Nn-P4oR>_hXC*CbGY(Ax`F{27_IJ<=S+Q=*4lvNr-y zfMFP*lL7F)9*T{Vf>>QL3$`XTBALGv9O*AVRT{^8_`P%xdueW4gP_D&s|uLC$T**G zT6OKsyqi#|_&oY5F+(-&=7B<$B2g<=dA2b&-5^}<*Ab^c^_bUo%W~Em>2GepB<{*} zB(hL8UxY0*ooWs~;p>@_%YP9O8Ccm^Z~2Xu%c78LK5{s{5D|EMHv1zB!|}jl(C+_-jxd16qaUH zg!IUC9N6<{REqWKX3QPzj0r0sGrG$IuCAWMQ!n9uijeMl3)njNHr@-ej$U#?Kx?7| z<9wsI;WJGvC||ocu3BDeQ_U-2#a@o3I$&suAe;4Du*=m?i5z_M3#Zd;j*HW|RF5j+ zOq$3)EUuxtLRR$m-duTX47O2Vnc)Il|$ zd2?vJeB;G(0iT}ZLK!$WlS*>2@GQ}b_pxh`Nu6JsPsk3U%pZxl`DENm?GsHbydiF~ zKA`0Sea{mwF-cI&;8H1Z_kjeVMMuVi%6mCXCGx+{UM>~QHF4H3yz)I*Y#mv|G@S0E zF0rDVnV0RK+82tz%-XHV<@7szEsv2pjFimP;RPe)`7Co()nBbx0K}>Z&&M!|DSEv6 zXZ{>KnJk%7KA&uR@s?6}L*avBbm>(4n!lWAp02O@DGGbCQFl$uzO;K<>YOpA9ANu#W*sN|&e$w3=u5 z#;baUX!WSSe?`PEGhmwXC_F;==!aRb$c-DBx5$-4fp1wtp)6YbIOUVQ0-y?$xhwZq zaGYCQIuH59jEN0`cG6-jpZ})b=B_9n4g5y^gzb+hb@88+XN*6Z0|cK*%qi<4b_Kys zn>J9F>f|iV&j`g3o@rsf6sN5ym5A@=@$Elg`z zJU$`Zkqpi1{gkTelYO*^Pyzui?RtTo2fV7AI<&I>dIUy)Z3F( z6tXQgv2`9oXhEC{Bn-rZqf9Ixq>nsGfK_8a#klVU+u09L3?Bc zU2nj1*W13N4s*~C(G?CM!xlf`*X;k4XvNCD(|l!B?9<}tkl~)}oZgq=9-Zmwuze-K zF%#weS9&L1*sF$85WQNYw7f?CM86T<%;@&E5b;OPH7`@c{A-`AVej(~tF z2y(o|MZX5|DB;>0o=2u&rl*)`=r!*u38_Q@{}MAP&*AB>CM{? zIb6?upIJsFCF$nBO{J%&C!~B~@cTob8v(BH7h=om8PS%{Jz1+FBZmnef@7?za8E;q zL-;Ju)eHhNA9Sqr#^svCf8<*_ust6tyJGcyZ;>zyw?qGWjMqY9-hY@@d?i6HUImBq zn$)?}v*MbE@vYt#&Awti$DJjSS9|ub2)?12xGG`+JVoLR5}6`Mezvs&y<+gaX1zR2 zSb1!lrbm5H%JutdE)JJdT6V#Woh_5UwlB7oEvUDz{)$!1q8M&y%|bfhOtMKNO|CpA zTwV=Jm&Q#->8x_}75GZ@^}J@=`9qkT1&V*Vs_~oOF5;DJ3~)V*WuoN)Fgj_mFPHXT z%F*}lX-<~NOfLe6l2+Wai0i(F>DI0wVsHREoBp7hs}&d_v1(=#jW5to(jStg?+$3h zQxriMhStGy7LOWlBh?u|?AScmhcwj&zKV5J52;U**3N&smJ4|tdV2>aaEC6D@9@$= zy%fD^Q@_Y*#e~2e0<6qax{9@o^ezh66|fzof)0%8&Sg3i2Bw`$#-dWj5cf+01+os; zfq)ymnV7B}IcnK4o}q7Vtqc)It!?NneaV%ntR0AtA0}!HS4ra9-Q8s*+~=U|_6>gi z_7V2m5cb029H^UBEN-;OFP-n}m>Lw&vdf~iEu>yVAK__z@8oVBn|(hsk%y1~kur7^ ze<`U=l{*Kv)ommPP`VMAkNOp;Qk3gYrEA8B<7$n4icu+-u>t)GY>Z?qqjXxU8&P7` z%qpKj<@>qENIiFlG`Q*Hl~z{owC!)cp`Zls>0S5m zg^&D#M(6~J8owD6aY6-AAH0gI$@sWhu`9NJl2#j<^H?;eqA)98$Cz1c(g zxU4O~;?)8k!LD308$AGt@**-u*ERF{6=zWITF`anRfK6^e0umu7r)2WJ9L>!0ZEKT zgjS%~aA-9*{FsUdHzFI2`vUtFsu*)%_;;l%;&?z~hT>r0?&hEJg@)R910Mleo0BsE za@##T0YmV^;Rir~J~M(bsB&=~2Z(55*U-imyN_a^k1DQQo&K7^z)3CD!Md&sj_+>% zXSf@$LJ-2a=288#2JtUycz)noen7*o`;?GCkgx~Ky!Je%)^!EVRiY8ni}LLC7~Ll; z`JRUODhK}SDH`t!CQWHGx8>zfYR@kO2x(doJPEQ42qjTp_`!5=AS}5vQ8B$Jjd*3X zc_FYH2=upX#={LgC7JQ%u|4K+2#D0Km(7Y9I)I$k^5M(hycjNslzpEKav9CTPh*3Y ziG?i(N!YgzYAcq+_ybPPgPaCU;G?5m1AjiEfOH1{^+^TZoQf6K6u|q|D}Z9gcf#=C^2MHh-hP+P(h9 zsVafP-nWUlRs>|bkB0{ZTnqs4F4<2|RX|V{yO&Ne8#)-%xHFv{)yPd^CcN6gm+eVu zIWtrh3j)X%iy3YyY21LhnpJ%<vlwuQLr9q5WK|5keMu^b#^cG&!gfK&0AmF|7F(sdif zbnZ{~$1iIfO?Z7WS^y-t2&Be8i%#v^2KPjSP1ZH&PD|3Usm4!ixeu5GV-f6GWpL*O z-=BNabTl-c|2B&5Wl0e}w9RVvP9ta{RRQl>DFy{8F$$B`Fr6rZ z&||WK5`!&Kw@5iF!weM(NQ&pFpxfSB#azz(#OFz5&1gLVjAwaq zkr_jTjlfRDze9?r5wO9>mBhfe1z-*{QLtKJal-jDUfq98pS)gm~b5t3-yQRBHg^^t_fBM0MI z;RPYPhTjJ$N7(hsEYU=NZ~-q`)FslbFk?|iI2n=|Q2_a6G6`y@#8W{65OKAb`i z*~%c9x2FQyU*8m!ewaM7iULH=I*?QO_$Fvbw#yKcO>%|ciOiH9j~_a#H1HW*w-+; z4E(gHm+_}=ST}uUwE~gfdcgCp*U@QJL~8A8a5VrwE~_WuS9Q}BVPCmX4b8sOdq5k2 z!qtD*4oGV-K4qvlN?KINcWM)KYxK&2$4m=*T&@kJonZ+p$u%@I-2Va^WXh+ww0j?3 zzGMowx1~v|@(AX~mvG#~J9^Q|zxEY^6a`?5s{Pn!A%Z-JRVJ6R0HpCUTG#~95tKC^ z*`|X~V92ox&NLvIRyV7`9E?*PNHZ+2m)*7n_xZa$nyZL^>~g#jX1{X41oho_Wnp@# zdJhu9=8n#ma$Uw;Q_CvW)FphFyxc(~qGG@jcTOB6;jg~Dr;T)ZUrED##0Z;*hqxy?@@ z%GmOfc3TSgtdqUa1l^uu?$tqbkKQ80Gb(46>VQADx@Q^TgmFv2x#%fmd09cdBOW(q zu-lvmke0p|VD5GEy)-$z;|^Jw7Ac4vE@&@=#J^-Q9J~T#Kp13Y9tGsGTw*f9M(hq= z9etA4;0Uj-IskIUeiS={6q7K36!jP>;Jk3s2z{af24x5KZhLn+DWzl>0-0ogV(*m< zVu;^&iyDI{h+t}k5cg@}ne$GuFcE-Zi#=U5Sx34go18Xi?c z%}*kS8VaKLM+_IDW2=|PjnrXU$O$+>O|$(v^8pVKmw2PVKDfiJsGcrzcwWW&7ak{> zUwoxpiVh=X+Dd&U@>{RWsQ0Dwf&^5Nt|Vmo1jZ}jcl>-4#!$L#>+rD6=A~F+OP?XC z#lPT3I;@o3U4R{WP7JyPBtU;sCJv=RHK4sdT_m8NjGppt0m%a2tKp0K2Osr-#Q`vP zbuwG?M$Za^4l^484qvxX_@}VoG!bW~LApN@5)u#76IrMJv)C+|-RHXWfb=RP2jR%o z&wfaFZG?K_d3nP!jR^)TX?&3!^CrZjySBMo9D`5~M6~!FmGgT)1NNiO9FavQ%yo#{J$9F01)q?=$v&iYYe0q+9G~G;Wg&asMquJY{b(XS;rBPV1@+TcvMD5 z(4ZbNFYN+IFZntw3hGWZ*l!aU3IMEmg?SW^v2@_eQfeQBwppl+d8MtNtL-UyN4|?wL+P4{t)6C;o zad$D9N5a5rb-D#FsSd{9jbClb4dOMFzu%>+WeQh*6cc340hk*O&m6e)07VsKFD?X1 zx`}}*#w#G5Q7x1Dq4{cQ&pNzO&;mXjJ!ZKCcC}+`pVQq8u_kAbA@*B>{rOR&Z|x!7 zo{mUp1WVlASs=e*&4YV|#c-f5ZA9b#7v6I*!^jRh~H9TJp2>3oqNZFk5dY3FcoACf3xzRzOx<#F``9HXP zL2aM!d(u80LnB}gD2>h8YTG0(-4%)Of=lopSuq@eWtQTHGcSb8k5sj&Z@wAc{CWZ4 z;hB{ePEz`Ggb5#3V-MJH@kD)ZBGn-r*5}e`6c+5O-YzcBZH0fnykf4tQZ@vDtrBG0 z?tjVy_>I6F$jMVf1-!Tzi_Y5~xH?^Mph(76i;>&ByLp=`kQxjBKbrdW`?qjb3Go+; z^LiF`PJq!Qo!ilUStR|ms?iT{tts_ggTWWHUi48_S99bP$DT@_J`M)hlJq9P zUTEO*4r!Qoa(4{^-IdJGBA%PnRoZ97+}jWPb4&FAE(XxQ8lW%0Pq~YBjSv_NW)J({ z*XxCnK#zqCOTNl!C8I)C*CRA7ot>SzY?n(CP7l0SP7-#2M$88@I%6cx8gNS)e*^51 z;GXOPs}oPpbeG_;@cBXQ%E?iEVis#hC8!8bk9F)XL2?$yGa04dy}^rP@ew#LA0Ifb-l_M}($fBYN_y|J;e zvtv^4wt8{0-KZFh{ipGwlM9>%l;kIZAQ6a$y1F_#T$*n&2zKE1w?z;R4i4{J8JquA zBnED^>IhvE&Yf3H705GvRjLNucs^JIad6mX%v5k0`&|83WXic0AXoYcIO*=LkA_U zf#&0j;0z25^UI&y9P8~dzYMA@3pBEBrtOB)#k8AzT+Qy@mdS-@WMnMoLIUOz5l(Y; z2f2!|HBN!pn`^Y)J`)-d9v;rF_GACqQ=tDlHKst%&+O=!L{j0Vrl#gC0Y%6BkEMJM zSGaVG4GavzC6beq0e7YA)q{WFYKDJXe+qn6Om_)S%83@TK9qJkRc8D%+$x`&t8+Eadw>-fFV-yqj1HiAyfbIi5&c#GY?dZ70_G3|WnB*) zg&ke7acf}ni+|pG3n#ntpl*#krMUK<9!16vB*GBHhhmETY4f1$J8^MwXk_hl%6b@6 z;OoqK_w}5WrVqEZsFx$WJUx>@W#q3(8N?@gN!x?k+S>Yg_i2T|-!+l{vVv}^V6Z$m zP&ObF5!wNFoPAc}eY!heb>Z}30p^f$bx>wp`{PZdEHdYioR(GvLIKR91T1Fe`21+y z?Z^iPp0sTI0!*Nyf2pi{x9RUeuL`wZTAd0 z<{Q0ZkqY}V=N6S;h^W}1+%=_;tDwV`zBBCVK#HJs|KnV^c7ZyNH`=_x!+vL`Dm)Wd z_1xWg4vl?Z;9Ar5&`Zqm<~X@+g>kLAUf_I#r|vn2dU`mz$^X>pV5!T@%nZonOf+WK zD>Y!2Cer}+D?*rXHP`&?q7H(~ln86K=)fBXgN)&hB2IIEvNUa?5xal0aa00Nwk82r zFo4g%dwP8QX5!{>b%5{*mmLgxb#?&YvjHMB8eN4uK*}UlI_DGdhz;0-Cre#KA|fKb z%mT0M{@yVT9p0WS>0u(hH4#;>J@AN{nwyv5alEDty$G}4A0q5w#0_5PNa-x;AQD&F zzg`!|n*d9Uir)@E_|Mh3_F~61q&aW93Bv~ue&;v1SsS8LRaNz62EV*efMYMVD-2GM z&aIqB!1Bt5TfGNH=Qmt2hW1Hq%)j76h4+o3RP1u052HM?oj-n;f*2bb0_&Yr74D4U zRn;#+zspl$UV$EO#03Wjd!+%R9X7f*-%mN51ptwq&Rzun#42YS$>{0+!>dk6gBwsu&FznSt)>>r>P++4I~|d=mO^ zBA%ec$%EYE1anX7zX~rM#XEQlqOu}l?GT4zrz&* z_|J)7z!MuAM`1$8@>HYPfQ=J$vh}3+zG#tCTvJdjVRYkG50LwrzDW16c#ysGIAedRP~fKt!qsot5Kt0bHimY5onirn$LU4@pcX+!LLSAzZ)(AK#L?$ZfA>-3eg^tV=i{8OTm!MT{_@UZ5*UQ8 zTqhuppVx$X^raWG^Fk8>GF56waZX;rjZ(90g1bK7ovY71vT(Y)Zon2o1_pfv=3hZ9 zf$D>>&;EOy5q6od-k<4)JDcML)&t2xlLUVY&=om!CFK0MKER&Tw5J0-XY8Z}d6izB%@3iwm*Kh$N08ox8F>i6;$-y8Sqgp4P))s9S%+744q(1_Q zt`>jqDlH~D;WF?rb2VMIlh0zAl~ z-CVQ3h<>?=>y#HzPgjTo5#@_-$VFE$-7CBOvxDW{-d4e|*QZq`rt@Hw`?9DLX z5CN`KB>aRM5)5?D3S@AzG0O4=LnrJ=)-m_ZxAz;fBL~NA-^?aT^fe?-KCpiKCMKca zXn_2w7|SSIB96MVwzlSVb>>>H@VQ|C5M&9dcuVKi9bv@j>FG}$>!psb&W{*CUT0@# z-@){Em_xpeC?cN;X9zhY?z-I6PlYXfIzuJ#i1TC(P)v{j*bxZVM zzke^0{%7BD*?|d`k&(eCWz>O10*=U%Sr4!Qu^gGVxCTOr&cTQp2K; zN4{EZtm*T|!Ly_qiQ%z{{qZAKWU?(15GrQ_JDCYZnq`dyJCIuFggkiN`PJYgca!o- z<|@7FOrDbc2GP4;N^wG2kozN#Anmj@-*pOV6yu`B#(G+*+rs?cPlMTixV7MN)o_2c z61{oX`esW(2KL(IQ-I26pciQhNHR=mfm{-uB5h48w@JBRP`?f*a>SvuUmAPeD}G(_ zzfh$nGNKS2ua>^nME{jIG<_c;S=M5VQkmDQ0aB%KRX<~=I}pNU7at7rw|-CHIIOqO zEY{{huYZEoWWgje^K24atP%pa2jc&YFEBeGtr|!i!t$cyspF8h706mY&x)YY{uIy3 zx1{Jqhlvvf7X<`P{rwlrd~f5P$#-N=TI~8dE3UkNX#6+w;7g)0VOg`h>}smPbR`7u zbOW`p(8m7)s~V?q@qy6FO%7x#3MO-FKtItJhoUQVY_*wk;$zsaTrJIv>~S z=-eag)OR$`*|Zb6d}e5s2XR2wAONdMpZSQHxU`yl#h#6R~lkM8z~($HId|Z1ZE04>bZB4HEP&axFE5X|5&Vzp9lr+ zn=TN3oe39(&RpZk6=#R6A}KYph7?kH667wnBnMzWj7IVtXaXue5nHk(i6_44Dja<| zZFxeJKlGg)j!?Ic6VUL**Uvh9u8a;$%EL)Rv{(KrIei{Bm;_4tJ(Ma_0-*;d;x-nI zEX_bmCw<$1RSL`sz1-0B?#LZaLh!x=VRzO$5-&mTHK;OLI#VK_o2SaEpSANM29xc1 zkVD{*M_htd-Zce9Z;5P6e|R(psSG530)aS>MtsE{PKm5NI7N<(d) z?KRU8Xt2nE>A10IhISS?Datj>pPUmyJCXaGenZYVgAuRJr#|MK5K&h^eDq;_N-Q^r zuFvzA4YFG%19v%aNIP<6w@3<$$ApJB3$>y998Kj%Pv7HL!57|BE%X9rpa|__ks!w7 z)H$P*N!Y-WO+u7rSvGP3KaBUoDNzx*SZ?-Q>w!w_xV{uf)S19}V_rw5%vGY(ZP$2m zd_hrxcj0tcDm`4qb#Shg~ny>VA)5aczb+Ki-`StGA{7x^k_MZF@=yDYNyH}9QI@>Pnirdp{i~sUV4PSL8DUX4q2<9=}DYbc{*Xz|!mrY-i z3Kkd=r7k%dQ^hl$a7kL~j*mL!Nk;x-DTIW_mjo1PAjQ(F)mp?}N1rP)Jh+FMpK;oC zC|VFcQg&H1I-lU-Hsiu8&R{x-1>?P& zMs9d$vlJ5zc#%|l5TyInepR|#a*bda-2L$oCFAwvg;P=GkH>6GJM4V+LNLbUr{&^8-GcU24tA-P85CykW- zZGJO~d(JD99m1V;w)K{z z0puY6_=}6C*}X7j*GUbt1{fp>JYg^W!A>GaVJ?!jX5Pt*g&`aJIbXK?Z>GULs%Oz@ z2hSfcXK=Z@3RZu!(Pps>)Z({or z;!{!#@Olqr@hWkc9+l_4fG9U#z`WyQr-(}~95IP`QT@309NtWR0k3F5I1;SzMw>=m z3_Lp!3PN@ZNb;Gl7?X~c4(!gCcAf2ms^4E+OlmCbyj2{{g;6B*_ut*T#|ClY5nA>4Px*T2a;mkn%s9{uvx^Lf#5gq|h-r z@_W4^onl~dbZ*tHP|r8Igy&*ybAqYnr0SZ@v2g zkHx3r`sh*2h9Hw%xw^TCpaSv)ugmo+?k=EY*nX*Fa#&sO>Us-#$t$Z2d1PAs+t9Qj zxh*SK{e;tmJ4@Jod-FuME$=P_rzl871q}O|28Rd~WE_F()FG;Jci#~81fh&`z3=n8 z4ECw@IKk7{OrJ1wK#3h1drr%K^|}6K&I1l3ax3%_Gk)akbbnT z)S$w!`rI_e%@SFk8|XhM;%vZJ(MKx%XVJd*{Xpp@_LRF&&dV6snU)_f(zaDqAtwhX(JSHx%Q1pj}Tjn-?A}-h@4F*`X4na8W z6O4~iI!yAi>*YM+YoLi)5qe5{yT{dl+QP)Pek>IJ7>>r-coTZzi+o*iAS>8PS{rJqvUPS{n`b|A+cG{(5k0?~(|R(MP!|Fj9aAR8@p|UWC5hP9NzU=2Z1L zZYmIqv{7||6pYxg;3cHEVP9THQBN(nY6)7wUwjZgR?^r&eZK;t&EmoMOdH9#i1M-e z8tM_}mG_Ro%N>9bB?7&$D?VZw^VuuZk_+6s$@VcUm4^=Z@l+fj6!IYb88T<@%LT@E z;1FAt6o+m56JPAJ|1ip1WTyMCT?>?2rjeMnn-X6>8@acI%#Y)uMRofJ9`3g2DOx=J zo|e}!uDr2-y_#ioPi7y;_Zx1>`I`7AQB~J%%q4|TH@XI2{ zTqMxnY7#l0%8xpv;Ubb1x)ej-wg^2{>z?RNG%0{@lO{9587mv3G6#N=PxUp%YRT|# z9i0D%vbO+>>g(5rEkHp)x&&0DOF%$cK)Q!!2&HQPNohstlJ4%78k&*r?ioTl2c#Rm zJ^Y{Nyyv{U0!*X8AA_RQ>Ad&T{`@B6pb+K&r!l@Pvj2O0Xl%OQQF{y4XRpM5A% z;-eWZc?EYV(p*Rr&IS!+O9dT9yC}1@r)dSKAK<^{7)4CvHQIj?Yhn=VW;v4d=D6_3C3{ zU~irHi*mDOmF=IinLO!(G8H<+R>el;-1jqLKbzW79ia=Zo<5b;r~Q6ACEo9wXZ>SH zpP{d@1_Z{sN}=<#tc(2`Dc?w*4=gIM|J#&*|Irl-^2_xLMRVE5)z>o$HkS4Pm&H0q zXhsvetbB8CG83X^x^bk|lv|hTt!AU6AkY7|f_^X@Eg)t^@rHkhAlfujAh4&$Bm{MYXbh!qnCjH%35$LuX>p%V-m9B?U;wvu zmvYrP{+*K2{+cUOK?3m{>E(2x$?+F&hvdx@f4#j}g{j!>N_Xw)8nosM-Y22l*X%(NzG`&717R_d@_u)PLPwsH#H=NF|S|Q|qOcGz2-%tRlVN}9hb<;DWR5C*k z{*sD5?KqV01=WL{3%y`M#xH+>Xc!d(eNuhB`jbxFGS0@N=#+)`r17myx^&8wAl+TU z1fXcq)@YnVUVOTaGiMNsGLHeiJ83ABxvbS%Dq%k7*D~SC5Yhq+j;=Gfg5)H_LT>zE zpzU3${z+9Ng%`wmZ@Y#1$5;fe<7&> z+9CHen3t*jPzq&h{Odai-Rik7A41DXu2zrKG4)CBF6uDhjQHhenDiTZRf;#`A6|ktroG|z#34lJ;csv1x{Am;VWyO8Xbdbr* z*ECtxQfJOr$A*gr6qJV@B0gQ2ilc7x++z5q)UK{MTuU1a2&HH)49cC@7oNyFD+bVB z5btB;sz0rUNWiWht(G^!JJGUaVqaBRF}f)M-Qanj8w2qYOIX*pmOt5KokWJc^+J*ELrcmA|+ZkJ&4a@)Ssu#+X$RXuMgKPVLb_uXC zD&2ld-j&`?tU-2p(UXwnv3UOi0`R!6sl~?}_A94c`OL z7+1%}0d8Yvt96!2t4jYqGQpC+aIM%Z?I}gOBtQG-DIALDRb|YRnUN7a9Nz)8 zuDXZanuc=ZxU%~!=ggwazKnOVcieU*Z*O01p7z51j$;f$`-P^e6q6XSZRJr;sZ2E_ zim#;BWxXK%l5g|hRslK>eijr@H;D4NcY(p3H$d_7PkYg~<|M&wT<33If2iU?RsDH2 zm#-BEBI(E4nZIdquN6~s((s>R+P-?ub!cICQ&<lBussb8r=yz0uqFyIM+LuX#;f= zX&_yS*M=Sek!=6DMzGx-aSa5=C9vT7Te18(Q_1ftd6zFJFcScDj)4kz&RHLk!FG#u zGJbRi3N9U}PCf*Zgurx0c!;6)Lh_;&xjB2Q&|!72zOX>#2k z3Mixkc#dO;1U}r)^jQ{j+&wX^r$t+W z@^N{D{BKHM+*A){mGQjTECSwonY1S6UNELjmWABJMPFx%5+~ci;TzZ6S^GMt7fl!#JTtx+)u~x#|>5@W?k-OSs)c#@}+5eh?FQ3*Zp|(PKh5n zy-j~H;>^k=H=g$JO{u#Kh<%)vc1%gnl|@W9hnCcHzq=5Wjj%!f?gf^^IAKlL|7gur z{A#Phrj)?6`e&j36OmwvU@G9SsoS6f6d;voE?hacu2KU5&^oY{Y$|setD)!fA09Cd zg;tsgqi;z;*ite?kgVRE-oSd_+6Qu5n_!g34S2G8y-fieaLHfs?eIyy$i`n_;huJt zm*-5L%8LKi>unB3L#G`&;rrDckzx~ve<}$|A8jOy=brjGckjTOzRM!sG&#ebB^i1< zF)8FhDX?ACS%(bx=wpZ61zx$-Jug(JTDA;sb~OrH9~N2zXiG1S~_xkv-sJm5#V~`wza^AqI9f2 z=>mXLE%VeZZeVM)`svMcr$Qyb&8kWOE0wYHcLPR9K5sXgzs(s(TCD|w&H)bai0yIc zTf+BMb{iM^=|k7p2@~vW6ZMnOE!Oc@rVK@Ra1fUpl)4ezs1(56OCZ zUu1ip9ToElR1n&Sh@gXMqQOiq@!;WlEyV5AAW*t!V#W@;H)?dzpts3>l*r?kL6imp zo&CVT0~V+i;6LML+S&j4&dU8H_)~o~CFQfCDoDa)gK9vK!HHy*tC+~_PP0eF!!V9>z_{qh=GPK9dtdW< z*m*T9r~PmJt3(%boG@Y0POAoISa0SOO(*?6iUL(b!r&*#G)}lQ@ngM=QQ~eKtTLsX zCje`4`(C(p$QBe`IqrW@d&0zS`gx?TfG~|J9gwe|nhRVoW{hPhj7+uvL%enxY|&G7 zD?We(I}p}ZMnPL&`lV2mp;8s?9Z{84O@k*-QG@}KR1JN}#gRQTuPt<#PddtSj7@R% z$_Q2KoK{}o5t^UPSjwz)DN*h&3kPA-$>0x%_m1oiZ8il2Re?}4J2H0 zTOS#AmTn34798Y3%Vnd4tCK&NygKt1N`K_~vY2swNaMv}h`;F1=SKua|4V8zTgmZZ z7E>y_4i+s=2NVh!Y?X&aCRPMbursjB$4!vq5Qk}YPSTPK40sFJPgZ8Ui)2*IiFU!D z!24D!9=O8br#VlAkNxn8-3d@BD(7ZMKU z%YOP6U)@6nuD(0H_`B?UvY28x<2QHbSIq~ZZ zhqq))razJ@;3{VTyl~H8@dCs9Oj6I^)*^yp-;vnaMMZEci;5P2Nb?|zbBWDFAF|nCQtb{l zMib9#04cH}2h1UTy*ZGyk6vSxKUk;ewfZ#f$%E&~Fnh&1J@}X6V%8MPm4U_Nf%r{P zPCiq+Qt)pcP01`vri3vd8x+hWfhlD4sEVcsnOyOQhM!(rj+}E%Iy~zejm4*!H*vP; zmyYk|l68_%U?vA4XhS7n5BRJazO;$S#6Al@V7k*$aJq3GTy&I>xy$pSRMit7AVEl+ z;pEhO6M2`Xy-V5tOFaGmPy7Ox__y++=Yp6GfuHp-m2m&3T<;`Iy2Fb1zvg;V)ZmIh zGIIN;1zE++{v+U5?+pIX3v6*e6?a9GZkr(od6ZV;F@Oj(Os04vQ@Uvqk~mxpLDeNL zQ8zS)F(ui$@iQDQ%fIoC-EgaZRV}@I1w>wO8T4g{1^sT|Q&Yp;x0_sq1sV-1uuu9K zixUDzj*e^*uS{ego~!C;Mdy#zdZnd@sghKa@)X!_D?)MV`cEE^H+~kuu}?|;*6Zub z)Zn)elIVOOe3Am=K$XzR3en2s^mp=>G39z>ReBHOK%7tP-@9R5*RKt^9bYRxJIV%)FSsL{5M!A@69E;k9`TtATWaPKao z*YTHk*oN02W{J24B|knXB$*_GsGmC!vvMzoR5@Q1U_2h6IKjrzt+cv1J~bpEzraO} z`ycQd`6|5}g>^LEtRoA=uR5#K$IqPm4Vo>*i10IRkH&Dz6&7+RRJC<_`zTZ70!*(o zX!5-5=~!5$cCN7cFl>c?vN>qDy2d8EKG57FGDq%tdu~upC)utHj-vlR&&&ZLSpZ4J2Ow=%$-Py5moFRuugwOlyku@ zn0zf`Ot#*BGNU$v6rfWbv=TCc2%SF{O$-<`Fm@|F+8qI3W)G^AyI$r#ltPfO7^c7G zOOadB;v;0sEmKc74|VIX;+BU*?M8{^%51CUpnHU{mrORhmm21%i2YNPFBTMRn}-8* zG$|!-U2yU;b!Pk*0_4-U-LK>VKm+INL_AuVHUueTSD87xe4k1=z?HwM$ld=mY9JKV zt%AJ1rBmaQk*45mzCsKmr9e#ZEt6!uZL#uYTs@GbfaX4@5w5;6<#V9otFQM_BMX=< zHtd{3tnb%QUHiKMT4mG&_Cv){B?dC4OUIqo*ozg@I-zXM zy{oH9)kK##n@k9Vx^Y$Cw~wB?6TEe@(G#UeLC?U#EXs(Qe1}J+Gul}WdljMJ6YUck zrngJMYQQ?PyZJ}H(7F1P(C<*}?A=2Rubd+8cN#CENiqi_nac*B4xonyhDG9%S61gf z6#MDA8#CpMhRGb)mM&~?`^Ivppb*kPIxkj+X7vj#{N?h*1QvoNDts={<6rc? zZLclp533Uo*N@vGIMnQ@6IQAJh5>!!wm{bR)xfCF^x|P1;lme20-QVQDn%_4y9*QLw zPLAiwNR@tqAa}3-89f6Mh*6`D``hz_iS)1ygvPOCUll1;Q1$iHO`$DT0c7;}+?4B} zU+}cOr-StdqeMApYjJ8p>1x?B(Q&f_e=4lWZsS4ot@a=d_Sf*#$pJe{E;qj`V9^}l zlZ`_It0}!`I8$w@%Vn)ttMq<>oPhj~8SCwvD+Lms1WsIC7zx=&VNY-XYpliSt4PTL zVZnECoU31{Po!zq5sn(B;#aIb_EU<&7a#zxLhkws4+%t$(`t?})i?RzRL?pO)Q7`A zg%bo#k^1`xStx%JW*9s6#J_AKiH6n&fC2I(B>yG&#{|%2Xnv)-E$LqSx$##F`2Y3Wq8m3F1#fbQeO8iCM8Tx@>f` za(Hs31LDn6y_t9>_>B_>1%Fk?Kf|`9u1AJbEiQ=vU81>Rt|>BAfjoa_*iw?u7iTc| zj$%>xOR-iwoNg|9+2!NzugdW9SvpnIn^9EowK2Zwn(E{U9?EoDy4D*dJx)@2W0<0U zUCpy@hrs-AO5=mTr@5dek;Iit}~TmeW0Xn)1TY`0B~S!p~WdqkaE$|Dl3i{hEX zxT5DpHeYycMcOyoyl=QV`E*sYxXt|5`oTjs$#a`YOn;LqYFo?wP^_&uI*fUW#{a@+r4MWYii(WM~s-648TA2?4oH4pBM3_g^W|L*V62xlBft2I5>C%JM+tv+!Qi7Iz9~C zCJC8x4Lq(zX%y$*i$VP%d~{Uef0_0=l?4d zqY$dfBUR3?oiB<2PNXA+C!q;r0pqa@62o%lV0ydDo@^qm!$5b zt!BtB{(i2+WX(NKc>Cb~y?gLxKe_RIh~{l9N7D`o#A@4$&kru4`6$<^9i1ilkDNbiK z*<%~#9>;qp;E7P1{90cY#6=x1?404K8K^HC?U)6|%Z$GTo+@`xeQx)%00hhFm=Ma^+gbD}iZ% zCIui)Zw%7tjQn`}4)@g4ST`35PY0{!nBSu+A9C6ZWn5jQfKPv#Rv10`kzKB)eqBSG z2yYb_OYNC0tuG7aM%QyGw{Buxp8W9`r0>S(;w~6NUqGR=>CzyyMZQIJS&;!jRaOS% z$w8_@Rv{=ctDUhz9_(-!KC4^@Zp>m*uj>rSZAE&kfnDSEP8WOL(hb_D)H6+wf3A(J z!R4k9n_{XM_wMV!ETk_U_SXlI#^b6yeLCDhCeR^j_4h?b!^W*emcx%G`FUhI7E#rg zCE)&G=Tzv#7ll?yC&kzl2kTby)dg!>X~WdR0kjf#Q1i?qpH} zX;CKfxAo9u8uOXIRf8Il{z{SG#1X2h7hXfQvBx%Rb;Q-R-4o=9rD0J?p0S6=1aIco*rOtip;)-EfU79FYWx)E;=rmbkx()T zjOXoJZ_r|q1AnRA`h-Vwzi~)}Jw#RMRVmMpQgNVLP}pL5Ee=+t!23O)fxXLQt-_BJ zH)WkBJretbqi0+czSrHq_lG(Yl9B;mF_O><cY0xjdM3pH4_4|{t!sb*Swl%zhBQO13`SzR_4-Si#?=N zID0|^n1-~NVa0j+keG|V$o^WALYPtN>x5d@z|pla!+{Od;0dmGV{eUHu419DY& zW5#o^o_LeL!d5P{Z2YGJ+A4`qjO?-6?vP3*@+%qvoLy7ZYmWyKQp*tuXZynVou^Yx zfjEwRq2^~O!HY$7V4My+?^z|`e^9TQJA797d@Fufmn>VOQm@q8RTJZVK90x;_ z*rUmGu!*8^`%khf5npnDaY0l?zLP603b`j68@0n@Ev%q7ohfB2T;?=Eo&^U3BBjbx zYF#2az>Q!-PAi~D&l0r}o*Kdi2S@BGAywTqk>u$MIW&t2+vdxABRs1Mj?UN%ta0Nf z8!sqMKE7k)+cnNi)pY+W}!IN8&1?Zw@0}f`V;~k*@`k7O}cP+5|5o8r7kJliK z($l1h?pOvXS+Wo^m#)tR=XIm0#fV)A2-rQ*#W53kVJo`@W3{N$QY_$^*i8MCoe8az zumO$Dp>Z(%Ccibme{YzlYOW7XmCbC#)kFC}QkJ;e<0}{xQ%$211K*+3u7G=}#k)kcefFh!vzE$54r5b`DSTV~c8Urtxk|w6i)#nrO=G7Z>ZWb4M~4@Q3}v+qxHE_fhRYX2 zXso^i_wM)^`BLZ140txou(N?xk`N8;>1M)GUbaeax7UmI-Z`~&rJln6WT{^V8E8@H zwb1izXqO~^)vdk)w~{nDA_qFbJwB5)1!>CBbp3?GhXQ6^6~t^K>v@#8e98|cs!#(0 z*#;)zZVggBJyoGnp6q?y0$-1gy06(6(l)Z^G32q`2cLo)F>;|3;}fO}e4$~ri;j!K z+ZZ*pBwx#^-}kw4N(e?kmRP2U_KeBGIa~ju^RAY;4&|&0d9zOIe5%0mrfJF!t&%JE z^_gSF?%!XrZOn>0;K%2xc34gj>w_5=zZ`qa{P$B-=0jq6<>+y8!Tg;jw4J&PeJ;Hj zPWoPU);aks<_9zEfkcg*Qhyn$@J`wi2VkgJ7*15d0?)}Z@eow^ROKfv-AlQswa2zXLi zN5{7=>e;>A=-i>MW4O#)Fh-TvWxPs=AMQQ`PO8%Ne;NbN)`7)>l_&}eJr z(gZVMP21J~6fsoV3oS_OzlE@&?aWF~{b{6w`zXo;~r4p6L*PNfc8C zI<_kq5Hou5_jh-G+&wunb+w^X71Fi?^(`bSAwT6QVRyR=hw?Mj)?nYeR|AD!($yNw z?{H*4x6f9Mr_^rjYu!rR>cnY#E{=RIZr$V1>m<=xon$<7D3yluHXp-i8)C6;l=E`z zHw<{75x^PLP;vD)vIr8Fui{A@8Qu-V>U-%xh(vWg)c*h;0PF%79;g@pyaD%v0s{sZ z)TmScya5sa*N0K3?%w!%_nCYD`f?Re+J9Ye!wDiKA#vP3b9B-?1}$%U6C_&C>EQ*u zZ=(dcytp{|fbjD2^2|d*yi2p~0;zn5a8V^JfB?&1Qq7a*aqceZnGIoPE<(Nb!m<*` zwX{b@OkfB{n1ts)1KK4x;mT@qca!l(4 zHGAgvPMnifz#H@Z50;P_cPzWpNB7IiL{#UvO;s0S2z$-@CAzup~x1?b}s=ZvD z^g&u$T52pSln4z>xY@0tI>b>N^a(3#35QXqeDXUELBV8OD>oSm3lgG@ou*+P|NoH( z(%Mdu6*irG@w%j*u=#AHQqVS14r5{;%S+8ZJoJYd^N&H<*kDIaIz0j{0L${s80WsTzlk1S^rW3wY`p>V0sO_%khy0dO8! z%rS{vVU4T$VMgYwf{3Lj-#s8jR)q*RFhCK)zyxBDs7=R>2d@Mc_W_xIS3@FzJ+64krPYmZ?OR@#1qAA;O;o;T&T z({C>}svIBx@fWWO%>~{dDv#GOZx-Qf>b@lBgf(VI&QPvKz>Q-?$M{Zn)hL@&Ltequ$ssjIqOfB8aOa$|x@Tee|icGE|h{v)8nSV{V3${Rs?f14iOtDUPZ z;ZjTTD1O}cnGPVEfOw)j5T}?Sl_rjd^2hf@p7xVi=MiKihrCAOJ0N$W&B6aO@5RTZ z^l^GYN?b}89S#yAJ8fqi;bDw*lnApuFVJl)l?Nes^ohrxj#4b_tA&G;X(q%)uW?t` zNmX{_Ao;UtTt{X5-eS2lNzK9`I5mrE_q|V=r82pQ*6Ilf%CBYtg$x#pJ%47eFuc#33HXKsd01M0pB) z@x#&InuEdVRLaFE{$91v*T4En!7Y@^K0Rof$?C?W{#i;l5NaD>V|giyJ)@?an%HHM zcIgmpF7UDCktNsasm)kt00m6}(kG77oF=Dn>0tGexZ?8z+9$jz9l2#en;#_6bg-Ps zbhda67_!Kvf%*Foh2z3od&sj8e=cf&qO-qwSZ^S<@AMcNZfXpH&d8g}iqC?X4$Gf3 zVA^OO=)}YrB0Bd3x=XVgiVWNv<)IBIG*1HY-$>ff!P#S?Q^S5y=T1)p_kj2}D>v3Q zGJ~JQFU~p_1hu-KyzA)G{;qplS1hioM#-s;ONuYyn@HZ%}S>*bTJf36a)tdV-@fLx!qQH77K3&d3fG~Z_ zg}56qt5-E`RnlImg#g36eS4qI*Q5sew;Z&5yZB$RYXuDG6qY12%j%K_P z+(AjIwL%jw9>ZLBcT($XIWTKmkVVWz!o7H~lvhr2Z{2sXnXP$yBK1K!ESp~@st1I- zeB!tW8}NDUmudNwvZaG&_*zp>csvaXM_g|K29b_zo;RPq5$rH}*d$G=mJcJbgq@RE;F((y^~^cp-_V<>KrkgB_vQoC5E}R7zfz zWv{u?6>Z8%H5Vupe4mb#P_lP<#<`cqRsaF=H|{`WRqMO z3;$*<=!Hjg>)UgUggJ1Hw5K*yR}Fw<+;1a#6lSZ6kLj_F6wr$@AzjbFy?HVxYDyo& z%*;k+Hqh`iDOy*@($<(<8*Fn0n0JKdHt8$`wiiFp!q@yht!UpUkF}hg+z0s1LkYx( z6p#99m-?fDxyZR$2g&6rU;RpH9|G{%hX>u>oAv1-$;k>S_eRm1bg%l0McpcFs`6~B z<~*811|E-b59?pMQc>E>i$7U%H8zA$Xj4=ftmOt<;f`$0qn##jrIIVxn>90u{4(7% z?PWW&6KI>CaJB{#&Ds}Y_1Vy_E`bWCmBL%h=P2^!nSo3w;h0)`A845s9U(98UNT#D z{imwy={g$*AZvZ{xvB&Vw<#cpQ;_gbNAp{~W^{fF>o|CBam_jXZdh$e%@I=>8wo_< zEPSU{S6njs%89!L;pAso8U6x*w$Gnh+G{9Ap_*IO)L$dUomcEd;NYVRU3r;@sG5*N zsTfybv#r-22j*9a%5e34QRq?w3YdE3&}qv`L^PGjWh1lVCJFk_m+Ltx6PV5oVdhEr zy)!O~Msj(6`XaTgb@y025!F(|0bC=2mAaaZQ6_@D(mhW`bMnvQ-FdJ)+YQa~oZ1Ix zsLI~(Q~J26snwo^%4bw^kCON^iXC|Mpbte8(u^kDmHm z-D%q_fEvLy)lf$70e3dmy>qLUNz5p{Gm1@GNVZ-OE8&j5#m6vuZzEe1vDc=S>z!P_ zJNxV|-PFUB8h_g8BrsUw(`9L8cOl`ws3#puqu;)7-L4qOj`~OHxOAtHKzBa~Q#!U} zah;vp0Kv@=GG+#Z95VNx4TipNX`2BWPy$mMCVFOud@!3QP~C@+=VqQ&sz?Svy_9bz z8b*#79dIo2c=OfWe#^iYz#_A8sb3fo@bCrW3!MCr+xfYe7CI1)oDYXICHNg_T^!V$BcpIW;6r!f}JaNeriLm;%&tqL@@FOI=jbih9gj zr7^n$hU`g0aiPh7PjS;`^P$|;Z-GEvf$O%tr|w%e_z73b1kCx#fE^<}OJkXu-`FyoR9xFR34xW1ZWINc8G~i$`9ELOjVV%5Sq)^A7qk za<_5DS^!$|hiAfh;n8Vdl8|3>drySoCuigR`6fbR-3FQ1!eYbv>Ytn4fH#TsD1K`^ zcjcZbCqy?L%THZ+rVlpgYw>=)+R`N40tT?%b9bEjV%<{`<(pC$q14!)lL;tbAPZxu zr)vK%E%lGc0^F7VuG)a_{9A~j98Z)3EfZpw9P<9fv&Zca(qWzl-+(^>DjbSB^9Yay zG{E5jpzZL0!#9*e3LM_Q0FoVd2PF7CA}phlp?~hx{`t$hk5X;G=TBDC2)!I+|9$E| zzI`c+=u{LX8wj7dXkUIPdG`}S!__HOYc@>E4X3pwdyXER|NIa}Db#Z`2(DycYVyv3 zW<6F)Z6tTa=`<`HJiW(Sp8784LVcVxct2h(04Qlq4O9w^j+gT48xiS?HRw@5>f55P zA7ZMJn;T1RaU1eRc^`Ws=>}WwCzy!NOr&(g|4Ep$kvz6a8<_~uE3aF)T}y5qtx3K3 zPHyy_iU_!~*+D=AVi&m`zgU>@P6GRm*cUw+Y4Y-vnFfd5u$U{I2UAD&b@(z~&f4#g ze7+>Y(;K~aS5;NlxFgP!P`#8VWqrvAcBAtP+`+^U?9Gng?X-n?R`YsbRKear%{XSpm|l)LQ7+eSd6E#_x6(1Z+_bR5sGv2TBuLvfzB8 zL|agZ@6e@g{n7xG@FXzUeY+R51v@`HR5QDQ&2u_EQMYyp95ik_tK=17`qBs7Ct^^4 z?(d=|?{d^XtpKNDfIEjKOcgqQsjD2Z$KUd4NL3+}p8Mi$t2gs!hrICD{vTY^e;5#U`6EfF-D+!H|LRCe&y9Jd!Rr!EXFAcA{Y z-0X4H-_OqseN^fpp< z=C%+xZHZG8>}?-6QA^z_@Hqck?qF9_tspjc(BWi6ls!RK?Gk9#DBzb<0{6@o_fnP^ zHaY6kV&mZOvVJ_1$i}#Lps%3s9A_xWO}2&EKgOO8Hwj^U%~E28;?0{|MA-wkpo)E_~xPmx2fNBdc->=uODx`ai^SD zOQkm&~l`SUm!>3 zgW^P1ZRPVV@tq*=2`pevI-yD(@`kz0aKHr|3O; zMr+k6wc~DAnq@0FR~ZlLp^oOV(M_s{LRP5G!#sP=14&jtO|SI;M&DRz1&J`{A~sAw$}~Pea+zfI*jvqh zHAzbH?~!iRc8XQoJ+{_QU%$Gp?lj(k)mNzdOSk5yEOuUXOz>Q`2j0t9I5u;xh@J&T zhm`pG{#n-1QJO@zd;PV*Q{yg82~RVj1G;UA;dbJ)HmW26eX26>3Hu|%xbPRW*beIl zGvS?sFN4ZGdA_919|g3_+s`6UaAw{>k_Ft7^i`p``+xxbIfW2DF>NWFH~}W=k=H13 zlV3ey>p2n(cYc~ueY`^3yLr7H3t|_2h9@^wowh`U+$D@<<0JEORPLQ-bYRE~+Io~l z2yGrKI25Ir%VTeS@a7JLZA+nbCLbI3=WQOj+hMLZ+HK}&Z-xq(+io6G7rtZn+{~Ii zDxF-0X)7he!EgWz)0g{W*vkBr+&FIa&srPOa@uv?B$|`LxYl}zri!P<`@c`sl%^xO zBi(0ylxZL17HB0Y>EMl>oYn5kk3v0vhd`HM1+{8(*1BJi^UJf3TO6n#$L6T;vkjj} zmre7WS2&3jm~8*+n%+27CLa&sX_;;S5^%SwyqmXKkL&LI*`+Xmzf+Mw$SdZSa$0#H zdSCz`mYW>H*xFL*G5%tx+tyIkd~Rd18-;MCFRa-Q$L8j$F-Iyo{Yvfpxzj(xSPFvC&&&=#9vlc5X(r7Nh?zSHV=7!GfhIm1NENTx&ng3ACt*SnR0B_@+)kMo zP3zcwgF-x)l7ufKphF8MRlb*m&Jf7B3ccXC6*M+QrWzIB>uKJK`EIo!&|&j1N)(6&^bFJqux~`Aw<`jw zQ+BJN88j82PS)B)Xv-SnL}p4>HzZt5Ju;5!a1U;ei7%T}wy@>P=6k8>!`Uo8`e%{H z3f-8VG!3buD@_9NFS{|hckHCf&!1>DPu==cXdc!3)jkY{Jo-<(&(Bi(L){So$G8u{ zZBN&AoiQro!s8?<^*mMcPzRf>0-a3YyRo!{4>)85s_GiG4Op!za@J)|Q((htR7vH;8ewYTe`~ONZ{~)3fLJzs^2q`xvsRH~`p-IHxVts!TC> zkkY{V8pYj)Pgws&)octPs^oC9m#G+G|8P3bhkuO3|1-P05BT&b()IrRzYqV72mV(& z2<$rmP{%)=-~O*0f7|;I3Sdd;)6tQRb--~1T)KZTM7E5Pvn${PkiNXjBS21Lfc(^W zq$T;0RQ#WZDE11$b%aQ<7o|U7HV{d-wGJ9W8TnO0cGXBi(s{2fTn#@iUV9t9o5~79 ztfG=R!OJFEH$Tbovdm8N&8=g(hsh6GH#Vrsx&CV2N98rN5p67zJRS$E%x~WJmk51W z7!is70BmbP^TIR3H>xPHzMjceO;weq<)_|k7D0X_6;IaA`RRt`BNMjGQy3pX!w6XE zMlp1R+Gds=TRMOCz0^>%6}_IJ2O7%C@EU`zy(p88tO=*HBKx2{w97Vk@H~Z8&2ghn^$Ylz=ms=dv%yv)o$T<^$jT)nv zDLT_s^w`fOxA<4)Pu~)0oz4d?(m>pcgzlX2JEMd(WlkqdUu|h;P_j=Ze=`Znh$+vk zJqHd=e#0HeRD8PvR$zs+unk%CR2A*Q46L4#zn;#?%_Y{X_d{HcXqslFxbJ6*-ZeLz z>KS};f>*0@-8^oPczqUVHVFZPiSI~mZ;#1O1Bafy4@?pMNG$VHFp^8eEe92pe~^dqA-&_A{vVFw+Nn`!m48!o&3U0B*{q>31ZFDP3YIbi=H^K~BZ2{V`B? zi)*U-N&9abi=jD>G^W58PeSHM7(7jF<0{8u%#%Wg z6kKBt!0q&sp}9-BTMC+k~TJ_(Cy;3eKWQ zhb$O(W~X+q#RTU|Gob{`ydYIZSel+UiJp8fU614r$QUa4o0s=Iq?Cdvw=g%R_(UNg zsoDu6>66qg5$-0lW76$3kYb)_IXu`X1_D$n|05<#_kfWAculxn&G~|mVuFxKTY;6% zG=@~utCp`nPM&!}!_ol}M278xVZs(>P!7HF^#o{K&GV*rmZ0?a2v=NT&8roPaqrBh zsTGh40V@d5@3*FI@sjx8aW<%`ODHu`rK)}~fh%5hhOKT;`|RNXFjG9%C07-e2;LtJ@ge z>3TdeAVln-%?gaw;HtkSF)@)`4*`ve%g5#DWY;S9v{p9mTf}0l9=Q5sX4#f|Z4e~& zIA4sXFI@45fmgTP@|LEsXt@t>`P=QW2N|h$Y<2JNaovi0?pI8-5kagv^={Gi5nObN zX&r=ew`HVZbZC*U$H&JT=3dJ{*qirm&1p<>mJVneoX6ODrOpfTW*F$5MlkxK!KsV32Ckf)VZZ50875JvFiSpMIvF~Jk zN6%(&*90b5z;~%3r@fqSYP#R4S1IT{>L3O{dv0;^ad1AS>W&KyM+&-$d zG3XR}4O&-8AR20>nU~PRkgcxkxeq+hf!K;yX_Vf@yQRIjPi|yJ-d?tN=8b^&tHtq5 za(KnrjoIt2kGXMWZP|`M&zc*8@1AqMaaS@xS?~ixj}DBUl>QZu?1{ZyK(GjC?(H5E zStX6mOcKCUsP@Q-x!}Cg&!QqZ;j8vJH$Q555@LB)bJvVIL65RopM`)nD3U6`FdnLT ze7FXL?)>QBc-C`Y>XycaqQfVwYx<0jxqouJWX3@)W@b*7_-l^s&d-IsTFr81t8E;3 zWMiRBAyaWDHTTMxUk=tKE;9zZh7)u0xv1}wK;=FCnm)0{tZH<0w8ps3i+%mtm$|N=f z;eO$q+$@idJ)k4$={qP^{vybJc9Px8^iH0rjXeWvDMZY8z}_Q>6-#%drrk0j8jyWe zTPBO7rFou|R)taL9^lo4GbVEG*B&8|7Y4Y40%Df)*S6+`^Y{92ScY38!5Hmn#S?>l zlWjt9r?1j#$l1)Pj@$Q+Re#dZ^sAVvfgw-#e26$#A8VJF%IAW5An*gIu(CW__IT1k zHR=(3lG3s}wxr=lha(ulfu^qJXK$lE7^c^B5e`#X)wPjEkR7{>#2(cP&|>t|iHMvN zto_qCY4El6mN}y}xP}ehUr(iA*f6$y{|sqGOmKr?R>5}~_ZNZgjy|BQ-=0>!nT(d9CuJ8A_k1n&f2RJ`{dOBTt|LIkIc(WlhtYbolzoA@Lw+`C0 z1gbMzZv6bK4~hqLvx@uPJw^0dIq!Sk z`SZ;m`RA8&&pr2dzu)Ki-20r|mq?jtKGUPit=5(?EHH}rS;MT;Ik%mTwPq>dKCWqA z>TNlhzX^9oWV(lv#-XSwY$P0h#RggN+amI;%fNf+bUgJT))H&Hd;_$aS;Oc%BkB%` z^o?uw$INfSghn)NfQo9Sfd2II#QRUzM!(l|Fo4EHwD$NsZR)%Zfn0Gtos;9uj=HD` zXwy`UQR(Lddu34fRblhTX2n8QYb6I1OMtrqU9F*uWgR%vyio8RywjsQP0nOho_T3v z+$>&-`NU4{nObsr&4+z?De*4+#$!|ObGw8-cX5Mx8HmfsgAWUhKAXhmhrSv5Vm9IR zuZ;Iw*Fj|Pq;g4|(`xo1+~OOAFlRmIeO9l7hEWth-OB_**;ENJP_awTdl%H1MZPcT zC_kG%Xq@^q!Ap0D)VV(1+FwVn@kRTmzK?+7#KiE*!_rGr*%C&J`VLAWE2?kpcmDwq z*|XGF@+_oktT#Y%>bx{$P{ZG=j!_UW$1fPNb+vZsZNewoSV<629n8D7dBC*IGS&m8 zkG-0XgNI-L{hqgkK;5b>57DwKe%E87`CQ{4_|WLWQM0?N!TaesJcG@sWu+B8iEIcd zL*M>($nt{1SD*E$^gVTK8T!NhFqB`2-g~r!SBxFY1NrhKe1SpFJ@@&gnsq ze&?{yvYL~nWWkX2-K((XvN>^5$YwRgLdv_vMzYmTgD{v^Zsc#Ec0dA5_`MVA+PO;* z65C%7{zf_>DDK+1i1>#DnDFU8#K&stK;@n~yB8eae-e%$#K3?rOLk&}ZuE92>=|}v zFmR&)az?-c152~88~he<3Y|(OAmFj76|&t>-4^cy!Wqca4uy#w2ryaMr}CO4rB`!m z%gG6N%mM!_C^^V->u~XK@YTxNEeQv>C)z843&LgH)H~FBP7nM(PoNy#ZV^$qpBu>d zv!egP-mo-XI}cGr>V$>{YKni+1b9jRnP_fgMxN988y9IOrjB2uK2p9GGVc(_D^rZ& z{($TEk2|#a94sb9weNpParsPMPLAq5_5DK*l^7_^86E^way$)^yRqz9C;CLVO1G$6 zqC>XqZVqXI{*LByK6hYFueiL3mB>4FOokmbdrUv7vm{%-U;ArjN5&}sr`{Y{G$d3# zgmf;i!89cq}Y<~=d=X0uAH?GXwe54t4TS>$PjWi>GR zt*kes4rP(`gKOq{lG-HLs!yF+8k-MhqFzvYxpOI{s?97Rk1-5trGuNf_p85eDP4Q(t^kCmOBLCncXdZSXC*D6fP&8HQ zbtQzySl9Nvt&bz5)7Pw!hrn)VD@kuUdi254!K8$!(|=8&*cSzZVHczAN~Zl9Xq^@Y ziJqf@AKR10T8#ouR~N5*uDG0oi754mRR}6#IuGW5aQE=xP0K1D{gobX0UU(=m>x`E z&scXMN8kPs9oys0x9+kf^yx}_cC5&W1L#njKCCgVJZZFC|J#xN`n3ca86vE!?yztQ zFEc5BJ&o$o*APtvmkLDf8(~aTp|?h<8Aq9G>y>oL5rzw%i>&M@E*&vsGo5`*R_>Ld z57MS65@B-~K}@4;05X>c7#AQP2{+oCOzTkU@A8Yh1xqJGv?kW1w)!4k+2V)}RYwU< zD_>+q4z)f4YVxA~nuh3h!J<(L*nP|nZag*Bd|*I2E{`e&S7uVM9-eYeZdcoUBh%^& zI!dGcd&AP~5>d|$`ZT8I6dfC4UO_$m2{3o?7n#d@Ca2eVy1z4KCLJc+A(+o^GUZJC zfkptLSf8`vQ{S*o>V|SAeh}6&AdvSv1VSX^_hV9Hi)bFZ`Jekk=Vg$tOt^T}M>&(^ z)hOe=uPB-Hutf72_3V_Iz%5qe>-Z{FN3}VuqPk8re?ucNIj-rT_(qYDw0Ajtb33QH z?YC1s-SV`znP76odDtSX~%*3@OWBxZsEIGHC zfzXfhF<%KgAi8d=x>I!zm&M}&^E1n#CTtvU`wDDFpMbHKt_XWk6lWxAeQ->d4@IzN z($jS+qOEa7=NhT-tdU7Xam3=Dopl-vdqYw&T3<;9@Nlr(|4wEEy-z^{{NAria@Oqdvj{a}Jaxen?x${td$EE7YU)Iz zvQ{uwrRLU5LqQ9zGB!aE_ca~Z(Fq(l&I2s)F$=piRKQr_p1H{WHqpAdu72E}HJ@?? zxJ}jAs;Y`IeQsXlPSslpZFW{>LT7|}u{XDE6lSR>s!-$JS&e(0`5=ZXl>v^&4O4Tf z9AYF$)UKZ}2L07AFG(9Ha+64FC-7BdoPFJV-$yK*(%~p+INxl3Zs1D1O0nO=-EMc= j3MCYs|HJ3m<5j$P3f>&rDDEb85Pt zp2*+w5{Phka3CNch*FZGN+2L$iT_?C7_fhm5P2_H5Rlp!DN!L6550>`f7vBhg0b6G zY|g)3knZ7|6T7!rLp)rdoI?<8ioHHdx_)_scW5>z{107 z&aZF#o7f~P;$%Hj?r}~P&{PdGlUnK2(c7O8IxZ}xIa&?Hbn=D zRJV@y#Q>leog(cRF{$>cCx1!FH4-0>SWuE>;i05w#}Yb7V$s(jaTLcwSn1|%ln$?f^;k0501v`CC=RN(_M!d9QB`i-WVtJr!KPa6`V zx3+>iiAh3_fTdjL*Q!jUKiW?gXJ{`w!espVaLz@L_@Bt)W2NAT-rv&;!R@N zUU}eYf<7J}v>@kjYEmq`!9(WU-XJ zExCb}F1juXFFrE+X&A?c5awOgoC=wUB*uqu(OgokxL_#<$6zn5=V(|-3r6AHfR_uE z_gKj7ykxV5o>$pGc7C_XYxnJ!`ws;UN(%crc{!J23JMFhI$KAgkM8TW4p32oS)kHY z22QvWJAIniX3_yO&ZSq8rr!4B18DofSBc;DL%6#O_|ME6Iq442_B$txk4307aKY;o zYY=JpOBiyoK6^R(fYy5fK@?E$%F6|t>vsG5-c~|ro~%BHKKIJL-Oa1Y)jqq+hv8wW ze>>t@e28G?r9A$mfc!aL**5>l8^GJr) z02G=_g%;rWs+%!u{WiOn-Eo@y5}-$2Pgn?&#+W36Tz41wXn-(I zL{1_kZ3&6`Q?Vd)@MF7fw(tCjH%>Gub@jTv?!8m~*zP#{*r#{u_`L@c;W2Bq%1*C= zU<}Xmex**;G*cHjz1Ahq%WnA^si9nTNNXR;EmNS^|9f_(Dg*a$CMl?5Sd5#!>C75{ zu(U$2`RBZ8;#E3DZc-`OTv2JfQiV#rC$Yf-ggWhsrlJE26OpSyn2XQTG-mR!BE+0h z&)nua)_Lsd5EMd7QmjoS(k~}-hG?E&b;(tvG(5#8cAyruQtj{d1C z-XdwxcZyh)Hrh$*@}ij_Cc@4uD{HOSi|+l+%;R+*qLr$mC8__@p6boLL#Tjb#ca*l zeed+oLqB7sbq%d~#Su7cl~+l#X>=ysUi+8g*Y#xMOEj^R<1+FD)@If`?ry-J1ip6{ zn<)65tR9taivqWp^PO?xg&w&X8VUi%Agz(;W}DwhmIeZi*vOW@wa%^SJnL$0@xGX< z0oe_{9{R&Sjtt!z;;X8v935uQLnooU8KAa3aVk`1lE)E4Z3S6=WbYB4o#CIA=i+E= z)X2)5VXYplNtXT2qX_%4p=x1L8}rIH@<5x}SCj&pW~D3B=0S>hc<$g^tK92CN@}(A zOaG${YxFY{Pg9MmpyGD(N0vaHm+SuCCSEe(a<5U>hS#Ahyl;$8S+4fXq-^w9ScSQ@ zse##V@;a|8f+;!$0ehVmdmahwcpHmn_V76!?as&f2Dv6pwqmF>ySG2NT|^WK-F$X; zs!v0h?I+K9AxIg$3*SN!xZ0X2>!(#JKG!3{gk|VgC?*pOPBB1o!hV;O7prcI#qf6tH8ovQ?mhJBC_s6%ANFPX_TN#Q>2sjaN+p(WXOG*A7BHqo0`vxOV zKcd+`f6!!Us_QemM)bPDzS8*w1wqT{-X6S8Rf7Q9t|5Q=eLy6yv@&v?ddXBmuW9%K zd3}gOyJ4auOn~dcgJi`}-}a;mV6I#SJoFh6`C-Ep*T)&O1di;K$lJ%aeXhccnLSp| zVZM|pr|0KpF`~c=0Z&{)dK$L-oXCT_ocO6T8oTHW0U+&CK;@z+HJH+~P5{h@{F0J&z4h|bXY|5sJTHIP%;QSj> zL&Zk{*H3;pSV^PmP+phbndfQq$?AHY&rY|b-)qmrhbayZrLHw#MS6O;Z`^)QdU^Tt z?pz2RKcQQt0WS*6t(wnsR~k1%_+{T8@({IZ0Dvd3OTc_4SCuC&toKhb+NHx+`xi*M z&Ipjxo;BTldfR#M`3wC4zYMXdG7~S#Y*zi6fa@H}3zjX~m|gw3Yw}r_ z*K|H$c4pwBjmeS8@!I(_i@pi;#rNhR1$WB*O2Cur>l0e->2?2YxkAgaQrCT-nY)TG z$EI5!*w4^2KT9>IrrV473qr_Qb z8yyV|+Xnc3{MHxhpSHEeX^+sEX@UO9{&ezs{s3}Kb)s7+l8+YwHEJqdm&bxO9TpUD z!{jo0*7uaxOZ-8gxU-b%sY$NIK>ro&-v0y1O0|Nd&OW_ZugJEk&yO;|_cJpkrRCC^ z$Zuf{jQw3*ZbV!dxq96d!QlP<;Aee|pEz2jVoA5fC?i)+*Q_RCrZ#oDGu2UPn1(e9 zMy>aoFK(|ZOTSi_{rU>S(3r-x;ft0(Zto(^@iC%(#lQtqPq>Tqj0aP~=ro|-X$*Dk z2qy!|-8Ph{y3wBo@01~f8HX-V9|a#9;wWJhsjdn8?2BXSA%RbQ7H70sXr6${dXqey z?xWGeap`lJDGc0|4bd`-FTUCV!LI8?j`5_DsH3cv8fnEPM@%iJtM#5= zgL-4mi>hN_Il#Q0NVz52f(|c@nkcW(MNz-%VliVz{G&~$vH(qQA0W8?(8v%-y<@TI zfR8?_NV4BN;q=iZ0Rt~o<+Gj_nAUm9k`7aIsponj*PIsp2XM0WHm}AOQ0L;Z!KfT@ zv#ky60pt8Uel}1(w{m;3R?z9Drb+#9HE`*RRSt8r-7dCMWiiG;Rg9ZJJ%Ebrip~8# z(j{O!5&vZl^F@rt|Mt@wz><1t5O;|L0$vg}r&DxSx)#EeEu64lqo}?33im5PckF}u zwEb6(cbWo4#mJSZa(~un59QdXf4<*huQI0va&1QJV0ek)YwOSgCe+sk*tFo-CEKjf zutiRWI7f6_xw&8qOMZgJt3F#1ci;k*A3=5C?Pie=!3bD7V87`%^Bx~@@lnhUE|vRm^?-&lP&P99EH_{QikDE-a|P`rh{Ak+=gkyx!>r6x(BCI-cOl z`jgu7WL63{YX^|PtBiTCSEntG?1(`)TjGokC-@q5#j1hxSN#T3bM!^32D<@g7v5{h zn_gE5z7}({>UL9d&gJmD$Q#AQBFZ!w$(Wgo+Kk#}yrKtrZFUUer`Mg-QIK!k zqc;!6>?5ytw)%`b9r;c<9bS|Zkmawd0<&OEVz+nRbFs2zk-*zxDc>)wW}2FzxWrvHR@i9FfQ&Z}$+14asWNsB0|iH5%9T9s1zSrX~P= zbKC7!;fv-0bk-Q|4E|3}G~f`dFermnbi&=7f`HLdYUP&Y`aJN<#xMUhw|YLU>VBJv zBFC2tw!ip^hXjasqnjjls|A+2kR~Icvi8nV2WUipyZEp(8iXBRT8TOD>DlG;cAIQ- zNAnDync!_NkEGM(P#Z^%=PYc_%tmQ6uqBNRT7zKdf5`@SwHTq5`YG!y{f0;{e<<+b zHZ+r{-!bQO{Ea=pw!2o{4GL{ezn!`dX%Q25nx~xBG~(sUx3he3nM;IBw(L}~%tpu6 z7B_O6!JZ|qRj7KNrgA}>e9`glBW~YG$EZP*<}SWXc|Sv;k;JftT2Zdq9ed2Sj8Q$&XJx4ZF&L8a3N^T^1y4fs-5L3&;sliuiR zxRAu^S{oW5VjAz1ycc;;kZA|8a0kfk(&mfB=HQ>%L8xakHkM@r4IFfLl@79nSXHok z2E;HPc$vXw{~b0UWvwyi4*D5=-DE@$V{?NgBzH8JIK6}A zXq5fjK989|+bOTXz!bTun)d|sRxczy-~H(v#sFNAwhEyH(wfO@Jo^}DJVfm+oMhkH zVg==UR!3IkalFn{m$xxp9MV*euf z+;C((k9x!tvF^!C!DRol+)SOuPW}XoAm7<;hL?9gjftErOdpHsdsMC$-wnaUScUm( zsBqhgGMeGhN(C&n7Wfr3iQ|-XHX|yQLmu6^BxtGvW@6dBWb>l`dxrYLq+9ZO{G_c^ z97>fYIfaI&r5f4DP(L!po8cCmlZoPqkCo$a7rnXH2?l)|H*s7S2i^jG5B6J*o9}X+ zW0UWbG~(8vVveAlF!S|32yuQRg_uYLl?+KhWYc7HuL1swbu@9eb1E<&x%yTN9(%lW z=krEvdJx?2@>mbAYaRSf9qc7XyCz(&qe7R)KPF49*ow~mWd)w9SxQdWQyGg=et!r=y1Gt0sGDT`wQ5w%`#=sF?VHh(az+}Ku{Jt)C+&2+y#x7h86M??EPrXW5GH4i{vSVZu}JA{@_9}q z7baV}VvU(*t>zRI*9AMa#swuH(g`)~=LS}ttjiSlUbm___pxlkKB1lBF7Dy+qnT^3 zhK_;kSA5juale%MNjqVjK#p{Rau~6TIHu$%Rf)(f4bc1e}oV|KjvUIcWZUTx*I(F5y} zWh7BAadogDeP?gi^n=gU+GKqjs|xDs%8S&gdEnWL6*_R%fug_l>$lT%SRu+>8`%wv z=?d-|uVr9dm|nh|r4#N)Rh_0-5L-i&-Y=eBtM#|tEX|XGtRn2YY>j0?e19b|+QH!b zyh^>Pl>c!A`)4Bd=ap}eovibmv|ew(e~X0_g9Xx3LCvytvlwMwK>dUb)|q|X}hnuskz$NmswZ>4__dZ2()P7>|cY_MKz{onxdyqXdl&rd@5=umez`B z!WlJG6Y$2Qj4+5F?;n}QmO_q50qHhYX z%{e|jH&aX0zmy}k{X1-CnbPSz_Gvl}cQ|M~N(WjUoX}V9Y}4GHsHcnCuDdJNc$i66_Udacww(?$;2Bgd!jQn=6OyS@WuE7%oY=Qz1r+j7mV%c4%6=iR; z%y8ATKwg40@rS^lw5{m39~2$P_jf6h5Uy-dAjRG)6Dxa6CP^6aVJpPGEhC8qCY?`F zdQ-HiCy*z-Ud}BVbJB6oMc%Cd!NQgvkIa0)E)6m2WNI-o5dbrqV zS!;3ut;C4>(yxp6gBi%{8&Wzx9rP2C_*woVo+HkHSV^NT)#4Yapg4flhcP zR!+*h2VY?_JOC^hfaqAROHbt9a!bsNzpLESOZxr5fRyH)nZ_RDi(EdNnbnNXtBU86 zAI)<(rsu6bw66V&AQ1(+S}L9$snH61U4$`yUR5F}fx;8t?iSQXqTs4JDQ>nwGioNJ zSy@R^LdmTDy-83@YXijf?I-verH(xf3L0*2W9J6sopq`6OS;EJ#sUOI@hA7qKg3J* zijNa(y|YM~=rior6)~3G2VurMl)nTXOQ>XaIAKqN^TO2NugpSC;6B2EOVZ%S!dDJU6S}g)>^_P6E??tG${ST?L zovFNQtWJ(_0G#QcGqS%}XIa;<J3?_Qm8e@?aSHH=8+s$5gO^qEQmI)@a>& z9-vvF(}&;RD;A7rV)=OI|3a^()q3D|r-NvfH3SqlcfFTnx>qa}8*Jy5=HHj5FkK3% zteKRLMT*I`(``zWpV-UwizDJgRNjF$SRaI%*Im9D7}enVQ8N^trFntboj>0@>BF~7 zwS|bK7W%%y-gX3%=A$8MRUgwY^x&`b)c-Vz8w%j4aP~UqWibYSh4xJ8fsMbxg+Db< zPl2}-S3|CAG1H*qZJ$JZIct>+eIntaP9G16TGuJCwkU<(r45GvaH|HwrNqp%uq`={ z`MtczJw5|-7@scBPiT*wNEvMa8dXp!GE!_>Vs{B-P<9={GP}#86?s2w_bbeeCRo=} z$vT?qWU|0468b|x7IUI0tuSfHemJLGWhMtr(wYLTg!jgXkyxW5W57qpoxzwiI(c5{ znPZI*(*TC|p!J4t5xL}a5|0V|oVR23wZ%L?b7W4HMi!l;A(CZOHLH5w zCJ6g4@wAnY6%bX`uv;*`KOEd2ywI$S&mdFTIL8)2082m%fHHYN`R zcz^xNpN~YB2ZXnTNDUcocfPi^Q{QtP93@D86Yf~ye%}k>v=+Bufl*=z0@&SxaQqd~oF72axK;w|5JkZd3fik9E!Kyqt zdJ;XRv2>XaS;;^Qn6faPLDiW*FQk&VtIu`0oeq0~!d%6G$n3B|;%mYi&gda_3yELM z8564;%T_i8baT-1^jCT@la3&Ka(hL5(oUay=WJ=d=zk83`rKI$xp8~1ERQngE6^TX zJy%$?t-+Dxfe0hT49itMI6)%~=r^(V?`kRVxW&Rhb`i8ZK26qU>k4}F{aXTOVz3d* zDUd;i;O9$IiJ(m)C%zi=EM2vxIu0|S0D}{sNxB;-V?ndFrtdALzBG8+s_?vBDR^jj z-~G$+hhx)#h|kpax-QDqJa?V(ASbi;JoRUW5mxAxD*<24tuLpTpiqz^OdUsKGX1PbW>+w(q92)bV)3+BA$6VJza;BR(+Rg#pdfDb1UQ=N^D{pI?4 z#E18iyAfh5Lidv0nWvH~HH8?T)Syh&`T{=X^L6?i;a-&nV{jGTgI4 zF{eOIsn~ZIz+yQaD7&f~ov~H?>!)w$*k3k>#drh{e5;Qf4qBXAbsGT$q3I#Z$3V8l z^jf{K)ud#MXs|zCgA|wxun{Vyz+{yQG{fA-U0Ye+Y^W=-)D=P`^p9+g1l2THsV(4V zS*;}KUJ{n=h@2q7*yp|W35UbyTOg))s)D#ipj7N8)jz!8ovq0cfe>x5dx{_{Shsrz zJ#Or_#L3#Xm;1A^&aPgMqg>GzkZ2AE>yH{uYcLfbtuVP#y0K@imYwxZJdRhkzGN)e&(*#gZnRS zbGKd*>(bcc7;RL0S(4F7tx%8Kawal0^vibNW6FR8Zpgas zuBlNI#pHGc%8&m1TPP&An?clf!=UsiR5HpY*s6XQmrdl}!Bj3o8`JGcl9wbPad?vu zo4YF_m>d>Y=6Zhv@I2_gD@vRu(1N;G7C8J6y*S}_1Klnj@Qkw=5bx(+|LZuqUrMbn z{=B;Kn9@fgA?}+vagRz^SRRTdoBEi-lhb8blW8Q-sQ;$B`I?!;HOk~qwfYQ0F;KkG5jc+Fb!l+LUji{m5X^``Z3i0 zIzc?RSCp4tp)V?0@YZDX)vWi^5x+m6T5vuACD_SIh%nqXwvChVg-c5I8xYN69{)7> zqmZcB_$7UpvDw&YenD0V$fx-GKlqWY=|k2!$8c_5oE zV10HydcQ_8zyT&YXzcgj#@DfmC=mrzQD1^jhplE3c7xKi4XyDNr7M~u%}pq%pho>~BEeQr zkaej4+W$`czoGyFHsE^4_dNR~Bht=;)3e^S9IHU5nM5j=ndOB&%V_^>p9>jA4oN`c zTN;&yiUugRghVJPhDnKCfKqi(KA7^ao%+siH3%r#b%z!(O!i_}%T@M{b-k4-wtK@O z7xG^ZJu+7lYxgK%&HeA5+ieWn-cGBMe`vqzr-$x(+#@Yj2QX{8ZOtwpe|2N8G>J`N zgeK%s?3Ls(m0IF$(}*cn?lEA%9jP7Odrmc4d`>8QRbrcp6>~9jWf}F#z&OBuIgB+AadYr7f@?k}L?kJQkX2^!E3>`&s33IU$ALT0+}M;I0|61_I+q-oH>DbD^JlyDKcAR1=|TJX!aMxGdVC)3Aq0$e6(F|faL&)pD$&0$E~-s6<0jscwtW-w zrtYl0pOzxO%Ty+tFLSm5sbZi}0y>~KU)puCKJ$IfS23Yt5tkyHky#ZZ+EroT(_yA? z?_xCTf1RF|yf5jzX&JZpx%hog;XqJ6s6qu$efml*BX*n+hM$ZZzYg5>50F{HVCcsL zZB}p2)lBp(+j3=Ec?1Ojt^OAWUG_+^W_E|7O!(```2L4pUk1k<=3yT?kp0qEPnRtV zCL5a=N0}R0HruhbHhucmf7nBbATX}6lru2Bos~nv1QI}2Gk*w?iVw6d%FvGN=^}sF z%N6EUT6U?#rJd=`mB#0f z^<9qGIC}cy5JvjX6qa{D1F7WUdw@b;X6DDP5$sOaZJZu=whdJVTOx6-A3xVEl27h+ zQZZV84&r%Q#&-BvpWe(T<<1ZQMq`;l0=h+9RV9&aWmaJ>JLAJ~W;)uaJMwa6WhF8) z(qJ8tZhSK^F!1%&)4btwAQcv4;UB`XeN|PbKcH&9i!cGRL{dY2<3R^sU%;Cy-GWS` zeum`ris?p+rGl3{Pj0{6=tXF5tw|RAN=4JUJ|B;>CREjfYjDROv4p+@LS`bN!GVFs zbsxN*TJ}hh2Hnw@y}iA6{{T)rSV!OvScAs>CW+TqJgAtHFe{_v|Elk!eju;$4~<$< zwvn(R1fVTim3`;+G{sd?d+)!9i!({V()>-&x74FC6BQO0PEm?1uFaza zJ_la}^w^oaHmQ&P)9WbSL^+K_>#r%58Tm0MU~+Weme>4KX#nmM1F)N{A92G69(-VI zE|+t}{aQm#b^v4`;HCy?dP!G6nx3TVA0AkGxM|nlT3TY9#qS22y#7$M@17uXS}!vZ zz^$}1@ytVT|9b0bc8rUFnlp+)AlByqBz65jA(UNxo$}MY6TmIq;)G;9G)acxi}0E{ zjC0`u3~>DVR)jSK2k$!*F)`>@_jNBWMoYaZyj%VpBqNIrDqQeJCW56TO5tUjU!|k- z$4zozWcYTpIk6e_{ITj!j)X4ai@;W(S+xzEo%!Cs zz%;Y{Q?dgqlWGU-{t!6C3gd3&Bmm8geL2qKrA(al2QOnfG7d*3p8;ZO%T`e{U_WM@fz@Oq(1m83==RYUvi|(F6oe!AJFGsrTC*Qs5gpPtAD_F%3-G z6jz`Q?lSEV_X(c-p!r%ontIB->{BXV7lp{1*au$xV!z~S{C;-!M9!0a(9dY+29a+! z^;2%VBQgVyn}wR8zXg~nAi_56-8y)2zr9&yW&*J3f}EnQTGd5|87V3M=z@W%EH5XK zk>vx4t8IpMJ@58hnVe1xI;_t9?)2ubDihkh_?>#JtRV593BGjH@rljggtrXHVW8@N zGw97#a)kDy{0QFCKY1p<*li-(Yzujd*`uqhs4(rCnW1vmN$*R#h8qC16(8=vq$4T6 z_km7#`JXylf2Ab#nO-xU;9AnG5keP;0m!Y{>j{j2&rL*3GG^@>$oHR)i~EV@cA!;p zcJQCwWojln-_<>-d!S+!_Sru(XJirF-9`fx?HV#?813F%5O5B^=1txgpC)k#1l%!+ z3x8vI@Km||9>}jz%8CfE6b*^0JoD-zscNswZN0Hpw9GgMsy?;~slX%-r{`sh37$dW zoauM^VH>N_x}OtsjD@`+vY*+rIL#L{M~8q_R#q0vHH365668Zq;{&sLh#`iprkCzwT-HK`B4gkKYv;qse0)Z4Se}g5SNxxN75sA4{ji#qEV>d6lyj! zZHoVyI#wtNZpBlp%?nPuUB+U!ht*%*HAIzgpg>a_Z8igS6E(C$K_=M2H#9`L_PxH2 z;^g>n0(b^@N&GSQ!YmLb2{r3H8j`}XvB_=BXu4I|{BT}On!o-bN9rIY)Z{C?V(qF( zZ1~3ZT5lmE7X)FI7fHvh?ct};LuW148bYw;uQL46n;g=SFVb37MGMv0*$ItA(BD5W zP>`P=pnK&gDcrMEB&aaPMcazw?m|h?|-*utWl~h)abMd-Jczkzp%S_W{Z&O~o{@D` zLCH_Gj)IdKKr^nDJ;Ro^vP$yP{TvR}!p0HG@&zvGdE6KEHkESh-a;d7+f_oC$7ku$ z@T;}xtV2IE&$<}|DyaW3MnPgr%(H9VdTG5RYqyCY0I%hf{Cu~o2<&t7lCj}>JR^Gb zD*poQ3~3<#A71bYi48X3XcZQnbsvuOtBLyEFDUSlg6+rm@4gdx5(#zh@)Bb0afT@M)RkR-4W1nSAx zXh~&fGSVgw{?Se^pc*l@=L#8zVmAvi!4x+39p!l<{(h?RD;58&S8;q>Kpb~j*cAv* z$3c2g(wA!yd5w+&Jl}bryM&*sb}}!dRApnfb9$<&s3Q^BUsP-MAJosI|741U7Cot!25N7o|VjgV_!I~=?Ktrz+ zI$q;Y<+N^!G4>TUS_y4{eQEOQrKTR}RDN2yUb}dOx-j9>nK|+C^YUT*AOCU}QD!?k zJ0cxLM~G-@t`84G1W!*- zpPgBeA%TN~ySlm(L_w`1-M9#w=m!_%1m?<@BPf_N1)pS<;hhs?@^&Knys;kVi#%G)Wb&yxa zC_0cqm%|{l_xE>nN0=WILxY3lVu@qJ!|53rOyNquPHu04$2`cu>n)P}1)$GUe?$3z zDDu3VV|mIXJ-uMqh-*M@jsI;}tyf^cz~Bx`;R#y1BbvP2;Pd|4Tfc|%x%}J1a{q^H zCIGxc&)9=kDie{>^zdr-z*(6TK!A5f$RtR}N<}tfdZoBw^EPOq0f5;6qH?u~vEsjR z2>9TGX-AL=%G&6p=WRZh7Bi9PF7uE>K|$|Y6cjV8-&-djup3W6!Cfa7Z_5|GMHL^u zK3Hq61=Po6)UqR8r(UAJTH>xY-LiE2!H?aBv%RgaM-=JSx7VHC>h|ulM5agjn@LkB zSy?dpGr*Cxx-KvQz+hr#NPu}^xgre9CGe9V~^|mOvCQGNl6+u0AO>0DMZM zam*RihIev0Vm|y~x|WI?xR34l%^t>*W^W3d*6U_BJXPp2aL~>`t|zeiBOwIrHGX-E z5xVC0+IY!yyr<7u6%$ahs+6o49@GR7FuURKHb0->BJ9kG(okB${zgx(K&Yeoy$K5i zp_8Tzt|mbBE1^Vp@(VFqtHmltkQY)#gn)e-0KosdpTq4s7}C(d#JgLk-zP6GZx)sB zda=etPA;miufIm_eSb8=#>Ups(Lt+L?Qy#Y*_y#p6d6#?asOoBE(lTR1Po|Le7%Z)#&F-^%63<4J8K z!wgj~7woGeDHCv`(l@Um-6@KJ#b>%Q*-m< zgdY-#+!j4kG^guL=5B6oK0drId{k8BayiTaASAJ66GV_x1#N;Dnhn+MIEH zM`AktCJ?$O63vuv4u~Ii?Nw$upo`WEn@2BeN}<+Ap%$J;%+@sapsukWR$=3_AAZU( z<3vHDObVKBwcLIf?Soki|Cc?MWgdBLtzNFL$}3R{=da?DBgn zx=ph7clXgAAO{*z3@NVy6=Cwkon-bZU)vS6i&dtU`q_Re=yil$sp&fMCydS!oSgQ3_hMC!GaHI1hSJUrO zQu%961f3Tm%MlW;E$*=aHghH(2L+XWVqMTnP;!N@?xkzmwaWfbY!u0Cg;+1mY>*}up0c+nmK(UYkx9ZXsPfZpS8B!IBI&A;Pq0C%l{FJH~S}{!RxjqqF)7 zjPxyWxJdZX*Ug=7y^hcPmPDK|NTF_z$&|qj(jCUHl(X9tz7ZbuO3=wx0e!Z^`E^WT zdAu$n3s=FE3E-oR=aaPgXKdiQ-6Z`Q{b4h7C2rJ3KzO&Si|QKPhaDmExK)RDhJQbv zTWRs02tQ1^ylMGcY+Sw1{;RK|D7$Fe80hwD#wrapwN&PyAW6IjMLL=t#VyP=JWLHi zb84rc{GhObYBZfDjIwr_w)t@Ox8oCBqO{W$cH?X3iPDuAJNuisII`V#EfqtW(x*#7 zOG|En;nw#$Pw)yw5LK*5)-M}4)>|VtTrmTDu*em%97pc#r`m}Vpu8h;^&TfIJ#`%ff?P(K_oQW)snWJG> z<77M2Nn;FbIGjBN6S1fBJaP)op%8F^>ztg4gE9H@5|9=xTk2p_&7oZsUJl!|p z4_IWXut7tHv7lom96Xrexaxi6skv(bue+D^E2})YOM!vDam9zR`(7df2!0+FssN*# zbuz{~a{#|H!VtoC2BmM+HW#Dt$6wdym6^0I1%}x;@~`5J%Fc$cvp33MT#8k`uFgFs5ZCAHI#2)kAIGrKNdFDRksG9?!-|*cCX>DQ5{zJ zxV(Kio`}@@$4H9&^#oisG;g{FfehCvE}{15DP#-5gCIieQGU%8CCI;l2qplYdJ;x4 z(bAy=`-ML?LAHxh}*1Ue^fGG7TLnLuSFTSJs9$D<|qhxB}R;QX0 z3rEzF!mt9S;zZuuF??uHrW88?dyBw7=ZkKxZ_%4JSsBffedfm4=-zFzHyfHPXm_Yk zq-Ab$ASkO%a_4dN9`D4We6tNgM&{8JCT=q#EV8l$E}S-F_#M!j2G*}eJInu%5xN;F zH0C(>=WwHUN2UJ_5;|T%VBdfCT>u;8gMZ_M?G`yY6v9J2QHZWdwVm~!i1D;PKy`Y+ z4;S!6`s2v4wz8ru9A{ARZGS*PDW#JF7C}MKn|$-sKtS3@Y{36x{2TwL0`MQ-4=mBY zaI3R~1?k_t$yI~+zY@TIeL$B>Y1wg_i#N#5`TY-F03$-x7X{bEIQ zo(6&5F91mXx~p!PfAkQO=D$kB&gRS7#r-gOSd$q(h()H^phN9HOHDP8Jag&MhSKNK zY(Z|qeD-`w|4FlE%)(wfn-D=7KWDZwBo5nK{Czkasb)~4Bo9NtX!4UW5=f|yDa(^A zNES#C3t7Q`3Zs}@{XW7KB`d(#gF&Nl-hI}6(t7S(y;nJtt1ElFn(O>tyEN|%Z|Qt; z)!jbdNG$eij!(GSQY~|Trngm5ZM+7~jZaR@_&;0%IFyi0v?cMM&*>OnuRg=QpNaXs zZ=+b*zm~4nDuTID_wJ7wkckC+AKJ?c8xvWHG9{&4Ppj8u^xK-$!l6U|-AVR5Hk02f zQ^=e6MnHfH4&rrK7Lw~|7l`(&*6_$8OmxiCH)IK;f?J7`4$twYgMCdtKTLop&WeU@pZRc z+=qPtq$PO_7%*VOL9}iS&wS7&Mt>P2mfMBM>x{oWICb_g5cv~>;O#0S^(Xt}^x|LJgw^9iaJhrz-4XsyJj{(780XH-4=zZ?|}(+-n8~} z1kB(+qo!>*EXi7!r=i(-K8Hh3i9lJWyZp}eAVQw){#;c&mxbRS^xtqQA=A+I%_2vQ zW(H)TqNAVxt#MB8gC4v)Hx9nY@s-yfCy5F92#=%W_VoS!%JJud$=!ECX?AdK=1KSK z-1&=6R=Hyn+^u8Kgo;DVv3$R6&T!JL1D|1gTL8t^B?jSz)Z$u-KNf}cFCqhOAWf{7 zbtIJ0@hwH>C<1KW9M^&F<4y=RHQ{8i(tgzSo*8XdvGsX5es@91#l@>O&{D9l3l;;1 zK>r($$1p-1lGK0}BveBcZJLaSYu(q!sw{gP^Clt7S02i_owz)H(&d;#3{)YUe~V>Y z!m?xGT=2Pob-VZaNR0k)N8;fC`jG4f zzI?d^ zSMgV%Y!V8a$@&!XAocDqCJEdO7G{5wlQg{bm?(KOXjUoG- z)iYa_r)7n0Sir&lxIPF!?dT4Nw&}L$mr`8zF4^4F2cL^8>+zdJcAwYKm@ibf zNC-sX9y^0}187~?OZy<94hlh&<2&e}h>p%LW(%UdWqLi*F6B7q`*S0(15<55n^5Zv z?g=RM7^ziUH_5iRtRqi4TIhw2OY5`&I49zcByC1xc@p_LBxFHpG%Rdzt8OlG@?lhg zJXS9py~Az5@PRL>BJ7P9q#DlO=;NwIOxSCa(hg{n(wRki_86pG4zG6H-t4SlbXe_P zL)ASuFZ?Rw-+jai9}BL#%%IcRec6_F3)r*>WLAv-0)O9XI9*OhP46iZlD}YtpjAPI zN+c}+RD*SN#59FevcH2PGMv}boqsRhYk7hB{yQY+m>WOh8&Y0Fgh0&fP#h}dqZFku zez$MVAaadp&qL~k>hp#v)3fdWg44yNb?*|G{a_!P*^;k5WX77&yIZ>~?h@=1B zFri^f8yT&L!_JhlE(8)3(lfTb`~D;C#81|bN|+U?cSFitI_ZKZLw&jE8q0f z>#WEpCwKWb6yTlgSMH$A3SxQ-mgxrf{){D#wVzWKkPBp^`$1OMM|KXv)ybqm@VMM( za{f5HeT^P+yh^|DkOi*|4LKP~na(;j+`VCQ@1%LPTEy2``r`-0ccFPbw34jlEN3hs z_rGG%J1k+Jh=#oissq`)UsHIZ4svH`HM;SvKesp-Th7*$ZpZlMHobwXL!S~j#iHKN zF^)+}@(S!qM|df>gO~M60q--J)b^{cENl&v(GiEAp~U!wl6O)EmlKh zp^TG0E-tR4wZhG0ue4%rb*xnqiesmAu`T=IF*vR^F97}x)nzgz3HB?$HnCULgTk!- zZW(f<`Eo?Cf_`nIj9Hm>S({Dm!R4w}hVbWSio zQHx`GM=+AIZLn$ntBP?mc|Y(d0+zFl{wU=tpGmE%7D~_hX7`r7y;PA~h9-*YzC~<; z*->l0SK#t;I2z?9*%h!kE$2wWK$rF>3Ry-h>|Yj7L|;pjqeDHEJ8bp3AJ!Jyn%x~x z%NL>a*=`FGDNTtfSbLNRaUmB<7fAXlT2}kUWNtR7u)cAF3XzI-wmoYhLa#9QW5@Ixli+K1vUsfhVfQMF@YOu<^g?OUTKu{ zt@qL2->y|ZMb{Fy0#aO|XOfq^ixVDsf1w>NP~FHB(cK?C@;zaGd|b@7bV+#&3Ex6} zEV}k2PB&w@7#L=L#~rfU}oRu${lJBM?@nKL*r_`lUx#a%9 zm*4%qj1@%&%i%FTj+iK3xw+y^eAwDW4YS*8hsBeln}oucBH^km|llipqp242up#Lu+Z z>m=}~t>PC^`LA!78p~BoShRaci+&3W$X5NT{Y0ZYCMS!l=OJL{9xsXfvKncRqml9X zLn5V`#U-G-M~-narCP=ZK`y9X@ccy+=F&UQoKHg}YCW_V%|M`}VG#8u@3q?C79$?X z!*$==)h|0fL7cT*`{8X>L2y#=$tdb_$aO!5%W~R&{Q&p4tDGPijZ@*%XX+{OEZWpR zdTx}C*VL3D8_TckUuJ}3f&aQ-!e_lu0?XAbH3PL6wkBBYH7BHpf9}p552G|bW))Rz zObl;~H*D~JNyo6OAo!NiqK30{kp-@is8N5;ZGxb4T z7i&p#DdQmUd_{@0n@hb@-0s1m*c;z!?ep6|Z8^9}aYYOleo!1r-x*%_8<2ngniS!_ zpOeJs2uEf9FX>aP{^sf>>oI=D`mQU@XRsmAH9gcvw()}$9(FyCI1yf3FwXPwPm~6C zdp?6s;qqUgzd84dsh(Gn{?dDjO^Vctm)Ps*b5JcDDy-TvAk*9#b`sfLjXh@Aiwevoty(gb0qs{z#;<12a8v`h!E_ z&em3Dexk-#+HZkrO;%L9MErd$6PB4D-w7lPWY(v$?@MFlw zw)@4ZbBfQERfwjYU!7mW5(XC`tJo|!++=+>Vckwm(yPQPgdcv64;bX}Ln_hyNg;<( zZOrk*@FRXhDbo2@)FYu;yd_N&k6_wvxZJh*7-T8FYb1rNX<5~M`I4xzV%u2s{B~$s zM@?mICfS8g+nO69+yrv>&%p- zg2~Uca2OigtX@Lhm>5whje+cwXy(mKv~d=%F5IZT!@%#!I@!h$40<-d#t5X1v|5Mv zOYQJ_F}jS*+Kp}$kDM#rlR9xhC0u@9#&bZ_il45n73z=rm4)-GyG) zIs|+IDy@}lWat7^o*6JRbc*1>CMhe}iAg6E!9g)}#9%=kQD9Ki zLD9{wrc5}W%nn3bK&WL!ACGxNdu^vL6n5V~&j~?6^g4IfL%y)?|A=>t9m-2T-T$=I zfqpv6xYk4uEp&))pFIIjF8%MX{&$1k|9a#9{j2ztl4>@o5-x5C+wdi!gAAuCrLCv# zFEBs&Q5*6aH9y2O;EUE(`B7-m1bhsBx09zV_S?|44`cT??1y(Q?--UY@82vtnH+N6 z{~>`J=IcDZePFX_G#Nf1z#qByYAHv?dy`BnOVs#yJ|eu*;52X}?FWO%s8qOG9bdZC z>hpYiz9X9*%V9G7M!kXnpT~NU*M74X2>Dq;UVBgRUE%oBp;)k6PrC(KGQ3!?q#_pU z9q<`GQ+r$;q%YT4EjS-9dmS$eo)b|7V8k5;p%Tkw3h-%g-U|l#?E5@Fx@?e}P2^|r zyZlBBd#(Dr_)BYx*Zoyt1|kL#r|D?Dg9$bYoqXn|J>DBNT@nG;lT~nMU?}>m1iacE z&(myhG^??ki-5Lvsy3gxI$E-TBBBh4dH-@_2F3jBxl$@BYPh8;vx$*s1K_00lzx#< zd_xHN_qTSV`E;=v6q3Hh?~cB9fA}%uZ}v|Qw?k<>JZNYDA@JBN=SqhERx9raz*mF% zrCKUgS+D5 zmrvw9S6aM2CE+n?pb&Ep1|XAI_e7D>eE6nTW%}vj@0dELnuCzYn!Vb$*~TPxqkgeK zq?C|XPJ5GJ7#=q#dhxWf>4+h%Pj~wp(ZVer*VdT=ZmbY8ZImw$?XA;ZOcc#?zwqA` zpXcUN)Tbr7{9^6Knp5-Fww_Sz9^N+PZO^;w<1e+=OS9z$ zX{;4?8{Hq1n9JARXd3W+uD3Ue!WH)R-0&4lU{qeG|MXp|P&qGeCaoSh; z)zWf)b84XJvVD>DX*3WSU!T#IvKx}0;gv3>SO%Z6WjgvhZa6Up|(5dgrycQ z{?sAObm1(SOo4jD$g`~h2RhXfjV)#ilaU6e{n<8w9S+(u3^9a0Zm5ZT*|f6l1gqH( z$;nibNEZ8=X=8XKo-N1to1kqGn4V4|$($B93t* z$;!y{Egvq_Ho6=yS6irl?(pPQ{jH@~AV<@N8qY8LO`ghUD3z<5=dI)47&Zf`Vq|X9 zQL*E43~<{Zd!Oqi7tl09aYu(yJ7h0$Xqkr5p7<_~8j+a$$i-o;16JoJd{xrb-Kzi$ zqFTQ}44RQ-HvitK8&sp&CM;>HaUB~>|4e=tG-z_8yj-L)c5emn^ho&ZDPsOCO6Q4B+H zP(k$i6doi9VGD=quyd~yfHjNW4jBcz%@NDy!*8=J@MET@g?A4!#b18 z@$8_WB|;MG3L=po@g_#P*p}}~-tQNkZJ|d1NH?l7LHYGbkU4IL4_6B|k6Dx3lV+uY z7Bj!Q_zGx;8Fp-UhDq18^}oy)_Ck7GelQwNPZ_MT1AEH7vW}IZ-nf?)H*+TBJG=Ll z)$ze^tOBdJKrVwybeJJ!wf*iYFF7i@9Ew#>5`i+nIPQD6O6Ot=GrO@4jiVC$OpB#- zXuX#Q#Xw7MdkTk%<$>JWbk+ zDghW`?`q!1pMRji*U*Q;&?vF3>BCc0mx50Xm^g0CjP{p+ylqI@tkXX?Fm7P{!PQ^EGtze#0A-OksmBp*OFt z>T?|%He$f8!qn3@>2ca-Y{?mHS!?v*m#%f_$pM?Or;WWD!jchd)%* ztJ`vCt8#Mw!NshwOA;g~Dh5ZEk9U`2X8Da1N>WQ%84``2xAq@ahV~;d#CoY+UcdEM za6X(j>Ok}9br4Nf5Bfd&9#>_k#(l)mj!eB9&M#8!424a~?UgF+x~?m-!3=^4p{4cG zeTW}7Yvz0?uBbep_yj$aNM&f5+c46IXC?G16&}cmPaO`qgy`QkF)3;!d7<=%>H9P) z4sdcCx4z6SLZWU7s737AHA;gZk)`lsn!F6A`IzYH?nd>~vJvxNkd_)iCxb!>!WDoJ z5OsksKg{9%`wjR8Vhb7EMXZA9|9CaPQ1kzI6``-@f4%p=S25zO{?~i|=T+*3my7;; z@Bh5|-!1!`uMXyf?++?LLj))wPg&0oCZSj~5i8X1Vpflt8I_AJhWU?^eQC@m3vpko z9E|34f|W2Bo&2SKJMNB4hGKO!McJ0u+i%@nOvvB1=7P0rYal6_T)5?w7>r`<0ds~@ zJc!d`8by>HE%A-|+3ikN7N0|R6aD`S$TAtpk z&MPchnL6Eezwx|}#P9$MJuIv|)tFB)Vn2aTmV0PAmYY)?plH){3ioyifTK`sI-OSU zjM^gQUp1b$XFMyO6E{5*1@b&r^B3pOvrTRsD_L*U*{O~}R8AGEPrx7;4J2l8S>UBU z{vG?yq*>$ncsaA;c@7pC3+t7Z3`S+fBb}uNr=fi);n6VM*P{oZ{tcz`orkluv}w$? z`UsD4Yv@#)EC0`UK+q`{Dy#t<7g9wRaCbJq0%k_g@$cyMvPY>wPt@c6HIKJ%FF<8s zKBs~nW#0hk4e8U$7WvBOux9yoO?$FCUv0705y~qv9~sb|h19q1*s|_gpd@PcoXU8LYf8kF@sZ z)0#Hm_Mwqu-=+Dvh(l`jXG&MV5`GP!*X8H|h=&c5S=e;x%CB468{Lu5HyhElE8b}^ zt%&k35gh3i>8xR}xL?u}P5umG#BvqUqt6+DxtLopaN=hXXaMFUet#?ctx}@V4QX=O z4o|)+ut56GV=Py)#9Zujy~~Y9HkA{O#5Oazp((JC0DcznKqi%wVF4tS#22v6tL1&b zg)-fs0I(<>eHtn((3;RT=9M&>{qyWSz-wI0WI~?3>(C=ByuE2WEIl-g)ndJvpq?$} zeZ-$!f{{Pl?BjzphxWMm+iu>b4Q0IH(So`bLCBH66i@$&ikU;F$yH}BoJ|C>z3|ib zR2~}u4Xnvkb-^=|OJS$UskzrbUaU8G?h$EKze=$M<&JuxCb7t7r3EL15Fejei&a!K zKR#E#qUmq#X>?~W`YRNe$4Li1Tv-0p$Jxq%m=2#shdODoOi%otIj+0$=z?*@Uv@~7 zIw#T}u!~A;P4B^Ew#jyY=PDg`h6fUv8Xb4BenD!!y}oyVLnR6*wl@ReH55k^ZYL6A zHgK)RNwBi|iSjvyOZl6dt)wr7bgRD>cQ+%6dF(0Ve6`qHk)t?kdv)rt z@i@crSwP!58PP~s@Mm6Y%uqPC78=Uq?0=8VMg&O+5@pSSbHJI2f^&j#3KA%wX@D7dZKV z?z1MlKN04>tXyR@a_O$~Rc3{6KCwvG{)8Wf>G891NVNKXYD&oyPy{v21}-Lb5b91R zn~I|)Ooj|KJb~xo;I8#;r`7=W1u7;Y;NVUER19Y6(Q1Fyj)hPi8t#AAKPIbCwzwwJ z>%-d%>S-bM5M5a|;>@hbT9YAhvnV0}?(a9vAGTN9{ig|~el+Xz>+AOqhn9TGKHnK3 zex_F`CXlLCmhG@!zX6~Xz#DgvP2aj=H>9Urk)NC1$J4HOU2DfuNg`YeGPC8rOO8Tq zd%~uZi%F1H;jx_cHM11k{2ndT!MKAZK*_ttlHsIuteEC?w)wtMc*!9oh?vvVcWXHF z`C&(R_6*Rl&gP!4J zr>$7kOqH1;l>RdpF#*B)Wb#H&^s5H$*AYsZLvR5|xV0t;EMJ5+e9z1}R304c4+91Q z4qPET5rb;zP>+LL@rRs^FMMv+;G_Hw`0iWP1+k`i)ItJkOn|$oJBu9<>!4+WqI^vE!#qEdOYs7p?w@@6|_ZsdNqp4%2!st(Zoxcv8bO=i7nDtQ+T zMuET(O!Rpz!j=JM_{&PoW=2TurcgaO zcA}AjG&Y{N-l2$OJ2sg8JyaNgNm~;urb3_vQiKl7TAlAvMpb=%l~{-PC8l}RaG`kx zRqt+OBnQn`sUw)&`&~B?^x{;*;r=zYr-9ArW0#=YnvR=cT8At5UkBuR=XMx$V+bb* z=c)CeN0Kf@ZF063Opx}~G$10tB7(l>jBCvg^?o>m-^8KeYcC+Fr*wt?8NAwU_Bx5z&pX#J`Qh7(Ee2vNYu7G6R;`=PV@47Q* zT7$cFZ?ngBx`B>HmFZW@duz%V*`1GtOahZ2^(@%RCXcXRm~k z>9bc4l77$WO`>A2D0>nnjEJRu!Qylx_lf|EGnD1p%~|v(%kH-z^}gkZh~T!4!ZMVF zpcB8i)kotKWx8%>=3m@)Q-iO0Stgsj&-&=<=8m>t16`fJSt78;1tROQwZEE;bt^qz z`?)|s7U9OW7V#2wW`_Fqc$E@`h3lter+yt4B0T>5AvP?<0x0lD)Q18O!LC zbWa)x&J5-4CIoc!VtcFjIaYz*0tUI7Akjdyi7^h~>%^7rOzM4ViR z;LidnXf-No4$UaGK8+`Gi`L)%U?m3~y8-#V%3zMap*Vst+aeRc++k`Cqz645UVXs!=}nNQ%_6 z5qSDYAtST*5jfgJK;~$#rp>d<^Gv?>&bIc-^8Y0y}dr!vz77(J} zfqYgPU|rW9am9&=6ulxMRBju}FeZl`rE8=p5i}K1FWbXMbzO19w8k&W)bNq0;|5jT zA=jC4K+WKE^3%DPE}ia7{P3#&VFDbd7=mc;j;AT!4Ys2jty$2g8fch*WZ^Lg5dy$9 z1Wece-#N!WzVaU<`H$xO$5-CfbP)si4&dLzQUuGk|6{|Nl)YHvJPp zSScQi2Jp@mK&B(i3eD$oR|xK(j1X zuOxy;f3b1h>>HgAk5*c3ba3z>0aoJ@wF83Y<^Bw|dag6Ai0NpyD7b(uYqp=(upcaP zgg?Nc0v=Zps2;?x5`Vmp2l_6R&(lLUHaY?-vZM{adRZfZf$0DpnwEN`0s_ z8PNfh>*jRB=W5QR+@QyLv9A0SU4Int7FV%`I=c<~5W~JWOy5Mn;J~V?vIhmLZ^07V zIchu>&#c`51oT?dG5zr$?=x%vla_U?kAVO!6L1E={v#kOi?@Im=;r}+|4K1mM(xcF z{EHN>QZf(Zav5qsY^fIj84_2zI$Tf%a<}mF!^BYp=Bw^>K8GUJ(q({?0Rf|G=tlp4 z=*=<)D7Rp&c=QUs&^d(k!H5_N>tK8s;y`TX+q72;yx5Y)2)bFc-%w zS@BJ_Yho$vM(_Mw1#ST~iS2E(7VXLsaMP#a0OdcfiipcRn`iciY0bnusgP%#ay}N# zhvX#G6`86Yp6`T!wHz-s^6;r*m)Y{Y`2fb>?F?WhGA^Sb6(KK)E3u^~sKR7JHPeFk z0J29a`=+P8i+Xvsw{(rNY24sxozF*Wdhd?YSp3G4C4yJtz*L<6t-WvqU*idYp7nCm zd;8{p+$C)I0~Jurf!wSEH0}=3|K#R0U}Zp~@s0rEdX7h<-3>WL&EI!Wp|fJGAV_Z0qH@hTUYV!4qMkE@?=Q)t(z1%SRrQRx4FcFP)N{52*0-g$bYp2lz}tr zsUqd2%M-P`roc5DlEF;Dw2av^gYF1DJ~0p61Q1|M;zsJIv*YzESsWn3L4?`9@R91h zeSV+>(7IN)*H-2rfBK`eD%K=-2^cdkAi^MD`JE4XL+viey>B3o+hL%Q(Y(Wzf2p97 z3ET{@w5GEgAzkrrJ2$zW%9v7IHJ#NSX}C0I%>eS93IW7I>IJ|ZB&Ekbx-itCY66+S z+-XTZ=JkJU!#1=CsG=;NawTvCR+>HjNz9^jc8p0u#_>Hg^HMpXyRjl#!AF2=9M0o1 zY;}Tk62t5?TvAMy`X6nXmb2yQ&SE%Vl0DBH91lkZ?vN`GV=LSnlax(jw}ml@{`vCi zw1@mWEBXA53(zQfBH1bZoJL+7L-^=x#hh$&y^o`I9>bUeMeEWEdQY-22~>yNS#;qC zjHL#kNl24>1%#TnL89@|7+>6D)f2Vyxt>szlHecaE-4VaM1z1f-V0_1{pXei)5V7H|KL8J^?ZfjdC3$$~BrRGQ1*9fpB5 z4l*IdBY1QL$z@86D&+mZyUn`(wJB$)5X#+53j=@rIKb%fvwJL}gBwlKLE`IaRFEc; zSr0Ro1j61;Qpnrye%pdLnu(4#Ow5?M?mai6k~5(T$t1?}p>%SH&!{oCqh^iv3#feh>!c zMJA)&%wqSD#PPoALb-DAR(cwa?iTIv&Xq#ugRn_Gnef*ddjoUeyZ~ z!@yOMRrR2N%EyT!4#|oZ*A?reomA(v?ObYwqIuL&w;goge!5?IZXm9Dw_t!pEtj5L z$==@Cd|AG_`0u9iBL{851- zVPDxj8YdB~X_p$0TP(!S2qe2Cfv{^ZvHhYDnf64p29{ zYRF&rD6--JL>@u%?CJ;@4qEO*HI-rUz;zZKuSr+)u@$!+(li8gwRY!6>by&vDRYBnu`SF5fgFMh9kSpB9<{^UoK@a($pC`Gh2e33%Cwbtxcm&p-n z^B1|bkDEin2Pl0y&F+NwAuzBb(_T*dFtQ^m+7Dl+Z6}F##aK-<(JW+nFV%4j-4fjA zaBO(}cV&?YQ>E3jJe<>r%{y*wj~44gbDEd+>5SHNraPjApL*fY4INzE#(wm$gz%A@ zyO5)9-C%Pf5Wv^;MSiLv2_&?lW&cNHX~Ptb{*=jA%tXwepoo5_^XLdblvukyT5{-V z4|wrQ`JMJ~*v&ls8UMOI`x9{v8s2pytgN9F0_C>_vbT=(zZpJ=*SiAGT0Wik zs~q+TaF2VrJDW@c+0lw;%=eRD#C+}?QDp4q_oC*AT(gBCOvz`YpPK}*{3mq5p_X!z z$wRS~lOhYrG-Q;JJcQO{i+yIRd=qk{XV{JWj(obycw*#a^mcMLC#{;qk-e?AW#5~p!lIV8aW0i=aiZB6vw6bEHC(N=1|#w$MZ^);V*Ly)of@n^_A44=AsKFmD6 zubF@c+Zr&<{+;0T-&srlyI17@IDYB>G8t5EV&0N-el&@Stg3#KYBkn zH0zXV{aCW@*@uidY}Y+;n=$32LIu04sx^jo&ILv`)HO;}_#AmjT109FBpa5j^FD{d zK*V&B6Gln}cTygKO&qQQQ819c7L6Ju*4$|eht5J-uZ+O9kb$iV`mjfDpSKxQ>fpyq zcQ5u7th0E5KV;8t!hH{s zI`4jQWmBG%>;mCi$HJQ~ZI>k)$)j0=XeOQ=%3u=vwLpCL#=K0IWjDJHq=G}SU=%`o zkWx$b157)a9@d~0gYhr9%c7mCmR;A9%L)_M(i-3oxr@!|zzL_hwx2Ufni^>ajDzN* z-23>@?bjZ_lS3Cc5iuGn$nw7XgDwBCU)tK>eAoc`j{9Miq6N-+!8#B5($Ml(Ixq`d zW$#yMCh$@*N!8J3bUds`fKBA%dwPG|`X#*;X!xZqcYAaa}7d8|o3Xs_X}!aSuAQUedf4A5Vod zkCT4)*T=#D9s-L>K4SqWVPji`ZZ9^N^MaKnzH?~&>3+PtP~TrdZp-A@Dw5v-;)6#M zIG25~7ECU+!gK?$i-B?d%6dx_-*z&vM>-Bb++uC0W?EZ_QIqmJ8}gJ@ecl4iE+c6? z=%fW01g)G1@%JMq2bCl4swGE(?d8YUu`jcgV)fm~bF9TD2^fZ1{j6BVH{I}9%0Yva zBq1IAoe0l|fN)5l_NsL**c!5U9o}C z8-VzLW#>^<+X?qVqt;rRUTvvJPA9N| z=l2g@alTQ?<;a)M5&{cF6@Mn&D*|p+zRq5<=J`_Y&-N_LQJ7`G9!);^ORq+@>}SjW z3k5d;b|NhoR%v=i%qIx9hwg#bOpd9K60tFqbsKEg0tj5s zD8s>NTc=hP8O+k_WLUr{=?SZnFem{-G9lIUx7!sjjuRy_(2dy8@If+wsFqN4B!os2 z^5?x7;#q+i23<|}NTu947i}WWuUW>G(oYhVWbk!at!B$|3>CcXE}TVA^u`-Dx5*FF|8L=!9D&SRfvW$n*(V=z_GBH`HP|eu#CvEmg+V}~126H1pk7rp-(YQK z#9~azO^M)J~`!YqW_liODPfJtwnVUNG1?4Bx|RXW zWMuXOD4BdelL5wkm*_W8f6sNr~ z@$oVbiIL!S!K>lO{2qR+DdWuq#TvQ(Y7G&li}(Kp)3o8M6EaC@8l0}Nl6PjN0HKb+VYR<&$P>%E#>(EN)aNRL>Go|EUlkNyuSahEV790z*I3KsFApJ%rT6YIO}kRHOu){#mY`|H2s z2ByJh!nzi&Tyj__Y&t%UPVU#0;=fT-$kmdSOSfcCQ2jj3@cOPOo58mv9~n3CAo6>U zp~v5SRmVkk=BnhusX2~*g-r7LkYg{J$vfCiRY9B@jbeQ_^-+XLa9BN33b&Y{m z*-l^1a-0C$p1tQIu7l`Le0k1yR14$Aao$)xqS6le*1c$_?F(A?pQ;Dri#hqCeBUMzsRPh;};%*_++b=M#QN@X|Pzu*o6wya}S(&LiuhhIx>Ws5=l}&ve%7T z!Or$%Fin@`?-%@q0WRwrUSn3O#dBy##i=(N=UJcPCfhH`6D=#pnJyTTjde{}40xoQ z{QTHV&x(Bgk`-?VYpxqj4{$XMy5qL`a~otBuIREnp`Kv9x=h{Totj=UDkEq(f0BZ^sOZ^k-ZUa#r>IQ0X@>pH?CyJ(+STZWy6>S2PNU;xx+WhF*A538l`fnLb-*6mmc?Mfbr(La%qN=;fjSRZfJgmUyN{ujZRD z)>dXqXQiejpSebZ#LW)Yhz%E_kWmGzywP#mpzyJS*>US4A=?V06xzoi?w$Q@TbpaQBKNbQ06fYs~#vQZ)3{-D2wD?YZsorPE_k z$%aN)a&h5OR_Xg}clGO1B_Ac+YN;andnefACmH45)Hk_2mdmDZi?)+Ya~2FNvMW(9 z*C&pWa@lp*gx$21GBaX?EdA( z<>RaGAy79_+XD+xes}=3uiMcoScuvshHom+l#cNAB5UEAIoG9`hf@ZQ~ zVFyS2{^90q?;vzJ945@aC5ME-Sh!=Tlu9t2oDvAL+F_oXpE%0ju4oI`}YMd3jsj^F8}fcQH}}$5n}b< zmk8L+|L@QL_gj`17vJE82a4&rxy^aE+VZCN8Gin$M1fI;ZtLji=;_&1Uat2{4{_t3 zOoJ7AcxaWjetUbnx7UxygcX{ep5D~dl%A1+3I~0^HNNZkQ)wJNv`fE4W#9=eBNx`c z{rvpgy4E5d3~PAHe$H|_zi?*X!^7kISg$es+vic$qFHY*uWH2o{e6CZe((uhTwH|I zac7s74lmt#cz9ZF$ia9$oSc-SYin!K(b2C&E9a`z#p|_NT3cs6NEJ+!Bla0qXq4S$^GmF?cJ+d(mi1-I4F)SNI7%4%(D;&j!iTA82UyS|TL1(R#dFlmN@Js4+(=yJ#{WW`aU^c z+CliJs8cDjDOrimCXO3dvyQS_xJHb1Sn63~1dL9g6DY*?oE!ULV;1%U9Ql$aF92=eqto*12)vYx^B+5nyRL5wkAi@doS+FymdYjCcV21dP7}6hIA| z4B{HlYrHxDpXgA*Uzq|F63~#hD!+)>4c{FZhD%=oo;;i-ymCjX2z*9HzPNv+o67CB ztl8_{8RBHM9lGy>$#D8lJ!-?3ro+D8nC%{NA5I~(Iv^JSW3c7<>7MEh_@pM-v@#(s z??&5hfV|LkD>?vt3ET5+*_(hHs2N^gPeG%8oVodGnIC@6py6*vYV+uNe+J#yWX$yW z>5-KHEfi+QGYNoP2;qBAAa~fFrXOIVVYpGTL6b((x_S;MF=D~0eS(#?|aUfIqWs+Q%QYh z9+W7|uVDD~F^{7SP8OO(&5#Ki*-Mh?J3v=o_q1GYs;^4{c9dORyDSPVgAHgIZ248Z z=lgGNEQ!-W_vry(ABhVjN8gI6yJ0*1pWs=}ap^N^-)J{Df^JH3z|!(QCh5+CBg=r^ z5_#~h4F0z+x2|oDJw64bFOU=H2hPLbMks!zh+bA04Gd=rhCg{1I7#fzg$5S-IAa+jIv#5a#SfYUe^;CA9>8H9_l-BjC`^QcYeZeeo_gfPrY! z+WJX3>TCn{!(dJ3R4AhLV6vtSw;B%-;XHSu3vc@IVrIg_kz@)wA0)#fz|?_#Fh@WX zewea0ltkbWeEoXanqm>S%v%+lHp}UBYX|GSbx**+p3c8jC0hVdWhklFY9jBl?Y^2%cuPkT!KQ2Z!-T+E$1YJxwE)u3==Va6?RCaLUt}S~1J!pZzLySI zi_&H|RuM_VuuVnMcfG?QHy|q4QF1mXE(=if#oHI`BS@V1689ty<(LInKIm2`#+jMxUD3My}@c4J~% z{ES#0D{k!u5Kqu~l*8f$NnS7U%T$BU44lk)=6mwXXkZW4ud0UHfn6jUCAG`_MsZ*t z(j=n$E@*{i(W=9+4zsX*rC^=~J$Y;LNt>dJpCXzBNY{&-s)*RYnZe&&n0j{+{{ptk z565p!b)hN7Qcfw=m}eQjA?HEW4kMOH*b|T=xWDJ%dl)Fn2C0cXFY%M#Q;bz`-k%y?T_NciGWj zD{E|4gs31}0)Ky!4c~a(`v#c;a)c3Db!0rz-!Ti;d*-Na)j0q`3_5LOO&&u)gcRB0 zSKRfh^{zCk{+Q(((4;=9sssbf<2Ah*iuhp^v{SIj??{t1Ds{;Mlong0YCP%c!vtF? zyD~|3XzG3%hf#Tntw>0(> zF%0mygB01!b-zyDn{tnPm5Q3@-lZ=iQ(a3mdw#l zb?g{*)F8JNfAK;^-qyj`>%*cZS%$08?o7wRfw{znpa!AV?i@&qf_RIAmg9I?^caN9 zH-3qO`};;+PlI^?NWnCJ*#r_cm&*;LCE`n=cZd!g*Ek0id%B*p17W&J>ROa46y|kW z>_l-^1`M*bk!28KMN~dv4KB>^U9ii`?q*cg?RCdkSuZu-%td*>fH{(b4E(P)a}oBG?xLcKGTGnKT?q4G zqhb4fmnZ$W(#mdGEmmaonrxQhM2Gs5T96!O?ja0xL8_G0D54I2p03DhL$Xx5;|)tBs+mb-pjSp+paeTP4CPGUGB?G zov++f(BS^5SJG3`&!3a&vt|YkivS7L$`xI0+&o=+=hKbJwBZ+FOCN>4FO0|GPF^un zk|{l5BFGPEAH=Ju;IKQLqU~DB#pE$Fknmuy+U z`{8DfHu#!qs6V9wQPEgr@lujM3KF|ghwIBb|M;~z1J?@tCRV+!pu(d zI09K(wrEeo z=>My*_l~FXkN<}`_TGCXn`G~O6j@P}l|8a&g%Zb>O^B>)g=`^vZ$dJXeMBW$S@C=M ze7}GE?mzDPKL7MM9&(*?UGMRFJ=c3a(Q5g@^$S~tYU=z{kCXk)@s4CXZtL>Q_*F_m zD*xZ$wP0ZpMYsNa9dx>`{5jjW%~hOz18dlQrjq^RtsH`>!neKwE#FmBUkxgOoDEzSs|&Ru^sToasZ_?)_WcMb+v><)rJ)P8OQ~?SQB zuUJEq@!lDuy;!AN@Jd1c9{rM(5a$MC-tG#iVrohDTnY~?g~oMlH5#-zkjt?Gpb_>*}RHcfDV}5TT=UiD28NZZ3--<+RL@j7B3hiCf)xUMYp?{z#d!c&g9J7iq^`D+f7l=JK+wS~`@4ozw;rG7)ca%lxd;94bS`6!q zY_f{CiuaA2j3wHKes2pZwibuY)nvcg}CUsCFwn(9bCt2870bv8}L+f0RUQ6H+PFy15`DxNc7 zR9-;$42?6i%9mX-G|2D<8tDIh1O4@7Hx11+`~tkW?6tcOHy=HfXWf%$BaQgOI4Qp1 z_F?sp^dTum)xUvn#yz(_yWDB;2zE|by56y1hWm<*0Gn)^QvQ|)G`SB^(W(hc+uL+L zA5IGe0t>xIAI0x$|6Q}Mh!{^0XFeT*mJSUDdT_bpQ(P_acmd7ExsB?jQ@$_0A~*&LKa7M0<3hu z0JkqA=UYOO_PEDCrn8g+Tf-z-<$Wu`GEIL1?C)Uoc*N<+LFw*QLfUKeu*1M2hrQka zOHU4D3p_vjz1RjT%-5#J0#d)grr>6O3%-LZ^fGqlyU<~R&QI6^gqrbtmkpYTj9NVF zh+7I#`!py(W8Gi|U9N>{x!--=MQ}d$(@A^BC%z|CTN@ZOT8lrtDrF{uHUYq~? z)^e(Ju>#=$JJ+Skq00abEW9Zu#P{U{X^QiwJRHNmFTm)221OdAE?BfYl>p5?P?5WT z|LP`jzBoPyLQ#g0Ny2$LhLS7FD+r>d|5#*SR-P$i>~KT#>VJGLm9px@mV{OOVL|o= z>U`Nza^@k3cVnizvD|?n1wrW*c6uBRLqDR6$I4;PnxCK6!=F(KJQwF6=ed0VHZ6D> zPrzLbl%OK$gk{(vyLpB)M(O!UEeHhXKe$U>MA3^_gJ352*IjP7OI82n7P{N zq9u1ljh|w8r-?rRrg%RGhmd-yO)t?4S!LZrubskAGtb%9Alr-=vkz3Sz?Uo7$}COb z`GaBVGF`@Sb*3}lcMke3E~ZPPByMDiCv^hY;b`tQ2mE_IOS3- zFOSe-e5;QA9RX(I#$6mVa||&=bIep)p?Pc@cF`mAFzCS|52Em|%s4Mz+zR8CNnKAK zOcm4`NyCy6Fi~rIv}wI-{oU};1%F}+;qi{ourwhUAJILm?>tpPfnA1|ZY3-MhoV+m z26?CN@hsU-9DFtPdYIfRIA&1laXpAPvz0(G1RhxAT+!MKqfkS=P~lWU zgkIEZbq&cz9upl$kK%|?ejbA3I>*WIMw|dS2FEqIk3D|jF*1QPbb8Zv_KS% zj<9I;zZ1j`Vr%U3Tvyt6DgkTg20{n=h{aufq2!zUxx+x>@3O2jhK^G5 z+#c?QMMmCYFSdpR2R)Y424f@AapDtiKq^YrM7qmgWfp}M2o;?JQ;0062>fMu8|B>) zhjNtZx{NBbgb1Hv1&=}q0 zY4H7%w@duxz1b?2->>0{r+G`?M6IqPRK=(!+9VW@0j8NLG5aW);w$!^3O#-d%jH0! zz#{SrIeut;RrK)<=@qhkoZ}nqcfJZ5S95aNdi5AQ6jTBcIbrgPy6tbGHwmf4Cr@E~b(z{08zG+l*so2z7q^yK)yg&Xpiu+S#xc6c$HUVYH62@4& zS7C`q)X5QveM0FpsSI6dC&B+*nw`kX=jY6w8fyO3Y|g1ik9`RXnM7sq4IHqh3yR{d zf~H$8=e0Cercr2=tgXo#tsd(Bkw=h7=EJQd`9v(2OvUGOtcOK(ge>JE5z(}QQAhVX zur*)VnN?;fhDNk|yRBn9!sp|6U#`HSr3)&wBWLPCtTUQqMX*TYEnK-zmgX87@Fu$d zah7>szo4Rw8jYTTcj&jf6sf-lC@EH{flSUb|ezYIQo&Zd!b=0Su@y&wOt? zb_rpPGWgybmJ#a~VrMqs@Y8smc4}cDLG|X8ak_@I5T6>$)AV|c1skEgY;oGBj)t?R zSH#{KRX>X4B5{kXaN{fcE~TIWw(E=SeuLfu-~sMmqONB0Kr7oA*;+ylm)w zanCL2on+4F8#U)?JHMK_7V92DNuyfVz>vq_^ftmRQuVjO-WnN~WD-x~Q0fnN{2uN; zw)x46xu?u!{MVw0QY1^Iuo>Ch%e^_Ch(?W>JEZvP|NDUVtku0bJ%mkC-QJwU($%7i zvUo;1Egh^iQ|tL-5-hqf)OT=9}Lc$eSM%KxE{?r`zE{$U}O@~hYo!1nz9+H5=AcRS(le_pBLazw0&J*0g zcChN@stIM}$wc!Uekod>-+Pos1`u`Rb$5Ans3`Z%rpBqKmH5Fu$48!4n_Md2PWLxNEIL6z_B!nUE-4>{{$alxMro zmmg2BC}R*I+w8qTdX@E0xnEXm?!KtlVzE*5U#+Bct|?|Z$Nn5(HHyMx6GsCHS~wn3 z%sQytI0H;kP2O;&o|vLlbcR921m!op3!Od$baW?H57R)bdOX|MTr#;j}82A z<7tlL8vju2(b6oz**@M5)$ez!2p`w@p-=WSjj;D^V$9;?ISmUNr5ClelP$CfWVp1YekXztm-M#msiN@+ohl0W1H7{W68#QNhpZ2v(sQJ|AMVI z+|d%@;>yXF#Q09_?D+I_bG)HI8s64>Jo}@j)`$;#dL>_9GcZnZB@=H@zOa*IlQrMOaicsK)Lu6Zmcp zKOX-&99wx;@A$v7Q5lI=Fi7M1XYh;2iEj2|HV2vD1@|H;y@IG^f-Kp6LmmyT5D;rn zxJibg42rx3%;FQ&P#Q)>m)c;GR>-zt4y6V!w z!GV6!(BanB)~8ROmY0{$&iola#>U2mgoGSnn3|gM)zs8bb@>(v5uy6}`ZRRdxa^6D zh(3P&xaq#Vy$#1FP24CiFK1z6Q&LcnV2s_^*bu)iAaHqRfw#A}y*+ohkdV-;SFc2J z4fsDh)xjT&j*i}!z}(r{Nf=sJ6n$PcYi?p9tE4m*yw6iyTzv3U;kj0iwaERMJKMmH z(wm!^6$U!_`N^b0xAC{dTqu?TqiZ`L)`bjcOo@zR17<5X?U+sdN1TF^y&Gt>o4dPBdAcGVe0E1KjH7{X$EfN3bI-Ga zYYu~)f_V(~;@G9-jKqma8m4Mu<7<7l%I{}z3oAdjA?#6eO^(8@=`5+8Wvrr`(b)>K_Js0)N0oj_&4lcs5Ux$fu@0`D7j_Vq^}jqmM0+# zHdWiAEy!Fw-fzu*d#in97aL;fIsMWlD!XxUq|#^$%jkql#O&(TV7uhxWZ!0f0f7v~ z=T8A4@b^ChB^=97)tkvRpFe+wrMM(7FZdW;3XSwbTicnT$_NrB$Z3$dO*~DnX#3#a zJ-ZLkCZ0ipnAaKvyiEWQQ2xsZEOc{?-WyQZ8kt;JuR^PtH(}0tR)I&nySEq5EVDAC ztgTHfU~OpS6Cc_KdMLk}n+)m^Y9K@Dk37HCJ5Nk4`?&6 zNu_0HZ{PRKy!>(j=Vx$tWq^|$c**lu_Od|j&b^5FItZVY0HySFzyF*tHJBf;3pM@M zEl25?f4u!~bdd?~0Us=^XJy514_*8}5H|uUp&xbg_JS-`Jo902&9We_#~P&i9f!kh zU$$dx4f-1&5(kH{0M`wPJi(ruqjMkJE!v{702}DQygBn1`tq2d=!0(t#Fh!np~6)& zfjdh<1GD>_9!5dAZT`pAffoT$s~!!li(fZ}?*Dt`` z&^g<#Ljmq|y3XL8J}8b`yf_`*(_(5~xsXU?pGlP9!&2|TV2)~JiWnk;Viz6j#wR9_4zEk9D>RQF9;m8(T8v^3B8Osh z$-0)KnR0Qx_GV{iuZ6BjWxB?$DbK)`lOg-q3Dz|BM;sV>@L5PQLdM5AdCCPw23MTO zn7e&{aqV*U5U6`d-_q6=ubI0PX?uY6?d3(P>uPFNx4Akw1=kD^(T6ZxKIGciAuWv54j3U^ zJZ#dp24@RgcPADUqj_Nw^2f zZw8eh%pJ}dq_y)G}dILj4eUc4lQaQ-QkqfizSweZ>PI`>m;4 zWc24!feV7C$u&45pJ^$%xY=lFC6)E}`>kS89WcO<2^p9Flj0~z99dlwEX>Q#@0G|8 z+K=sfdc-2M0{ImG1bZ8m?J1c^PP> zZ>`UVP`JW(?(L!O=kdY)o2XY|qVY$Sq(8X3Ni_T-F0MCszwMRA?(}`VYqSgu8Yh|N zw)$VRZ%j6{Vdu=-D9SpMCgq8TJ(CZW-n{;@Q=G#{`Gn*m?cXw5oXq~_Or37gEk}Lg zu~t9ciAX8k5M~oEFIL8w%jaMC3otGYv2EQ&I`&7%2H&bYfo(EgkC4ssyn?F~QN%+K zi8F#PChAn{qq-Ma_@>#lntH0vZiv>RcllYZp8Z(1YxzcJ@Yj&5K1ofat&^pi(G;O#`3au>TFzQ=d|y(y*U`|0r&p+TQKbg#v-?UiJ0 zan=PX{+=0Tabsh|qV8`A(`hOu@nLAvJyI`hU1N(|G} z7u(|a)id=_1N7TN@tja`3>j<-hld&GzI@7 z@?V}!T?(2_;|e`D6~Q3)PH1h8y>o8PMCPva3{(}x3(U)I{(8B(ij)*0+6+OM{=@2n zICj&`&z?V5_~Ot0T`e-(w5>rcMq34?GgB2<}{dxU0Srn!>z3ez~6EJ~O3wLQ<$i$MC2toS81z>V6ZY4$QSAd!8J$ zZY5nLHV*ZAPeyGwMI+|F+oKKfQ|JRp%f$Klqkg+PJBL`{eG3iWmOXxkAiGsSGM;?7 zVf5!UxlvgRxz3TwXFATCtv;DMkI#~;O(Z8o2+Ke7%f)@IVo4C?q(_Y^A{BQfCsYo4 z6O|vr(da^3y~va8e@G{m?u(CMD~A&pyS67EPgLY68^@uDgHhu;S1&judYw0F<>f4{ zay>(zr*Ue7<>KEXNsRtO`LXAV?(>I5dzn9)vM+Mvei?ETb_sVYV6+RcA~4Dd{&lZn zpadMDe*Evup8wxm;Q#q89kVZHU$Wf4ny1?7Od8xvxf{iUb*1OxtlEcG_%60Hx!V43kxjYUY))iwLM9m{}_@lFknCNp=Mr8F8!qR ze5(=mV>;-?!DSC1<|SGQn>s-K7rX0P2d0sb1OSv(%7w@I*)h}|CFDrRUI2g$B-z7& zoV`4s>RZV!H;xT>}ZhtY0!F zEz=;LESdL?Nxh2reF_vPIH9&YkImP~ zjDRbU0|e8eE#PMMy9C^8(Y22UtvP)GXoYw(1Na9*Y#}g0i(#qhwb(<_l&k7+Xz-mI zhtSjle1HFqGA8FP)IJbG2CMcdJl6qI*x{h4@`X4;$ne9RRUICb8<)dplKcsodXTr) zg@GnmJ2jIiZb>>0a*l zFfrBa!U$;_8j>u$sd@ynG5kQ&sL?q?+pdl>f{oRNQxQ$5*_n z%FSC&U|hJIjS*D~)#^M~X1fuFfX_K|%LE3H-}8eln)?Ob%p&4IyGsHV|FE7w$H>V4 zWS<~5+O1bd<%yK@^l%+id@M^Y!!*+Lqfd@6u(7}z9I8s%Q>5`y?Ki;7+`~)_v&FU8 z_Ykm6SbTg^PPp*j!;M9*q=?hj*RxLXU^!4OC8B^|ih;PeoQEOT`2v(7 z=6N8HqD)_r!*#AUQj2@G!a`{(+rNTg#3rer&_n3KM;rN_;!DnKTlTb-SQJko33vp> z%)buC1J`#;2_nfwBZYLZg5P70n8|jZ%b26#-wekM2Y1y49E3Wv(Q}u(HPg&-dn*2_2a$hMTEM{JuAr z7`Xv+#wTvod!mQa`$oKK5i z@s1gNCE%99be=kIF~o(E5_pnpeSVv-vv}w?9@wmHQ9OA5j*4=05c91K_X4-p;@O!voenFB2Iw`NCWAsHeG4@JGJbqBA#4faHR8ftXW#|3ZD?4C#}Y$-&(#Sql1kcrqKJW2lG*(< z-vi6D`TXDM2j?$wxR_DorKz-zam+FgqWmE>WQx{9@)OTDCD%D|X{Nd+mswHne0j`4 zoVegaY;EqjDcx~0v=7|SCn`_9n#p+{CK0wv1V+B}My{q;vY%r|pl*jz5L|fwWYs7g zkQG4Z&hE8uW zjCo$cBVsE9F!*7!(Q@V5HLII{N`8+680R<*b0e%l>oQj`9ix+;=ieKkmQY(Q0sorl z=})HaU>)-e#i}YRbo8K%eBMh)`|WZvQ8Q>y+*^!PJqMLA&DMYW64MSgS?5{_bH5iv zh<3Xz6Vq-f`+i*<30Q_SF3Td&F-F(@;M;NJRcaoGieILWqQk+VK#;`64%H^=t$=EY zp{7NLv}BT;!hN|Cck_(^R=+;dZxj)RrLHD+7szvM$B{U4F#~Kms3_U;k;PR;U%JIi z9qer=9p0b(YR9aNrMADnkAKD>+V^2&WK=!6vN?)`)iH0Q%P}Z>GyKty>Dan^w|y8x zvUnNdTGX+n&~4-OTCPJ4LT~ni4#Lo8hJ5^)LrFR9##;j??onipe?kK;$MqaHLamPu zovJ4Ks1S#@S~M-czxL%wBvpd;OVRdIGy8UEzUfttng~frN%B5FunveuTawVe6XAOB z)K8BN)pjRnoJlJ*1v6>bA74`ncx`_2_OmzP_$5NFPdCM-eILJG!*1TJwsF+!PP1t8 z-ncz*LNJ2I+Qil&Q(&!!8MJTxz|Tt&`%5=Nn{u-Ljz*jOJ{*MIIU#v}2Rg1#Pio1W zr^__$yj|pQ4--?y654({nQ2TrBhNS%>KWtl1FuiV2zv}x4tZ@5-V z;w&G)$;t5td z69Uf)&vE{nA83Y$SMiMZZ0>T9*lYZ{>iK|E^EYk4ZiB|QV7f{|5! zDu&h?g42cD@r zR){}?enpH&fpQ+&m*;;9_n*HVsbovNJPh>z>xCywg}=+TnSj3hC5@Z6Z@foZ2LB&W Cyb6Q> literal 41265 zcmcG$byQSe+&)T*ihxKrf}(VHNu!iVHw;S75YjmyN=hh5mmr-(4BaKI#XKt zDeaKkUWhhYIoIe@Ks!tJZ#$t7bki&J{V67!6`?lyEVN!2V_pkxXmQPjqg=;jmv%$9 z2yk|bq<%e0QGRz`M3*0?k^9^3tw{7L8~krmL-{S+|9$12UzvF_gRw~z9MJ%e+X)$8 zmRepfcOx)1_}e6`e@`Cf7+$Zy|9eD4^C$uW^rQOKigCr6n;!MQR`u=QA~5#B^D1 zn&|vHg>}>0YsQSwVSST^-hgv>9?JP0-5I5qW@aR0!q)Y5y2p-`@ye*0m?s(bp}qrV zmttgICD_}>FUG9U_MC8fkL4c-56Uj@tzrW*7mJ*`11RU$Z52V z2fH%@LZ8X>v-R5LWTr7g#%4nw`uYVk0VneV*eoXnY%%#>k)g2Vpo zw;&$8vbHJaJLP2~7rZgy_Vo<=sR#WdS#>fn8}}?%i<_FTyFP)K%axQSTFiYQM_^LC zR=bI_>+Bf}f(5@#^;nyc(mOmm-luzU5R+M+E+qy<|0e={3p7369;y^%Z;Tr9zCME zCW-j)v3KBNO-EJB*>TM(JGAc9AGXR9eWbXR>G&<;`(qF8!9ANFFuE=H{$5{Suz zhcVt4YysRHDgkU48EbUE~{oR|}fTsnre(K594$~7)O|<C5X~xd=A#1rja~IZ5%3} zuWW`p59`W5F$*0PhjOo-xUU~Vx=G?k4T`61_+oUOgkQh^SzO1!5}l?Gk-lpytT|kU z5+W}Er=2A+xo`5}5cEh%zO~KS?(LyFeT@Byq48(PwSGlkJG+|M^j;69(J-UUgPiKm z9={E?yryYQksd`C~+Fgb9&U6<3!{_Pxv@%M)hy?3|F%v44OEy`M?R!1i#ncucW zICY}jk@Xhjs-JAG$r*kF29XJO=X^yGtj$cj0Zkc9mCzzT=3Yja1vVR1M0n$1x5=iS zYG`VnlHAw6s=WR2^jz=7zS~Kq*FocaV{~Th)gSXWNpwyersVi1j%Av(@w!h>WVGiV zTAS88cxR z(g-uF@tlU^<}ZsRxAihaaFyBrlA}KS6qO@hYu9DIS_eA1sV6DJL7J^+sb#PqzBWUnlj4%KQ^{PL-g#JN%! zu7-M-z4@|*n{oL3me^S-yx&VW)bD|j2Y&xT-~jZrToTH=UnKkoAqk(4R8Epu30T=9 zgwKnhsWP&%e;!rV*@Sy%H0gJ0i$jY$7Ok1^W%Pzv8ZhTCCq-`GQ0_UMsK*c1F{)yV z>`BJ30^d5*eAiA{wK7}co@u$WRUiY@=a~qlmdF==9)|Rdnsv$jq9wL4mCteS`Pkjv zTEtLYAGtB(`0{1{SW&@fR|lPk+_i4m{Hz({VchHeBffnF(nq|n(i``v@Hi}v0)9Lv z`u*Th=4Ul2#oY9YQ%CMH9HIGhxH0*8&Gc?~qoT>KCreL<9s{W}&yT zK9rHSN%j+JjF#gI35~rB=V)YmtNT%F@=rEi+n`qqO~ml7Asw=%kJtBtW4$bj?TMywjnRBiZ!`nr#hWjmtZmCFwarFwVDoRsUTP~4o-Rz1 z-C>>^$R)A<^JwitL;ckP^s%bOuaekKSIVIDYK&&ij!jUMtm_dGUZLdF_Mec-Co^cO zM+c32U!x+uJ+m~5j7)QSboVy2E7p<%4_WTaO)?>0I4?y}D+TzNK|>Rs%X^w^t}q?G zB0YR*r=H}qu>dn0Baa(NXm#y^5qWJ5pu_Ia1v>eHnZyDaM-Dp3sCq|C2%ItfvUg_! zjz2fwBcT#}{$!1O>|PiauaOv~=x%!`-Vvyk*#V&ywjBnn z3y(YVQz%v0bzLWStNTjefZ!Di=yD8#zBBqlJ3RlvLZ-Ab?`vy})eFAza4LR!$)rzo zJ?G`jqW;39kBG^Pm3}fDhU-u{&ynGeT`(zjXi-#HW;wRn`McBbd-dmEO>k zNqv41V1+)WI_f~-WdNX z)GO9X&+jBAgh@Fk?$S+54OIEizi`4H(8&@+%3M zJQV%4#CME5)729`A)Dwvh3xB4i;v+1t4{lHNuJ7povm>s_LphKPb)cg1Uph>4@nt# zbKYd@(!s+jS|26Fy+1kfvKX54p$oiCk^G+Xd+GK{Z=V15E1l+Td?k4!tJnTcUuheB zVH>s=|J=19vwUL_Hms4yb1<|;HyT&R-HeC(H>gy5BfSaT=>^mlWW{?RQOVRSi&XLt z2>xh1YvEMN66X_yMmOcCy>7+`#XtzbE46oDpQLT(Z}NydKzi>D2F$S#o8fD-eh;6mz`*e`(dEVD@n}i@I#*{IaWAYnNeXI zFU3Q0Uw;*1n@)UJJK$8@56}_mTeDu6WU$mS|?X>>_L(o63RCs zqs;K>lFq0^g34tfm&P2ZUbDoRUq%u!Wyz73o%a*-fs@A1+PlW%*|zQukPqAU!MGf< z{j_+Xz#wYY7ndj9r-|3kT#aKd-SICT@fU?04fY zSCz2J>6i;`DjA5Ux^9#&bbNWNlVox@|8*S`07Pr5-Ih3s;*LE@>@RA>3(nHl3B5+( ztrf9HbXj8%8PKqvFnpPkv$I0{^!drBeVe7o!NZmI@B4PrM#;4fx#B%yT~8Xh;dJGh!t|XMxITGXkA5Shpf3hDU337)5Pv1LCNozd;2VHLh7Y zRGhalW>POC+Bi2rWa9p4?M!T2l_Pz~>%%$X$yzX*_}<0N^JhuZYSrdsqz}J+YxDJ+ z8LEGaby!fhQF||hfLHm$>RB5x*in%G`NB1N`4NL)KM<)|#r3`!A5RBEgibzM>}K2d zjXxewT$va&;2%qnhCRV%t}7I`3q^mOavhlop$hp!c9U@xoI3A)#V-X82;^}g6mp

O*9F`NiM0a~92Wt(n5h7T8DExo=gYt&v;XH{u5$sqL~_wqu;YS*`Jhid??P*d)q| zXHN@+SmXFy-_D+zfVxuH$h2BX5b#pX_x5onP#ZdHRY_Ka=EY&ZC@~RPZEc>9@LID%Z{X)2~_KP0^XWbIhopl{B16BtE&jE0du= z{_G6<1zuX3C_c^lP(X(~MTbQVtG{p(`kE@%L_V3T_m+|@eouMG^y!UaaL~&<+GAby z7u#oAjM{h4s|UjyW?6qH~b}@!3C&Ab6WzCtuAyKMWPJUnHZ?iYH)@zBux5g#$)TYkk&`4r%lH=Sn9vMa- zcUnG;4DSusa*%*!4|IK~j!8hU)+he6q{G(NGNK#ClFhn07O>igI{-eh-pwSag^zs@zO4=s5a0EvZTHz}%++wHm+20q1snm5LoQr%XFG z!VK>j)Q*89kGG>bM!S9_tjxRa9mE@PdS02fgydN@RVpMUBc^F#*Jp)3_rbg~37&ME zLQ-#-z$6*1EM^GG;qYG*;znP)g4@ZcAeY~(;+0yWl-SWy{;#+^hQy}mUL zFEjK$d$L5V&0B}bwYTuzdd$m09^e0o+$4!`GDT10-ie&>d7%j%8JnZ4vb-^-jiF0FIn<&W2keY6BNNssK-b++M1bc?5@@h;n2vRaLr`yL|ryW+K5d;wrHP{nNWk+PT zye}|(RF=sAA06*gMK}RG(L!3gLZF6Q>V%{}4F$53IHUOoB(jP|V-?5gEV?OH8;#jKdQmD6jrr2wE3jSA_hKo?gsG^&uh~bh1Wl$>d(EAl(^(2 zWv>IYvcjkee`{xL0VV`+2H_a}0Av(pgff^Uxmh*9#QY8`V;K<(#ZZ>}@6BgF;*Q zyeiU59Q3@VPjxDz&otj}4QkG|DH(@g+GP={2<6p3*OH1SdOFQQ!OePqcWy-J9Gx!S!_k=T7Mmb!05*wTVgX)Q+oA$!vZ zWG0yv=T;R5<^8D={Z<<3W{=3?ofnQA1ubdI{Z0oMdJx#fdm|xOJHhj!WXz<1|% z^vc02E8$Tnq4^O6Jk5xvITaW|Ac!AC!wgO|E2IuzfP+7Xd06|MZ*hW1eQ@;z^CpjV zNV1d%4JsbP0XQS}`OPi{&LeCy>NtA7tQik7k^Tw!@EASRBeLKNs(9|Lt;EncVBj64 z(MnGE5=gDyQNuZfwx3bXGD^A1rFwoWsX%xdx{K4|A{T!IRy_cRN5;rC$!&3j9ZCDG z5ij@83?v><2cdy!DTbN-Y^_FkkIb)>`b(BMK<-3&9GU5w7x|QJ|MUS#3SMz8Iw)u6 zc6UD^#WK=geDB409(DMo?sjLENMT8ldqJe|>iH78@q17%=F6@6JA=J=DVi&`r)gv?yIm!qIY#EoF$m98iL39VPDNXvcPNR-Uo3WhX=O0&B-wFw@ zE{~cwl=Z@s`FI;kf6QdO@CKNUIMyf1#_w#A&27~2##Q!h{_aeVT^}m_aHU95vhr&` zRO7WMm}4Ae0Rb3{ZIQ(PXEEm}t3`plM02lfTxK;U#t<>zhhDr^*^W7o@a9*=6XAgW zMURc-bC#X1;t^ds8-9ZYUkXYaPrVC!Jx{C{lbAk6oGg~*UpE~$1FThjdNbLARZYRB zw#s>L6~5(gfp=SYWW1NGAuF7y-9_1VP!OW^zK6N)UT6)Fa?_BW+-F<(j+J~~U9m$J zi8_QIC_i-OX=W(Dkdb%9;0uS-z{s1*q z@hdwT|%-?QT+l{nw_Rzb!fL1Jq? zk1hn1cgP-yGVHe2b3W{^zt~>8UIiF{hqy%NRE#2wT>vP_c~koCm2qE#Exv2dTU5|S zMY(Lu!*aKQ^xMK|%Wus{(}%>5_Xt|%R>01H)2;q8NaeCsa-LP_ri7kgMI)cVFWvO!D4GJFV zu#7R+*@xCN{Rq@HEc;#+xO5x?x&2+kOHaPce7_|oDvYVrd=+Mi4^0vmd~#R zN99;aK%*s{?g{BpAa=z)t$>)?0Y*8pyucKSJ5FRQJl#Hfk*l~SmW#%1Hx+o*FLy=V6)T=iooi+Lm0T%l_<^I-bdJABUKD6er|`PO_+Ab!d?GEijA z4v7VMs6d9)IBJAyQdx>~OWxCRYsGXgNn+?VPC*I|`G{>?N%5ULmj zXRCARt&->62S0D*S&$APaX^Bw1WaCG>ez`yyoU;9ke~IK_&%$;5ac@&ncp~|Z+G~y z%S=LeuNB@s!w|F5OUCMxP!>v3bJWs@6W~2%-{Y$*Pg$*1X58NOZ3XB{=J;K9tPwV@u z*F``;Y0A030j(wtkq>B%vwk1YUmPo(#BRKYE*(GqCnf%$(jF<&ALp;Ahyaq1zcM9w z{O`5@ngH4b?kNOHvq2$jG=2d$inifC#a7ZL|NrY_)N;eST`=>0?Rp3EM?A3XO0Ot% zF~K>7J+$!Q;~b^cdc1MxKgZQ9VeM#NH}W%t;zMv4MCu`Bj|DIss`m?RBW zWmV@&nHflyTx;7MbZk4ETKl=@XON!Nl=%(N;;l@9Y`{PwXGP3ltVQLGhVMk}V6@aU;T9r>ef5Zvi=FP@ZettB|B(2pthBg{#K}j{n!(#!$-m`^xC6KwDPO)8vQOTeYeVcHXO9L6)CdB43Jc z!(H2g=UJ6ip@4}EilR8{s-pciiV(f^*HX$qe*)UrbAhk<-<&qno8&pif5-w){EiM> z{?mOTIuY;_vYT$X5LQLd=SyblmaJbtNd*4Rqcut^>;09nQQul@dLx(`9>3U(-Jm9x zA3OJNOmx-XNd@(byXd7ezAggFAho_pN?Kr(XBPklvyu~j@N6KaT_uS#ICg&ZsMeX~ z8{j#81~9bqSVd)cQk2LJ{$X^+%?Er!+E7Fyw@GMNScwiJDQU1yXir2p^`0DGFwKda z&1Kp1Ye0p()~WU6x>Zly9h=UvuN;Mpcj&aqJV868boR0?m0aPIpZ;F@vViwT}kL@%A!Td@kP_@{dXH5 z&RQVT({xwg`B1y7MBi9-C8*KJn2YS)`6hlLCh32$p`jm6trT@0_JneRC1Lk_E%QgZjJ4!en@_pZ)R`-YEBr4$F5qsFWOk#-r2tZZ=~l)GZG)YNySdwPpz8i;(j z3{3x13C6KWyeDv?%2@`LPA)RKdZO~Vz+}J|grkREL3i($t(Pu*OGxnF@BZX``+7w2 zB2T{$NLC^>Xm*r zWC$m^)kf}olYC`wv5{zmG6>Pgy$X>RVta$Q65gFx9u>6&_vchXs2d_6xI-&L6v^1U z?BmUzB=oYkY+dqCF5mttTqAj+Zr*&co7>({;)X2+Cs~0Zcrb0?-ksszzTinm!)(k~g{-vVMqWD=GVW;-}c^|J2teRMX;j@g8~q zhpaGEFZ`hsuLmX1qHmB+p*CkH{Ds-VD+mC+-)CVa@=Sa<;B0Wgvs#b61F|nWm_Rv7 z&v=%7w>+j&4Dw<>aaoofm73(!!>`n1fNBkK5L$gB1~n-Hoj z`D|1yEC|5LEKmJ{(dDe`hCjz+p2>N=!F;y(asCn}0mTq}QpH|MC~+j5prUXvLxche z(9|r9Xj{r(Qy%mvaKWS7l(6IUaBZ;mo4BSCA^aKd0+*KfO^rGj0N0O&xW+0~mXy57 z1DzI*&r&K4S8}7zCeLp9w3clLC;ggBo?4nLh?Wx}MPlabn2ss84R{xuZvj@Nds6#U3l}g! zgGFgD2~d&n6&6i^!MuX}@L;X~A_SuA2}DRfEf$uH4RoYIc)GhcyKTgRh?r1+uF>u3S))yMnE>TOAcGk}6eO{z`Y#WdXK&o#CR(f}6lA+e}=nymhX+Gm$0t~on%@OBn2 z2w7Fhy+^kvwhAo~=cY$~;kEd#Cj;(%RS-PBrh|4j@s%C1kpg!G7Vh8~Z>mC~Wa4X{ zCL=~n!G0FaMQBY)4^(1DRLAFxn~X3m)a|UCWz;KKtgF?TD0luQhcvnMSGQe3$5wv} zplmDVI=wYvOBNv%sANC&9yz)kkMjd@JhP33;j0_58-P=yL_vQ z4AfkdNbTSJ)*EHGN2N|pcktnYAe(N_!9?yPUW?Y3QK!4}oW=KUe^cI(op#H8KW^Ey zrzkq#B5}>uXvFrHjj4dflH-^dU!I&b5Lv=~VBD>APfWKyZJ&k@;B=BbA_GEll&qQP z4=MJIiutGT2(-JkiSe$-&XC@PLEXIch%qyKPdboAJR68v4pWXfy;`B$_CW2*REs?m ztjxyK)YP<>30rZjSdUY!UBtXf!p771ZutrWBpUcNGBomnjL2kvvhw2D*&18lqEn3jc4crIMjhJnGk8G zKwg$nMI~z}2|-PT7aGu15b_@?g0~|euwR^9TssMrX3ghqkktbwh}FKEG*xKIQmt^` z0E|Et?~bfi^~^zlgcldzn)*60`MDN74r*5=$qO!Cyj z^v#YD*d zl1Py$C$o<#G_MQX1025~LQ&q&T?Rn1J>N;HCnaHq_;A}Y#6cT66wcV$6=Sd#SdlQi z`j@(pB~{+hk_kYG94*Lpi@+e{;1vsdQBj68z8?nOrvdHgQUY*|y{|BLn zk#k42#^@7+v>P2RG9*xTH=Rh8UZoD+UeI}}^D`p?WzmK)Y^)?A!OTrccG;GaLvMub zl;uGzjM0Cqk46Dbya&)BMgg{cy#;zaN(IvZ7vLhtD*q@>N2loFH^ronl=Yd}Nr9ux z#sKBPc;rB@G`5B5OQ$G61C@ zG<|Lx9T#|d1-yL57e_}_oqgEynf4mTh%)jvU;uiiHCVKtdrEW{N}4G^g9b7U(7gwy zF8oH%ptYy}JBaNl^t{Swc!@i$h$K6^o2NyC%XN9?cAt6>L|R3iVp-!enu^!lZiYp) zNI9DJ?$Xv)aaoP)D;G19J$XaJWE4!A%OfBco7M(9EyMwtPC=Xwa+?~9_BCRLdkA7s zNBeP9q$z*g=xVRpfO>$_=aa$ctm4`<7&z_x&I+IegDOmcg$n}d#HhO>LBW1s9S5mk zkT+l^fMi706*|uafcp`5EP8ObQOcwBK!OxGd4ZHaeNsmW-ZrGa+Q@=#9pP63T|*U; zlUX;th$iOk%ze-V-S7G!ED1P?vQ3@mA^AMxo+|jVBqd|MuO}1qtgL2>Eh`r+DET}u zLR^r<-rMb`3)V7!&bxw^ii;c(hkB4_jXwJ<9B-%l1=_Ik`7OHP5tV45jxZAiQwK+_ zncL;uafF7B=M`=yyF+GQfZO5`=5N=SS|_YP&{)yL>*e3#C0_Q{@MG^SgaQO8x=Yl# zx!=iNiEgywHARbadPR=7@R@*P5*zC#)d`!S?yFG<5mGoBNwVm1{JD2DkdSO~v&InI z<^p-p_Vfsr(v`}(59%yzWepoiWh=*lF1w5;ENo)@mmKG-w|KE*fa2L&@@p2f#}s`b;d2v;EqO$S++&A_shcoT9*iyJ z!~`&S&7U2t_N4U?Y(=2Y=#dOzBaQDdR{7L9RYTKG=onTj${2{8)9*ZzOcZq^P6KX; zLQk{fwd{=jkLPL)xvkUI+GJ!A* z9dI9RH$i~Z{4~_^g*da`k`~<8*XO9FaD90~YB}HNF^jWAjx$kWpk)&D@gttv`2u`x zQFhP#5?a3Sz352sK#7YX6zi^jwlaYu*R8)Ea^COi2|K$_R)H3PQhaXTrnYiS{`!kX z0c54|8KRTFSeS^SK>6w%m11t=&eqnsq*(+cj1$SBX`;@FoCd_$jqa$N z12WbnY0bwkW~$zi8d^UIBW4)$)_&4k?EJ(L`Y`!ssLI08LtyF1anI_aKntKHN>>iU zS_Em3b_ARC^pD~jP+DZj@5^5#^2>_Qhh+qyIAvD2MoM6AD!%{-2@1NgAee^O!{>-p zp&cLag&&dsLL*?-6?K!fj??!kI8?2cw9ek#Bgn(L`#I}54zsah`9Y5AXT3$$sT*d# zYxTB>@hq8u(*Rf8L{4h1c|z*AK$F$BVhV2k(w9gZb<->Ox7*WJ4V*PN4M|{`xCPT8^M>3B z*~JzS>&s||sZ3Jbu`EGb&A|?lM@OySWdFkIQ2G?+kSjh2bS}JO78tf%&&)8qmfQsFCb&BIlm%BGu@DP&Sm%-xm$4O?tfQuk5K(7wMLP8X}beCoSM!Cp;gdy!POJxS90gs6ZGF0Ld)?I)Q{73w0f^RRk zD~L`gamfDhgt;jMNE8Sz^8SBz0S&R?{hEVa9C^#yzt;(V+bQ>XrmoCL^a8;7?^u4T zhoPH&jzornR^0~w=g{XUG>cN?TRh~s_ga5~22P=vTFB^^@WLdq_Xk^L76X%m%<%ZHUw`3< zd5)amy9`kn%d9jj?F*5cPu5`WFNAF$@xc*q!kDyjgW~BPyv> zE^$T|rStf^m5UFxhM+cd1g6T<69vzjw~ljm~_B_+$j) zW4B`8lr%*_V96AD!LPXD`5ehChrfih2@9OWFXN7VV(Co&2*nFV8j9#@sCaA~Q^i8z zc&U=(>>2GhIdB)pggxDffCM}Hy)uG}17AqGi3d=|@4vxrUadLExW+IWF55wu&aIc* zFdGZroS-XsrR(E3U@{(q>r(mp)17nZwMa`ouc@#z>N3%cV1sjwnZ#!Me7YVLwNYQT zJ?1^BIc2}79 z^`}}VV*(g+=%At74zBvgV_r{9j0aXt}@M)hH{lY&31FEXN zT1y5)>eFZi?+_S#mL4RDNfu&SnrXyT`ijkAfxR}R?_2#M|7npQgM%E+Y(uzhdQac1 zkZ(5=b%~rmyX?=Knc(eY#k3)>7qgTCF+i`lFzw7no-FOF_n zD+s)4;o-Yjat+E^3{>J6#5VRR57SD2CS`VUiE0+91!h$ea`VdGo+j|{i5TAWmN2+V zYUC2)o6+D>+Z^D?Ap9Y@=ko!uKJ6d;`c)(fiV399>4uXsf&ZBnC7iBq?^$J8P~_YF z3zsQbJ_NPS*vF1{R9Nw6xIkI5h4`dEIQsF54QFSU0>%8D!+VpJ{(1B)I!T2ZDNUx) zkdLv^0oA~B2?A^4DNgOWCA>=6buoz6a0XtG`qvz zN#d3gwL+@R3+2j74_Zf|Lv-lid$-D;Adn9s#44uJ(k8iU?B+T{7V)DyWGndCTA2>u z(w`fx_VkL=efuWl9;paTRrMOj*>5DkOKPleZbGDo$;ku((u?Vwh6I_--r4*)P_N2Y z@FYP9puB!t1jGeIBg`v7WPw$fpn_&uQ%el6_7-n#lBV+$-?k-`oSg+75J)wXnyByG z<~J0fkvWr5vm#Xc7NLi!~ zEzZ&VJC_d@ZlizBHH*K*r0Ib2fy`^ zj-1Eg&eIjErs>Ll5H-e+P7**{a>#|3FJGp@T1+|NwHhyR2c0iu9-1Z*Jbw+}-DD>W z*psDnDwlr`hGsPC%RHedkuS`UJY)L%1YyiXnn#aE#}ADTzG(j@i18h??Xov9V_P3` z-0la00&`BZFHN-Ce#gI+|IUhz?_tHwCB)Bu2$47+=HiK2$nEWdTRv7Tb;V8w0*FXp zXxgR+uXzJZNS%kRD`e*9L@xtD{;-9($3mn%p?)NTIR%0;c~9DZ0I<(E4f`2Hgdi0~ z7Ka?|(iAIWEZIgTUYM$5d#dM7MXvJFK~_s#6$NczA%D=(ul{=0U+$`Ik7Z#3#D{sV+F2l8))V~V9AUzrn72&e0_xbAL6%a^YA<`FW!S6m~EQl77( zA+W}NQ-mkrtGtozWnwHM3<|lcj+2+Dpb3Z`K%^lSy83iP!Ynd-*Y`a&nj&{p4W{kT z=_--Zj!+IW6KU<;Esezlw%@u2|Km{=!AMbziF-N6oE&1O$Iq2ry!BB%QIBaR$|Q8{<@VdZ3z#{3OhE2T9{wxjX!@dPm$MPifn z0kY&jOOg$HBE|kKQSQyIjLwexzL%z3q4?s;8s>2c5{9PD3>E-*@hoTs z=R1Nscf6Q(SZuzsc8*;4-q4L!MT0nXE^IqqJB77q%KF{N$Dn~^ifn!z6PuX;<*Eg& z59I4}2E8NDt7-AX|59W=^i_WdrqyX%h97OwGE?815?YwF8)Dim@78@}+tdm&n;E&b zcd!1N>B1nI;E>!-4f3>rOc^NtP2xG3i{6HQHtG9U+d4Bi$XUFf3{sxO$@#*XjWD9# zaF0n)tBt+_+%<$$E3A-Z+;Z- zFT&*Hc04Kna2(!#aPOWSJs8n?dx`b~rFU*m_!Rl!I$bB4h4oN$+ytm-W+`xhXeaBifo1mLA9>kZ^RQTtNeKc>IQ*>u*7Md>7_F5F)ra6yxM8jLg?iT{@sZFNMx78KB;{mfi zB3B!I96xcrAx!5bS0aBuLf#ziMDU^GotIeyO*39Yo<&F9g4=mLo;D0Rm!oGA$ZcW4 zFC=4?kNig0dZ_fy!1*%2L9JOTv_WmEt1W3@-(Gcdw#-jB@bdG&s)E5z_-c=S;W!*H z$?W==xpj8)ahQjek4kw%sijdL5W0k&7PZcvaH&_{~+D}Y`|py?SlUUdjD+z;Pr18 zrtz;};=c_*lK$KMuNCcXk#7-~o4K)DQ)S2+`*8qHR|x^P0R&E6fQ(4H$sLuwm0BTP z|M?}i=oK+BvCq+ZZLb55c8;QsMpbq7U=lCW-Ljj0=eFy_goK3j^tpOhYqA0n6F7Kn z;M?|mQ(ambG6)+N41vgAtdhx;0G-~u3(rVTfAr|l!c}gLQk0F9=8NrU%1<9Zg6A7g z!k7Xpa~v`S-VM;9eb4u;0F8%Smff`81q5RGNtA_5csZOUds}8wN6vnHc2)<2Ew8-N zCVzK=*qtn)+Wa7jIYlD{8IVC!{qfI8Zdje2_xAT)T))|lW)^ANE+b;X!^O_wSgPma zECm@79$#-)yGUd|#u8T;fNW?(-l!vyyZbOs-8DjKIzf1v30;73ph*Cix_3_ zmVibo@Tz_Zv^&=@oUB?ya=J4Uk}%gAV6u>M&_iXBF72mpeaQ~YIb<8qCl&>5hT2Q$ z%RM(nGF-cb5R?On+{oSeCeo#2)HoCL3U&fADU=JjlS7Do%WusBy+jolRG9bl^nByB zFn8sE-dz15XK?!4T1mI((fTm9y=ARYwauS!83d0})sLvCC>gg>cWX?@#O~bBpQ`7V zZ*V?x=oRbMIhpkv4Sjv82CyN47i&}p1!ZO2BkO}nTJ!gdjB7bG*ZSjC0_R3XhzZ&* zw>Yob-meV+B0O%;_C(RqjBQqb$m6{b0+LK2`!`XP+%nh4Qm)Z)nGGDlS9jz1%4RWS#ROWd?G|>kfO+z1M0YwTHN87V14Lztfm zaK3*=&cF~o6}11Ff~-CcONf2!6B=0lR|hM7cllhFUR=m|*WJ5!FYnd2uLR1djsvBO zyD_iiAE-XS$-X9i_>fuFW2rsZx%Gl=SVG$WoDWAULqc!Gs7B4@^zvkT=UKt?NJJpj z6l6ODy)l{B#DyU-gk#Ew zE)-2C>J%RM{_*+2_wV1uVxVSbW_djCm>U$rh;g=JVqz|>{lXmzdlW>=EoGJ|xjp^&|F2rE1UhY(nGD`b?jMLQAq{Ur&1QKYLiID`n z9_*UO(gcbMfz$MgH4Ik?Drr^#ZyHG&Sm8t!f!$DVq>h(}Kq)#T57 z8+cpK<$p9QMN!h?>Xw@tEw#BUcltZAN3sA89T!%%X+nw64-sKSl$$ldS^O)^TU?~1 zq)er;(Z9Yc}!81l;9)6;rQg4b6EhtLy8bg>iDVbOEjuSDfFtp#LdF1=|d%mUun6xRmv)VI`(Ix8-f_EHgDQ==H+{VzfUmC zlx6X_(+G8Su70taxBc?M!q5adgwRG3d)m*$JFai896NUGw;9Vo*$u%vFJHd2N%;A5 zc=*nXPoF*+mY{W!{Wca77FA$iU}0h5wx@`I^rzH({AgrEOUHKnuq^R~MdR?-uiP<) zf-!E7*hs?qMJH&cGuMA7t@E9;Y>t_1iWUq|swfMAsM+sTUr1}cn@{sZ1#RZ#epvVn zFH%uY^Q6;RNWZ_g?S0YDxV;{Agq8+ZVoy7ld-COzt#)7H=ik4` zn2P*Qvqyi`>VN(UApF&?|M`o&d&&Pi@XvSu>#zTti~a8}>gG^jlzXx8>c_HoapG1T zDW}Ta=bv53K415x1*Kj)8f|ap+dI3SXo7=-yy+XCyW97+#!Kd4T`vCqzCQhyZm{PV zsje&ypmNAQtru6`t*^~jdJK`P&$~dvn;VT$+*tb#pL!q5x_hnsst>7IAvsi* zccer_L;xAB;Uc{{U9`*+r7n~4M~|(ot)a}h*I)gCP3d;)7QYiS6Vs&}U17Q3JRj84`8j=VIWvlV;!j~hm2oKqn^D^b@=o;PSUQI#tfG5*hu4js`W@f8^-k)R&C6Z zwid!YhUIQ^Ft86ElapKP&er}?AAZx(@z?Y;7BBY>WfheY>yF{XmzkAoOF!^E2TU$s z21U0Y{rGAg;n{qXN)e~=(b0zb$9QZcntnD3YkK1vd^qNn=KB{`Q-hWAC&IMf-lM~~ zGQ+K3!?j=QE{p(!+dmp0t$n`7t{l#W3Sg3>A#D75pTqa8EWUOl zFV>$AgM-z9rNjdWS(;B2BO@cBrQpi<+4He*U{0n-P6=Ilej!Wq^FtFa2JeA^fnoa! zk4479C%3eUZFLfw?(>GPlqM$b-{CdaqqpD<=v^Pma>TOf!O>d{sRtZjZRKZuCAqPzsJ;~1@8XXBVu^bhh8s1iZD=c`K@y}t@rPDM^xWn z$-q+YDZ618s{LkYkV^`+V&eUaU@mwqic-7T4vXmL=@+l9F1IBfE78t8m$gIIz%R`O%J(MzlA7eyGaEi-VGU_b!xVs!sury`PMZS{G5$ z4`n8K?c6^UMaFC+kq-`;$jRscQuV#qP-6f7PF99Sk}=W#lA$`=dT*Wq>h=@r@VYJ# zgpPp7do(+CxHvhT_fy)=B`V6G^BdS|(}UO+<&m zXBE%%(HK;Q5|7=qtvRlL*sR5%<0mXKY)*c5cJ|kaRjReGma&=5QsQ84)fLJ*ji`#w zpADU?@LUeMq~*iSA?iHZ9%AZ_9Z;WwS52v`Wbne}8S}{WOCGs+}<2l}R^>vZ9}T59o)|m7enO z{hQO0~)(3S_9nD;~rR0@L~He7YLWD9ZB~mvcH;wlR!NR)9C_ zjnuWUEA^;BfQtMYTSYim>}#E?O}rLs5lyV;J(rO6YItatD;5l=?nMAqpo-7UD{K47 z9j3?J9XrDHP^H_P02f;{6y9bLk%P2GI1r+eIa3hu6@^rwdaA$hq%#X&Oy=g>C>+v~ zw%vTER;(=&cJenL#%Xkbb1;mjyaFgpUb;gsQ6Jmgo{Wd zqdArMbWwAkYtutjm!4Z_nY%B|js@+bM`ZD5U%pAa<;9FMaY!>`%=<=NVrBQM_y z{2Pn%@Z8HOr0pH%9eNg)-M79v<=ye^#J<2mtfek0Qo!$_Dqm@eK|S*pfWp;Z_3Xjv zPqk8&%|6F@oY0r!KKqLlF1MsQo5M9@E1;8ayoy~Y{bOPxH+8s%D1gH$v|Zk$llKzs z-Vb&gpJ24Y!sAxBTtD(s<@@SlZf<%+Ndj3tt)|u)K-eIC*1lPkht+W5!^~&n{rz&+ zhJ2VwV01qLntUuPSXCImR=m&tYTvWO%l#tyMOmufvFCu9;9DO#s$(r5sMfKI z#J8pJrjXfahS6?8-R{Ul@T3F&pq+LtUTC)+1t)%=ODeYb3vsk0Zp~PT%I7!?R>bYL zitksJyK7!z+as`AD7;6FcHiMQP6dfT_DF;Xs3wTmvmbMzQ2~IMYvfI8*ql15w0`d~ zhFSND7@kB zBK9XANf9~=o79v1Rk79`wJs#oEz}0QLHqkxOJgQ&sDs*4OT~m8ncb!_{ppZqvX7hz zWmhPHh2_!m{8Vu3#aey@wM?b?8$wL?3jt&V-F&jK&onOp#~eB~IU7 zgnU%tHn;7xo0XI)nO+ll?59X28ul`q{5_wY+V*s73l#;$nDMe;y$kwPTK@*UB0A(j zr~lNy_wm^<*TMtEI$VT!4tM?&sFKi*Z-6*LjDzhGlw^%c=}x3nch_DM56&J>5|L2b zDh#RTVV_~y(Z*A4f1(BTMp!Z*2wj?4S^PaVM`WnHckkW;O~`9|@6|cI!v?YkpJ)L& zXsGpo-lXv`3(Nv|2UL+r$GA+K|5@Y@7Fr%&-7oKDxVG!IGIe7Q74Z7cvRAKshsW8+ z>!c#MdUZ}F?~S4gdb1lPil|jjwCj1w?V_@`k75%VF0YTU6t|9R2^4HY3(;)hqetD= z*H(cX?^=cXD+2nUY4TTWE4%vfs3&<*FWGA`T8l3(EZ7eejeUN5??9sa{7;?SLw&jp zMWXTu@ZJ^XQQQN=B$GcOE30?R$huU4Nx`HMY!iq$pjgAeFec)T2S-#bY&?G9u%wzQ^#H=>o+*>|>G`8|=qQgYz$ z@Zh^~tC z08ZqyaGj2e)&5@z!~Y)R|DO{FvhGV}BmX~Wh*$VrhYEWSfIVaj6xANy72YI|&Pwlf z0QL2SFX2M6wTz$(eJjw+T6 zoa4!bWD$+1@|FSM5`@1dtW#w(%A!x!TB@oIs8-$!pQ!|&eglSj-SG^#L&~;W_1FFC zyW4TT+?@~8FW-et=2t9@;{!n!Kz8JM?cq;ujd`0%Xu$!2fmJ`2=YI5ocwQ^THf3dE z;{P<3qnF<*P289VF^g?Q1vCJe*#m3;qsog4O5v?PQUp{wljO1R`QCH~1jfvcy+^o- zm6*eWX2tOhN?iV>7j@9sAkUI9W@J`Y7T)l*D7a)lag+V#9#=<`S1yyyhbkZJxY8U$ z0Ajk6i;~~MLG0k*Gk#sV=?cetz&%GPIXS$LPeBEqKR?JI#j@XG8j7Pd3oB~^vSGf5 zE+4ngH+;FX6+%c-NWvhIJtY=WO{YRydk=Vz^dmtVhBU|NMBcD}SRko{+c9c;&? zy9)EHSph?qfK7?U_S+?`+fPV1PqVAPm9ky@+WatpcrNj1kT$s&*Jj5{+RdE)``U-& zMy|2`l1f71xO1LcJ;vG5vBN4X8rv2UZ%|0c88tQA-rTqqSF{Mo|AL_&R*g~VfCW*H ztnKWAUyPr=uF9*=A{~u;yyyr~hIM5;Cx6;|=o&=+4Tm>m#D?lX0x#0yIUC=NN~|Hj zDpB4~=?K*@XoD{$ZPbnwne(q)2!`98FRX95WQ~Rf4GX$JU=El5$9_uBje0fVYBta| z3(aaJGL(e3C@0*$eVf@K_r{Oj&l3a1c5@-2WC%)>wtvX4t?Wt)s?t|&F$oS2r>X>3 zvVQANOAUy{CL{jLxID-gfLuf~`AuCYi^*%(+w%3{?1x%|KFvW$%*s)q=_T59DSI6k z5;`LtkNQL(w=*a#OxbS3MlUGn1|?S;d2Km2TAxqbPD=7#TLh0~Fol{sB*qJy5fql-Cdq3a(@r-(laznOurtNV% z2Z!(%eDtz=AENw29jKQYodY&p$G+UfbEeek>o)3*^Xle$!XK2{8Fm=PH@}^&askh+ znZ5F|kFOw9`7Nlo4`1z3hqncuU+QQ(G(@(@%SlKu*8j@S$;pxEVp09s{>0a(EHyQC ztsizlR4gf4*gy&*sEmq|631bVL~ivxW74Bgu#InDztu<{^Bf#xKRw=)^Kd1ITkQe; zTFGkz;z!uv%XZ*}iMy`c?8+&h0c4cZ%ZP`Lyy>))?NX+sb1)Omay`4+->4>#rTGtqz2zU(OifLp2<{FmRklxpqWbyf)Tg{Z1Cs<3;G zjEx0yq1J2fr8p6Mg=!chH?PBjPV7dI2l~wP<-dg>qmXbS1^XJsa!+dsK+5A~zkMNu z_6rjWoHu3D`E8VIU5agchS=@?OO<(kYLLpY=X6g1VN0DxLPA3K=N|D!xOw-HW9CQ% zuFTKaDMq2k@)-~*C-p!(2Y7&#l$1y8-zx5;rjPnk!;$mi#S5)M;S5nKDyrsterJ6r zQDxug^aMDAC?gc^{RVVJYrHp&j!3b+YWM#tOn(%A!a(BWhCMJ&E7i z$>^O$R7QO@QS@W~V|nk2*xHPX(vQ)@BCqTs8;5~68XFs}?<8--0gA9b==X(2@8nkF z8_w3riD|gwk;eL-L9n_f=QaK2CbdLK$$?tdx0m1g^Ph_rG1B*7Fs#@R{bM?>7m_&vPw&Lm$d+b&31j z`0mz}k3MA8oR;0_%#-;4jNgs37XC9gh{!29}&Ys3b^UdU2ucJJ? zIoXyaVo%a&U5?5Bpx6&Qw^S#*9WN^szEQ(;&-{$z!YbeD~!eQVkJx+nLNc53t0-Alv4 zhcN!MhOqsZcMcF1jeH}u9h76cqMmJggc?*HV)-tAytF}?9B24nat!~3BK}{7BN#~k z_g7neEPw+7QdPp)3wE?6>a)9a*TQ&|Gf{t#65rUYyf z5Reiw-Fr}1iH0Mf?hkXJ-9(-LQr%8_)4-~J_1r+^z#!%BeeQwG=0X<>YVHJ>P4Vqv zT)uhe@l>K@W!&{Jm8TucOTM+hDKL1ie;XkG`P|}jUo^d<93KIoWbNI0th~2e@mazy zMe5?!$F^`Lz5$p)XM_QlLChv7Qa>RM+7Td~Q1s0g6coVDI8W-9;`GxbP302>b)L?m zM4?egOG`K0eLRps+{)=U;H6Q#3iW+>SkAhgTS`wK2l z4ix{YynC0Tn}v~)k(qe_z882li@|nV#~U{$-@o_{y8)7zX6d!d<^#%{e1m;`N7Tij zjBKW)iA4(GM{geZNIY?%HInOINy$94w>!SRAn=G~Ts615q_1!GFp>baNfy9Bb_x?f zuIA?F*`ZXTql0sN|M@d4Z(mE`$3@^?o^uJ(UMmZzn`X5^OoHNwHURRy?bXtO=*!>k zM~u9)8F!`+-RyHaeCD{H`(%zCD&Y4>hRbMswHOpD63P!ySuT+KD1h>tug z_!?5?;lu68M8p%WAN=(CmN;-V-kV-N&Lh~eJ6){wLQ&{iJqmlzSCi6f3i9vR9{Kvh zpUrAr9Ig!p8|Z)k-bPF>_u?cXS*M4)iJN{d2d|lC2Q5nI#Ha_LPqsG zJj#i`fi6+#j$}-FEY7U{Avwe!RZ>w&W%a#Bxu0Po?C5X6@7ev*(kzlSj#UC&vS#EeKjgS$U zFhU%c(dGj>_Z%|zKtUPBy=7>u(%^du@DuR?Z7+?UNk%oDs0ZT#iW=@q7_;P4{2!dM zGS6kH&L>vRJl%`r!f}zd7z9=3j(PX`^yjzMd=_=aSxM3=1!0s5OF+AtpZ5sshkyq{ zY*5AM($;EAZr(qmxNGp&paIjf#goDEuV23!wsNVlt7lz$kukgPnh(c~*&CXdFR(u}wii;p`)++Gnz^(;N8v6JV9O_2uT~!Zrvy)h`jobs^Mx8Sb;H z!Wtw(Q+Add@GrU268j{w z7u~Ob`t~dRxBcE7Cx9Bezj7G+>4(^`F#B|NKe2+4m&_M9#-N#To|HwGo4UvNbM@WS zl#~K+uNzq1(MtOmUHm#EwOsLr(;1+IJx~f@3IxA_L8P@)s~b0o({-+bRr=ZnnacGw z_ZxBP>JQ1H;K)y3r)_G6!RMmfsQ34fvsP}Z%!DsVkn|2XLiQiu=#7fbdMPdS?d>ZU zI)UB8YEV^Tgf5*wtt5Momc{>1<&7UPZYoM7C&O;ut?{Z-+vdMF-oLkZ2MSB#P79s^ zP_CYb%Mx)>JOg!-M&7*raP*%|>_6smfmy5i9V+TLmDVfgF|#wIc3bik+hXCNetx0- zGENo(#i7Gn**3ap=~a$H79vW9VyzBEH;xpJc+*cTb^U0F%I1#qmglesY1tWQTs!{qqLCuJMLMF(vgfor53Yllm(v!y&Do0Pj5v^p!sFMVlc0Ed zers>nw7^)9xHbt->y($XirCEDj350Br}Z&NG6(1kt!Rx$yL3naPc7vhcswAL!yjFW z?0H}V+qXAD#mp?l?UOFEtvd|ji%Upd2)f^Urtwh3=l~a&?C3AmHK>;t+MK_^LJ=?i zh#D0)myxtpgPOCO$bWF;d@%2qVo#{pi=$&>acl!&;o%y3gnalje|8jdtv!5iB`PYq z;N21{%Guc9aWs@Df4btvM`#0hq>~?C>D{uQz@l2p-a!4n!|p&s{szI$kV`j9!`$%!CA% zb?HM|Ik<`OGPwkO(rCSD7r52uwyvFuS(7(U^612!3}=%)pG&aJ)d|hZn}m*6=nR=M zDhlEUFjBUaV)n0@8LvqJyb*yTc4Yv$A=%An5N>vSu?w0B3cTctd#FS z@4>Rt($}uP4nU+EIuRgBNcE@uVk090A@Q5K9v`P_LWKE-eN)8Z9O4!A(&>XNoTX@o+MxijEHTnUz=GeWX z6B837g@TqAP-u68bE|A)R=Y0)nBla`D38F}j;*lIBV)UY3m5=urQQzFHHDj7xVKPI z{h$a>5Y&5iVK8bh;gOt36ZLU2tg!J3bSH_u+gJEJa6ZVch?Xup$@!^nUc8?nc)GSc z#mM-0P}UAT4{FNikxCZUT^a9C>(NR$zg78C^=QG9ei32{E$^u05zSMi05R+*eK17s za_~m8vswD5AMiFaY)sX)wKOvs3G+=MT(ALoQdKB^V!$mf#hEV*iFN8A1@D%F^MHUL zHGLYd`%>1?&<&O98ReJpQ5K!WzmlBlE0fdUHlEfa+Y8qWH+7ITG zXK-ZSJhFJyU#>OA-0C=7ctvUNd4&SLj(ukJ&|U36y=HnpJUo2x=n)qOmf_n6!}cFT zr8>G&yFL>n@zp3VyF;o7`3c5}IlOR4;{ihkij7#ai~<)_38QF6O%{ca3BMzFpt$}G zF4zLqoL_6w@mrfb)xIITOb+!*gi~I6?JDY``|0G){rmG)*0TfzRu+Dl&k6&Vr1iOgmRhXI%%q9|i$JjGT)=AJ*LnJ& z>{@mptF%z9;|dhv0+mi-?aI)(T|MOlzZq$Zr7y!@zP!z$MA2{MAT<9F!?m-#lG1Gm z=HC80_6t-*7XE^nz`QJ)g_sI|C23>u8*bolhr}p zC<EA$c6tYUU$%Dr+qZ99zDGsk_>CpL zUg!H}siUtJmX;3ekn;56u)-I@#G;S9{gAj;zYHJB2b_n|}ih{rIwzl-zYdHLeS z^`-rPUrrZg7oAT!8~%uFsh-_z>PN3g&?Y(b`BqkXtNhl~s69aDK%hI~3M6b?iQk+z z5Zry-_3w{rlc02qx11CYjMpUj(c3Pkwi92yTK3zzt7Xxq`GFRM!w2#Zz1FsjxKo6K zCJgZ4M^G1^MK9O<%dhYQ!O8yhi7bNcBYgS^nO*(s6Wvn(`eO3;{qqUiM}M!91=2>o z%0CzUdlmA_qF4Oq6L`^sYPbi;kRrc%_44Ik8z;h|qjgX|US<1mM0?U8{5c`GM)xZ$Jd>i|OUm@1UEIDRXu6 zm>=E2i?v%s+-7heub7w^?CWlqfzFJxj}Tulzp3_s@5#9~6awJr;Na$Eae<+)Uv(gq z(8y7dUu!Yp0kUat0?=~an*~7T)+CKN*15X6J`mJ9cOM+abJPR!?bK;mKq6XSD15!0 zol&?4;HGzpHzx-uxR#cdD%#GjRM@=2IQld>4&3$Uo5Wwfn>AT-ZK7XvUm>KYZekn_ z+wld6M_C$%h6%VE%rUI=^wY*Rq@JGLOKG_8-GAKECPC4wParicDPD=W2jtEnOs(}M zfGgv$z+9x?*GdX7X^D)O;>nY^l`-<(Ugma|jZqZD(f=bx`))9?WRtn%)eo*IR5hqGpG(@m_ zEnG&RI?3}-T!#D>%i+TarEHpe31o-6ot`~M62N!}NP*);tsFq+Sn20Z4N#*QSy@At zz8sDgy7aGSP=|=|H5(~;+vA|UGh841D$joR(~A%n5NN8a;}H`(FWWVV@_X%x*KTVY zK>qOrsEylbXe6=-v2=lu{QM-bPV$Wf`T4U^8?q|}=|~ElOL1=3GLN#jZAFOjMA?-f zCO(sj<1~J!5F=GPP$t%&4>%zZ7+^hTAmh1IRCeU|$D4z)UMm+9H>!LFMd%Jc!Ftr0 zS{=5__UnfXh%_wKDzWEd_6X`ufT+b2J_np=QQL~0(AD=Hb$^`al!NK)XouKzGSZjR zUmuz<+qdB3gO%PUiA z-`n&Nb7NgrB;;ye4#ZgXVe>esDW6JQf2=XZs{~T_{=qJzS0LLVs5S04Skrf+3 zf7#@{Uehe6($_?0+pum~f@FD83oLT4?WVpR>~brB{m z>d))DdR|vSC|FkU%b`opx5)17-$5J9ID(d6_AM)r$Z#h0TPI_|3^Md10l_a08I~Tt zG2RXRBO-!6ZqUc=z^0g;lyqz%+g4WR2US(-uYx@-NIQHw{`GiaQ4w;Xk};6L=%XC~ zKs7Zrv27Aq&_TP4HVcF}7jsnKjPM`WW2!sSJxEg41|yk- z#T5VjbtL<%@EL{^KZ;>rg@RInB=a?bqh{Sm4j&9EwW(zHa= zOOM6pZWH^3!yhwsGF(U35FEoOkt>!3pmDd6Yy#qqJuvZExEUZ-85KLrBK-mB-taoIXAeJoxi_G?mCvb7E{eIrYzF` zmSBSuheHL|5Xk*K(wKT_7M^_ry~KmKZv&Lbx73{9VlYz(L8tRJp8+vf?!_3t4P~)# zYB8ddrycEZjB4siKmhfP)nDt##z=NpDBB(bBtX$uNiY&+8e28Bnj0Vw=~QLO^6*fq zbAE*0`R(1KV=^-3IYbr-Yaim>5ST-+Z_u#Iv8qFm66VzbCB1Apafq1S7BXr=Nz)z9 zasF?=PqgXIilm92|Iv3ywkR}#F%a}^e^f}z4R2mTGk@?40%#p7YsJl}$1^msS16N23C#^s z`V|iy%Rt}U_0rXLJ3F__q2xFK?gENwajs(RPr<$= zqvE`}rlxJoF)WMJsqZ@eAgbb@@kZdAb0Bi}7&(kExwYDCK!!uzM6H?cZ4R0_?U|)& z;vdJ1ian9E^;|@-5>2K4{1%UYlT@Zh4ijI$TAm?k-ccYV{Rc;lA?tlbp-XR#k+W9l z&Pv*td_j(%ztPm-Wm%bGNp?5U*%49ZEboIFSBo;0Ns7Rorn{^6#8)`o@vf^kTv`jCVnj+~*m`8+YpMH#6T-FTMv(wV>aYLJruhx`M$nFbCrn+SgG$ih!EcevVY#l)(A)c zfh_w3;dC`KYim9+a#YrPK*FYT1aZ%Jo5_EbZdKKQkP5|p<9%MA4~R@XF)T2>U3YTk zs!&Z+#-LnY?;pIbo~~#|nHbHBUpTj9ph5c_#|Fy0K*_{Gx3!PPmgTa@v*qzy4gbU* z?o&O~{>`#)rsx;uA20vZhev(p8_W^miv`JYS?sPw(=CjnG*-!_G>eR&4=D#eUFr#_WmSYsX|ClF7K-HxHR zerm2;Y8Xel%cJ;?Q*lBaGVZ&|lF+LgJITS4si)dkc5^&>&AUUr<1sm-eleT|vWa4n za>lDviR(q792bqbe2eka?@6y=lSh11Qn%%~zZXs$@8=fnonx}7!`P$?ZE9S!*T-D!|zn |K%QiJ-upf7&$4qCQ!KF)~uqVMh`h#Q!)($Q{UWLIQed*Wm zIi=5(1~eVwZVpk`kw0Rt#8}Md7Olrq)ogii+%9^zHa6M-0XA*6AGIAa2PB%!gcX0x z%`~cONTZcru|Xw|P}R}Fp!XTV>sZpD&SsVBqjfx1Ox;AoAHPaq5h27Mzt@CiizCK1 zla}6Ha+?)z9y(2I#JHl5o}JYEmo4B6O2=KYjW{ z-9+13?XEX5Ik~#Js-M)eD^6^zYC=Z1iSg=|`ISw7xg{a9 zkO;kXvF`XtG|x_gJmxPJrawa(Xp;6uWB|{$7@mBbPUd=`FeoG#W}-d;ha%UZ&5I=R%cD~wK}m#SK8i+uG}`3f>~>YV+h$Wxp3y7v za3gu?Gchq?0=E)I7TKgjhK%Oi3*LV-+J89k|6{64Cd_}iGv3Z$?yOC+4PxZq$;x`% zqJdseFvod73A!M9m@&k!$ED;Kv;LzPXl!gxV`*t=+T}tdi)UtMAy2)5!?$VkX4G7} z!v>h&!ED1V>|lH}qie@wv{7tzFvQj;S%^b9Kiy=ci^^})>cy(0E065F>2`_r-#npj z{R|J6{rvontUtt0ITt5Z+u14RTkN^A;AoFX;!CD%awh0R!j&DIK&D?pIl+)al^OiQrp7=@1)_Xk9^?7&T^XyhqT)#Ki0KU&8xjG9S?0 zQf$!U_gVeuKt94me<7dt@%?OUVGC2}H|`75Pf9J|PcY>Y{GNDudEp+kG!R3>!s=RM zM2rSJ2=dV4z@-e<>H)d1%>+VS#=H6T^z?jxK(9@ZcHDF;xxb;I0pAG!1e2W9ISplS zHAK(?+;&T|jb&;iwZ;fPDirvf#n}eHB3Am5yx^tI;3=5!{_fE;NxxjU|EP0>89C`0 zCi%-7{OU4~DsxPeD#2S_3@FD}3oumgwxPlI#Cm!8ixbqG?2$xb|d>C;hQD z3L4;7YEB?DKl{)lpj{{CU?@)Zd7!VH$Um>V8WcR&@{ zEK5ODE~M-t!UOmVyWhXRRIUamK5}$)G+-U5+PC}>WE}WuA3lCOJCPh38k&?ecx2E6 z)1BQ2L+NB`Ds=fkGr)iu8RI9*N>Ge{ILw5pF-ALPN@C*N!h;ULk@pO`hp>DY^Rbnig!9wj}vV znMF$;sRL3AB>wv*Krue2<9j$_F&3a?l_bAuBMj0KU09q zO95B4^u_CFISA!;9Z%$@*>`wDli_oVz%kA)jPoko<}>_d8B|O#H@}Wk2%HZ|wC%wF z!``oGG8cd6##=AL&B?NW9d%{6Xe;5Q5!@BModg2GdwKFm8ficsO z@3oDn6?I1ZUUw*s&te;?({Y^rN!UJG%F%}OZnm|-c~~lzZuW1%1)`N&fje`$EEA3k z2&jp>{X!VYG#nu4p{%=$R}YyE@z^ax`KhTrdf>nTK0Z~ZF`YW6aCZ3}U(<3eN@*2O zaY8RYmrkrrMiK0?z`+GEA?8RQ=;Tk5Lm3knW842=%uXT>C#Y~e(yXr^^jgmIYh5b+ zgVRjtSGl~->Jh?OWp>^|Q)E2deD(*#z}Q}?2^N^Kl@wv;9(_2ZS9yhM+e3yK#N?;l z|JoTQB(j7f(gfL+Jlv{?O=!negmYWDKJa`Ym%wxH#pSO>(=cZS5^2qBYyu;gA6X;L zZA`+s43ecWWA3E*mQlfmykB7TYTGUd^FU3{shGw@sA)bbxiPvLO}h(5H=LZ|r-cI| zBlXfV)+D_M-y~Ye%F2p~bAEPqWIlmhV=9ngs1UyCJ*Ry8?ZIz<=I1pO8X@@X(o4@H zUVexA7K1Vd*aQDDhWB9w0;=W2(062VcrpP77Snm$@OjjQ4l@Ks=X-C*&H>Xm41%&a z_ehN&nljQlSZ>i6b+ePG-&Tz$8X5LD;k+WSS>&A+R!&~>{>dk}ySr}I%ZW$(#| zonE1AvPUWIqMS9`TNy5C=M!q~05Tj!wq(<#)tZUfE-;w8EeU!>lS4zvaqU;>=N^6Q zJV_E$aez+QbQ>fqq3~q3j0id3@&1|7v3Dt0gj}nzzr-3@zb1R6ok@_6G;tya6cz`F z#l^b`f^;f2{TN4yLEs!eTF|#~iKTApDIu0pDk`&;Tu+g2!*0M~Hp%jiK<6~QQcFj{ zPk|D95VUb;`VRhrFJbImIH8vrm29v?%U`eF_OAovCHR^#rKc zm}Si{xf(S7gqixeWVBz;Nct0|Y(n>C5F<+VZ@OY>C4Udj3Act4mDQ%09UIueKt_x) zWMo1qE&=d&ZvxR6fT<{z>I!JtUT^>PgEwxbYzRM_ous}8`m`nW{&njjgQPIB23Y*Nm3fnj1H6oJoF>nraTst3@R^AfUZrXH~-Mf|i7bPnMRKgD=ss%NauY zxYf=SX$aa+7feMrZX3qI_KB(-VcV26YR<{b|AeYO#gbp-yk!Ip` zzRsmv{{e&`3|1KJ@x%ehJVcQ%Bk1+DVXRG;+|~mV<4~whURl8e`tEf1$WLU_HLq&n!FGEJ^UduqBlW@?x2s6j)9t?h z{#L)|1f$#Wrcr2oESpuVpdZ!!Jo5HA0vejeeqLZ-(778^zi%)~!H#?+XFSTvm-A@P zUvE3^_uE8jsv}65dOh3P%ZiPxaHN5@8+t(eTH`iJgoptu?^DIsRz%!{IJ#8azYW-N z0nw23AIbJ9ShoS|55{Zj>zmtjF-?E&@o^^6BQ1%M12NaUQRCJ2jsP%aaRx~)*h&GR z!;)_5vb%U{kjX8F$Z6`=+<)nv>~>k}d+0I*WEPR$YZ{j}2ILgCVonUX|7eZk>fd{; zO;St#Hay%3yo8$eD0Vs2zFk=4=ajx&6Vge3GH2AAXU8ilDlpR8m_RAE<#m{{ubSl4 zDR1@yBGI_?8t0afs}a%ByDPJhhEcG2rSA$;XO zzT-bU>B-;F=l=&ol0A+12-6 zXk&vG^$;=VTT*fp%V1IXfL}yNp9^Z6b}AwF@zw##N@hekH##lhEC)G#!a5=`{Z~Qp zB*$#hC&_09l~G_2`}5vFdPT8|B+xgc0nf(0C_JFswuMmXvDld`4pZ~?sR%XbOt=67 znX!}5=?q(+&(vj z|KR;%+GEhn_bQJ8mR^bcPmbdZhP{!Dxrphj6^F~0H_lN~P~7$Rm$K^>!hC&QucD=) zkrD2u3{d-y{YM!^4Etk=TGUPRb93*aLg2MxVwF7A1&j=zCL_Z#H!qJYa96|eFZhT6 zljIqU%)R#`0-;4_qMAY(?qZBZLQH7p_iyc`6Bts0c=X}b%|lJtN#PF;5z?RF5o5Yn z(Jpze;04P3uwEFwVy7l~R0MTMa`1gah>n;7DJCYSeS=iKNjFaE+P4Z;m=$-W18FqV zco4X^gBi{D+#=x+Zo8|bto$_dC4wMrLtWZ3P9qngHXi*BRt5@AYr9OgKZn8XfTt_G zR^8_Gkr^WgE*z;1$nrySQlga`&#h2q$#(1hVpK5OZGO##qv)?$Pt$5@YsZ>lzXz1H z!eYYN5ph=rhLDb-835l>Z7LGx_#%$2(G=+RAMHlg_43Ip zf3vRO-4B~mBXD+Fy8Zn2-2#3`kz&JdElAA*KlJA%pVe2?`3HWjCP)0KGeTd<2i-Rb z0I)xwMI(jPMxOG0z^|PqhghK<4)3aT#R~EtphR&92xgYD&nhXV2{_?tR3w6J*RJ2a ze<1G6oEy-kdjt`(MTTi5m3^moWf5)g2^yp(&REK%5K6Z_?iF&ndGq081>Cb}6qqWU^(qomJTG)AVacJ}1tbz*FuSsNeed4AXSL7`RO|Jz z;f8O9W5t~$@pE*!P*x)?NRiu){nxHF>?7bsE6TaKxnFLTt8Ei3IKUFgNk>W#5skgT&Z~h~%l+*EvLT-g;!P z$Y$3U8*=ZX^>x+zG=Z_)NFItHVq1bt>do-~A_2&033+H~1;?$_715q$?*4;Vc7Zd* zv+Sw**z8H1M@Sy9;#q5hPq$J!q;@=7Y3F6m1O!UaStvbyp9jBt=YdMUi#36YG6&huvu!zXHl422fp7L~1XSKd@&_YTRQwW+PsqIpRP8b+nFhvN$;hj*v=&glm z&P~qZ#i6TAn8|<>Ut@Kx4HY_cRaFmCoQO$f3jz*_b=E&+{bwl z9v&Vz=v2HUupkrcT5*mXx8!lyfnzZ?=%4gVy-h|^iFL7F8-(WYN66n`)_UFm*z}Y& z8#Uq9Ir5avS%Ou@zhwC1vasC8#NFP6XV!8y3S|f7?v+eh0 z;9%KI_X+<8jQc-DYyZ1M*neE`zsuzR2^TJNr6CjwWVEonrq|Qi`5cBkG>)Qzf}z`7 zD2)0fXmpc(`GZIr?G@ISfF_0KPCiVfHXSGP`sh)h8XBtswD6@rlfgaMxN|ZP%!M8m z6cEFLk<{;6_>X~~cw+?gp4%5;p@(jCn?Lti^zvdjALwXIG*HVvT#gi9V zGK0W(7^yKATE)!JnVZ(YMt5WI8#Joxp?9zxUnK`3jq|8E*RK*V*!&xsfRh$v&J_e3 z)|Us@tA{}tAJo8aO;5hKMHVv8rxY{vz0#*CZ*VYy@cKgxzn&+3i$FsLi-FUek$Whb zIN)#DL-h-}9w(0~@>#J{%!YmS_20oc8h+!-xJxoXjBn(9&cW^7MUFW$6sZ;0S@!Wr`=`A0u?b~*v| z?o{MFuD0rru1%}syi{0@N_Q)CFKDI(VK`C()8933@l*D5h#Egz&cEOHG_3_XsG4cJYdC%Kjf~#hR~Y5bO5TLO1q7jch4L-*@QZXvCIOk-&P#)y;42}mj}s#IYG_Wu8y-iBEx_fwh zKzQi-!ZAYee=F_U|DnwPXlPx^ymTXCvuj)?wJT!mwk~TJv!;?EZ75}1_nviGX^HJ( z8~0n^p+QOR>YJB}S*6YRzP>c1GK^|xS|%ouY<3t#ZF`>nh4q6Uy~aGx^ZuN3-shYr zH`@+heZjgWvbJfQV*Pj?%8k$$TYeuU_2n8{VKy)wuRJ{#_%S9X2BEz@$1v|zwXnXR zRYs-qNDqSukTESO0XzvpEcP!p#^@*3&6kEMF#WqK!S5^WEw14-{N^@ZQPATyjw2$Z z!8L_T78-PV0`)Eb=yIElDDA5In^k4E*sH8eVw(P*zI971gw>(v!fuMnRj0hNnALFKQtwMSay z2F=V&eFEknas4-qqJ1mfzL&zgV48(9?N3M~5NwetsmE>I&6DGbHB|XBWf(U=b92~E z0P5#onZa>ckU_yB>V>6inNvGnaM>Y~Qb+m=hFP}=N zuY?=*<=c#e(6j3@&|mR47GuKFeoO7e0S_4_WBmRmR($R=`x0EhI$33uU1f=TYkf>J5OVWj8v*S0xY89#vmnX$8FY++@6i6U{;!OgEjK_U! z)I(RyY+TM}g1_Q1ry_Ps>xO)Au))0)=)-8_!(r7g8IO|3({+j}= zkik!cXBrCvrphGI#x;brS*NQ%C(Hd8Wz+Ss2Q?8v{~Pm-V3WQL zMExSR`xwPD)6+h#J3|>VJFTD#Mt3P5KWQ~B04o+qMphiz;z+qM6Is)}} z8UZ(U9XoP+36mUx15&a}nZZzx|E^s!VdtIaU0pj*hq1AG)Wc!n0%;yHtq@p@c^XzH ziH>4J9=T9a_@$Vxs4LGl#}nWgX6_c5Og!Y#Vh`4wflB3a|13Z4BZGWjB_TYS`e^X_!Of&p!l_S-e3;Mt&DZSijd{^oV{|@xz?Mg5+@!3tp$C-LEptb z-P^c)Mw_s?bWF^GJVQ=MaIpU!odV8D-YwKxlUV1~OXQIvd}iyMsF13ZQYiR9Jt;~> zrW=u+Fzh88zkg@~be*X`?dbC7aQkq@@xH?aZYx9YB#%YOhKCPUVR<3{^-eS$@Jb~e z0>4uBmOBS+vWD!RoL;-~SYl#v(wWlvYs*ZcOZL$6^(!(Jm$;CHj5p^(T1xSeJ}7r? zt8c~;rMV57Nl&MvMsx0hf3QDg+q3wb9aFFeyPqwLTiPi}gegQ6hGtM&2VW54SMt$b zkmh+m8I~%H9#Fw#qq}lKg%wRvQIYmhzyWsUFG>!FQ+wi0@56_?%SQ&{?%A-P-5NujZfn|869kAnH6z+^7bta$^7He-Z+S8H9oz=JI?) zKx*uAVx|u`+Qr4ghH%lm{oHz@1v}og!v>(!P*3r!1U3HwD4%AeP#cD`Qnydw)O{oL zo`7J~aZ5L~e)f7f&Nh z&+C2Rr6}Aw(60O8RH1#~o?kiZ<}I?TY4g;<3DE@Nrze8Kty@0(F(1pGOJe%x^Wc_< zZGu_toibG8TzER^Um5<&;Yjs~g&=SBl6E@GIu^EYvMR?~@aRVb?mOLy&LibYTiiFL zy`r>IbWioqZszQYHXh`%@OQY$Q5&Bu!JlQqdWVdaYBDe&pnK08Am@f9)rRo!7kHfv z@Ab21r)szTwM52Q@GO3?$iQC#=JM}X>Fv?TICT0SDBA5g diff --git a/doc/2-interface/wavetables.png b/doc/2-interface/wavetables.png index 4ac45f2c8c75a13e4fc4c7dfe43978721fef172a..3de7413c337086ba1b8882b57f8aafb563d4f3d4 100644 GIT binary patch literal 27276 zcmZU)19YTK&@ejL*x7hv+qS)%WMkXT#x^&$ZF48KZA@(2&Y$=D?!D*y=gvGm=S-uz zy1J^mp6aUb-*S?Oa5!)P002>1N=y*|_!j?FkYK)j)db0Tzybi4O44G&%5J)6>z==~ zOt6Qp4;up&k!IneN2xh~{s~l6vq$5wn?a0X&Cp<*gk`}Bl!*E35RGvG;0>|Rlct#YiAx2RYDISz~F@#^j15Z}b_n|+XR zcuozIwjZNJ_l1R@Mxq-aQJO}9vdEHLfswp|J#o34*XPgp{cBG3tx>gqg&KnrI=ED` z1+Gwz5qs*G1q)y@y8vsFn@SUms+t}!Z4Kz;f1)660mRw>*l>T_0*rC5yn%0~;G+|q z2;y*ndPJg=NHSc%(gZ5SuReatSz`5Ig_h)h(Z6~M&6~to1M&qNKu|(Ju>7Y-kRL7- z00ad9bn8hw5?GX+8kMSxvx0wNI>+(#bA{*mnN{S3Pjg9y&$q?^(|c!%`&C_z%kj^~ zcE>@!1w?3VQ*N=g_xSo5Y7GvkR8Q^jxSlA^n3c*7J^1PMzINvHm(hMHAU>poP@}Us z-~;Tz*LP>c+26s@uVm30=~HbpODa*;fm*5Stn=oIbkm#&1R(2p$&UXekO=4Bdlk{+ z`tyd3EiDpPxd%Qz@vqys%Vat^g=6xW^``CKX@nztyI*jT_&-|0MozwkdfN%3pO+km z(g8&Mw!jQaLLG&cFp9vh$pTrM-b}l?$fvE5e80W#PC_nfX`WkAkB?=zUT(DI6l;WV zct=xvF7I>CElvHT8lGTTD?NR_f13`=FdVgxvJ_2HA@XpMJUsS64&Lcue!A!$cDqj& z^?7L`=je0%2`~B4>{V+OmLH<}YmzhULg5mW$DID>w?W41N`#6DCTp;Rr^IQ7R@c!{ z;ZwjDKHPomQT{U;2bmLfIU)_9%4Kh)Oz^tHTksxv7)~R7`{v!$z!brI#OXUNW!1at z4%^KpNlQKVh?Fa@h5D1w%c`cX+wD%Iztro8d>ga^D)ISTl>B_FCXhV--y(mU{F429 zyF(7=Y}J`OMd`VYE^AG39zt0O?c>zBu_4Y14|f~o31lxSD)$!fU79jOCUA_@yP0gl z+%Tn{FD^N^uJ&~HT&<4jDr^o+%NWCttjp~9(@D3TO(qChe^qp(CCrLuZRcCK)e`-w z?|$?|9go=kno{GLznDA%bon{qA@GP4edC@j{WA~3U*_f;Bf;nXH(9`P=f@A*hp<&6 ztq+m?lY6@h^UYSP%ktJJapZZR_d}Foo?Ag@vDXHk4AU|_@+NN1jCEkC9I<~jm_k*4 z>i&`AH7LI1I~7FCRx)0c=lJXK_J>d}{2e-tE3ou?0!6V6mN=SoeLM-6qVfpP5RGx; zb99Kje-9$*`}My@jtsxPzt<#BPYH!6~Y9o^6Bs z!J6;6gn94uj};Z-&l{4g)tsa8CC7ca3Y9ifmjhw+p!E0o+ALmI27+%{l*s3^2TkeA zw6oc671VfcJap#+j9!}#%VyJ{^OGr|xBsp-z$}JnJ~zUb zl{|~fV5&@IyjIIUt(RynTCIVqJzhUesZ^9`^DEw2Y8igPxYJT)Pu2;9 zf;LcCoHK}1W?Q;gTK3YPo24(u76tP{1;8CnS*gjzD7luJSej_6Q#}b(SYRmESQO2* z<$-cdi&~`K^V5&-_d0PtEvGM=6h$*qwtZxsZx26&`?XCbA_q2sb0cFkymYG`M?10@ zp@zWt(MOY+JX(EB|@h z%A-jW^p2Oa%cKFTRN%7j@Bq#meX$zN?a%xHGR-fRnE1OG*v66btTts^50;(%6delg z@dre8|I+w5vAB{+{aCV)?Au|h&EE8`#2IU?e`q>M$;h~Tcap zvs4{+^gdt2%Re|l$ywuDVrt)Adt+o;qcqKlc)5VXr`s{NpJTGR&r6ATVXbzb0;+KB zijGX<2gZ1mBgSRMv)En?W`$1q7eqTstg28WcmA!hS6aj2EPawF@WMeV@Nlux(A!AB z#KRQudO3mTT%Sv)Sfu^@aj=Uu-~6~2XjN<=FO@U6RW?T8#*an#GyTXWOOQdnJj_Es z#gxvPZo^4`a;3x2tPclxONqp^_;a_s)${owqxs2QC_45J|6Rl{Id|wpRLZU^CS?(8 zt3V9>hltwd+F=NAdfDWytC%~N=Xi1Tz|+gwZx59O9Oj@xAvDaS+SvizdhUg5kEI6x zv?z@k6^0E@#%JoWB}!KygN?fR4e-?`ugq-mqzVGy72TM=po%YrAX4w}{m)v-@BA7p#qmzi%-RDjh#yfVL zxLZd`5<}Q+6wFNzXo=RAea=JQX0-pNAY6G5G0N#SlXD0}Wg4#JtZ$sIC)>))$YnE^ z4?*8Hoqop0D@jagwmm+HBV5ZmN`U9z7!W+&+~>@x>2$vT_Q>?XPuIsgmN+ap zEyg|aVUzxmR8Z zXv&9WFBx@twC?)y#D?{<%{Kde0ABC&V+&E6K7(iP0sQiK%$084AxzNFWe0!qtpW=` z;mo|mg#g`f=`N7bW$@WO@>A`c>t*_t`{KA~!B>sy6|7ZGyVbG#9`0!1A!3UOs4=P2 zR^qkc{_yV6WCdX=InY1Q-|@WJRs)CYxA_{QmsxKm4TVr;vt=1?1A9<3Es>*st;!TR@ub*EN@QD{o5KT;U%9J2?2~E_)K16Sx@r&S6ubL1#t}z4k(TsZAbI=%{!=hA2Bl_B( z#jVzP@~q8AwM>PhtE^A{oQ}us@Ks^IC^>^D>8#2sMYMG0t;ta$yb?4=;p;;V8fC>A zA}L?S`{CU69Waa@O{9PO;|m z4!ySjz%FaFNtV-$#%7i?1a#~yofy%zQk!d3>r3Mp!;47uy!k)z=W(s*E_GjJezy6p(Jo*`Zg% zb;53S+1IxO-W*4RIgpH4Nmk28$$6&F+;?|A-5gY>Yud?@uV%HjR#<3^hcM55yLbuB zlGh!cxy=B7r((W8icUCJgRcaJ*872$vOz|Mir!yYqdw_1I$b>oHIQt}4b!;k@MtQc z5BH7kUj(KyJEx^>Dm$t>BV|^j{Vhd_QVnT-i}i72${$h=ceja6eGZ@ACwJUec}<6x zzql^gV1B#Ntne65*aJOQnx{;7;|CX*+&rWQI-TcC<`ZOF?Ut5Fa3(&T<}F(-Nk+Sy ztE<_q#-}|Cb7D_-j;rjP@|_>=25rKK8N^Czc>KPiUYT7!n^)OY;V}P7^{N6)s5y}d z>vE1zh7nO+@dQ{|c%u13C$&laZXa{jQBf246+6zU;W-;9m>~W96$4A?)!Y?(Rn_AV zL@sce?rH77LjUcCX*j%My&4_nUt_ndVy$dGdTCz9T-RO0p6RunVh1d|k5Qs^P|kKQ z#}fLx&DZRYU;k-)XII-Z$N#*<#2GYr^p^384?v>g+?lrN}?7@J`Eo6X=9Xe0e8IBJ=JWe;V`^GA! z&v1h*g1@RfO&^XA_Af!#$hor*q-1pmKHhat)aua*c(QA?Ql{v6%awMf@=CfIb9VEIg&k5*3ABMx)nZiOYNNTUfp$! z5R&qU@{b&<$ySRRCc&nAY}g(K&@A?~HYG}~TdFiN*cMLh^<^32)gE4@SvfAAWid6Q zF}rZaln7d>VoQ`=H8eC(aMyvjb~tiC_9#OW>#_7P$d}Lk16nsRVhQ%pEd=B0W#Sg( z1?~)+4`JULlyE7o5(l*KRWHa)?^{OE-<${gmW(*g`-8QR$(d>S4iZu>Y89IGJMTT^ zVa73|x_q{eRjI5fHepv~VWPEC8}%F5YH&!`|9nd4+YdtV@N04^a#{S+ejCLn9O4Fl zeRFpG%>50tsT^l@-w)v9^*qn+wx0PC9*GITAKt3{`75X4V$G!lEA=ERc$EZE0d!HF4p+%m3 zr{{+so$)fTfn|8c&jyVCpnP(&4u1OuK@MU_(L8bXgkJ*~@rr}o8~819`oMMrEr@F+ zecjYDnlPw-8RiU^P0pRx@jyGZzQ)`^%F55qs0r9%UcHADxW{JU-ZSIPZA+sGn4I`? zMJUMS<~yoia9Fwbh4hbd8wOPG07Qw(u~Kdn`L{*vwP?o=kF(b8ycqq2c)?RPDw@Ga2S+Wqvmbdir1E+#eG+j2&r9b56iix;S{J&yonW)D z!Yj6dZuO_b?iMB!xzkG-ncB~5&2r+*Zmj0^SL&2_#tV~dP+$oJ^M(2oe~T0o7ES(w z>x)73^;pAV0ruo|o6{_wKQU}HU{=MrgfFJv7niwl+lNahqYntN)8lVc{bk)x2**Pb z2ccqvrm7GjKNb*075hg!rFR1`uFhwxLbuEvMpyk}nT~yGpGVgvt!aN(3VH z^h;U%(Xnq%2KgC@oxgh)Itpuh1@xjjN)N^+jf>sMzbI#bRC;nJM@YB*?I1WH6tvg$ zT7VJvS(Jo6V#0Rdu@Yq`^m(`6mN4wN+2qoQ2b-X!A-bxk zCpX~1JP`lgV$f#JFh-mr3`|1hgC#*5AVx4|1AuZ;Vgciai_k;9Yc3xz@6ml`oJY(C zmv!&}RteaA<-6LHM~0xx!M@^{c@K)4LVJh@7>db$A+>>^E0LMc&L_v?d=k1XP; zazo&AsN6r=q`6SZPf&(3CqqoK*)49jzS82kK=$*8(*Z5_q5n6xikx99y^Mu-Mtt9Hv#jyx3w_5Q>-X@bf3 zx|*{sA+_R_k%*?WBrYxmCki?lGB^W$IV-UcZ{rbAf9wE3hN5P7JR86#!{#BwqNvwJ zAy4w>Wh%;GH{Zgj`W7%4FVdHgKaaA8)G*-)z;vrXKt6cf{zir1h2i<@@BU%LpYRbA zS@_)xS~`(_Brl%}dPmH+CsYr}evH3aY4TR>pLCh?Tnytds-sG(EpI>ic~9HOQ~ABNol!TnJw^0=9_ z_%=8{NMe5vw`({Yj?%BDwZLw>|29-K^hmkZpiYl9ClRa?3zx`V8 z^w~SeQA9WBk6bt!9?r^*XM#UM;t^TshW*YwX|W`)1QK@dN(=9lV&bi}wDf>BPBod` zd0s2$Qg#%3hjw5!sN0$sapuiwtv4-+sO58z%fwT|^;kXrD`;Gv<^$IL%L0IBqdE6C z#BZWb%b(0i7!+_ix&aKA`*30ZwN>&bjy2nHCUM>824||?KgwS}nwwFPksuDGGF-wX z*0g+g8~~=8LtpgE@6KX@cpSCYKP>PQ6G_Lgm70_v1ay~{?u7E#}6mg z=~2l<5&H+L7{R<+ZfbivZ=8-2>~`=1_X9~gC^*&qAf4QI+Ge_WfP+7dts?+t%7*?!f&^Kck!_vW7KOsej%qM@sRNwc)Ija<3Jt{lh;YVmgqt{=-rYPt8@S(z@**RN2a_UfrO!=2N4G zvEJrv zOHsyn)ULlP#;~ba9hOo0ln%h^Kc@)j^C+|s-f(N5ESR*8{-bw~o%WS=ppCFY!d8a6 z4DTUlyX6rT=5;5ELuDDlRfV&KO!Qjw<-P3AEz9dm+dn3TYIi>!?w*5Y!_>jV6P`qF!Ffm^SG%B5ts_ z7$Zu4Q?+S;i(O!a{Gj1YW)8t1n_>cjuqeKdIa3^}L|7Mgl8(f?=e7h6NRUs*rN^ag z2dY<4j_}`il6G=Ogre1nSKY!;$nR2Ek5{Zrhobc2qoQjV z5E1*&XwVO(!9DqeqhK?=F-@%Y5+@u#Z{qW@e*Kzjb;N({>?H~>ufs>yh~!uayS84wgDaK|Qj$Sc1e7y!6J{6` z=-aEf5J{s#3z;iHkf_q>g@lUIC(<_YC;G(E5vD$~&8uMkrlp*VHPc26ryh75cty(p za^?J@Ye$Z{<1kwqGYojQFx=IDproyv=3dp;id~!LI96N{lnX5BxgbSTFzBMXEBJDf zMB#15y4E{tB(f<=VUs##iZ{6|^$iU%qHs3NT{aknQ)Mv0 z!9W|+)ZshF6SE6#s5U4Hyo`wDU;K%beN!xDdV3D&Zkdqzzq8TGC=KgbUz0ZqY|e{7!NqTMu({ zXg^-84-WYwrt%#cO07k-#MD`gn$5MwOwouxMw0+CwjkqoxT!@$9nHXaffqc&_|dZ4 zm)pi+m7%^kEJ38oB(BiW=XK50nfB+YhJv~#cY#>#3YqU`V%>ElZJjZ)^-tCoUu~HT zD078we4Sv)(NhdCI$ zvcMu6lkIkdB`kqCNo6v~ed+#~a;HobgD=l-a_C1<{8cj zL9torWSIy@*;ZVHBt=b6$6BY`ot1i7!qoptwZt0kC&KD3WR8Qmf+ zk=;pyvqPotB=J}5ukB%ysMGXYhDAypn#^_+b9jn5t5zu9s%bReqbCi`(oKx5Ml3(Ls4@1TC4uq6BvG~ zDI(d48X9<3HT?VtGGct90j>(mv*6lT0O*XL0*WFvI zaTnU?A2-Z;MeH_fc2eDmDo0LHkRhVNrwj7&$*maBHTk1rVa994XuY9X)>cpa9s1xWaG+(SFf6P=I zwVy}r0w;G3Z0K|zJwE@TXJyc(hjm}mY$sy#H@%tE8x-;&hbV4%Sq>e8Tx`@9~B}C7jN}f_!QwgUHVI%oS7_A8zlRslBU(JR{ zJULQ-Oy9)<>K$GUEaYdKnh!QD)r_4kwFIOIAb$wDlCm>RU+J#>^8@R&U6ev{aGw%^ zXEj>->FTx%YEzcU@QC;jHrNYU=Wf4QduaJpJH*MO?W!pvElFcY-1bauw5#!*{?qgi zESELznBsi@vuz^BFZ(!=XL3}H>o^i6OFcYkTVCVApq?ydPlFP5v6p*bpjjDv>2J5a zm37IVO#~;?g_w&Zgn|{x!)yOM^Nn@~?`$N62s(I3{y8pPCU_3g{;5Zb#nw+GX}vfI zztrlLLY5Fx7VACPJoEa?BdO>9HX&DX*BGJy^O{zwpg-0n>}m-4NNPc)yskcsd0e_I zK6y@t^!p{E7k-Qo0-e_$VOjbgx0}|=O0tNIzpmDzxLtee1mNkZ38gxHijquo@R|HG z)-~K8WG}J~HdZ>aMNO7F22E84>74QmuA5=8!<;mt6Js%M1}X@an(62^Cv{;J1Ft2< zochIh=R-sL7{)vFS~!`^3uoAeMWLv~}txeT0Z=7xLU~Zv2SmvvsWZOorEYlv}Qq;$ zM{CvlSKlVb?dSpyXqK5~;-U{Av}BsfN-S0s*-Pkv&vi|Sn%JBeC1J1vEU%eB3*azS zta7>(qW@ga#j+MJosi=3I>LOmleHR3Nt?6FR$(ILyIpNjP~!)0ljLD%@-Zng?p}zX z&k0r#JQAweZ!Z6txY)#B)S}&V6?QfoPd+<{`UR%d7?*QFhjMaq4_DWp^$mRT}fG*Q9Pc^#)v}KM&m;k#?kuVQ`tgp<;+E5eq}YLvq-oIgPG+${c@;1*2i8^RNCk^Yn2BmWV{eo#=xD ztnmH7z5*}+!yJIITSp$fix}7O5KLb1ZfEy*-Ln)M-2Z|$z5*}4p8tX_ZtU9!9>Bih zDgLjI@f#Q*?MKG6CnLpG3pIdf^}omOE4bnd@c+V|HVk6)R3liLPYNb{m4hLw52Iz! zSDB7h(dMB-QY@m0SVUA5E<{olSBO~tkrJTXZ>Z&}T)Bc7Jfo>auc`GYSLG}TRxxZS zgz{Th!>ki$Y!?d^hHP)0?D4fj(AMG7`*O^)YiNl3G1JlcF3MT<$(LZziy!T$jW=m< zY(U*inVz0LDp6WptvdE9|BQQj za&vz#9$M7d85bQb@+4SO;i;{o^RlF%p*3dCW!{2;7TQUX^+N4$CBAY0_bnq*MCf@A zI?sF=1OlzD()#-f3-|PeA|Jm~{_T3(SADs0d#9sI?Edu708QLDAksI9WbL(5BzvXI z$jl*V!=&_y{|NTGDM1zy_A^*-bG6$y=kSByc4#j3So0kv47oSg()xd^s0u7{7lGj& z5#dI@a#Cu0x)oH-bSLk zpjb25S5=;=y0;Xoug$rPim%C(9u9V{@wl9W_0@-|C85YLqdW%1H-Jgr5e1 zFFth)v;E6}^Z0s7`Rs67B}v-Oy11TLu*p~(k*5soA1mq_Y!{`UdfuJCi(h}u2Qsm9 zoalpi9(81GY=jl0z286gwrUVSA%Comi~^Z<2jg1B#l>ScIL2HwG}2_o2rjyCZYlME zx3siB{y`?$>;lJt9Z@DHhqSG{D;!R1V`wjvIy%4a)bA8vjhTuN(-EzuWLAB)nwL}G zag(D7PRfg_#J_!ldAAPG!(MEb;C|4eo_Z*#yuVMSGI*}=k&6%nm9(8kr$S1E zROvp`()&K#y9(B=|9fdL9ntC3nAdXHkD~!P%_aTY#0KtXiRmGHgjOOC>eJ)J#_=#P z$(DgX-I7D!JXi3T@WLXeW_oFk^eMD9^<;MjY69wsZ?3j%H`-RuVznn<#W;~-bz@^g zmK}HN+U>});UE3b`yl~QfyG<+XuIMq8xzgyP2w~Ad`j*Q38=~jsV`E9OYq`u*qHC}6DJ=6UFwcag9MfrmJj#O^BdVCu% z*<=|P+{3p~im2*wAmStg#62XZSMH@dP)eu!5&VuYN?+fyD&Im)V)k0VY_qNT%}P(i z9K2mJ692h16XJ>o?>*dTVsUmco6EKOH3+t=sDw~Tsx$%hzN(-C7v($i@Z9a$cAq5B zx=a$i{J?LNW5IgUUV|MMCjH4whLaTECS!#caR0Ue=%LasAKt>9h2e)~N@A<8AX8(fMFT zElrJiad6C&VA>ysI;xll)syQwnLMoJ;Qg?+I_y(QC@M-y6)U6f+q4;;V<(T`y-V|p z3$(F-fqaclU-q}*#B_p=>W@~2i>y)ao})ju`WXB?=#JF~~%2_w?xrI1%sYL)Ls%TS1% z17CP_+8uChl2mPN&Sf*yCU8$y%-V-=L*=xI{8da$Od@pljJDenGFz7;R`_{Vw|7Hy zK8feH9te5Y{@QJXv`7*6IN3hO+}+;7!C6jQ!;aF=o~2@|HsDe}21Z8Cm6b(m21vv! zwLf!~1*0l-?!N77O#_%G06`D6YVpPQY%SZg5#8V1CqrKKGiDuW>b7b8U9a9p=YJIP{C=Kda@x)%SN80iq8 z#+Yl_N3Lm3E=-i8a+Ugp0P&iXg-DzdB-i2b@0VYI=4#ftjZ3x8ljalyOM0pmCagW% z`o_J4>SSdREHZ(BVthh-!NS;9dUFC+bt4ycde(!xQ;ls_F32&TbH#bf6XAHO6zeW* z1XlQ*HC)`xaq0{MS7R*Nc>hYb^J?LCq1PQmpzTmLeaLGMjTZv zbE8nIUajFs3xi-9hWzsQ`^~|-OL2R7T>P6n3$rhEV2ENe5=zV zgd8q~`X(VNzB)U-F$k*Ce^KLGJ{_&2$C!gonZ_>=$RS)|RxX~BX^QBWDY~s24(GMy zC+T;NT}_-n;2!-Ml!kr|e+;Eu1ZofOA+)tDY}}tiOT)x4j*o<+!4P%NY9CFQyJ-LZ zJrf8`kCyx2As$}WD`#Tej{@;O%7h7H#yU|^(qyip>2nwjb7^rDC&%GXmw2q@FN!cDT`+30_Q3B#7i2nO-H@0>ps-0sz{1(aI=;%lQoj% zRb#(=^ylAA0y=oscdM;+wg%Eaw5ONjgYsfE8WIu`Ov==FY}cAiJD$;sT5>=poevesql;HV zl#oD)u4l$gkXw=h5JS0ES!&4#1fL|U?M0SHcV;Q6F7O5BD4j;N_*mVs7!5gmg9W`< zkc$1X5GNSu=_iJVW4XGgr|q}8{mr#Iy`BdmuuzA%czJK1z2xQPQH^<7`U&H_=|fx$ zv1$5P_lSLldi?78GGg3@RC}+_M7aKZT_U62)Fh&VQ}YfftEdi6Wv^RK6zA4=@Y3UJ zbcK;E+16)~qZTOmh*`Jydso)+xscxaIN%5`G=w%kd|yzerlYo5f%lKxsD*Bn25{75 z5`2f2!8|B_MN8IKQTO?_oC6LYgdpi>H@BE>M|E4xdId)mmEBhFbXKiBefE5N_|vdg zBECkqLsOINc`yoheSKZLWCwG2HXB(<1xkO}-^i@t;*d26Ou+9c%K|rFB*QU=vPd;S z(*&kP*9h{PMLJ^c7%fw5RlkU^iPi%SWZoDWxl2z4ohnMk`cS%?`pv|Q5I!3f$)2Bj zZX>AD1hy6!H_=YZAVQpuxDXx&@?nk0a8OwOi%zaY;f7?fKxb?nDnYrpL@Uukz4dN8 zp}$#+k%Q`X`snKj7d*ONRB8DYb_5QN{f)Sz7>?*4DmL^f>@Y(vIAS6HePU2wEf~TB-oYrC!ZSyzS5A%%l>s8Js+EVu);Ag~Jot@pjuogL`|s#cywCwmcbW zJSYTfmRLv5&dy2@5kN0cNk$_eB8uNN2M0s)tgWqW!Pbk8*)P%E$wbB#oKd4&KmLDt^%B~e_dic;VvH^g7cJ zy@M0*R0IXzulP9#ek1J(c%e5MdsjGgQlmW4*MYN)?_LwFVo$$mBlPK)fhWSVqLEfw zHo0@-S;6J^3|=bafSYYQ%FSx!zHcm=jLuLcf$BpR3na!U^?;Ipg7$oHI-4!3v%^zd_gZ%_cd^zdDjpN}3mAQNU)Q@b{UF>`+fBWUW$KsQ_|xNWijL*WZ*hzbCiM-x}jqaUk+4_^4K% zvR~5+OutTkBtpVei8Ll(3CXc3i?kFB#<)5-cYOLjOq#Pt5yAE8vIhaA8QF{GH!!}x z5VYK3c!kcU9EqaCwb11ik^4EpwpfZswu*#FRh&`*+cb76xfJGdpjvZPd1}u1|RS@E2 zZOtv{#l;niTu@Ha4x&_<2KR^cDnXP4wt8|=;>r=qXD=XT+kpPiZ(EEh!nalZ$Rhd-(ms+RN39ds@^MhF2WXITZlWzNo1QK`Kc?m``w zCIW+yJZ93O&43wU-c;`pPMP@zAA$y=^HD47Ti3C?LZL`7Gy-KqZ%i89Si>3^?%L_S zH>Vh|dCc!OUTb~xX`uY(s<0K4s;37eZoT*L=Jk6r(sD~wT(DI6UpS1?_2)&}Kn-9~ z3Z6%I|Df;NHary7!eUib*6`N(D;(w;#M^-^1bJIePvk~q_s+g9&n|FprQ0rbNby#5)}h`h+yBk0SJ@<8gD&b8$#Y1-w?3< zMhaJ-cI1v5ZS4jd*8l)0sBw7{zV9Mou=mVgc|Cz>Jz^ipmoa9nnmzykX@Z9lC}iEM zCeAPvFfMP-_q{_mCYcbB33G6>q@4mt|K_)$4m_Mq>VT&)4}ubs>U$F#MEefmzssfk z27~woE$?$04Wx1sU|WHgM>svhm~4}Zw`_aMb|6a=B>Fo@_t3z{=R4}hw*9hglhFpf zBo~CZxg%PSCXwKq-(SXiH2=pli`!ruW0=dW$Fs0_JT4DfM%^s%7J{kqvo}@5_P|;(D%WU`0 z++)@;TW?5#J!Ggo{2`3LP}gc3+E#hR>*em|+S*>o8N3Eed~EF{5fRFF6k`SS;Bs|p z%__cT)v`oYyp-|+`kh%pp!vTOJ@g17AYVXOfcW>{C{&()5U7~2pkWzXj_k{zvOd1i z7FgFV_b!ULJP*_z*_-#h%uVi1(kk=@8-SlX@Vw&96^Iz|garVE*#H1SUp_&A;n%+X zS5Q{JlFOE%0Dg|Eq9Ku}c3&>VO|M%!SmXc8xA?z*y1iG!*Cl06!2v=eJ%~G&h=_*R?43*ch}6FwWQ8n%djftiF&1OqVH@d?%GKH;srxnl|8BwyOIApSE5giEP1vUwOF` z{2!|S{)n35#%pcP6#UnE=MF@>JnH$c!F%`M5Oi?3_Hyx2mw=bbYUH5(tMCbREABa> zFt{78CE?QNW>5Mv%tn9XlrSk-|({!ek__F6dKLO!68!-RM+#dyW<$BrwfFa8GzNwZS9to3j>vg+c zt#$d~EpC$3$by})z{$_f%(;2jeNaK~sX+`4VC;WrR_NPsJ07WJTKxzN?RxSUo7ts= zemHes7|s)gdeC@zd~O;~b!=@H(folYpjvBt%WzHowPT?nA#_i>r0JlFC7<_-(CpHR z8mu_Z4V{ewtAm>+-S$Sin9z%lfrMMkze`|%ZbW@F2|WTVG#zaRkHJ1)t`yMW8E@?C ztJwz~38yR$77i+jE;Im8$A2GkO;Yc*ui4h2I`6Jp^V-^;Ps2=XJ3dUjqx0Xs3JVL9 zh9IHG-QzZm#+b+YHrS+uMULW-Z9smF#>d4kngWU!);25qjE*RYlf-AH68%q0);x!9 zI(kxOa*jiJ>0YRT?tk60uo-1fPEPhq<`);A`>p?DKwgskD7HYL!S~B=UtGw*K#fM) zhuSI$_O;^E0;^ju9vT*HF*vx0fw3><0>b|+lwJ)|f2Mv>ehqbcr1)Z}b5?ft0a^Gh ze8q(QlEQy>lq4%f+U-aHfJc1Ilhb=v(}w-}lfu}?<)PVBcG7Jj*}nx(KJlT-k0uBd z1l(!aNS?w*evf)7FT}6K{`_dsH1Zq|Bi3P=L)zOLac7$h45a6MBv|hFjD>*f9}tV~ zGVBKOw}dd0n% zlm1=Z>wkFC7QJixAyoINuP+7phrM*0vG?5ZeO{j=miLt}R_D9_)7NUlY*aj2-zK4R zrr~>doZHFR$t{*T17GC(BmSe?#H4Kfz3kdo8moGL%GbTY|4;V6WCzgymA9F>3MGMj zZiGEeL8t5m_C=BI$g|lA8}t={WSn!3w@P{6{L59L&yO`3==a-04?>hOQa@gGTu zzOGyV|EZb(3RjbNT#9|@a(<;j+~EeDY6ce=;9T{@ie{=~0Yt0qE=&0(sg#A1WG?7f zV1S4POq?=1SV1;UXW;@iKXC^ub!BT!-zHNIfO*`EVgaNiz;HEO+9h4L1s|1w)D{Y$ zY1z1C4*Kr5+}Z5zB4!xkh5|4LwWM-$3Rz21ILF@t00YpqFJ}a7Q#DcG*voBmQE2u3 z7DXmWx4NHFnXG1md(Ej*%%l98S1%7hL zNe!S!0he%t%ix4j)~9iPK`;C3Jtv^WZyagFsQ#tJB2-iMdBije4m`|;KZpK=4^S+N zLml1TWY~dCPSM}~wg|_}qoPeA7XkB?CeSMl%gp^u$$2^K!e#YnNL&wXY#q2vz~^S~ z;nB+Wd^aagOGA^n5s68C0Ue3Ip@;Wu-G_7y##8kxGUmf;*B9Z-XL;nZx<}i^NXH%t z>!3ixJHAqs<3%5RCJ@UgH<#AogTH<)J}+WiLl+MKH}rhDJGSe5E?%%qqUi9rUuko# z(d*}M3tQzcc+U(iQb)pRcoTsSCk!vA?&-Q3TR1(ohab=LAU%YC)d9M9YMlfxq)uS)KB2hdmZ*M-|KOxEk1K;itB?e7!lB}^|*NR?SRvzO^48$QKwb;8`f1b zaS|fJ%!L8qD85+XeW~lidA3lxGaCc|Fs%xF-9)@UZZdwE2QIXe;CE-q*hNk9=z9NY z3MP}c+DjLF)WC-Nv$?1p`2Q>jfj6fF06=TD{Y%yVe};wGYIh-XO1MJo(|?lWk4tH6 zk#bqEiN$_cPMfQwDPta1wrI1GO@9H7vMMC^F>;_i07IwMp|E6%x@%O(4qj)|01^=u z-R~kHA@O+SQ;$i&H>9frv7q z&B`F14F9!gdW-)xi~vs3o-cV?14nf!SiIN52!xbd|D8L79&H1bXpgDP&TG7%Z#!3Y zN)BLkGv6Iee`P^7ang-joOmmCfSPW}qvwIlBmaei0sj-R+Na^o;<;C*eZ)al*y1;R;z(4vSl) zU~_ty6ZZKvAHgusK3_{(aYQJ%TwLS7B1Mq)K{-{Fl_kMXx42mm~)^s^>e}VO#N)R}tt3h@M77!R%H1cmrmv-{` z|8(}{@ldvX-y)7{g z?E6@rW4i9^dawJrpU?Ao-*^5zKf`IxIga0VJx>QSk!Lk%%;bJ4R>ISt;Xqw%&|io^`X~5YZ?BL3~?B#vu zpblpKd?o$nZQI@ljlQ6e`i+A-*`7uFnZfpW$SzEDB|f5Su(*}nv@n`Jo1j~7CY76UBz6x7^VU5Nsm+7q* z$ow=1-}!D%1S&ftZ{zFlx!W_%0V(#T&I{dEiL~iE&*LBiDG0az)>8rwW80JUScRAN zs2sQ|KcLCP9I84N1quVDmzI{8f6|n~GIRWQIm1 zieBUmR#mZVu3z2^*?oIn)G*`+UEhs-*mi5~oUr8e$d+YpX;S0A@k8hO;P&veBSiMv zx#F>W?V_4>6mBlg$guL&R1y4SWvVf-1}37LRY?VT90Ul$qet!AT{7^66gOfLk~Vkg z(}Nk@T8)5=%mmz|=C4`Kf-_A%dIabR8xo?6Pi?ZQr`d<$+SkwaOW=7jZp*g<)FUK6TX-E18{D{MewzNn1YbRO73Ug zvwSZol4N(uhJk9lnTzF9ifa8hQaucX`H+e0LEj)IRz@ zQlMuBVxvE zTJl2>u_KwtqaDe3L$Qe8kWboVHxA=9m0Qm*_lUv4a?`R;xpem3kiyyV_l>dXBJjz8 zr|fyOl;g5r36=0(K~$hSCcUn6NbVn$^fPr>i_p9V?^(FyXZiAMP5|x>FuI8Z;2QFkrd&0xRoNSPUR783@l$NLzYt51|k>4j+(oM@e+1RAT!$m97! z*cFjg*Bbul`m$Yl!WCMHv9 zO^%$sgdJHv4-HT{^&w?L+CnkYO`$oD5;P4B3(sm?vAUZS^EWfCOvo+_AP&6Tc1gJVYH61h?1=}zjF~` zW+>BHi1jAkX|HtzKpFol>%i@7*B*X!=Ufo>n;%K&13A#)+g+JEhdSPBi{elUF<c)Qw=zzO5uM!TU&dA4Vu`}RnR904| zF@`{lkrb2WGl6%!-tzmHp(hQGE{qCJHmZvUPY{4{?vX5YcNDqaE#ze4?)??36nV^S zm}!1{ZbBD=cCBdNgMEx<;6{<$)_7dvGI))Y?I_K}XI7@nNZWD_0`t^4vzz{jX&VeC zA)pIYc+xt0tD#$LzzDFp6QSsP^o-cml~*EFl)UtW;LoFK`J0y1pJ$U0K7O-A+hp-A zBWAj>j7r%FoX=a;%p!~R2ehHoub%%@K8zm#(f$z5k=Bz>zSjl_bhcA+hZAajgltLcshWo|0R8S3jV1OE$b$d%R0G~JNJt%EXU z4xCGuVAxV(lt*p4eB5Zquz=zVWVaN__U=oOip&2*cFtD>ukD*W0{0U_G+qYI8mV0| z&^6>#TtCfkNrK?%?)x!M+CF4-^ z{LzyauHN~)(V;*c;(e?C*;B*o>SPS^-H@D(#=zH~PWE*9s>yghy(<>8Fur4Dt8Lgv zN`;vsUE;6xVS?~#=~-lK6;i-?s8hAe?SUitw~{lj6irN?{1w)S(L8DUsvqWm;!3@OId*?KU?YjErFac z*8>Mb4{QI|R~s&sCvJV{khn}th4GNq^TNazp#Ic-bQ~Qv+fQ*ZZrZ^;l~aVoduuym zYgY*-H|0zzzXAlcE!Js{WR-cnF&V)u85Qkcb?KJ2HYX2&{s*;rB4RlqZRk6f zWaPr@v}y@_>DWEZqKL?;fGbJv4tRZy+dBV3E&}1b-63w?kq8PTGtonex>m6J_cLEK zM0f`_K_LDY(0c&IWB_S^+Kvoi_loLIJx-2xfksRed?DxTyffxD!OX(q1AZUQ-X@3Z zN2tbA`6PfS(>`rl_Q?_sufdiK5R$+fhSy(#7E=G;9N=UzGt%ni`bhaoD^C$GKYuJ2 zGzsfRDIG6r*=t)dh9?`gqm>%`A#S+vmA!RO`?~7%#WDsyoife4u$EQ|*spq}03xcf zTsNR|LsfXlO<P!Hkpk4x%21G z-@JJfJ5t`a0mNKE1BW@f=|NToY_(b#>_+usnww-VlPMU%_;LZH%SG=61yF zxIv#?^83$`EpuLY6n;a((yhGL@_{>!-{2Mt!S>RtXWq^H7s1Kg7Q3%qzkdDZP0ML? z@X^y6`w3KzT1xfdd{SFmTaz}$a^J8ZTWz@NWZj^QkhJb75%8(J<5QxduBn1?p6WiI zpl#@`@IlY3AJ|O58QeY4%J-nTdW9u6HSva`JNHGYZHCjumg$iZiAILVT}$y=u7J3v zNHG3fr1Z@()YQ}t4i4YGecK;(iVV7YveW0{;_@5Vm6$>w=6|ZwAlb({?tXa_Ak;!y z9C~`_Z*CadZ)7LJyXqO{8lECJ-88GBwp^%cA1&rdj+*ys@els` z(oA5bVX#J(XvR9jO2-TMJ<$^FW;U)C-HWDsPQrJTK0iQ`e|%=a(_h^}1?Z z{&B+gx&_5XUn=X3R6nK9VuTH9TNF&t)v+3Frj&8#K2`W(o6+$iIRjS&qjU3Xrxqqw zFRqADmu;Ou?-xxRsd|-uPC~+o~7N z&|0fm`~N4}CnZRE_wCsT=@#$lkqUy3`2KymxXbBmpS8g?`Y6Eif{fmbv)S48+mTUR zfu<)di5fq|0QyAEO43r`?KbhRZ!+yR`yx_)zi0&XO*=rtY8 zEuWGD9)s2xKtUS?sCSTfd`?(iINwwLi>d~vrDqRdUSTgDDLpw7m36J?K63!O5JuEBycoS)hk>36FWaOQ`{7#p)k@s$Uf(Rd7TY`xB>G6Whil1#4 z>(Ui?lP?gH0Yd#^d-G`v1lBntK1!@qm7ZdTtg;`qQ+o8;YJHLoBFQc-Cb-XaO9u$$ zDsQfzu1xrBI}uS~wimi0gM)i&BwcC_ihOqSM@RKLmV8c*ma4GXWV9>mK&5~uTZM=( z{&!xm%mI%Z?OtyA%?sYR>QhY)BUw5J{0yBII+^b{nnton$%~!e113+#+2eKUC|}4w zSOX67^}}%~*GRa2QquCpL!f;qnI)d;>G7a|HG9c7#R|p%!E=e=i|J%GisWmbBO53P z@xICd7l>yd?LUSa8*Ooym_>|qGANNJi&6EB);r`m$9iPGLu;|ahGCjCGw6K?_r-_E zHHqs4@@G!%k;j31E4L6=Wo;-|CRDGwdmc(`vNgbpEgmN5ooA?SKH>o*Kt@q`Q7*IY z97R$~ox7hT-oA+XMKu}ud7klwo8T%3Lp|QIGxexXt{C91+-m;zB|~ckk-!W>i<}P6&0oMvD&&=UG%9}8TXDNrr)t`J_Fc%|GP=nWx@?G zOXt~le;zALTe_q%GSa!Pb->DlX(8QqpHbOcqe+GcY^${d z!3g3VcUH~r5qJot_-749i;C&QeEFSe+kd*^skV|rEoyz>p3+w*^#2>jK@8foxkG%X z33a?(DHAJzZh)}^w}Lmq3$-bO&u7GI9OMQX#`(Xn{mb(frTnLPA+Wx7kB2Wm88~(U z1i-Ni$*~xMWknEpQ9@Q}(XS44-n=F)s`mgC z)-opD|0l~(F$D}4z-0GT7r`E#lvHDjfpSAL6&~`uAHH@6U>`k(!URmjjWxo<-qyc8 zaE(qrxp#s7b|X=?3{KCqWKM+VSoq!#kmv@j#wgHyk+o4zf?@$@iQ$6dFcZM+y)sms z63s9Os00Ic$W13KuXE_E{%Jt-tS`$IJvV0Iu%&)Z#H_RbhptOc!2&+qWre2K5U~it z0Uu;$ma-qOEj90Ax&10#ufopo*iuo4&LShff*Whhkm6xW!&UdkgXO#* z@mshj76J_*$R-Vas7tb_UbX^H4z{JjsNda`x3XH6T(5m%WVG&OlY|RTijyk3a%aQY zJ?6zDIsIRftlm?{B@4j1L@&x=dR~+hi|4qprOU{dyX;a>ggX)-yWf|zE)T{PP>Wv} z3I(tNmObtiw=N(ca5lE?vkyFwAZ={hotM%}K={iYbIS<7ddCqMHFy`n$iH(5 z>vPAfjG?a1E9C$R@$Ki7>|ycR_y#&*o66nHgE9SLFwvr{uU zZExQV-8i8UUD+iG!v0`|>$_!mZ+s(J89>mo2CR3MlpAQh09 zqC1Nkg${fb7rT`aUiknwd$1S;4N$*-{4l(34Ft&)gmU)_Xmp%Ix4N1sRn%2Q!`zo+ zDCOOk%-$S&YI1U;L(bu87DBS;bR$sas+7mC`iq-m6>5v-s~UIY-G8LHtNpGSz`eR}S`*p|X*32eJ_Q0=S zA;PL3;~q2+f=Eh1VM$Gt+I{g;pp}&sTE%xDwiRMrRhepm#Z(v-!8uUaI)Z-D79*8aq(<9p-7?T&3Ii&?a_vK;%D=Rb~YTEe;F5Nn8EJ1Sksw-^r}CL)QI=dfPvWhxMt>M(PKq z%yD24X8=!&MapBxf6enXuzr9NIEs`$S_BpvEl~UsL1_)y*x^p2Fevayf;WY-nb7;p zJ)PO9CpL8g(p)9LQ(>5!P5Z+Q&|FXvROL_q^5ud2MKZDqn_+eYCEh^U$eeh&R_(qT zb05dhyx6lrKOtOZWpAhS2Iz@%z;7k?6_-9}Z?QHk-(5`+H+*JbBF_5kY;a-Xbv-jc zLnc|U$+nw%#npaB(-FwpO!UixXHjBDm6F&%&_oI8)uc7*dkQs7$tZOl%NIc56UeVa zb`0H6X$c8oeK2$RtK>9(L%s|_0<-2V+D@X*eds@3gL;A;R3(ts*sq)sM09oNF$Z(* zG!iPz8`c)c#5kCDRx)FZo`@HZK%O$iTeE-A0$$+A!&(Z$pjQvCOGbg;bSX=dZbz$nnArB?;hk1 z5eL~?-hoK&G1EPep*nqd4SXyQMIx5Ov%zMGKoP zKCu8f#8k5!;A-K2r2$Pc1YhzB-NDS=)YE-iMisnH@D{Dzl)Xzy8cE%C4iCbegZ}tb zul|{~fvW^O@c07N)vLUP1Kr(JYzs&p^*OXl?bEwt4f^Zch5MnAK%3j;9wmn4eQ*3N zoRz>K^qv9$LjkE0Rr&%V$Y?#Z@Vru61RzNwPIlfuvoQC;!736}6^d;eDokOb>%!#) z8-5vNPW6o*n}RYHe)V71z)=mi5=$nnn|Bojp>sl#kMeu6+hu%Mmj~KYkpy zW=H#aF7(1}qo8w?LD-B8_B7qSWMLm2llz&)nFVv|yp)Ys=lnc`CQw+ZI1Jo%x#f~U#NpBS!m*18W(ndE?Urn&Z; zq3%UUye&@6rg7A|X=?d9-=N{AscpmH)N-$dPzo2e?=^-V$6`6o{IMJR12}N^wt-hH0FY_viy=G zpzmDQ9N>p`8hH1Aqt{L4AuPEtZD}M7Y?;Q(Tj}ZPPEWAl<`7US>i~L(J_`TDU?Y^* zx3}k|nWm0teVQgy0|+kno5vOn^Q!fUnFqpqwDtBv&bs>^Pyejiws~2lq|WHNd^qT) z0-9Ihbo)|9zK1oR3ky-!Kc0fp$o#rel9cCO+UX8=_{PzZM}EIVMhjoXsN%b@nQeT@1aeUYXKW!55c>!pw+hgzM zQ-GjX%dGaVh}fsRU%$a?WKcVDm_x}q7XvZ|3x>@4)D+sxWP#q3$u;zIHz#NPrOH%hWJX@H|tmpN59UV|SVJ zl#o`5Lc*j-%!Qu}Bz!h213So|0>LDCs!WgA8QW4fRI&Fq- zky3BRw=ZPhCzAks8I6`VxOKLfEix7fTs$szkh)Qy5vZCi=#{S^#e|M_^0A$1r@e}= zK@d%gFIYr70USmFaz-y;co%+pOmF!5-Y<8M(A{EZTmWk#yi9ngObX)!k2(vA40eVI zHClqx@Dx_Eb8?!E*SbeVMJ0~L#KiQ@A~AL~V3#Y*c8E`mqP!)Ah{3fqjBo_ve*Ve4 z0IK}Efq?};MRl1IXHCss@BOj;*$?VgKVp)TldG$%`3AsD+4Hl|miL2J(R+|7Qy}KWt9>0KuPpSVSCzZ6GlQbd{XY zIYDYB+H~_7gqP-b@dBVu=xq3|D@c*~h$iz2e4Z0lJ2i&ngHi#B?4P=w1|u74uLA^u zTP4|}yRc>S6zpR!)OG)x*Je=Lk?T_Oc&DH-@G)hc2W1U|`oukQ2!rM@W*Wv`lU0E` z2t@=44OjheTa{c*n0R@#|C357ImFg?hz?Gijw~F1-^!AmMp}$j{2doeb3#B136zFl z&Hx3@z1#M`V638>QkS>!Kpv*F$qN}_asf$7A6K|&Sa5j6!NlAOzF#)kOOxgQz>AXV zI_ee_0S6BF0Slz7Gu5t;IkMg8x=(&#^{Vsfr9)~^Khx1ieEM`vwmR$CpE?`7(@89b zFb>G)o4@_{e=2G)MM57NB>x!@fZiG0R-Y^)mKm7s=JTUR@0BY8FF-1tC=>sc4~Sv^ zO)URUIlr1CJt#D$%+i4?&l~+e)ot<7fd1$bxk?Zpn$CQ7Z$ain`F=6r8+fu{vF+V71Sxo;_u}4s)ZT_#=K2A$ddxeg1 zYu_x5shJ!*Vf#pHqMXSs1gDxLstI|W32^>4zjIWzjGD7&|_gdLWAFv_0RTIlBdNLLl7dcg8M_mRD zI?u|2x>S_IezQ;4RY_hoNyEprI9XIB0lCq=M*8AiHY6w8o1s)O>j6+J5MZyzjjhjj zBn>k9=sLT5fkh5R9aHnp>gcs~`4^VYKficn0}< zIV5u4_-RE!mw9wVY-x6hl}FJ2YnqTta+%hJhTqB;i`fS=jr`)pM3B`hm_j4yKh~m5 z!z&Yr&nUDhmiqX{hAalRnn}{mua?n(>Db-fO(}CMC@j2{;x?Wj14q4%b&s&dmoU&A zFUN&Z0KoZWbL#y$=ccLg5&zTt6s>ch>+5~A1DX*rA3wIIO5s+AOQpBUG#82hZksbW zwUuj+N@ACnMO>?Zas3ti!wtuD^A_~ajaiJ6@%JDViV41D?A!|a2atz+bfNrVD_aL9 zky2VZIya;n4fV`Bzx%Iy!^R(GmpDa+(k4GL(+Bl{+ZpxAcMk7GW15$zB!qgE80Obw ze{KjGObQiEyk-STrS;=YgBn*vVc~$25tysB^NH3VCIYV_ZlrdRAtLFQm9=$KO?&RD z6zKGozL14^Id}0hqYk?W`m#gpm|e`8YM1HJS4{GnA{3jdKs&-X#bW$xTadF%PL`%8B(?O~3PL+p~|H>NT z5ABbighkWBK3^fvU4Q1WhRY;Wu0-g!NXpu^+0ELU{Suy*%JJmWq0mqTqVd<20<5)b}-3>SNe z%gVt0z)QgrUDic)dmz$6+D}OH@U%t3m^{pbp(f&@Ho1uWIM#1Zig>B#>Ly<5D5QCI zg@dr@yIF7Io1wz|{104b2R~$dYRL;JtH1@xFCNQLXWgXjHfsiG2b+C0QC?jZ=cgh^ z0asBW7-3sfhwJex4jSJ1S^Gw4?IB}iVo+f7_Q}nQhjLF zj|JrfugdpTGW(S^&>@hf)AQ>eO!`s2G&QXSt%zz8+qu>la5tf)r6pj3U97Js38ZLB zZH7yBR|d%-QJ1ajFK4bLU^!*)l=ggskS3?cWh$l4gW=bG$!7gqrp8n@Vh~vE`CUVG6PL)r zapM?6R=YpOn+KAUM&%Dr=WT(R;5*S7?==$N3XmA*6k*9K;Q-PGsBgL1uN&8S)Xtqrb_%Lo^xFU8Ecyfx;C5Du zBMD%7wM4S|&hqPpmasAa7(~P{jQgMDgaWcN9QplW% literal 23217 zcmb@uXIv9q_cn?Z8z3T}R0WZnuF|E6O7C5|2uKZ`&_P8+KtQDT-aCY$1c*uxy+cBg z5|9#*0D%z7nRq|{@;m3l`+hhdm@%2j%$_xCt!rJ^+S@Qqbp?uR4A;oW$S9N)Uuu() zo&N^@QC>L@e)Cb?_bM5gk+;%ISzT`wHl5u6_V^s4(&T>Y2l^8lxpTvl^o<5#NWI%P zkbHMB+gl+0|S3ZrVRTpU3(6^|_ZZ1-E6xg}Aiyf)y2fLvMcjbH;IDqXwQl z>zJHAD>*;7yFdfAu^AlLO0yYA7PL9t(d|e8@BEAUI9QF0@YSXyP`ePrFb^X&;Y>_nVyIxgp{xwv z!Bh7fO=inDB+@`9*91*9HggI+92UufbjK$Vh|Txa7Lg$rG{jo!4E!I1m+yU-?!ovI_r{AYk=^o-il_|Qsv+_F8tx!|YBZN?k z%fdMhe(a|+pL?)KZ~gw@)A42iO4=9yX|tBP)@!=qc+1kjilB5Ip9{&hsHcAMP+f!Y zwr5OS#V_)65ZnhLxgW9~p0u{RoO)t`d1!yDP$&hP&|>2Ncenl0u}{S!qZhormVW>j zT4yneg<=^Wi z$XpMN%0O1=X=Li0^5BMk&E^{ln2#J|7!O;x{U2}J5a>S0bNHqKCo(7Ed{R2sSOtSJ z3AM#4RCm{BRxBq{1gfA1hCcQLJi-H$YGICz(>`fl5`dMr0Hv_sLD){UY0BheLkT={ zhNR_b!?HM!h$F&Z*w6B<)!R=m)nA|qK>f^Ct7~PGlix~?UJY%+Nll$M2X^i@#4i#E zfrJVuEd-&UsN>@DAd|SlQ?Y>loR*SMUWC7tX$HgDEDJ0WpcTPRWzL>+UqkZjH!;eb zs$wQa5#|+1@W4b1TM1Ttg2aeu6u_LX;r)EV6m}x&o>;MK0vUYe`s!NHdXAl_H#U2k zVn=1tSz%z7=lXF2Ca&i?T74!n=A*q3@QH~#riW64lnQ29L zbIo#XA**e3Zsf$y-3ZbX&o^yPrJM_1-qzN;z;JNq?k=I(wa49RwBhBV!wXVL){U$$Fs)7Q0rrZzuQlN#v_kHeA9p4xUTCgsLA3}INmZk z2ReAk&Q2Bz`+U16*Rx8J=PU)`S^n+^PB(t#gp5z2T! zNXE1=-tnTL_sE`)sdV}=VjO)}fbcvLUt=}@Iq!O61@f{|3L&>fmRoegp?Ruy`=ZB< zFqX_G3P1@jFJoRi8nTy*4J<}*;UTxGI}dkGb%J`4G3Ke=`?#*PhN&(liERk0JV>8vMlaBz4LhY;Hd>qWM;XrRY>z%mK|D}sT%nv1|{blXLBJ(RYSd( zJ@@T)O5KVra^%H@f>Zntm(fIW4rk`m{cU)(S;7a1jK7jTTT*mkEc>@sE=2z!VX#u4 zIYuJ}Z4p`xY=l;_9CfJsY;ava@QOfNxn9g{0vIEWXr2TRVVS3gzWdZ%R=d>>?nL=z z_nuVb!q}#H6ms=M%swFUD=kYlWZJN%rY7`7^U1F$N7~{ntLWH(2V1=%+PinSZ_=zo znYf7tA)Nus+g`@xy-~@3_SvQzPfg*Y7ucbUdrV?ZC1uJCcLXNa-n6n9BFYrFc`%x} zN`oj9C@vg?O6O%d(22U!g2m+hWM6|59gtt@hwpCZ+xn4es6H?buyn?$xSYnM!!}O-AF``bqfm_n6pm z)G=h*vTz_JT4)+rrD{808}y1VS~a~^H}GV%mAJN?e7&e=?abY^mgqEmjZ!qb^Gofh)q{Y_{$LTRKcCE4x14SmQR7&3+KoG0|PF)`V_U=&!QzU==O@ z&pG!^<%tWagsny%J2vj6xqYm-)UIm;nZXtY~f z0(U2Z89A3WLU{TqxYaKC=wG~hOI$61KxAx=9d__ecK6mz;uptL^Ie;*`V$sn5pfgv9^$oRgnzIQM`UVJ z;lNuN4osY-ncXn5dcESpH0?ot>EyhF#4AHyLMjKC7bU*#E=dF)r5*F#F-B%##Up~W z=6)mV7;98_!toBoRMtsFcYNt3p3s*8(hkGxsr5CqY(zq6dRyL!@7{9qZ#(z=l&OY* zOl|8l@t`%#Q&Y8+4g?m-V(N+iXT~h980J=mS7nU=6{`&2b_3?%f}5=* zx6?|-i>@0h32pAyJ(G<1Z@Fv)58Pc$aXFllo3Y&`z$U*yHnGAg^UfU19l_-9!SX~Y zs*CE_hYf8-Uuo-8mk~cVo3^snH?Hu7^)|XE_*~2eX4@LA-aEg@vUEw^q?^S@=qMGz z8uxH5JB?-`RNa(jBjV4?btJ)A%ThG=$2!d_HkMrO&q~cE16(QYVL_!A;<2gM6jDlD zzS$d#U+tx$O0Hh&jSoW3i*qX1%HQ>T6A_T;e75m>dP7k8{&z{b(oOnzWMf^5L_x+O_YO>?V2@QV~1t=Hgv!3IisI{iSE_XwK88 zl~diF7j&i(%ezP?Hn=vJs6oUDoDX_A9V1uK=@}=B*6v9|c*vDd7vIdwXpB!dQEBi! zd7Do8Vwt06RXOs3eWI_1VYIIAnGYhi5y&5y28dtB7;yz|On;{9d*hr04db&rbta)8 zblKI9#(&cM)1Yg2a0YWqbsM_Zb#i7<+hU<)x5wIJce|%BHlanAI@YFdzIwX~2i<>4 zwLh^tGqBF+RaDnrlNtA_#3g@s;sgm$)_{%}J&9|q)p*^OIz*|zkaorabM zacD-qB<()j7kBr%>QhgeRx=OLM0a#J&=JMPJ$+TJDFQnRY^rp2Ap~0H<)9)y$GCAu z2a%Ig#JJ33$$i#+AVZ~%)#ju!fBf9@oC2MUDdU%SPC}y-OBi0y*qrYF)YCRj)>U#E!%vphMh`+yeR}?M zhqCMU(0!GMdgh+~;V?dPdKDyeM4vA=>d`0A+1Uc!7|=koXvV-YG)$X|VVV?FBCK${ z5sG=(9d0|?qx!bIM`Yut8t0AZ2ggYP!ZAWW7#Tg6CRvVZM_BLam!7@0A&QDSiOAIR zsp03NO%gE1l2fwf&AGO9bHNPyFuqXc*(H{Srxjj{bc7^aT+(<6Akpp^*LhOD_vQO? zd1vJ5`%xh5RIs+@sNnddLD9(0m@(7qrg0_OZ{YBc;vmXtG^m+Ph2Ypb>Fgk5o717A z^{I7-!vR3L;^F1wNonT|E>|Wqp}0{A>mA+%H&1x@_4v!3;S}i1(us*fl&jqOLbZ9a zgE4C3M4pq;%T{H>`ryYVYg=@w2;+7JT^*l94O4n|X#9G|vfGeMRJzETXY8l1jS-DA?==Kbtm!DIAl!d<|8+lgWI|ystajm(`?XN z-ME><3Kd~S7`4i3_RD1NU-QeKJUmKRsJhpE>>&mT9)vn2NC?;4I=4rnz+ zCMRUr*%AXm^zpG;b3XW#$WvG!sbNWVc66}0)a)**s=x0#=DT|tCS(;~;Wiw<@ME7? zlWINUEAn`w=enr1GgXs7qkQg!;?k=$6sK!Mk3Ht|g0K3Z`^dK!fUR*64KR{dDuXVI z72$3vX<_ldE{8Txs{0v&k*G0N=Nz0b6LpMl0w%Y_3x%fp4QiGKHw7=@Do6DAyPhIg zdjhQ%n*&$^W}&;%xdzUtWY4oNpAP-d88U2(Hp=~$uD4SOOP`*u$G(qQK_$Gc?!gU@ zu5i;nkN7@Jbz`D2+L1msgN>ZvbWVs2wzSEH)^@N|t_^aoq3L*2| z&Xegab2!n_6AepeL@K#*eT!u8d115JjU>E;M0WNkI}lqmg7i@sIvdHz0dXA{cD}Yh z7Nne>(fvX)Fafp>xvUIvkvQ4j-CKNK?Eb|sLs!#-Xa8G=JNn^u(KT`H*6Th3yW&~j z+!!7pu+mmLGXQ~j6flH6iUfn=UT$$Iuf5+i3WEFfnakYJan?F>y&HK{G}$t`9>D(1 ziX84uK$WsW2My?h*K%TK4hvsfnz$;XsUbE9`vrT6Ki!QWS=! z%xGdrY93E(+=%Ac8Y~6!?RYNPO1K7V|MWv;KuQ4_rfOyJMrm)92rZ;OrHY?u;;FCS zy@y>1VLj=0vVQcdOKXE7ooPBgU}Cl{$dtHQDC5iNR4nQruP~^@--V=rN8Xg>UVXIg68ampJ^VoV4ldHcU&iv>}+wuK$4gY6nwC3H83mZR~ zdpQ6R@4+_dpelxdCyPy!pAQ9A$`2t2_uHb~dbi0{nU)MuQ3Of9M6~{!14hREH)kmFFZh2x7A>?m$`Re zX*(JIZN6;#EXs}~M!4{e9!GLY8ll5Y;!AH$(WSa7R%|go?|d1zXK6YGiD)Al1cBj@vt9(CU+b(g_=F zY`5`xF}F|Jx1aeaCo#83w$Bf#5&x`~zbJGTHIzRtNt?@P+N5%?ybgEce?T)m=38Yq zWrwR=Nnb`bv#nO--973_T;6LdMlpYQ^d@O75kb+N^WDe_@>GwL% zDY-mawLh$Ohi;go>&{R~VsJG{ppyZ&v-v3gtCz{CZ%~yt&4CX5G%YzW>|Xod@-$8g>$< zXlJ2ysfVrr;p*h_bVM!Z;wu=E3q{G4oD}cgnAhWY`Hd?^S;4^8Sij;1%Uvs|fzEW@ z^7k{4;YD)FyW{}#PIJz>Gpf7As_~7ss?ufXx|4vY_@Eg#Wg)I|NAapJj0$JuAT`6`mo5=x)1;+_7@t(i;>V9N8CmziDoZ0`gv{8H3C!X;L zp^z-*{G*aH@B2fAJB@aJY6B<8Kp&T>#wTA-p#wL#>FQdnHn?aSkwHPdjXmLU6Z5}m z44cVR?+R79&im}fj@C#WFu`$3d-I`OQZyo|<|lffNb6Rd^u#hfqi*)`gQL!Vq3Kzq z=x@7IN85qV>R}fCbt5Ma)m&n)Ct|J;H$!?WCV&on+hLqIlB2BC(v8nv;`R577&f=q z#)Odil#9ygul@H?4y^b)iIQE-f+pf2t7`{df0`M+QZkn(e#HUqb$lb=GV9!a?qd$% zcg&@VRdLpLsEQxi9Z3>lrj3U4rIYR@KZT8N(8Tw`gYeiV);W(sYZt3Mc~WC#5Vv&s zg+Ze(1z4+zd@dUtY8vG?nzRtvU7P;;{>6Dt#HzpxeEE|QTjZi2>T$Eo9>@&(5( z?Im@714=JsL?OLLOF?3<#b(VMy2C*!#EM-}iX)Vvvv<2s+|?-uV*S0@&8=zcgz|#c z;deXqGL4eO4TI@RFCh)d0q=bilI9LKTX~a{1$&I@8`PdoLTl%!4Ju}J`4!i0Gg$fu zlNFa~tZY(_3_OOFTZbZ%1bGHV3hmfyvL)2k^RC5!fLSZPKic6-KF>qxptW!xxd&?Y z?hqBV8mA2>%*C{wI2X67*0VoX^J!WSPjpbqwD)@v_Clq58x(%&;z2zP#2-Aw&UCc# z7EHWHP2J7GMHxPuRn%+Xd=f_;3Nn+{H|N+t_*G? zD&QeawEvhxoTG=214fN=Fv&R=aIYvedwoZ-apQZ8xybf6;j)>AHcv2rQYPT~Z*?$r zsi&JZ`__&zBfIr{4w{%{JgZ{;k@VN&+Jvoxg|^+D2SPigme`izm)s9=+it3iJ&^BUG|#o(ob*$us27~rmZ}GdB*+b$;xq2A z=pT(&&Nl6~t;9a8ObG}oYiKSB$!B!@T!Jw5QceDPyw_pu;FUQWc=+v!QbXC0$y;Q< zR(lz)>~+%mOG=6MAJZ!X$ZwX{cZ#kU&xm6SWjcdWXKkPx&`!+8+BoYNbgwx8!P@A* zu#V*;7jTsF&}!ULL9%5IEdR5-o7Q%o(2OgnQD5qJZ=4J3P58q~ou{yJi*9(HSq_v_^WHk9*e#Mx8<7mr5QS3~mPiZ0OciI?DmGVz0ip?^nG`nqjZ54>M5om=j73jLkl%t`0%Xh8A z?@a&b9ml?LGd)cIqMDy#+G4k=BVYeeyXoqvQ(-Tqv@_wdVHi#+@w8^#n>;aPeX7_U z`3pWv9<6){?l~!&|6vvs&s?1|4e*f?)%7#U^|v0UEl;e(0YYQE8Jet)V^nFA?&$cG z%RPhY;@x^|2su0Q)Oj71z^LKOL5U2(F&#Ey5*C#(DgU7FiAg&bu?M8f7(Nrx2mSAu zPI+Rfxt;kkbw#2Gak|&;=%|-GOqlBG|&l>D&vr$ME>i|JMP*Ap>-zX}* z{(VbLFz~eC3FVA`fnZ3o_-Iy&Nu^`oZ)YeE-=v?Yn^=&}n&9@$TGx&!gwuSFiN(Z~ zEf$2hRH4_&axTy`{S^ixdw4B{7Y%h?7yr}Ob8EApzTu{s(^vWC z2_LEpl-2@9x97d(qo_of8*YkwRT9cujtU&(Uocs79K78}#ALvhHL=+;en>?(YIm?B z;Yc`nw4q~XIO#h##%_~6q!8;@w}Vqozp$!wxGN_A<#&_iGlJTr6FrK}1=cD0Q#($e zYrt7_+h!?=+6JOW$g9ySt)moKYZ{6N(m%jt!A{W4<7tt2KOIygEJ@DsoEBq=#G~jW z+gJJ{98jGM{!bM&z>|M`9KbFI6j66pDB~45J0@sROXxYjOZiFTq0^l|(<0N~I+<58 zgugZGj=yVS4i(vYjH{#aE82Fd9NU;ELSHd_mC9(C^5ucR4wTVnW0QCrP2AEjaMH_{ zJB#*>)J}ePvMnCJaqzWd8`ORQ-ZC2|M3xG5P)5lcP6y*wk^7l_qSrG*SXLS?5B`ks zBHzFM{n;R?nQO~P2DyN`OlqEz`k`c`jw&RVwEwCBn|0D010}Ync4DsQ|8wkbgY`eH z*1unYP1parz<+gLUl#(?g6GJ{BtwF3KEHDRmNnLa(18IL#{Z z^B*l^m({osaO5Dp#p7t{qu+?LlWkFPM)q;LJHs@G88qTiO*EZKvnpZs zRbcCtCCQV$QRfCO+(bspi3*OmbBLqSu(yF5+@WOQ)(({Ztb-I#n-iU3AV<$Q&7WSH zj*)6SZjW88Xf<3?XF*ny;|TQGuJa8Yb8gU!$n6Ro&EOi#r2maLcXEBrzdx^>z9U2* zscET@b^JxMm!4#zefAp?{BNsrk2&U?bPC%FFLV}slvfwL|G>?t&57N^EU=Qm=An1` zJmgwScIuR?-Ye;u7%l`&uZAR-v_{<6V5Qo%rFGn-lZ@41wxyTeXrN1+Q}4F0`Nuir z+2K<3h5}mq4%7-+X-x=I*#DY{b6-Bts!>k87D?1S{zvhvOy2zs%>#8VnZyKyYjn({ za~_uy2+PQYr9!m^S3%-*Ub4)3;qjSqOL~nDMe}s~Fm^M63I}!(AF{S{SBh@#dtmfY zafp{;x1h#=8wN?iDB@^!n@}lfdvGoP5yN)((bN%V{@De-UvH}4w^m`l`kfX9eM3mBn6{4?yd0Ui zo+CBiXx^@|Q6sv!$*ON~{LgQ&6J1lID${-1)>R7puH1_>fQY|#9yko%PArd5O!AbF zGJSjiw#OSQj-g(*gK6S|_09?zHGXwQTmPEdATcuw!i?p6IFO(q`5djB_-?$<@Hm3p zP6Ct4fvf*4-rI++!9*B5Ibn;#NZiOM@{n9E?vDhpS?Zx0mjdiH0xzQvSfJNxxM6BT zfO;KdM)dS;tTZwhlxzXp5qB%A^?F>WI9TeQ)>{Y|B+i>S(z$Pr8AgQYg7vy=eHGWB z!C8B59VD}H7+@}&sxp0a%H}a{1Qt@erlIUS)tC!S9z?J|J)YD)O@1o**zTwq?41|g zIE+k|c0OBJqP|+2Q9Q!{q1m1Us%zt+KqN|C1CiUhy8YB8&pTh=cL?AU_dni)mj_FM zbiHwR%s2|z4QE>5>e!NY441Am6@2}52_9V5-jcq&xVda7!vK9>NnZi8b@KVM5mI`i zL;d(DR5F>dE*mm93I$!V!UP_J{Uvc1lz1jU#ppfg3?&Z6VgHz)I{XBrg1U8TdVRhE zwBumgzgoco-O%5%RWAj`Y$T9giUrjp?ha{>y*Q^qiij4bY3gOn79S$4)?Kjv*bAHq zu@X{0J5xjf@yh_LH8khnUudIIHPfzenglz!3}FAEu`#vd2+6W)h)NLp{d8uujt`v5 z(ij`VC9wU(>YF-D%5!~e256MTaG_XY#n27QIP(B|;+Z`l6SNZ^0RJAMVt@hf81$?A zWds};GsxdcMdzhM(xex5Y*W3<0S!=YtZxw&6m*!Km_0lAmH&NlGa!gb$7of?d1@g5 zMahy_VgqqT2Nda!6UX((ql3@^-8q1Dt$=ZAOUd1N0f7oC$YiO3^L%T-!%W3fc+gp( z50V{rGXJS%%gX9bqWNj*lXq<`>3#QOMmN!-&v&(j8;g#0T3>xN-iZ^b53l8@Hs^hY zZa=Clf4(Fwad5VlCAW;J`F1VR*8!;iXPYOX4#UYmFbC>;i<|i1huop;W(C+GNfz}+ zbu^e|td|N^4t-+?CEwl(h&ZRiwa}l0?8g)dCb-Zghf!@b9lT)q$D(h`JNu1_V4std z-uJIFKB2%NI168u^u#}R3Q4Q8-B0U4EaS_XhrGW~qU6zyx1=BywSD(XN3sF6G{|qc zXK4Nr0LBSUKyj}74mQV9_n5)}({il*Y@0jr8pFYr$wI*RfH`$?9ucYVhav84cH#&3 zioPo}<8nd{;9rhic1{rMWdcm7%Bz7$VyDE76x$g>r7^_35&9wu`GT$R(v76ol4I)W zle<%^YfmB9LFw=wpveURrTs?QI}iy2v_t*Y05%Z-eG6)SV`Uu|gm6s93PzU`TTXB>d)r|xLD*AT0Puz6Ec(b0ce)hAu8NG?Fn37xlu-Pi~mHiPXRO7tKjja)Y=|!_iVT2@q1#{+;Rj?@-6~s)v1F~r>N}qqP z$$Mw9$)v_}qs49+6Frj=h+1XN29jRVctHnMei_A{}BYFAV-nog#e=`)gZRETjyvDI7;JIe=KVM z0&R{2T-J9D zUzxE9#3kC8Q@iY!9P^dN$AiwOpqcFdH*N-%o%V8{f(a?vZs*O%pyDV2a?dES)HwR%p@^D5wz&GJ(o5=!ekxL+y|k3L0x? zow<)kS1~J&ZCk}pLQ#2ZyF(&7Mi7uBJq5}0F5_E9&8^{LW5{)|sG9|QO6iM^u4cX8 zA2&5!xPzK7LknNHEG|76z=y7Q0DhYNS?~|5`sq+P&^x)kvl@or1!~I&+Svq-or76y zQ^jEQdsj7FmZPe+X3?Ht*F7n&_kAOCK&nqm^m!Cs8A4*jOEQHWrJ@@;Xk>FJIAw5h zVY#)lc{(q;y0JeUQr*udpjD9vfyh^&z9lsMLz!|Ws$z6OM|KsZ-&gLj7LlZ< zZW`98ktSWK`puTeCjn-)2?iK8-n@Nr+&ImTbp&jc+vbANyElW>L<9yH{^}J#^g+(~ zDAOJOX`^yC{xy&;;oC3|C~SAL7@gAF!<$elAwXI~x8Gs^wew1 zafgn5(GR?z_in$awM7JvVUtI3hiLrIDt4VfK2nh{*V~$#X`V{DI1h>>m?5i#-2p7& zMRIdr4p7I7=nA0LGuo>^+4{Av3laamh7r&y_#zh^?jhmvdx-eMV{IfKEXzMx=Sj_? zd2v}5=fL=SQ}YgFS57->`Hwcc&kpw7tO+eE z5kpD@mAHR)J~^?4SSS=68 zGfQyvYJsGQvG)uldcS{6Yu^A#Rb>DnEEE%#=+Jmj`0!HJvG2XiAnLQz1H|lxBNv&( zd5o6a_^4y6Vc$Yj2()~}C>l;|tX|JG z>$)1ZM6;Y{q>Fw7iJjEx;Sz^(+&?-6s!p|;DC+-E0a3uI(j5E%FwR20B}dp7|p3A}_66#=~x-L;d0 zdFLb=Fd%uTkUF3ULH3Lu6}TA2S)yA!qA6VSj`tG)-gc%K3ok)0Rt<0TMT5*X57L&# zjh8dq5`iEz7lI#RkD{WKPW&g1Pc34$MQqKFF%157goKIo^W$NW5#R`Zt)ZNLyUgT5 zsPT0hqFd5Qk;c&QUIKLYKA*%Mz-$&)WcKoWQ~bk>Ilo^n$FS-9 zxeo*2e+qjIDtp+Cy*7Pz%Bct+cC&#?zy;8Vvv%<1(rwdbf4Lhi;Cz5vfps@n*Jdwc z2hAHEM0W*gD<%O%%Z4kA!m6y}>~I z{(*lN=`}&%h9rv(B9XTqPdZJEFOsM3^gs8(hh|RvVFq<0G8pzP#Gw2jEC)O3P*-*M zgKep>w>GsNyZGNXa2lzo%)uJWopdjfGcw0=53)$OrfmQF1fmWSSvEy{s8;W_YyTOs z(*&Np+!vLDy%5BN`R|KV{F+xDhGLq|(aB83ja6c%%|%W-y}p2sF$AR6nKm60!-0(; z$Hu7Bx2M`Zc(`0IA&TS|DCn67&+35r?Z*dq#E^^R=mzUTNygYcj{mXGSY_*A##jFi zRH0dk6Rz0I=OFjX7gZnN?UK5G*WAlsebce4l+5qJTR}Fo&~>Svw2uqt8+G!{jAJ!u z(+@)=>nslf-E)IiwShM+4Tm42q=LsSUYsLCO{_&q$o1u{>I9{iPE-Zs6t5zTUUap; zT}i`liF%wjuS&G@d#-x}O?rkxd$`GXryu^zRg|+!X`mVMp2@NXGNBycIYI8gg?HzQKcC8W2g{-xSBBpVYB_+iq1S!A zo8fG>63#x&9zLU95X%l{NegJmULRo#jtY095DR8qMzZ?PrOfmVzvLxr- z8R-tof`p=GR$4G*WEH;|3)9txMA*m3-aWRx2w4?`oUyN#Jj!Hi4GG8;FhW+oN>(0w zLiX#5cBG;;n_jpsugQkokO-LiK7fz?cSp*DU@;4B!N>W#QzI#sFwz#xUjOb|Le})j z-mU3hLE*nVYUCmV|76iDH=Q`nlg%iDr)k((xi6`c{pw7=2=P;tNM*Q41`8Dtl||z} zwz`tJ7&wauve~9Swk2bEmR`WDogQ;l>v0sly#Aa0$mgMQkDfQo+&GnBdQoqb50w||@$R%#5pI`GS{IuUR5AweB=M@wnEG_3>Y<5od3d5bZ zw52>sN@{!t`R|`b(d(HoW_~R))2(*v%J@M^*O`w>2*092y*-9cb#9&B3uN)@yZS=> z8c|w z)26oIS`0x^Ub)iXzB({V^dVppnayp6wFB>55)unzWO>{w2RGwZ{TxpHxTvxV;VMx& zrOmQ03|qTxt5U0dvUFwcK+5Q(8^_n{1?fu3XZxw$j)u*`y|%x6xlz6EH6O705+dlu zWt$5r<#g?cTSw{p2K()^=KL&rOk)K74e{L6L;PqPn`|?9%ZlSe7eb0ZSKZ#>hEOp- z6%rH_!aQa+?1RK~JD=MlggG-+dlwrUv#>oq|saX)e zk!BzF7!M;OquRIqaSB5s-lZU3&@57vW2dI3P<0NBEV85kgMe)M@#NotdIypvFuOvv z>kSn=n=Vnvqd`QZ`5QiYc?HnxOl6eDSHUw$PWf97?RfDS`r`lkA!*rC*589!V04nk z0HfH-vmAWwsZEOAzrV_3+XACdwv?Z{D*pS9`Sk=g@D{4`?Clt zgN4y;2!gPZ+8Is%kLF+LpXw6m8pHVZFq&=DS9)`QYLc7}YILOh&|g4CQtK$6Tc0D1 z0(AOHNU4shV6PCVWpEL)A%~tBz54I)S_)&+B>o|_4*vZug>5P+rbyj^hu~mJW0R8d zqWHG0Jvl@w$p8I`ltc$o*DW1*5h~YgT8I%06n0eznmZpInWmeH|{P`tLt- zyX1-yyor1-ybA^q^ z+d?hZz|bjMJcM`4j(-e`-P`4O-YUn<#w#}jsBviyg>tt+U!pN3LYiGWURndp7b$M& zSnM?vfzZIr`^8qm&i8>IBfmiqKFC31P{2g1TH@=p&}b%Dfa(E112J{ zJT15kA{M+$g&oYX%=Yf1#*}2Jy~xplhqkHI_GI7h2!W|L890k^*Gtl%p8wf8NY96{ zIoK>DBm2~-lK&)zTaKHqVjoOoU_VC|^uxb9QgcTZP1=Gk{&)BAzqgaMzefu-#!K|T`Pn0h7B$jYa^7VvRbgXOg}G!vrcSTPBOqOaFuN8z3$6b;oi{zYvAF6 zb#FpgUTsZH`>2J5MOau^BEPA2UyI+t7FdZ-x40b)m~vM{YA9}w2YtSl+o@_hon3z! zwZ(G#7M-+w%cJ7rVl#cyR{ip7y|33A82*lf1wu|v9+5FRGBW44E!7qrEs0Y^1fINH z#_8JH7R~Z_ZMSk)&@Pn-un$bAqCHcfn&zFo;5q>ge(C#?Zg7PgN&%&px;q;S7x zc46X`YkrBobnUj7?_N;@VN^p#*f}Iq%1=MTsNQMz1(noC0Myh$#cW3Q&6{se=O(Y7 zBc&PQ?q==ar;rdS!gB122FJsP;XtKX3pe!O4MZa>GOyiY5yC~kg(q~ z8+GNpZ)v+uz)Sv3PNu19sUl$jsMKtBq%RNPbaeNYHJb1`_un|?>A=cQ`LBUh2_)9P z5WAY;$1fY`_B?1w7^_DBDKC0fLu;Bt@|SUETq&(&_Z9hd_(h7b|kCJ92d_^w9_!uyZ!KM zl@|9@4G5iAKzBvi5<^7SUv&@z`Sj~$WISPNI5^PtmVQ$0+{}9v9a;VJ;rtR zn0Db@2s4}7a*xg;18hC#xy$b>rS7e6Ti>^Or@PcY>(8Hl7~g+o`eHCJTYr$5ggIcH zCoL^KA8?Q^MnuN*)Y*@_Z6Qr3t!~RlWxNAS$56YipHdP~`e(BurwyqhUN)7Zu(t2_ z7v{#SN!sDS0F}(~y#eW<(_{E{EAbV-56Gv5Cx9`r;n)HfU#hesESON4BxRkJ6kD(XPO1bc_w+(ZuMR_9Ad{Zc@R#j>KV$O?J zX)s$3gSeFuz(XyXftLT<=Kg!^f><78UwkU0;qc<%2#~0@8T}Wf-vMW>X)Wg6kM@Zf zS2Om1?7K5cksvUz&U8O`VjZ?I#|jCitixI-);d79$VezZjiRJvwxE4^o`J~4?OStP z`kc6R0={T4ud2I|ncfSmxt4zOU)Uy9)-QwSC|Jp}w z(Hz@FUOu-jb}By`{QM~NhVv|!=A{UMI8p@&gXmnly_cY){hN_;bNla-;{%;H_KIh_^-URR*iE1b+GD-0(GfT`FmE5~6Hw2x-d9UGgjmZdU zak@ON9jVIy3wPFe|3A3H7h_K@P7T9qrl!uhkqwa*+uPdE!1}KUll}QDFJd`#1qHPS zhXy`|@zenU1oxzq+hk0ca9wViV(q*)3q}I}$0D61Ph>&BEva_;yW8MXtv^quS`Q+O z&Bq?KbMJc8uA=boTzII-e(AG;V5H2R%II~TOmypkE&2s&dh8xqmK3bDtlnph_0dCh2+0%OvnA%gzbf{rK@^ z=|=YXOD@;{8-hxAPa3U5=a~I2Czg&pV=mpOZu-<3{At_{_5RxJXMUuD2CRWiv!1`R z=Q5ZfMGD^V=0c6kt#0J3wAY%$I78`Z$<=;WE$%VIfb|!G^A(waT$4jiOt-}CvN~Yh9sEnJm zOR~Zd2X^R$jm29uv12=}>Q_irC;S08UOI?^f`ZK6&zizhLQo#tFLi;Km#1A-m2x-0w7|M3iXUFcYfKbEPeq~TLBwe%6jvPio%HsnA zwS&^cpVtL+OLXm)yJOJx&WQzh@IOG9^I2t9m{?mkt#+!7;`Sc{$WhQGyfeB^;BTo6 zl)4@Mv1pS+-@SWxVPQc-YCkS`!(%P*ALT2Q%)@*xRoBe)_d&sl-Job%ml<-69b6vy zA=JL=1YCPD4#}B*dyX_Nc|#E4cb2*Y%$kdmh%=4uZ?-;My1s5mvfpYw%EtEaj^~@D zTd--~9LRn#Dm_FG(mSl$xBM#Ik&a{*hm`Y|uIW~o`1B<`R$lV!2&ct?g!P^fnzv~L z7HUg=yne>Bl(9B3hoLP%+HUx$>gD7aEh zDq#4Ny)*PxCb>uBb0N;pQ@X(#M%Z>-F67q`b;_&-2g1%c z{4w-7>_6!_U<0eVMr3-QK>w+YpWPr{jDm05!%a z?t2~u)p8glGT0m08=rWg50{+O8`EYJdM}YvUOgz_rva^xm$`i7PSzKRh=>Rtv*rd| zR%NC0vuE!{##()LS4t~eOHRHD6}#qesx9oXh-smP87_)>f0*fv@qN+O^?TXN!ILWG z7GtJHR6zCZoeXtc47&pNin@vly4GfJqTE>U$&)yh`t6y<(bfPz1^o<-w>uZ3p9P2= z<@HpnC+ld`p2MfvJx4z{qg2{GI52N?%V%>AuA=9C^=ox?^;gQUi1!dz5J;xL^pzL0 z4EmQY_;xqOOAm0p0`%Ov-CP?l{|njBmLGs0y|8j=a`;X9%wLA60ZtmCB^QY$E=xd2 zuj7BfZMO%qM`9e5cJOub@^QP#78%rKuzA$WZ!@_$a}Go>bZs3o)6<{apDzmG!OG2w zU=V_zCFX*{$dE|-GFj8JV=LOH_esnUtl~)Z{?;%Q?A0>40?jj}Fw-(s4ic74K()2q zyxYA!Jx;ku`R;)z#Gpv?2Q{R(w*_3fphD2vIp-}@spn9pzB+foGZ1M`f;G2Me1t&j zZ<0`JmX8Jbh|b12Z&4%4D(B&fL~yMG2Pv&J+QGrDElIZB(nI0@Z%{A>Tu;ko-r5{6 zkU-B+*~JK`y#FArYfomTG4%bB=OWFma&;Di3gru)N0bcuU}}&E=Oo>T8^y0%^c8^! zGE3(Zh@tmQ1vsMV{Gya8-bHMT)tL?>e4amF{Ozi>KD9HUeJT5&oC=6i&o_?U5{OS& zk8-okhNM}OP!W=7u|0<)SxYK=LI@!Z zMuburraQ%H9BW0glq5@?V;#FDjlylKoJwThx0yu7mP}jTeXh^@bKQf=SRPt92W<=@h$`;lO`^RBK$~C1Xd9();pox{0>M)7c#TQ9 z_ef`o67TT5J&k@iMnnNKNcK`){N7T2U1)V}?O1+ps{hpMZ3DkEqvbVXb%(2_)3vjw z^}QHI-o0jC8aU!r>jT9_k^OX3>s@*Fb_zF6`NBC>Zs1P2Il!I%1Vpm(NXeOQ*J^b?5*7o1TZG!l2W?jC2>^xG8c{334@wBr)$202}E zXsAN$fpp~R)0Z}9fD-J46I(dK(Bpj7`N!T%Tj*qQ+tRAPZ0k$ej_@9-(kj!)+-G|6 zBTef`D%@aW>k-1NmxRi}Cq|*T%VKLsByw56_X~#No3`vcXd1+9*!HWl5Jy8AdwVrf zC0HBZ&$4oIb+Ifko9~#Ga$R-R64)72<3(Bv?9{r7R$oAHDM^Dq>hchIB%vm ze?Nd8&n4%z#h$u2S@4eYEXG(FD*i|1EHr)vLuF`~(@J?-=5G#;%8uyq)RLDsJ+A}fV)^)7%}0o_{17N?mRyehslX6_!}FPFfs}$CL&UF! zmc!%K;}M3wC=@ch6>Z&@QfnST{$K&N*w>TM(()O0P5|Lp{E&;s<000nRd6Y0O+=}y z$jPZ#@vUqsG-g~_D=G7pglc*?b!vOtWOOU4(eixMEB!Y=-cGMB!=zY$cAw7*M!;U% z&jt?ubi@XB1zK%>meXp@jbONtg@;d7kjp-6N_ctYuP*fMHf-KVp7 z12dlD!P=O^QM>NLz>QvqWfKb(fr_{>Z^=-b>!yV zuE?m=TbQDbT6kYwzUA&w30*p@OtwzJ?Sn~N-#@<F9V~# ziOdH*2ZQRS%Y|DX>v`lq@6IGtxeMUBGMe0JbXHWN%hb(bcKD$B=uoUa6pQ^F@5d>|l!Z$9+#4WcE) z9y9S;T|s&?Qgq$;7GdDqG*?|uHCq2&R0tjcpWc>dj1@SPDnE`liQCmzfB--A%tW=m zN_?{~shP0rB99ktK$fqgEEvAvqOg{=m*lV-$4XEjz@vm+4@PDWXg$f*VG=szZ<8GE z-1t+|#H0@9Zdr+Y1d&iY%FXF*Hkws{imSq{um7$zs*y$g6=-_$hfe|2L%MeFF} zd6`|ZxkR6ONp^iVW*FZV6GZOG+n5sQC@?l5|J zWPxzlzn-$#AnHzOoAf5nc@>(E9bQRx{$h$$mNxKU=?M9ceXjA;s*upo>^`L{1LZ!o z7u)7<@QDTn1zn~hg`eN*oeI>4_&^?3wA5dt5}J|YMfsYDCYR3{{D+l!tINRR!`2=g-ZsPo$q@RCu`aK=FOel=Zg~xl{BDM zFfoUBqqH5v!~+aR9y8wDea=xTQ})AHjjB@);(%TOA6U8M24R>4Vs^FyzyM}MwWqGv z;Z@xlHzQ_3%P=6M5A$}>^zJ&eYq~~t;W$HCCp#oN;w#ZX23k;jMLleWResP3teQKL zDo?)%8EZ+~hRE*P1(mk_2bnBa*&n#+$D8(a&I3UHZFbzM4H%~)7*5Rn-Bt?TdC>Db z&`0^|*Rtx_Qi;+V=hRFMzb9WSS5Kt{whyHjfuJg+3`72B%vgv9O|~;kQfqI4(u3{( zE2+{bdMAb^2CAwS@G2@Q^>>QlJ0*^AMid3i@?CnW$_aO62+Fdwv%6oWp{nZBTX@DW zYO9L&I}~BSynY=X*!n3PcY69h;^ALFkuK?i6EkdCxl#h)A%K$(H90QowC2@)q82F z|8~8ruGDo$?dQS_8QZT!cSq$2&?9mTn&Q1)9v3w9&5I$Td-9n=ikn&vsgL4Yb?3~^ z$u{h$N@ta}@RFLs0>2a~w7E25=%<01i9XOkZZ-B#$mJ5zBI1uO`=Q=hYa9~Rtk za0TV*tb3cy0tS`F+Dg7)x5Aw=EfW$h#RiV~EGTSl!{?y;wKz!$ z7*j4WWa!gY4X~w+x5=jsvkAB4J~5`#ymPVkiqdqPPq&@~yWHH*F;9vr@_Jh~1)iac zO4y^U{Hc{<0OQ7|K;MoYJqjl>`w+QELq8t;n5PffSgoOv+Q#umFTYqZAMzJknwZ-- zN2aI6ZpL^g8G#7Mkl{1hxMM%DwLkfso7=l*Y2sRB?XPcrh>V6}M~WMs+Us3kW%;EQ zERoH{`jJVw?p-k*T{xq{B|-PQFO+{L>rFModL=;71z$Wasth(lBMjZyD; zINaYNO0&>+x$CCd8<2+f2MEhRXOw|BJ39-a5q=#x=A-5lKuMFqZKaAI3RDNVLM&6a zY}4^Dy>RSLv70E%plHYBOfDLC_pVKFDG#i+FS1R^-nxwpa8^;d@Osvf=In^FM8rGAiJ9f-$}RM^oxeZ4s7Q#bYe@1?V zpJzZSi469n0%jhu$u71?wia3eYR-$3ELOs5DvX~1_cCB@98|^vJXH_x^M-4qPPD%% xXaL7}9E?a{ud>Y`Hje=#ZT~NS?vJi<(i>A_ms+a}VdNHPVrX&Xk^bqB{{iWBcmMzZ diff --git a/doc/4-instrument/README.md b/doc/4-instrument/README.md index bd00b6b8..61342fac 100644 --- a/doc/4-instrument/README.md +++ b/doc/4-instrument/README.md @@ -1,11 +1,3 @@ -# instrument list - -![instrument list](list.png) - -click on an instrument to select it. - -double-click to open the instrument editor. - # instrument editor every instrument can be renamed and have its type changed. @@ -66,14 +58,16 @@ Some macros are "bitmap" style. They represent a number of "bits" that can be to The number between the macro type label and the macro type button is the macro length in steps. The `-` and `+` buttons change the length of the macro. Start out by adding at least a few steps. -The values of the macro can be drawn in the "bar graph box". Just beneath the box is shorter bar graph. -- Click to set the start point of a loop; the end point is the last value or release point. Right-click to remove the loop. -- Shift-click to set the release point. When played, the macro will hold here until the note is released. Right-click to remove the release point. +The values of the macro can be drawn in the "bar graph" box. + +Just beneath the box is a shorter bar that controls looping. +- Click to set the start point of a loop; the end point is the last value or release point. It appears as half-height bars. Right-click to remove the loop. +- Shift-click to set the release point. When played, the macro will hold here until the note is released. It appears as a full-height bar. Right-click to remove the release point. Finally, the sequence of values can be directly edited in the text box at the bottom. - The loop start is entered as a `|`. - The release point is entered as a `/`. -- In arpeggio macros, a value starting with a `@` is an absolute note (instead of a relative shift). No matter the note played, `@` values will be played at that exact note. This is especially useful for noise instruments with preset periods. +- In arpeggio macros, a value starting with a `@` is an absolute note (instead of a relative shift). No matter the note enteres in the pattern, `@` values will be played at that exact note. This is especially useful for noise instruments with preset periods. ### ADSR @@ -100,15 +94,29 @@ Finally, the sequence of values can be directly edited in the text box at the bo - **Phase** is which part of the waveform the macro will start at, measured in 1/1024 increments. - **Shape** is the waveform used. Triangle is the default, and Saw and Square are exactly as they say. -# samples + + +# wavetable + +This tab appears for PC Engine, FDS, Namco WSG, and other wavetable-based instruments. + +![wavetable tab](wavetable.png) + +When **Enable synthesizer** is off, the only option is to select a wavetable entry with the text entry box beneath the **Wave 1** preview. + +To use the wavetable synthesizer, refer to the bottom part of [the wavetable documentation](../5-wave/README.md). + + +# sample This tab appears for Generic PCM, SNES, Amiga, and other sample-based instruments. -![](sample-map.png) +![sample tab](sample-map.png) - **Initial Sample**: the sample that the instrument will use. - **Use wavetable**: instead of samples, use wavetables. this causes the [Wavetables](../5-wave/README.md) tab to appear next to Sample. - depending on the system and use of the wavetable synthesizer, this may or may not be reproducible on hardware. - **Use sample map**: assigns a sample to each note. - - samples will be played at their default pitch. - - to set a note's sample, click the list entry in the `#` column then type the number of the sample. + - to set a note's sample, click the list entry in the "#" column then type the number of the sample. + - to set the pitch at which a sample is played, click the list entry in the "note" column and press the key for the new note. + diff --git a/doc/4-instrument/list.png b/doc/4-instrument/list.png deleted file mode 100644 index e8af84a83f3745a4e4fd661c4e5d20434ea9e013..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 130716 zcmZU)Wmp}}(glhJcPF@Oa1RjN-4on(<8BEA4-nkl-Gc;omu%b#8r)s(kn^7RJNMon zz{8$B)7{lIt5&V54pUZ?LO~=%gn)oRk&zZxg@Azef`EX!hKB+^N#=Cs1^ys7Nb5L5 zKpO{N8(Czox8>HXzRGQ z`FN9=^-r!j_S_a~*R0<5Ghf=3BZ)ha%$lHzU(O(W(ZJcgraT46_KG1U;DB*VE>%VUfq zv2F6Tc`(wKzi*m#M3;$2;r;t5Wgj8^Y(;nJwbb23GhT<(AWRrWf!B271FIGUcVRy ztq3ZP{_i@{#r|*9@r?)Qe99}BFbQKPTr?LRhto%CXJ=TPK&6kg7C z%)hsB1z`R&H&Xo?H(3v$s!{Uu5)5n~Pmb2=*&_aTOYLCN zmqQ7haQXBH&zvCp?oYp%BsW+8TIdxT&t@`s?~W6kwi%*TRLThW)$D)00ED!@CE1Je z_`01*7mTy~B4_?h9j0?fSE|#nEc$C4fgI$$>z=W!hMf zJZWXx0xTeNaaU^8cyw!01l4jqXTl8Wa975Ff4#j(tz3_U=Tr9UH!&?qWjJtbuwT7R zy2g#*zk9K{?GoN#vuQqpZA05PrcO~&qo{>t8Tp@gwlmD3NhaUnn_kSO1Wez3+i!E7 z{mv3fT;_~6zn*Z?#IXfdyXoKImtD*wr61NT#7_w7XqgOtUM{(gwCl0ktjvF@L&CcM zAzw2dek@cx@)Z`WS!M9NGRNru887Q56V;xd1(eG*OUD5J>P`yi|1+rv=~XxojqGqy zw7$>t==O{4livFq`>Xi^h3IK;qwV49QAdZDh6P7so_{9ELSxIHa4y#E0IRY}gEq4a zoK^%<5vbjsS4+;!0S>a*H%)|HM!G@c)C#}rfx&Pd(_p-^-=vese>!@0@xpcOe!UeW znV|UL7Y-8l)7;mNqfa+FU#aR#w37-@dCzWi26XI}YH3we4t1NtX0`K*$^K%5W9Jay zGk+ZpeC1dXk*4Pyywc`70kuxD?Cp}{+nV5u^UrencW|M-MgC9sS64PX z&%8cc-FFcz2JN+jb~itu9m1gdTBw@WnmLk~Dg%5#x*eVe=Fm0HB3FpC-3rDMLZT`n ze_xUA!2j&;wog=2;vTTyziE6%#ts^5d_c=he5UKHZ-T%Z{`$l(yRa{ku_;gY$SqEH z223c`R&Jw3+|Fr_cTh|Vc~a4|QE5cDvVlJQzQ3V&rn;0!lU%kYI!@h%yw>I6!mlz( z{rhNF>mGK$P3sy&ligek9Uu7yK6Yaot8v>ef7H^t**XJrF>>?5{_hTQ0z2qS^a{3s zTLDws`N8Zx`f;%)feop#<9C8%;ygah#Z`XKDCmpJy zAR#mJl?@2_J|p1n%b{GNhPY#zfJucszA7yzI>zyilXty(z$-?l>yq+>ZF&$;l9CTZ z7>2vrY?w>*e_#rUqg4vJRe?bH)1?YYEh(!IKZSf+MfN@zg9%pq@&;MZ<&-tCU24i9 zVmY_jGhi@zhH+NN?@_x~4MJBHTEc;ExisDAI~e7+G;vxv#RIF3I(dFq?Qmq{m7$a? z-{aDncB;;dGX!G^xetb*WS_$9Ik;;*=qt$xl^ld%T`ZD*o7c>>BUVL?q>2bSfa$59 z*SAueWXiwS4W3bC8m}-kA*9&q+~lR)u_N|8@^y!5a|v)H8o)P;K;8008Dtw-gwr`< z`-4Pv84~?=ZyuNwt)h2!&IeVxR%5JnY>RmU-xg|ca8#FnYeoofNViqVM{T#9IL@jm zf8$1KSMepm;u3Jm2Kugww8riLeBE$FbSyG^8}r*YWz)2xHKN7Om4_8RU7lGg$%= zg{#f&{L7fB>oDa)XX6~-NHcZuO;=|Fztxve5)PaGR_@3&6#%Ki5&yK79b7?Uu_2kE8XT$97J%b7UdJ;r`_D@#)rj&73#PYqSkBH5LzCL`)ybQ&Zw# z_9!ei-(m9gu$n!}Gi9;$6cWUv>``gx-L32#X$OSof@Gt}CCnPdV19qYHC0!#1OG1y z7~$CbmwNzK=nw$y!}O^QBySu>+>KDF3%35b#k~Dhf@Qv8jOJ_Q8>X*bYJaGxUVdqX zwX;4wHuOe=7N z+>e^dVYik0Cl4=B;cbIQ_bH#SpM|~!-+kQ>t|k1T$7Ty(xw*BiQQl#39B%zeQ`&zm zi+vY5zrGdxm+1_Q{J}nO9~iS#9KWCWG}^>x)}ETNu%|)jwo{xFS5xM9YaTLocXPN$ z5@As(;CV^v9isj5F_MrSAv#zYl`Z#>Rw>gMf!&1~x9(_mHW94`@Ff*>^!jKZ*1`NA z_xX<%p?EX%ckl)pJ@Tl@CG$@D0) zPrw3Jd;NzYO8@7lvBHzYcOQjJh7U`y$`rWGRIgSAABffq6SJOAb5eBbFo(hESg(77 zjnj*ReL(k@MZHFs@0$|u`&u*{ob?+B#&` z2^lur@Vu%wZho0ZPi_1#UarXJ$N0!QOZ2@1f~J>)j!}*mYyMS62(?&@>DWABICt;> zK7=>aG0qP1`9wF-Gda5j=I~RNzQq`wAK>%%<(g8(&OlJDAV>wt{0cY6iPBeQDB$i@ za0^#d{&h(-T|PQ-AKmrNpvJVq5y#v_OQNP0LcXSqCMvbkxUi#{+UU=IUs`oEx83sZ z74pdrEWYV9k+WUZK=FDqHbH4wv>2xz4VPGtUrkmX%kuF_hOn`fe+c`OUN)=mEU#)0 z^-K0;2l|SWKK`Z=nus<&MaC-XDy093UfAWPfSrVu|1rmgLbid>0+lfgY$*Jmx0z2k zUL6BYR+)4+);vAI#{8~T00gB=V_jP_;=g)T7M!qvz5M7G!AE4SX^h~eK~%NgI|Xjy z2oLXm@@QqPNHq6B+KJx&ACl6MKF)@W_9PL1uO=5-@G+w5^ z?B>9sw=z>qfu7gZ%^{!uW=VH<(i26J2;V(4cZUw4V8;gr2wTblL{;1t6#3d6?#tiI zcO9hn(|ACs@BA-MV-k~A_B1{!UXL}Ng^>t)jZ&929NK;}ILnEM7tNqjB^?{;TT76s zd6POVLkPoM+dqb{GQQqUSq+mm=WnkZ&l8?j=2)g8%nk~0rXvq`h6i$|C)R%mboW1A zquqDE;>Z!DMTQ(*k!c;(AmlgCG&nnzgeWI`z=a{#VY-p@c$$n4YX3t?EqJxqF;}Am z{vFPW*>JoGfpYfno7n+N9mHoW2DV@oRC zIQc(00mW~?U3GsU*UADM9%6YKNp_1fFuA0}_h<9&>dHe9f-jM7EEafk=W402x5}VH zGvd+#jo+{4j~`j1uwxms6mqgDg-TkutHx@l#Y84wr^0PjC~lqSrY6(ab6W@z-p(jO z#2M^4#%pAA)7eG;CmEb_!1_z;pM@$*`(Uq*n-B_(%-918u=0Z!jdxe zWo$k5{gEV=8dGn-M=pc8r4}lVhLxgd9rahjG87jb{fcWJP5zTTIyj*K2~*Mtzdi$y zo}lwYKYO0v#&FO+^Dh-&F~5x%D~g~yqY}P9=^*Yt_td-bnnQ!@M@?7Dy832pA);v_rC8q%gO5!bjrFX_Vt}_L zw(z{E7!m(e)}Pv}pz_Y-Pfy@N8aK%5vhuSoM;sDORNB3xuzsqvpXFzR@h{}cRxBTjSz}2EvOK(YeRCKq0hlV`$f zttB;K2wCIyXPn7&OU0Ux){^oRCqrIHl*_?#+?JGu8n$Y16fT4Llz5%_=-pY!kw4M% zMU`+gZh`B-z#PmaKpbiYYd=&~YghPz=hCu-e8VSB7wRk=dJt9AJ;)+(Xh``Dw@5>x ziP)bfJe{ggAy0*TWKpn1WNl`9>s6@*69_1f z&O=XacTcuS&?~|wMi`hFE2sNt*h=1KDR$+wa~diz3yaaxD_6%AlRrWz#kv=f-O@3A zE-`(})ZI+WI6}_Gql@!fE{O9>J5|Dae%d-R;UevdP*jBMTkqIz=mq1C<>6`F5z26P z%49sZxNL&&Wc0&C5m)BAr(~kl{?zSf%x?iHsVXSjR)Lnh!QzUzKes__qyRXKSPNN$t4@RxzL9|OVbnR z3J1PGGC}D`BGo~%oqa$Mhfjv*L_jW$+oEN(W7$xcbKx44BTu1MLZBo;)`w67UIgTD zCuxX8Dwd!ZQO&|v9s<0I|KMx)m^r_`%4<#vNJMt&mtZCv%t1ui-**z9Ge1P0vb2Ig z;!1JGDB1|O@X3~(5`2$5PZ@ehKAET89}&4~=mBv2KS@Q6`s5$xhjir#q`Uz2C$uqU znEm>3{42K2D;f0y4*;s$-?41~MJn5uO1j36<*#(*fOX~gx~u=E|NYh0++_Yu^Me2k zdF6ip2yTD`p!}*}{iE;wbIDIQ+?D5l2-g4arX$3E`6)1wK|b-nqyI-AOm%1qBVvJ} z1|$y(%1NRB%3Z*K>sy4cmy#lVfRzB!Wc_))2<@|w_x^ly>0!=zjyC1LAqzm(P6Zcf z!dF>R0iAAW+O5OodEfu#>E{0Gz){TnZ+1zlP$OA$|CglazQ%OrEy{dtEb*Gv7C*Sp zH0wJ(A>1JN8AN;IV^x*V%r4J&8{E@&&{^8 zD&$2IbHxyLNB=HrG;_tGpZzaJri%f1k|aQ7cd>Z%()RS0$23ZCz-Fl2wUDz)e?|eH z9gR<)?mT9Man_fyU&!}-v^UlJ=8*CZ+;`aoqr;~9>IlH5(20aMlm&pjyT*QPLH2kn zy4yYt_a_vg%4)f~$_y}S=r#MzG~$Dz_IS7yzu!13O4j&!V?f)g5zNT@>*CPp1R)Ee*i2nwkywOJ7nSZzf72Y!Ypc zJ_*exWZuH)oRtnt3?Y7(1U6eYeC8;SJ;!3Uh%J+wVoWb_G&4yS; zCRcd{qNq%aEpVLsaZ)dAeeVN0ij=Yvan&VZ95vES19OS~cjP2Af`$7gg1+HYPA?kC zk4t{HYqEV7vW$% z#jC|Ml)V%$d!_1}GlhK3?<3`Q{BR~%DArZUMTui)b^BTj`kKH46CIV_(5WBNEhZj& zEM$71lGzi5RP+G}ToW2e#j~Z9@dqKvYmW05E)-yv%loBm012&AwLL?Wd9+BZS}TMM zP~<{Cpbsll-sx8s9Zj!N*)K4N&Hv6?-$hY6k@m(vhVgb@-kN*?mU5TrDN=suKzK4 zH8|;-Kgc-a?UM6zyvBnYNvq@4^#~rzTIhgM=9BlI{+K{oT=`FW*(ZUs+E^a10xSSu zRLi=}wkU$q!_+0srWHe<%R1+C7~V-pAmPM4rTAs$V17CO9TYLIk;I}q7J2fA9pFg^ z)qp(GR#5rDO68F0b}mdC%o+DCk07(PZ>*UEx2NiZTo8P_I-Nk_Ky@Z~JhSz)LPk$S z>QAmL6cUVeG1OD!yde1P@?NjmL%@`{pA;{Pmbg!R@6Ho8w`Hmh^hp3WmYHKv~+cC=A= zLAYKR5c9Y{^Y+gA__B{sljb9X_j23) z{qW;+>C8C?^7*eHc>!NpJJRta|3{a#73dr zvhGi(ywnsU3`I=mR>Nr-6%8QLbX!F0$%&o!Jqe-it!NkIWv5m@ICh_tH@*Z9xszFP8pV}pY;Anb5gE}PdX zAxUx{FAwr75%K$1VK1WDUo^wAs+#G5#k$vluhA?RD{-Osix_ZrH>vZahv16syEu;kB$ktg`T+cZ~ zL@-m(6N6E|DNdmA_~`LCX$sn$E8}oVH79y$z;&F^>*^fkG0)?EIz?T~?K2PGUUyoH-Mm8J-nE;g}5$uEL&uQGmEM$rwIQ_(@i#JSaY}|bs=e$B?=Ukfo zu5h`36mb23vb~@0(+)1fwX01r-}zc_E929Y@R3FRkILBT1<5gx$8}vufFZ`pPGL2F|9Xe1AoQZcVk$I`{M5lI3z^EK60f zThWj5*usJ$n(3u(QSjycgHm>HW#70F+oQhKdY};EpDNS)@Y$PpA%p;0s`;zrd-0pMuZuk_HH{#7O0T5E28#@7DU6X{J?O%;!h5bL*|Ws;`SnEA_Bk zrG9hNMxnmumgS?emcp$Mcj88@rvWwNNCjA)gbPou&holcXge=cxXdoTxIMXfhxk=? zsP>5BTL^ttBR<6a$n7qMm1Ipk|6oztI&7{~?>O^=#@y-nvOr$J{h;C!Jn+tPcpL3l zxZV@dO=tguVLS~pulKc&X@_;adNGQm(7p!y{b5POZOH}JfKmZLtuH?;wB;|+uRtD< zm<6f8rEv5<=Xt5sxB@HQr|wy*{L5%%=D`VxBnl=%w+SFZq^9JUn-NB)K)&Dv5Bv(u z2U45oOe!qL$`|Xr^b($+XtHS`%zp?k4H1aDCAyc+L9*1wW~?{~!$fDeCUDiZK zysb0>*PL(O&G36t6d^n8h+WsH*(@@QRlg7S*!wk3le3b|7kn-8Hjf&!&Dk>(nuH|v z1Y8YdQhwu`NzhGgzjJ-DfAT^bmL3X=kfmd(BowgjE{I4XvcMc0 zBA&JHEze(Zyd*18S1KvT;o%f1(yVG0S($WKvp+cnwwM zjT1%lUouy&dt zth;_=Jvc95EL1XRD+QnbIzwP$lF>iE%SO-!U6kS-6a>v$Nup(ZD`<9F7_7C?vR`MT z7Rm^CdN1}QC}Y@8l9NgN#1)>*VKJUcP_`oylT&qd0|bDiciJ;_AkjHA7aO6EZF6*K z7KQF(1i{fB>=v_+AM9hL1pKS6MTCe0+5}+U&e=BHoanAr{J4fqwAG%Vzm*Tid@xRd zsGyA`W*Tep_pmCd(`gy-S?@xTZM=l8JF3pn3CZjqe<9-M2_!lqIX=fn zQ=sNWLj2g7y-X2!r>usnak0tcJqF$$h(h93%~BMB%%md2sfL(frQ3oa{WXkZuG-;u zrD-HeIuJb;!UFqp=`%1A1u#(A&o&PmOj0dspkWDJ1!WnrKAij>QKXSuL%G zjC<=`z98cYbF^npWaNMf9z%`hI#>{cC>U&f$OJCkHJ8x;ePJ>PwxAz$VEJhvj8BC%YhZJnx_0-h?O>cNK2BuK4l;&=#2YMm?19vqIcB+1CG)rRF$|#QG^`Wp4;8N|J zZ)A|okc5H*66kUU9O=SOKO|<+T8->fX#bv(<8Dk5iqe(~`j(x-b-8pUyfK$JJv;mC zx@GC>k%tZ@RA6K7_Y?t7mr*r%_I+ew(wI~`9WbJzb56xPfm$q2&twXlF2lF#OhwIx zp>w{l6YGr`M zsDABuirA0x1xOx4;uWix;~vWUQHN8UA(&4l3h1*`@x~bxRW2#v4eeoNk zp6m+CWb(`ju(wGud6csFv2Z(0W6U5vuyxyJgNU+aUM?Rc`AW^}vxnx7#y*)DiU}78hs~8gS6i3!)ri*!W(0bP29iWoMF8DkO+3HO}VS*WvLm^5R!?Dd=h8zMCm= zyQl7;UvleZ8y}lO+E;>8wPMG#=`8*o;2Ys$Yl#Wx0DS^G%t($ZVHhI1^prxH z@z7>S8tJ)AJcDvyloM>Buv7g9j z_)ge=b_L%TNW+Ov3#&k@TAuSqB=4ugYU^@=e-g%7Yc37*{T7~>Y~EU^S~;_Ae=_w% z7Ei6=)6ixSAkWUnBeXAF#_3S*akSC*3AwE^IZwPd<8#57#r|pB)xSHDDbQYK;7lZdVy$6k_)}qsGiT&PrW>22rp6ehpIJ22*p7A+4#}V@4t2`VFw+g zPgHiC(i+5R#{((Y-`G@_#{Aw|b+va-w0&`;#U{h1jBoA3hsJ~Q63zG})>o!g*=LuV zdOh{P`&&Lw%zl;2J( z^7rHyN`4U)By1a-PdD3*3TTAF-oKM@lfdf6U+_I+@h5P=`p(4 zYMwTRkA018lNQ8!R@ZzhZM|mqM9$0?fjfSFxGqILWs-LpM>$dPe`}J&oburc^YTD{>UVe88=*cUn*2MwZ|Vx9RR^&b+z4vJx~i%+)eaRi*e0 zbZM+CJ+PK6PYAzty#b*l?sN-lotE*1HTN%G6-@#9)T^N@7mKlW8}NB7x-3vLx9rCB)p30dwlvJI5YOe@Hc|3JFkiA?K{EGTD!3nHChRIOu& z9-Hj)iN z+=+R@c=_i4CfiPypykdP*9bupC3&YQE9?EQ(I#In zWGFOJF9fEp;%AOi6<*BWaT_G-ilV+Hrv5ZTS&)sp2N<$>)zQR)Q3cDFGu+*kH8lON z!V$p4d&jQIcsh_kQN-M^XrZJKJQfN%hG=Q0t3JW)@ISYH-;MN(sX0Vxm95i!&{wfm zx?mp$TS&w=dHAX1F@y?k*M>={$(Dq9EXOZ&E9_0?ET@L1eLtVH_cx~hnRv-OvHxP# z1rMhgGt*(;I5GOGuURfqbJ$y#LHwH#>i*^Fm%KuR%iReZDde|UdsuJ81bB4KpcLMt zH+=?bF~nm-+p*Is>?=gFdF7jkFMVZFBmw_=)<;OjMV>lRA#-i@=vp0W7~xRkxW0-zR>~)D7NS zU0S&Z1WpE}1EqSd+1?aM+DRuG$7VT(Svw3Mh_Oo%63*Lf!D#}9)HBTJZ;2kCPBKnf zZg-{COb!f*)$CFr?r5^v_;3gYG7L!gk1+E#DH?@n!7*05jUDOyUdM|GX*b709*=wU zsjT;bju6)aKeyn zy};>cWn|&N{59s|o?(uaSR^!dfQSJe?J(>#5|Ux2us`HO;_%@!u3h@uoc9;prf{7$ zZs{waX1Zp)AFW*lqgPe4OonU=kAt$v4_9(^R_`MOjw5X%oxoZrvr)x zxSG&&`tU`bJg!Kd^X5@aa;)xdt26DwA{ni_g6SBN=d&+;>-Qrx~_AH3ynNn7n6Mp12;l(J8q z0^qcOwDtR4D!2Z3o|k(y-^?cwWUED$>)2157Qmrt&9i2(Lp$;YUk4PJ1>Qbj!(V~J z$x$5QK$8e^A9cCFQY`(bB(|(rH|H~XA<-m4orgI=EnzQKK+kmfa%w;tZp_`rNpz1C z>f`-`eFuq);rI2qr;evu`1s~GI>u8= ze*!T6@FN2olkxp`JF4h=Ug%0bM%prmU-tn!Xv9n%&*fx5of1+%N8--Rpla>%_RIus z@($3!1tTQ+lgqx~A`+K4Mt;vA-YyIsWK2qlraoA+gYk2O&8DrN#1Dd$b2yz7wySLa zJbp2{R2O4yZQXee`o2Rc4>pZTJF?ZaD^ z8F@PL`6gSVV&*zTE;fad6qBF7C3f0J)b%qdC~v_%4p0}&sFLtO~tN4 zgLZH&t}U6D57oE{1Ep^T{0@5o-8vtNi!XG4YP<^k;=_7y>aUMa{BfOT_00MW2(dQm z*%euC$SkZ?;LWWIqLblwh|#({;p8@saG_3B^10*19Yy`K%b~hPa-6LAB$uljDhU|J zZ6@D zCZM3~C)u(s2}qHEqs*)cL2vbOpQXlzr+fwGoonioTG0OfK2e1J2^x(S&&z%(vS$XuPl0I-|?Oi7X%7?{Ed9?Hq0C3Dv>lswnw|W*v_I>-2eicW!Q)6#0Z0u(F8Ml$*R6h|C5H1s^LhFuRAYXd+KNr@JP zNVV_MJ3~*DczvzeicMEx<``tFtPl@bK;I8sU|O< z=<%wO(E3XnM8xT1vG|$x;SVe+rBAl(a+(^;t!FfaCo}$4j&!n*d*2HyiTEtf{ZFoW zjxPu%om*Jr(f>e@&l!x1)AcP@%)oK9)o1rL@%fe$$iCBSwVfg`YP!3@xEQt7@Dqlk z)t=7V+A`muF;v?W0OM>&>{f6}*$}enh$wXh8kVh_6ICfohdpdtTy);@ewbeg$yw3YDr?SaJC9W>MGbGN?$-YsjN ze>?^sOor!#e8EK_#^AJbD-I{2K)forZ-MjwdFAZ`k>?T_$?#l05RYV0#Tl_*?g*}* z$iU0F^PFJOu01b*^L8H2h1ldc(t@Qv^cb~@Hahbvs)^6Yv>`F>pj6rTJH{Ra`K}(& z6m)?0C9VIqr8YNl!+ataWAe@zFN%OqMKrq3DLay*?x))!*8mpD`@SxG1Zht1eY~;m zv6CN<^K>8@#*udhk8`cOg4{}d@{Q|*?gI+hA3n4><`o(u;Ew6c?7fc>`3M=P^D=zq zfdJFd<9jpS_IQe)c4K0!#wU2!6&Ui`Ru{j(v{d*;G!}PQy9N+gJ-pxCKYiQlw?GMx zyFXg;ocwLe4@j(iiG}-4hZA6c^=YvfjAb-Tu2FJMhxF$a>$<{urKy%*{Py;(0~N6? zVuOC_>#ZEE4zy7EJn*RxosAw_y4GsS&SuX#ZTd^MYP0H zha+%CqB4o3Te$$`YEZ?oM*}j?gI3f}?_CnNnW?-1d{c~X0l0KlsVNni#zHa1CrTas z$bkj<`m!pmr|(QvU*&-=?t+D#gu)k~$tQ=p4=H?|(k22A+x;E>JQ+GBGn;~j z88bhj63+e-I#1sn_qI%6xw>d{7SGb?(?Oy?bWewgiu2Nr;FZ_t=9$@LuW)n(3!AafHW#!`I+DrZ3~-OsN?Z*_p*5))kyCZjxmbJYA{ZV603!ZfKHU~~oxaMIxDlFD( z@&lxTPV71=pnFaoeYcDIG&1)hrHqZQZ)vNTTH;qV0&A+Yn~fWk2FQeOl;L*3Z1ZJ; z%b&6>enNO)9O4X-+k9+%zog8lsoCr%&{tTuZlI+%E^hh?EyPtjzs*&Q*8qv@;W5RN zXFCFq#bhSzF_g~dv-{|82~WPE0uKWpk2U&hc7qOMMj;ymum!eLi+_HEzP;Khj7s7P9 z+?4rN%kJSJS`DCk{UL#$=T65PKlvG|-jW5T6i1VGQH9C>Z#g z=-*u|TwW2_GSxQowqB4Z2nBdC~!-u8-B7mgN2ZJ<#(JxJ<6!(j;ZS z;~-Jw`rVGVn%9$+g#5|NY@!y7>sJVT%P}|tY*0Y`JMGkyjwg)vB#Fej9_$~HOhvE} zB_b4YQvp=AwW^Xlz2}I!RX9By@-VYL7gW+m{2@TwNRv)@P7<2~_kwBBzeke;} z6z5WXOvH|oPJ{Unk3SKwc?}b>bY*>Y04_y+vPF$HiOcO|)~(!*f?f(1IPZ(@N4Dc# z;tqlb<>gUtH3#R1A6cL0E1$fbSGRDQYfwzbYvUmesve)WmKZJh>-1YNR zqpgd%x)u{b>vQW(J)k^q@^G8cs^gnd>}KX2rx9)Acd>){M|# z6&8W@m%|Zbm=Uw-d~J}Q&5BrUIn>J%cqSumA);)>*NA8-S9WJOJDI#H%(_tBF^A{5ZA5ghoUw`iK zzxR7N>3DPnnq4DUQUz>`OtY1Tq$BaL`_2%1G0wuK{&;)=HH+ln$M(js<$Pwn-8q6ZOTm~jDOVHGxaNBA{Sj=`B>wa#+T5$t z4h_J`8B59L#E5}{K`WWuX1&1g>=QOF280G~BqG)G;$f-i)l038lNabz zVXAhA=kq0asgSNaPLM*KW#F(A0f*5`JM?_x7M1#fw=znt)BDI~{ms5lrKKDgA8lHu z^!}7%@mp3$vKH3?=M*PnZe)I)Yj!F`pgc17D3Ik)Mtwlw(GwOJzi)qsB>_BOdg6MPhS!6ck}6K1g&VwnRGujv!`}>Jw%;tp@rWA zQE9d-@l1sOaD%4(srU3;9OI12-n{;!|HJH2A@)wFQ66y8i>OwzXFLiIdjKJP>(Vq_ zxJv8B@3urR&0pZh5$c7-8;`FWS0=Xt&gVqD5QPqtG=XPgqR|h>X{5G0bw=B>>SnM) zzOB4y!&LJE#`n|vg^%Gts|mnLW})W_%Iq zRiX3HqNP6f7RJH;q{Y|@ruHWTS=@`!a|j_g z)II0n8_}%7YMfzy!x%Vx|Mo0*`DpE=dt(P(O=vcej2T-}vclkkYqrs%S6$OI^=f=W zOfn4yHUcSUK-oDq1eNu~M)#)=hnttcYV0=7?b>rsq2L%0<9eY4Z@>A5xt-k+K zurD})pp@XRC&ftLh5pHRR?Fs9|ycI6bLPg z9`^S}uvPAS%E?dGr6>Z^?UNE)DYRmt`+*XmYsp0Exh$#5+WMIJ`M2CjR9B`Xc^vjS z2F7oNXk=`Wnh46P_45*)6t?A7T>n2xYiZEPD`lRO9T(bt4)4jBK68_``X-5eWRVdvds6M;R9phRxxAolfM$m^JCv%G}~+n zrL{ZkIDHc;IJ9JDS-I!?>Yg33E;-ya)4Rjtl@wX6ScrvdBo{?W+TY6ffI&AsSh@mqktt zB@#mEv%U3$8Me-~IGDph)VMO(L3u(2pmA;zfi^6Xf6K z&Gt!97xwP1LwDQE>rDN|_LaB(CYgnuR=M-`n>WLnDvkN~wA2*HUWCsFGeYp}Ow6U) zwU!I+YtIhA@rMV0zG~Nz#?1l6*sy7!d+Bkd$EpjHo(n;^y6+qj`x~}-=<*u$@O!eE z?_74WS3gb~U)=i=Xe0=Xd zjf_aEXy%tPD7>jMfze^A9bF6D+9jaEDv_T-p-aKeDpV^N2zRRPrQx8H&GWEQp6U{c z$s~T?(6@^Z$6am|cgQh6?TGmri-IrSmbimkUUxh})6bAPaZ(Ql73(1KR{ z^XvanK03}G-X=D=2028l`z$G#y4@=6PnDi25fHKx>Ff-VqF^6j0Tt%PsO3g?86=EqR9`XZd|^vmM5&M2pQLcVpk@A-`d zis%h&f~i7ftBnb`4By~3ZQflh2IOZ}PKR$m_PaM%S}3@n60=%jHDeKzP2)zj`qKj5 z%xEhc?UX1)5sD-YsLdeo=dE=xa0ZwR))0k7G|!Z_FXBAgitDLKE`t}X2|XFp>B151 z>==Jt!+-Kel@#69D3uJE5*bMofi(0@CO(_t0H7|-yY@aD5rkGfQ>4af zdN8%RR+rJ?99wFw6-AdIrQX; zwi29TEF@7QM@Q~xS32`>mGbK61Sinv_o_noiRj0yz8+?Vb-C}Y+N5na{E#9k(kJ-s zaKJon+9{PhH4Z5>jHii|V5O6yL|RC`Q#RV|Ipf>heP;)dZ?qnS3=MuDU*_<^m<|d^ z7=*_WYlihy6ZI)BXC*);R~GS*i^nPBeM6%odv5Zf7NUyPf1>(B)v1^2bbo}iYWy~? z`S&F83l8ymOKVo)dD`x|Cxr#Vh{nJyr6RJ=T7xJK2IJEMVYP8M1+yvjxzt0lISxRR zMWt!qkgxpPMg8e^x^Wh`*{$!>vRX-URbqYGI)zvr=oB}@{(9+yD8xh*Q?uPM) z{vVF6I;gFui&EU(p}1S|P_$5_NN{&|0s)E_cXtb3ikD)gL5jOOMT$Gcy-2_J`!bW6 z{Ea) z`l8Mx-wn($k2p)kPD!!pW2DpFu1W0esvz8nbv*m3FGA0c37MJIDT5c0ss_&1Kam$+ z{hBQQz(CZLN1f#`6f=`5yimijmd4CEvW*f!YNgA_wI<@%tZ~qUp(-0azwW-;M21HD zPW{brR3f&B+CY=bc?y6DJ2VA+M$J@TzYzpc2SYjQ+mYQ74tCUuuhr`h16HNsFfUsi_@=mliyOZ%#` z4@py!{@~jc90t6V_TiEem&d1J{LF0O1ll}|68>ymhQYY?U@=`1EixorU>04jQu8*4 zQeP0H(EWixzK`!c2||NKAho#yua7hiVWT4Dfs2KH18AuGkwczxRfFZl;o^pBQIZGW zg5c2TH`5ji%})6MVbQ6y9+t{rq3v*#K8tZ=D72c`RztHeZvO>kr0?5A!1ej`nnY)G zi_)E1K==679ePw5G8a0Ima1~1rQLff*BeKjM*gCZ_b#NnS@Uyqzl@?SU7@$j-xgAy z?5DP_39qaWzQ#)vo-MZwGDJ}=LTI12cVapx*IIPKY~aw}pb%4wdwhzr&Y7kTuL?fC zUh|fW|AblrRSdCNsNhM{-~F)-#YO-E5cK+C#l3s-$`Jme?Z=tHzpnnc%!r5jA`cIW z)j5LUw{sYAe=@>Q!j}7{23i`&-?0`awhx91cW^>v zuG5&n`=i~=0|XU;%wOb&RD4)7v(O3$E-%O9v?(=N0##QlwA|f8*e%S{G>Mo~#&WD3>^#Z)q}j^^*! zfzF@%cRjs!rY;t5g4(=KZai3L!@kJB2~C4BaX#>V`8&<6VMy3J8kEIPy%aQ1aMB6z zk!i#rXd{5w;Pm$E%6nAoJLfakbOEuHc&cDTly@OhiACU72ko7X5tQjO*w=Iza}L(z z9{Y(VU}M^UPfJ%J&!}iVsruHHhxcq(S8qm0WaX!OL;&gEj!4?`W1y9WEJ6)HCf5&0 z&-#TTig|1pe1@3$GoYn=DH{d~?ETDEoFG;uX;2^Q!pl>HSNweb+$sEdNx3h6KB zvi=ZW#jt+s00HOdw>6}5fWz=i;NRwvo<|pzb$qJ2pHS{nT*KGfyF2-KbQ7|WO z&+@UcGX6X7?iCK&cz(pQ9nbD9jtdZtB&WTJ_QBzt6{2(xEzPFG5!NuI?)Bhx7n&#% zo^EuJVXaSW|AEK~Y6@BBgEXHGe)NM<>}8@d!f?iY#W! zbtiL#Tn{!jQCgTo)|iTP-f4a)+bcb1myVuPs#jGt3 z6+eT}+tJ0}FcZRNMugk*BL(`tKG!o%gf7WKOoxzeZbX0|Md;F zy;o1RlZDeJ5pZos$thQ2ILfz1=0DVPP3oRU4X+Gd19F+*u(kZqc2QKye!aRx z>HjFg62nYVqKw*HCH;;*4g<_Qx67eyMiVmv!>Q_0xo@izL)LB{Z#TgA=!Eb1hh)c< zcjfFELgD8>yK>!-+^wwd5n*o?FlJgdl^UcNhr|%MxRSX`O}3p_P$6!22+byO5H74VU6!RHfwGZPaL3*>5vxhonGNcE(Ibxb zUUT+f7S(S#Y$A!%gK!^#-XBmR3ZIMVx8*Q#2L;tEQBO4`WH;y9_ol>TEXl_0ExgCz z<5JCDzfOgcKDMu06Y;*5@?w~R^`K{tR4ATHKIw;QSDR`U?OF>}Pr2Xx{$|n=60!$y zzktTcXvyVosf(*qd}qu_8Va_Z)bCs9KjQ%(gxQr3AK!;1kXl!Y3~S1ZOUd*yj0ST# z6wCNUM=Pef^^nL^=}yc!`BXwnoFz7jdP`h-ihcH97ybp>%H?8LZ?s)i#&-U~u8RjqTW-KOQoHr;yhd8(@u=x4O0qG$jYd ziG6n;^WXhI%1vaSrR0IFrAU`MPi}?#iYmS$02b0doGowK@_y-u>u(`BNkaD>UK%XM z_zR+@b}qo0E;|!8e@(~-`mj0nkGqPgh(Ja%oNw1H@8*tl6(F{k`rLJD;Xw zXllwrS(R99ekIX9EbwC<8`(Wxg(}b&fNFKcx1HWo)R+9Z|KX5pPii17X&6}MaP=j@ zi)q5u@}(?YK5gemk)ahz%Q+J#MWv=;DwF1$#Ho;OtfC;F*?vm?C;bvZeW;}P!1yIm z&8sH%RR<(dsr$ZG<Px`x5vVN`s&jL0mWwEpB=y{h0t2gp^E40 zcy6;C*uTgjivMIJv-$CjZL5UVCRZ*RqZSRlN;*~lu)5IG1CP0#YJtFs{6D|vJvudx zs8Zc2t&(39vX#0}Q*5d7!~X99o2b}=$45tj%k^Sius;CV!IhPNIIAB$WnRlRH8iEl zy6k)RQ6j+(etEa!ufy6!a~DHm)-<|#Kw%ul>g?~_Ae6kMFv<0}>Ody?dMFPdAjA+o z3;{~=pQEp2cp8F~OgV}NBktw>ys^DO-@ZedJ(ATmFj}wiu%ACVKl+Hhr zp8LAvbJJK{*)z6eW#RO*#SS8eKtdA=%-E8Bh)DiTEj1(;x5IsR{rsIMw>nqiT<;@a zsB@^cQw^_CzlxJ3^s8yZu&3~3wcf`p9@A6P`Pu5QPPM^gby`sgeGc@b6m=qmg%#(I zK${~EwR+PUZW{L8!BN*Rk2i{Lo^pJ$*#KQQir0zoW0Bu-xa!mO-zZj9J(Th?SxHBF zNUIz56R}N8WY$PATLpc9Znp6_IEIX*Lu#Q8N=*;-B8v@%wF;X zwkSFPz>&JGF_tRnQf#MH)R+=;v%sR-Lqj*`zKU%5(L#tkFqcmqKsR%x+u79K@HqMr z-(}X9eZ{yoMk|V`%;vDoO(wisc>(d|B)xuHxofvVPMA@O5DX(%K1_ay*~wGG;rpZ$IGHc-Ud5>_JcP(j z@m6J6C1%eKzc09pnm8gYS2go_XIRUJ@O16#g$Jt~GCBZUn5%PJRO$4BU6rK4v_HB8 zLvX=+_w_`GiF0jMyh};_*eO^&pPA>7%PSy4Vi|Pvj@DrLhGQXp80!D6SHyd9m3zhA zm*_N%t{wI!_TKGB(W^25qm)@yNzo&Gi~G{HU54T$N-RVby0=1-19!NvHiZk%!E`k= zZDt%!S6i!X%a?kU*oCGGhV5f0O$~|P{9KzcUI`XrPQl=@-9mo`2#8>@a7n6b-)K<& z>#9i5=3pyuNUHQk6m!;3hZ;7UpH%C8Q)vs$a2YFvwZQ^Bt zbD+MP9|zd1Cpo$AlTl%~IJ!#8p1d6Mcq(3eO|L!~7PFfEX$qc@XI-Eov^(BlK`gi3 zW+~puVK(5q1$Tj}3Nn-(?bNs9C;<$otiSBG25ph;P)Oqtd-MtdMKNoY(|tWKRG;8YKCfX^CzmN9 zf^ynO=|R)C8-wgl3Rp?Sy4Op=Vqc4A%f=pF{WsP|XqrCsoO$;vqsvy7b4P-&wd>Ea zFBMC?egvqw_bu-B&Rggb68e-!%3>ccn;hOQ&p|!7vo*;H?1Yt&h!h4mmlTD;-AbN( zX;YqvN;St6Z^Zo;6AKflX3W}?&$S6&Awsfs2R^v{q-=6<*JU^_3A>EhDnDJjz#7Q? z>l3En-%0oFgJW{Ga-w@}E2-8t;PhmEZe`NYZAj(W?~bTl;b5I2K*SwMPZdek$fXFZ zkL6ueanG$qPf-?C=hx*9?algqF}V1Sa)9{))xFU%Lb3dp@v^!ytEs-d!MD~p!&%a} zC9hn%g8i-rTqp!yIsMpEn%433RSxJ&znvSl6WHmF;z zoxVGqTgA-a3Eaq32kM(ox``wXstbHFgqB+!I5R+-GrRs9sW5~kdJ6R=X*k!ld}4g% zlS+CFIOV-lDN5Y)sv;m><-^EhHjq#mpP$S2wJ?OS#KsHdo2ekgk*SxQWQ3&|Y5z#= z0IU?$&j3Fb<_F%l)+9j8K%1=FzFcZ?Nn+Rh^}9`oPs4B0t1EW^|J1l);PA*$C5}}! zY&XKmq^a95mzN<@1cx-x*<*B&P?7I%KwJUoY7Cj!y2`g8>@6l@k?$KjazJU)se^~l zul9Mxu)zT)^g22h=Uro9Ej5gKKY8BTOn1NGl!avf(Y1M7K?|ZkN5$yGyRFqk=tnKB zf?vFwEX3o$mFjpE=?Y#k3wQSQK~VdU!1CdHtUaG`RD{RD4=FJBR(BYhHs&Z0g`+`0 z$q3+ts(RD^H`u!D`E2~X+mQT?3S(J6VX0w=&{ySPr^z*3qr?NEr~-0t%c;W;drfM2 z3vnXjT1VsEU}V*CfKyO|=(6ET;?Ve|<`7-5p55J4-_yE?cPL)FGH z%?wL5Xf-Dhj;ht@ga5d~x-5}LM$e(gEU&h?{{rkdUUM)Rq>dET6d5oMhL!R^JNj76 zat&7gfY296b5AwC@?MUbASJRJMOOX)Q0a8Hhz+Vrs&sJix|CtJWz$Aoxx&&!QW~mw zDJZ`3*T)i5!~xLpSR8$-=F@GTbT)b&&S<7kxq3w<+ln5YuS`E+?8!Te$Dowsll+VAg{`@SvqHL?hY zK-e7(Ls*36CKxIK>UhT~J#PmkN&cW3v9U>5qS#IXv?usONs-YHhj#SuoD^|Pb1B=5@)0U2j1;SWFgjF=qD)HqN3-UE?023!Mp zIc$nf=n{z#hzL=EFi6m(6b)aBOTnWKo=!P7`iGY7^ z&{X^xGvB<7%tdl9zfCF`h*#EVt*alxMBpbARzmnUVq+El59sGSv_{RyAlI4{vuuZR z9@1<2+h`^)v^3w2*Vh7FKY;BkIR&`;z~|`d1$6lYwB^G^g(#l%7a?^%+u`g$=>Eft zBB6f-7d(*H!*|Vejzv=!_seZ*r1#9VQ5}u>C;;8^#$C$ObPeeO?)?&6u@2`c-zY=K zMH%K*KK)P1-|iLJ{EYDVPibqC9ozUt3aLN?<~yB6KTRt&lBWl!|)$VawN1Gsb}CvI+Vcoz=uXq7NI ztB1)i$A9q==&&=&zb;{_EiBXv*1sC7Yp^ zy(C}WGcK$H^HdRr-sU#dES1caqUD~iyf;*7Nipn2Q_d5IVzP_SmPu)Z!F&WhRw`0C z4CScDanZ)M*3>tYhNNao(#Dlktfhnvv-vv6>NnL?w6@0cnI*EA&XvYzUk?v_UrF&- z_tI_d3f`1KB;=QIoYD{%?JK3_>cq1j#nY83>Qgl*+?)?|J{?y?hy#F}3|@#>q00>L+2X zzll~owf9LsJ#k~2I;r^UR2rWrgAnVdEv6NyrF`Dk=rkb|*3ZwLh9PO>$AASlHzCwl|{TjCBZSzuLKR?W74aw-Kjed~%prIiHBO8qSsDVFgJ3|lX(&bhT z&em+PDR^b{-)Sm+i0~fN(~ybkRA}5q<1A)mEg8O((r3D~Gz2ao!{M}-cSJ=F>y5T} zZ26?_>Qc=g=a30oAy%y|XPZ97-7l(NHmnay5_`djdB3{B47h>iC#AC$!W7P*KW8ia zjh(AG+}Idy)z=rPs6LK~RRX+`DGo(|qm5N1d#yGNzh``FZ_h|e3yJaZa#tAzMMq0( zrC^n6ZS57?r3MsQUs4hq8%ydL{qrXppBg%SDJzx`lta|~LgWxqDWK=ZzpTdE74v$P zX=}s#NL7?^-nQDsbIiK&yQ!AhI-+&O8e699qTajt`oeJ4H`2rRU#e3Q8_Sv@56xCR z10gj9;rO*ACY^FI{_5iY46rhBlLcOv>`tfoZ$D>Im-ega=loKT{g~YFwJ7n;|Nnjv z(~$2%RF(KOhQLSo}3McD|u14RN9I0OKN3EHzGD*iY~f_ZHdrVf0F!#n zP#Cyqx_q{(spN4PK!r5pm%~Z%_h)osaBvdrCog{QQ#(|DIL$HH6bMu_F_DNBrzDlAocxd>t+Ws-y4J@;ub-Aoqnc;m?|h+C{#(<|x_kIC zur*3i8}9=D2-|HdX!Tk!;fQ#lGz!)vc^ID;PF^KEymByvmoKr}cP#RcG+nUS>m@HI z!HdS}_-OG=>^)a_J3Ou6?*;{Xt2H>GDE`!(tZRC!kj|~!~0hz=PAcWJ?14koR6ng%4&4!B{GPABY zSgzpu{yV!(8ON|BMDve`&Ywr+qZbJk+iZAG+@dQ1R9NWwapy*1WvZnwcIZ8Wc z+k#;8A!ii^o_07$lq?olpNKtviCu0U6c9=QEjRv;NDL2$SmF!){Xf?2uOS$VdHwYy8ze;%qX_AaO zemDe$;sUib?T9WlNYJXbc*cbfwIt;5%UC7yO0sWjsfa3${3V)Xs8*3- znlIyFC+22PNM>O_uFh#qkI5~q=h!HNOQJ#38tQ8g`92J1{;B6-W~hFtZo($8gGdt) zFvd9HfNa1|>VnlSZFt@1H-cl!P5-d@mzY}65|R(!RAN0zXlL;*F}bDTBZ3Y$fnVb) zs*CTj#8l?sseT43fB!Et*ztfTHN!B%cWk!w4|QWoY~tqbU7z*Tg+5V^p1W(8PWq@Ka2zQObH4JB~S{) z5F=Ky_6y+Ub|B_B>uT#(9pv1R%#+tRE5OkR;EL!WFcmiA-`|4!Y-6OCy6eSX7Li8a7#azydmITInhkfTJuG z#Bc+uWw82Au|~@+4d9dNW##1f-u_tvy5mWdLYN4|Ki6e>kRkk@!EUkjSTMAiegaTR zp}LDnK0NZvyCnYAxtnp$Csi*z$0qm7Bkme;_37A2XAE;u-vl$u9Z*8XNZE@(QAfd| zH6jRO(|vVkNOWc`t%!suQ&s<+5rE091rYUuXKY260*E$Q&<(%yt$GSzpaB7QKWOz2 zqT3c|m8riQ6#M57k5wX!7hLH^RL0K@p=1+1;QX}>C0bEbr~)(d{Z#D%%VwgWpa3Ys z%YI>y?O1k7Ru<59Wn0FT&h)f7T(GLRi=?{u{!%!#Ut@ef^KCu$gK(S#d#Uf-s*t^5 zhyTA2Gsf1=hin`&pZh2716>iqHB)w(5eQvut_a0N#S?Z?{WVv@sISv*r zasfZI#^16a4RM$53RYpYVpBjwxAoHqAPx3##U;fo$oI5bwz3sp zcue%w@!~gjcJ`~i@vEz=wxEBKnl3UFoo)hXi!|@oE8A3!pR-NW_133;&1st&xi|80pPWO>UM2=W2ODB!@q9vL<v}PlVB4jtX?@IE&nc6bW{$tJuLr zmc;PCX4iQ(FRNt%oXj7C0ki9-ps8_BY#I=sEB#CFc^-5mJ`0|CXfnD*=Scxl3UuJuJxbr7z#)#G%dzR z^y?-IH{^&t`p8olVktT?H#hh3cp-s8U~eK{>{qSd$ZtCpPmP)(-cSG9ze#O4-{2&m zO{_*6{cv4d{uBp#ZJLqZ4*l0sYNdx;&;k|Rl~jOdN

A+-7;cZEyxS*m_Nv+nDx) zQQGQpcGSP2O|DrB>U6xY(MRq6MSb(ha+``uQC-tiV>+nxsf6Sh$XWw4^t{|;+;!uh z%A~P^($fjx!})NEcH!3@6{RJK=HTSt>}EwE)!&oHetN}Tn^p?V8`H5E%B;Rp`M3~G zm@9u5m^F+JH0#y{_HIO%%?kh?Yl5!&6b3sTuxhIr6(vTAsV~IO*koCO4=08cHM5$7 zr7-dXWg3jqZnbsv7`NIpZ%Y}m+RIja`s^@_67id1e>V5jh0xOd1fQp8A(O1g>J@*; zC1$c;yIg-od$Y+An4vTEskIJ0FXFG){srgzkDmlQu%@w%zXy)eIs7JjW7^{}J>;+K|6@(+&(VGHNB69vt;8TB-ABNIUB~`$) zZur6@QI_VCWchmOmuPzGfiYIMG>KL1A2^Qobo~{}aI1CmT|j^&=s43bb2;)&N@+v7 zs;jXLE?xw0^Ax8x114n2op9USyaPk(xbwV|nr*fcR=rAz0^;xzXsGQ?c~ z0s;0@7g)vA=J`l|)f$Ca>~w4`9=7ar1#VrFiO-w;D)gL}@ZJv{;>9O&fduhNK$5b9 z619BMLh%`V3Qyj&CEI3+Z4uDVp+*kOj&F)JbzoPbx<88<^_C}(x7`l!Li2GFCq3Ex z)3XOQD`;|FsUJt1Jd^tLT6YU22926=Pm8@5!A#}Zt|%+^){2$Y*{t!HiJFAhg}I}w z!l4d4l!tL)q|3~l^k|nBt0j2iyJ2>%Il@22EgCp+BoQb4+CA|p3X^lC4-BNyqeTYv z0(ygASI9aqrkZRN5mqg2>9yzE2U!s+-}x6!)MT_3xfC}ph{$nGxlyTovNbV_DjM!G z4TcGj)l%0S{rXD2N%l5siz5(-O}8{WP7i~c z!t{0pUHcUb;b5#vmCx>~@~h(OB$yJehSgq8n8B+Xi-s0?Zf7zGCKXx_ z-ng9%qKf!I=2Eqn!h{jtqKpm>%iDH5l1x`A-0`pxw=eG=7P>vGT^L$vK)s7n`YBI5 zToxJQhQ#wR^p0A@hN4oqNn}&&E~toAjsz|8Vv6}S|1cvWQrfV ze1}H5>rt3=%~!57ricNI0mN-L7%zk}iyA!LpN3!CZ+1}Sh<*E%^Yaen<(-Jp&Onr# ziGQsf&)eGp0s4g7ojs3Qe{OJC+8fEgwvW4NRFgsafm<$pkx}Q8x@d;D>mqjRSbv(P zN_#7p>}IZt0;h64pD^-C*9tlweI0Bh*aZx1F&3z%IUXM@JS1l%OV#zWenugY4jkd}c{#-r z*Hzb%^xfqNY~bq#`;7d(-6s1@iz_~3K3{Y(B%diQyY{zsa6Dg6s&_PsHa6seM}pnn z`8P(3RMq)8^2Yqs{hQCXX8yM%NAZTxZ_OPskcdAeN}dIi5v31E>L?km?N5K41h-UrMk3|t({zu6|F#sTC|OqeK(Ce&SzfA~h3GdgH)qtrMUyP3TF|o_747}C zHd+;klE>Z84fAa8R{h~h^GW;u@Goi0Mit*ZL>X6`<|%fqiN{1Cmpo7}iT_8^9HbzB zXc{Em+sZ}{pyPa|o4&{Rhy~GfH>qmFO&2=ktT`Z~jv?5e7dvU*4%eanU1$4kd0gq4 z8J5)kFZJ$zUO|6((o{_<@NlBL5wwZt9CA%l7|bfwC5AwJjYil1Ig6I}G~ng-YClir z+FQ|~KW&k=@hT4g)!f4Tv7c&BAzG#{wnwolWYasI&9$8Yg%8)`tQ^If_Bhj*zo2wEO|^5N4~HVy({9J|tKkFK z$pUv6G4f)+lgw=Sa^2?edK;P)(;xQv~J$lEpCrDQO?@F{~SjCf?Q7|uMpSu zWtDWVlM$ZK=FtCH+WVi6;Z&6EO1`QiME;5Af%g-+#p{lutR`Y$HRu)>{qg#{zrveR z8WE4}+g`nBEejb-Ep!5=7wCbUJj)pEpM2mXTJ8e}4;|$i3i@wGAvC@=#Lbw#!c#fZ zNXsnF1u_V8vJYa29)$lhml(P4Xo$$XOz1Rox zDSU0F%&$RBM=q)k-II==YTo@GJ?i*0+JsI6Xo7wWXf34M)c#XSc)cT<%cU3e(FO+Q5~R)}!7 zSh$VddF#<5Ve#z|6_)fAX#(ZI;+(mL3SxWmV=`=(LD7S@ZONGMfjZ{8o_z6UdE<7A zg=au4zX+zXIvB4BYuT{;z-;k+0#>iJzZ9+@1A z-PwE`RWrQ-+v<#k!RmEl=B-ehs%DCr^z>v)^&Q)<1i7*eVUZ^Q*W&FX62)AyfcU|69pY9FTeSiVp*s;KZwX> zy)gu)-jTIXD|OfHa`F0Fk~)ryg+N!ve}k|ttwu`0w?bqwpr9T`Q|w*jac=Z$s!D-2 zsOND#a9(|rW#cmEx;=RQu6~5ih=y^ibVf-=YU=y%Rlh?bN1Cs{W%ilt`|#5m75@Mm zgo~6Ad;nGxlL$f!Ly(6nrs+pVK^u*_VnSgZy4${5A2QL1?~i4mGkAx=< zhMjyIA^?R5eOFkqe=u@NY?wKh6Fc1N%5|hEt2$-js2v#Lv0jx8lxen>q93)DqSw-C zFlr_2SNou#Dx2nVV*B?h{$ITg?+t2Q1W-f<5ki%e*^e1^X%VC^Gn%|kstSJ=sDA$* zg=vn4zxMZ&ojJGNt~ls4El)*@_Gbx$)N(Ih*tElFYK0^rABIfq%-}c4tx2u*siD3} zhq1hmV^FR6n39mVT(y%?24~`6;xF6VKg@ZrUzBO8G37pH<)g7LgDDu3AW0Xv_gGpz z3%@&yL;Bo&Bjid>;&U)7WckahYx`kMJpxFIXPUZ^*JWR9c6)8Ue|Q_-B%a)Q@t&nx zB9c0_Xp+zK{W|)boQ88Q4$tkT>%1yyxbyp$1+(FGQIOCUY-u~h+& zRXvz8XM3+V>x@8lrT}?O)|UQ&+3(3H$1~L5ID%KVF;~Hb@!-2)LdlBcIicx<)O~Ep zYctpc!RpiHcSJm(rHu!2z>(NaYL}<|Dw!dDL%@5T#Gk7A7p%(Od;t#Zd(OHQj~m)| z_z2{P}D<9m(4J-<~LSHU47j1pr5 zemM&MMt#YB?s<5MmeM}JGkCEX+i3EV&p9BiR7oe9FGrNC>16Y#=n%>W@Ozlk35Of{ z+6X@5#9Hqzp>A#O7W7oaFXbi^oeGU12~!?rD2YUF^vg<8@#QS2a9LZhs%@Yo>0n@8 zKDOz}wI2YLT1Q1Z3wBqM1pa9zLF1+$wO>@|g7>25QZ^3(XaZebU)Jm3AIu?ovP!{T z1pUYj74)ioqXeikw)0)}wcT)an@FYucs3h0}}W31n>0@~ydpl`d>K<2E zs2qmfZl1~fdS@zuPqCJp%X8PRT;tI{z+Jhgz)+(UD}(;2dOd!v)o7xctreyVssWOZ zt>=%iad8^P-uH~WT$V-c5BM%>WApK~PH&UVw$=n3)Ydlkhofo&I99_cBD9x%>^@&y z7_spZw2}K0Nnits8JblT+1-K9J*jNTeX(64tYN{b?2TG$;J>#WzIQN}_Xgcyq(b%z zcUgg4fgUh&iV?0!7ieY3o8zfLX}H#1EYU<>NGfGqCn!U2;Oj~hSPCC5kIQnm#RL&< z+OYN6Lejqac>68GI?9vjAGIF|fE%5`$0gv0Ke-YxAO-%}NrPb7K!bG-q{Y?2(Y_P4 z!j$KzVA*NCrV!ZVatLhd$AR|w^wwwBI@A2dvxvu3v37V);viUG)4^?)a+77rXozCL zhRVb&67IksS?JbC)g5C8oo(=Mo?eNAWl~DkY?QH3faXh8cvrRYXAean42-G^25Hs} z!(ATHHQRHKM(U2^k=*dS_iAFQjoGP(#jihqL6vi~W*b0VY7OAvpH|a<#Eo%2CH@;oo#AJk z%=RkOS|l@^*V7j8yX5lBQJDN~uP3Np>KYb>W8H=Mdu49!`s;z%uA=RF2N))tG$LEuT+;T1%AoTMJFXc;^BH0(#^f*P9pPPK^X~i+?j&qUc1f8 z^rm5g^0C7nh(K*5c|Ihd-wCZ+RI3zlpkcU70UvH9VD(7SmVQl37Y`r~pCX6HvVY)T zQ6p~sG1rvdX~P*6ms|jKtxscBBE)qI=(fpo3(^RIm-r}`0L%s=8Kj`}nCX5-arLHO z+Xf(`Wn`r9*45V&_|kPFCOt$1`wZ})w!b9jC}d?O8pFXEyw64iC?K)aZug^^*V)IP z-oM}eHZv18sEWZ!0rVxQOHTSDoby9@dXWePEaD0x69C7fxRHG&PdhK*KMFUgOt20_AEfA%%urrQx$()v(exfgc4aR z&CC*cd%W5`lTtQE(9T7Z$vJbfX{#_Y|uv< zNa`g9loDjXw^ifl(l9(_uQs1T{K`~aQ}s8(telDBr#@3;p8z-4u~+DH*q!6CWY!4F z{cw!=4}qUSH0qz&NvwM@6V?{5qWQeAzOlHHzTaIbN^(@ZAUr7Rb=Vnh-6WZ@!B-DJp>(ePxDVc|K_8(4g}kIXSNtupB}37jy@6nJFLKI za!B5AuGwa>Ro)PTvUl)*RaV#e$Q|lXl_~HecA$(ldh}Nieu|akpVb)Hz5W5Ihbvuu ze$bU02Xle;^`3$@+`!Oak`s<$t`J+Tt_}hHBQ>?pulO}EE^s*3fGPc0Z;H}1{-hh? z9krjqd!`LnRI*XT16go4&)xoagq>{k`NI!g_$K6}Ld&7E_;D8#=%D^M6hFziG&Z;^WoNARoOv=z@((93S;{CRz)mL2EA6FjyRWdF zgZ@oT@0fAmaCF6s1N;>f7G5LvW2XS`B%x*}(=_U9zKM%@l zDKIrOG}O`xTP^;Zq+O6z*WA!R=qGvji=?SnIqr|$&?YYL9ht71hf_v6BJVm5k1U&LrRe+apN-RrMr1XS=AKRbRD%iJ`Y;z5D?dG+}*Ml*t@ z&h0}%hqeeYXc|EvGqwMFn+){XLN75mc-1)I8b`GF--y3SBuwFKr!Lytd4r`r98^E_ zxdz?KL>LP4Ws9-Fg2Wz9_k&INA-N841AE_Fm%kv|G z$~IJTIv$yhs&dsZpiVR%b|$%BBs{kWLRRQ|VWwL!()SIHCrD<9XQWy=_)45d2|+5w z*%eeFNDmqru`2YZ4po^&W7nnk^Z`AXjopim5T~A0ugFi-R5*SB+f~ zHzg#N&#+j=x^b+wKVEZ7zT;ZHk#~Eju0ixil(zkrF)yS|mgp!M^w`3P6nlM4tvIxH z^I!WUpR{wKpg4Tvb=>H}5Cf!@M^z3wy_oN-b~AnNqQ>DwDT)Llngkvbo+$qnJ_tM} zdqO@!s@h<19B8+w+iP;c2!MVGgOXRW2mqIVwOtj|g$gfT7lpx4Ljs~;#;;VxBVjZw z#>F_Nl&jjAbNh4r0;3g6rjEvZuSC!NhH41S$euIOWrecLbCd*3Wkc0 zwvLqU*j3f8&fVq1iD7u>UBO(8TBj07gb`L295gL% zRIWUV5wMD#pl9fo`|{iuu?=5Tk9ssfU}}nD7uh`B5wt(;Btk723JDo}3rD`omP_#< z+B6%pia*5;50qb&q57Ix?dEY^<)1=DqpA33fpyU=;JtZxguX(-pCR)4BDmk*TDyDh zLt!Y<&M>Q{$giiZBa-2>fUI>CTNA%0ZcK+5%t7d^lLMunTLkJ^TM9+Xg~e3vbzL9Y zj#GfR_rFn_aaIc?5Fef={HRNads?1*Z>{J=GkMA1tVrd<6yEDq6<0D@uW%6~H!ayX z49F2@sy0jl8PtND=Ys+IIX(zg4AR(Ssbd+0YRPGu$&Caz!WEtRhGU0Wkmw2af!z<( zcrt^kT@A*jEmzrIdifftjy1*r7#>%Z!0cDtztuGOKdRm`Dyr@e8z!Z@q@^3_p(F() zhVGOG#i2_&q`P52Qd*E68cAuTW9V+Ao9B4{-*-Lh;R7F7GpxPO*}uN7YdebKfihEi z-<13fFVq)F@g2~ap1ZQXIc$i~4s3C8b$xBx_L7WjXJ^OrVpk)osw&_4L$`;)0q;mB zGySnP=G(%bB(54RDKxb{Vw4}gDpca{24q@_*ut=XQi4uWyP>e1#~-CW-2X?qMKjjuHF z65AQsZ7%79yD56QTGO46rzBVQ*6uheEJOa?Yte2dot`XavVR&%ozB;WuQL`>_oGhJ zC^gf}y==ayB20x{uSG_9zeD3JBmB*n`~fF6cCLrSbDdd6O8yIJ3{8MTnVHEf`bwWD zq)G(5GEO*_sj$rxx54}lQ^bn7P}5+sO9*nu*=nS-m{dd5K|J3qIy` zigzGl`3SSOEZ82QfoNt=dZU4w(XzdO-(5kS!%jqR!Tk{%|K5p6)gv#Nk(`32CxAi0 zquSUNxx0_IsIM|pE*z_nu)X{`Tox~BwXMx>E5$8hEMJ0-cC z%%+209bgDIclD8FjXBV3v+Z(#yO@;Zx!bn7I2Mb+feN-UXKg1E{EPD1Y?V3;fqIqi z&x^kRhF`bRSmd+Y>hwo8Rs&q)Klyc_q-wa|>AIipGUz=S=M#GN(bm1nO^dEalog!w zNwGU3-H}g!U=(~mp2x4Jt3z%m+N~uWz=n*dqo67m={PN;sm>UCo?cBi^|ir4EPCK` zKX=$&AheVvz0z1xs{iGDl0}u!@7x$%5MD;}`3*_0sgz+lL=qR;pgYGZwIE9Mmt&^p z^>SM}j}zI{Uz*|*yrsD1sq3#JzkFj{%Rxu({(J9>%e`dR@5d0OsoLi9&f21 z*&9IlcAI^$$nDpiR1W%SJoF&=aoqLBZn!T#Clm^#UsNqQI5?1Q??~DBE#K<4r}f2R zEZX^ga8irsBl7#L+Vam+l>8o&3gmpRCAoZ4FDvq36i%e~rZ>;B(zjQ`x%!HbL1!nc z6DeJ%N%i^Vw@cq_SDDFzh{jiKuKRxiAtgx@^AB)6Prb+kp}G4tK44w8;3H1_v+H|#vLzABC znt^qL7>gn!ir=Z-5YSJ(mt%cPYVFz;IHVT$t7^_X5@lW#p@={BHUODdze<*do>_cz zg=MpEo?4(@3RS^wzZY5t(d@O zo0NJG*oc;NZr%uL7mHzt(MFT?V-u6FGoPtkCm!`sH@cC>&*-mYJV0toYsA!Zk1{8e z3EF@SO4r1-?$xqR+s>P`lnxOfK4BTVN{auLR?v*9qXTXlGEv2HG#YFFle&VHfD?1v zv59-YyG*`jgNDO9FPu#PNuqC8hIVUKa5!34Zckx?8rpA3K+TxhUdW*Kk%H#(PD7y1 zcS;WVS7BCif1Ch7J~6`c0DkXn_6OCXY*~g)Ywb(k@%)eWYN9U@X%-tK-34*VOh=sa zImAdnBCG%gH6-;Y@E?v88$J5PUH)N&&U8%t%1G4l?`{^-z5R8<8C+d^N>I9^SeQPb zq_I3Unm&@%4-_EtxO0Oo!TiqWxWpr@LUB4cnU<`5SAP%tDgY>9V5C?Q&))BO$_%Bm z*=kJ#!H{Q4M!2$iL3Jr}4APEZYp4?{s;-KHg;|ER2c9%SSClJC1B(VQknS(6s;q8Wg{zgPGI-PdF`Jlh8LnUlZLEgo()js3&q61q2T{e8eK z!g9(4?H#$*&Nc&U4bIm=SD0cX%{Kt*y6_`D;USPk_{WfQlj{@a z#8+XYiovlo0>B zBviX!rd{4E7|Q!uK4;3hjRk20Zm+uzq)@TEQ0M_y4wm`+3IgzD^18w9Y+7%apftfy zIEJcmEOdE$1NL9v2BWg9@cm#9OmUf#dV&hk)KEvVGmx;A(yoicLqh?0{ZL^#VUFt3 z&6_GavNaq2001=HUSX5NE@lCtAKM{}Tef3k2EhZLh5r$A_Hq8?`>W+Czb z%(^%?6t~7lb(NV81+wm}rMz4azRG+J4EgBbn+3u*4r|4<2QUnzP84xSxf10W6{Il= z;dPO)ip<{WU#*Csz;sR84vV6mk7A&vqT?;%sKi{eL&>&iD6y8R&cKuAX9RhRvn&ib86KrBIV%F|?J z)F)X((DwOV(xji5=S2I!Yv~}>HO9e`@oO6PKSuiGUp-K!veCaW19pKAD|@B%6O2Pn zq;I+y56u^kfWom<;n7gz-L4hyf9BG|0*jOjL_5USrFq48zB#Wv5@h)x1U6WBhlrY>g7@L1F2Mif>rn7rfj~=`bkrw|dlty=3lBh8~L8|~prS0p+L7|AW&x~Tw&7h{b8uAM3n{G|bS@_J18>p1_QU~Ah?>zqKwywL3! z2%!2xsekO_Y1h*ebiKQcHA?wNb2%mT4^RvokN7*spQ3Zx;PeZ5=Ny!_cI% zx36e`oc5RNFE;u(Owe~Tkwr4plnMzDl-4Q+$FrB_gwk@&w?r-~Zq? zZI3e~5wK=s_$F9;_K=+kcFA?Lg~osFR8&-S=TCL0EE9PbIVT06&45*xIcb?`&C~-+ zP7fi{TQ6ps?fZEm4xiHd5i&pS37dB)DYe7d{1Xs50%Tge)H|tS@r%mYzsz^>y+6{R z+gyZt&A5uVw7RCoIG?P!9Q*%dC9pGH^Ys0}vfC43AFa+a9Jp&x54&Y5LAc!iGCX^S zF`P^Vd3XHQe@W~Z;2IFSasH@JyJ&2D40V5#u(o~mCVX|U$b{k`rB$uQ_;g*Vg>go^ zam&F)^*=|0=cEN5LQk8b34$8qslp?m$MTlVZJokjpr6k?`ai@yL_tTt@=9e>$TFog zoh<9=DJWK)i-Ef$T{w5lguF zi(EL_Bg#3vdciqno#x`yS(0wvM$fGi(#cvop3vW{tT#v?1r4_65_W$i6grkud!@C_ zZNB8BHNv^s{T*$$oRX4Kc0hHPp+ITkBVncDfA-ke4`yt+OUAWt3{-es`&n6PWa;%w)S&QM|C2J095ks9c`3(uwU%HV_gL7VorFP##=bXO zh(PYTyxXdh-{;yXWmQw_TO%Gqq;fpp~;eu3GU zq2+WR)=DbH*|UhJ{&qayFkvRMRXWHQSdpq$yax$2<)M$}mhXSa?cy!UR$TfZF7+=! zIk7<4qxQS_7G#~00S;2DQ}QtNjYu>!Y$%Rr;}!tlt{<{g-8SW=#FcTK-PqeXhT*!2s|!|iXskQ~^1y~IcaNB0LqpG$ zVfLsFRUu|{RHC!h;ne)nK20wt>%>o`>-Vk(L7PtD~eX`uX67WoYUz#68+$2dy z7j#P=hE0C9Kh5Fxf|Z<{JUBSmY2g|F3+r1tOt3TuGiMIa4e&>l+E!_{tgRscF{p*zgHC0vlUIQ~TfY&gxUIQ!~U0S!wcrd+b z`04Tf`9YCSlare}%v4EPnZbZVSNmb*`H|N50|XMsEh#Da-1+?YTFp2S2J<3ODl#>S z#t{IJc%mh$Id_)_WTfI8930B3s<>}T@v+!7B4mh>K;F37FeFg3NDw~IaZwtM?gCdH z&ex&Q$$`PK9UT(UrBUy!H~M3J=UJSBj2a!J_q%p?ce}e~l47?@)ONMv)4d}Z)!O0`@=UQg>SOE z!OGy*8S^L*3*w1Z&y$!KY!p&p8YC?(-TwLV!+F>K{s&E=tnu9EhjX=#Lvm+lXSdkC zzCM7bd5(OIttg|9I!VYyl8KYJ&rj0uY?nohN_)<^c$$<>i>j;8I;fLrg)1 zn3vLl%>Unpsk2)sL0sONltAafu+-;gHnUT5l+4}r$@A5b5jNl1`8ghy@apz<|0ZjF zCw3b>3(G+DQmy-e?i5{WYU=4gj+p1&)zSUqy=U)MSE0D4#v{;>eO+QD5^%bT*gZNj zGSb#2K0<`f1~JFIxxas&F^(Wf`FHRD#sIGBQVg_>5G|Jkjxu8fT#WU>wDGe)3S>gA z0-!V9|J@ky+O~6WfY(|Nn*}_|T__jQ-4ES)in%Rn)eq!LIEcsVL$MH=7;orQR-tTMaeOCRqCJp2tWE3;Qr#F+4>tE?<7b#ig(Wo1-2Y8k&@pG&D5y<3}_Y46Zio#6?G!${GXIv3^3; zgZ_kx1zgC#dxzeirK6*Bnv^S_nWyqU8@1Vg2~$!~xVgLEz8FRyV#EZ_+p0}Gu>UUbviqtn zGU&+d(fpVYHZM(`QC7A$o-6rx?PZP2!;SOPF&YCyVNnqyaQczP0J|)}|7N?+>}YMe zi3{JfMtiziFV4BL%Vcw~zOJU@DxnXLfcQnQ&~)}X`J8}BE?f)22*2$__x5l~-5 zRg+k#_)*siH@yDOg{KfUvr`>IUvKZ`-ed{jXaN(tL0Gj@28dKAEUMWrdEO&9hco^A zHgUlz2jmP**qsgFpUa&q1k?mW{Q; zNQ}_F0q!Re4b}vf3Dx`IaCt{Z?-GC!@dy+!@d=t{8sNMUX{AP_52~{D;wbLE;rn+4 z6`Vm>Z|@_oh+vAu9lc-)_3INjadzgX+y69_Q`NWQZ!Zkd$+0zTJ8UGVt!-rzOhuCVa%!gp+u zE`c|irL(Nr$|qPeWg|vplX2s zdw#j?F2J>3{r&P@He=Zjr}P9*s1&yXH{4n#!6tE;QCvopXW ziUFS#cBg}!X;3`~IZw(-Qf;qGYUw>MzHPbp{hYhyKn0i>)YDu3XUyCUCS4E0{V8m3 zIC;BjS(eFF_ry6m4k#M_w{a02AR_=?BbMiVadGh|6of}Bk!=R_|K+vWz)y!&@hT#NpN+$DWnS_ydUkoYlxYV3b!XJ^;63M48&0=xOjrTf80Ht^7I zGZ8V+A2;2E*jT)r13y@MCa|&U-{68we!=$S`@wjV=xXo5b?;uEvpSyJ(MG>~4>n8c z4aQ%c8iftoI-h246o+HjlOh>sm5O}VuYIxAjkqqJkup*U6PXZxH|v@NFbwgzt}%LW z9-6&V&t5jc%3Da6R-=@M_|7{tG49;%6P4kU{ut-#Q|%eMUaG`=*sCJO_#nLemIR@1 z4E#}Df(4K8Kq!NJj8yq3@CKist~(!cT!KHr-!ieYM|A*%Ij7le42Yif5La|(df z{XNqRfR}vhfzCa|t`i{S*g8sr8pp;JBawBCJooqCc(=YY{fP>UrhvhvL!}fzRse*) zfVn6UOtwCE$H}!T5K%-#`}cHOH`lQ6Okr_xE*my%HAo0>aA;y65HPrPB-G zz&q_@!(+BOg>Pa22k{0BxwyCp+4&3~!oA|3orZ^0 z{C%@q2h(!&p<2@aiG9Z(F7P+k)JSifs&CnEjNG28mk8!`Xz@W|iYC+BY}&-~pHYp= z!tAZBFSkc21%m48>Yndco}a>3uxLP%0Z$KZ2xz@EDD5hwbvVd!4tWD z|J3a?87+R!19t;qG)b|50M$H{W+mSjbBwVtNtof;l%J#4T|f_`jn zPQNX<4v4fUkSiyrbsorFM*IQB;}@sN9>%0iXjl&zAGE>a3icGVNB(2Zr%cNAvD8tj z*T6ud;nR`?5=dm)pM56O(+dftti+-JbCf83(hD5~v^P)#IK<>9P2b&}W6NJEfDQX! zI1|F;>pNSWTvs~$^lhTdI{m>}0+A<8fA-dyqZJU%)*Vr2W@i4k4o=8fGqNP03lK~1 ztHByN1H(9TPgw)n#QU{S)s+8gTK@66fSM>xZOpXY_dFHC1D*-1aML zd1eJhhpUC-qi2E_g-tXar@XE22e%nUsL8K$lmJf3ct113B0jQHq({Z-%5;U^dApA~ zfbvoD@DTEN@8G~0t?+oelM8ruU|oP^A*10sRL@G9z^62R{180DK8x}Yy5`q)cOU}! zeJW)6G8Rws#wTS72Fw{*4=@O5>WbqPGYDz~x?P3Z03d`5C=S~>Q;3bxzjB<)tU{kG zgxBP18X}*V4Y=>P5H$u%U(SBsZg57r!M;&acV5W zir4EBKeo#%u76xPNO*lE_*e}03DZ%Yb1ABGcAYBK2n1)Cx=xqtXp69+!>zP|TR(!S zg#(^^w^Q%0j>P;QZce|1Q=CA9Eza0yRd$MEqAYG8aFsg z%LD`?5-A-oMW*o;KWR@=nr<*Fd9Lf1SY&Ss(BIx$dIqA5kVPl{&rkAhT&HNB>&4c; z597v}gcU*S%p@QA=vCQgtN^i|=^F{ZJ1U@vXm#v)TO!ap5WQ`SD)N83>J_LgztiUO6c+mxFAR#7q?*Ucb&*Q& zS^`A`41jk_!nnN8A7m+?@~S09BlMN9RO4Fcx>~u|*8+ug0~sOR`NVmJFbJn6gwp$xo`m$Yjm+=9uf=Xs0P0!nT31Yp@?icwNd8P-WStWsYv{Zsku!DiuV=Nb4n$4 zJlnngx+i$!G#!5%BlZ}bWK5a$53hJc9x5#WxH6dwp)vrOl_f!w!!SuSpXhmk zQEkv%1yp&oY1m&EJIEd*Fu(rGo{q+!bw_pqJj=z&Qi&rIS9huYxvUM%MA))Qz|R1f zh-IHD(5l$=Re2Weet*$!djh}=J<5~yj$KF~+>0LA0V1bKV$NDaeAuW+mW5qGPF~lI z&o|%1VzR;`k}*EY7$kTFh8&$tmW*E=E%LS_NbSI!H-6wtKJR&dc>_lg2v4or`Z+h9 z3TXg}-Su!jK|w(t9(5I!L!h*v5U@+g&tC%$qWW9EAtHy*cR(sS&kUiFO!D0kQZ#$I zo!kl79zeT6GsrM#ZT8|esXbh5qJIE!XExcytXN%m>e^f--B{BFtu^Y#Eg$=1M5piU zkgal@EPf8gfQ(T$)zaA3>KGU_1f1m8tnsRPK;jy!EM*3cl@^S24a4+U$6^el(6Bkc zk|wCpXM97Bw~MnU9xc+Jcp??`-Ca*Nri?rrwkkh0C~R<0ExFRf3%=GAE1Jb0-l$ya+3|5L&dS=^P_-bfHicZa(Ppx00T<=1eXNDxdT z)TQBm#mtZo^HZK^s$OB|VCOv!-AU4Wy=*G#!$t2TL$+F6_KaShE1gWW-CMaAr_);j*|^z@g|(1#L{IKqx!zkYRHTi6=G zoXnxH+xA^CaUPYJ9C{w7xjg@1q{ncaJxSyDU8g@E!=vI7yiQlml|NrsuS`zTB>JQC zuZN;Ah2fDBk*2(4V`WphGtzbo-Hbf|)eLp|r`;L${q7rm70z}GW4e53jy{_x@0MkJ z8$K9;la3rDxyppUycVM14BP@XE7~a6S|(_y+`qn?PsDZazJ`_I!}U5dd9Fa_E02QN z&!5tumlZq=e2A9VdC$MQBJz>tLQxpy!iJ)7Q;`X1=mmWfO3Q+*&CQiJnyMszldt|~ zXU?aIUKwxA`;mcW1KJ}3z&^qPdzvtPl;h<}H0P5=i=`5OzpIY8hOxnHYrD0Ynsdr9 zI5d*U@1CC+2HsiQ2FQ^ja^kM~uf75R^nW=HI{Z)@j?#R8@d=9a!h-URwTRj(v&k?{ zOSu6z2IIxy(azNvt#GLF!U>O!1kt)xcUE@nVhhkEa|6A&GidX2#zO-)I-kc&KD|?O ztdVZ>e-vH%;CWnc*Wl;tyL%<{Sf?ARlf?q(NtHmDJpM?N&H$6n;33p2EWQTvN0*0R>$3N#Gu-bsBZQ!{dS^E=c*2FAVqdfP z^I7AIsJJ`KE2*X^3(>9|(*8#GQID!r5}iN+Dzp;Zb(>14x!SyZI7i=NIS|Qt3vH?4 z-h8*KUx?7gX%_?Tet+F;R}4>TJYH%Mu?J*ap)-!lZO+5rp-sa>LqNyoZ+u8s&dG`& zHfGczHvDZ~S7LWmnLnT*!1WQxk-H6jE@aq$_yE*pFEZBc2~%sMlJ(*r&Urp=;>j~{ zS@qboDuGbvNlQ4rJOe7NGYjNS&0u+%w)#0`3qJXDMQH0|P&ayI`6rH@gCjL11%1W} z8T7A(188m4*9$zn%go65Ju~&^kG*%4m~V!+UQdY^5x~tj_JWTLjSx~XV&}1OloxZB zEm9E-V&AA~7#mq-jC{jNH#$`GPkR^5%BHx#&o-X{z+COU=XY=B_iqgCyeU{02czw& zMSoiuwRtXTysoR!hV40mI_g7fm7nTvN`i~B%2V<)TPi~Q11l6WS_fa^-yoZ2m9>@) zzl_2fw6)z4XsyumF>3sg^QEOJsz_Rcpl>CwvL62DRR%%YjiG{|pk}4SSWZVC-6xyx zBAEAXHW!4I^FAKOM3lvO%%3a@)@{D8?c`CSlwa+bim)mqCMGu27siJTib5u= z|A}0j4efuS#Er^u(_J6p?ir0U!gj@jEc@KW{Rs_^hY6|Nm3_R{f8LU@hJ2Jf=mms~ z`5Zg*^XXhgx~b@QtEq!8(#{q7C-p4F@Ulh~9(HkBN%2gz=J=qRMN3f0<#rVGoNU^NKfyMl&uujiiei%|z+EPK20?Fbgu> zPY$~%$Z`rQB$xs4w$Ehu+Gah*CPT#fX}Ws*MPwho?V1H2i#t8b+Ow1jP!$F z2u1HnC&sH3{tD}=5aL>F^1ginTY39lTbq3HLtbUXlA4c54bznorO=}=T8w(UKk2l$ zzrMH}1v-D!pqd{Fph+_X3k#Ryeq`?6QMGy0j4+oX=Y;XlP@vs%ItodT$*fbI63FNp z#a-XtWLnG|_b%z#Iu162FXSRVtas!4k;?(O5Vu|t4fktKoa-iUjpOrB$Ca~S1&CI+Y4Nep`D{8TcJU!xWFFBcJU zDL7iaMB^Zc@aQtP>q%<2kmdH`3v+w>Ou*AMXQd}gShFmtvgB>Dpgyj&`J)AXEZ+ZV zXGRl26sJQvJ5XPKdb3tU+e_fI&kDIp_@%)8!czCSZsX5VdUbBc_#kJX*+wT!1~~=1 z(n0FS^)RE<;Xgb+Ea}f^U^e+yOHNIe{H=mXBxjW2hbTjK%&eia{SQi6VvQ%g;RRe= zTwwiL6|-4=B_&f6liUr@+oi!-V6?17*a(b2*)Z&&2gqy7z;?#FrmnAfQLK6A$9cVruoQc z{|q1^e}nw*E+V4@?=SZ1oYuO58?B2=O7wJe1nap~76vVX_!H{!zbhLU%mdSzYNsk= zV2rcS@G^y&`K4`gZ2_WdDLBh%5P6uJni^iMZ3h9=F##LXS1xowWDS#x_b|3 zSnY3aZ8jAh2spX&p%+m;Q6sY` z`VwycDR6yL|6E#J*^C2WdGqU&Omx-t6e_hhWjTVPHd3xk4%xVog&sf^{PL4SEHOT& zf8yJK#|CqD#7cW`KQs5d$wfoPK14>SthcDFs*3y4W}&8MP;t@I2s$5+k59>~Pds?~ zv!LfiG&Z%k%UoV!4_b62b}At`GtP4y3OPNS3ZXMy?VO>oP1|tSfi!T{myn9OofIu% z&{I!Q5wU;(wy=M?o+o)az?E86wV`QvU@uIbZ7sba`!qT(@&(WjsIA33JYi^9i{7dUrkTUZ_^?XEkxzttcR?m}voddyXB-bQ zOi4B4j8Gzne9^-1tIL+I!|5S;A_jk98ZY6EfT&$RRFCWU>!c+q-(O7&rXYMYr(`oC zbVQ3Pqqc*IG9$gQV$y9AbE1fc;Yy?+g@hxhCvc(V4Gi@LcGoI)YsY$!SgN5;sIKW7 z+II1dggmJ6%kpcD?|Q>{Q{b1W>+htTQ&?0xUc*+K<6*r$XS zZY28PM9B{(>M(k&ZA0pp#65T$+COzTKleEy2mEWe&&Ak`zI;#VNrC*MJByl5b%FHm z?vC$P+8h-!)@2LKOLxX{jKVmyid6_mNuRzWqq4GdbK?e#z45u)+}dhLH#T7V{ZDS} zwf+w&9i7xhCe9YjKkZS#b>t+(^`WJ26O}SmutA_E+~s3ta8@rBXzQ)u%eCT;+V3IF zEHt24?L+dl;yUw)c8zF{^Z5<3Mp6G|QeJeR)7$U8_;wW}GAamf<0{AqA$p%3RIm|$ z!WI9e_;97*QFKl?1~bvFGyUN46H@$I%1Qb$%Rtke0ixmY}Vrpe>Q0t(Se-k@fc@ z%kM{@%J%GWNv4crwU4mQIxMamfD3np#aeLoS%gufwA2YZko>tY*Rwu<-hP|mDbWLd z3E{l|lZe$M@~vLK^QaZv`CH5h+h zqlg)i@PEk2$cVUc><+~ULBo5BRa5qPGfAFf`*8DDzX9m=0n#OrP2Ti11~uCd1fe@ z65t3)FbZd1ue>*JZs#(RL(F<9L@VZTziqNmn|8B%e0sgl1Qna>QQrPu9QAT#8AXP= zcT~Sa@ztg^O}p=LT2XJICB9}gzdtE9!QRT{?dkD zMeCKS?POpKD3_;|_QrCckugY`AqV`ICSdSU>XHXCz->;P6i49uflHvKrT(B6q!GcH5WBg8C^K(&DQc+x1 zOnyrxZ(?tfnKKbSj`LcE$U#=t(-!(i0MVb=C#(d3SkY-0iOcVR8ICwLb52Rm6u<2# zFa}`m*gv9Il}~809wvR8eR}Hn;lskb{$RB;$kNiXCQH));UAKxFiS{Chzr6Uj_Zt) z1*p;-Vf+4l@v>301E4@cy$RNA2FD2xrO+5OIaS*1nYDQ)8wS|n?U(o)8e%zt-AF^K zcO~(;I*izy0=}m^O*2%2Z#)n^4y%gZ$f8AI(=v)$B)nt@Qj-qDA(R&E3upT;GziT1RfxguEiE?pX*sooLkusJBhZIr6qK!$P0B=Ix26gNde z^^V0AMLoV$wcTcnj>7&)4iT6B5^^wg2XiUt^EaKgCdm?CZ>sEQz_Z&w0XI8pMvJjRs^$8K6P%sYL~-E3@%*Vj^n3S9f{ z9Hh5-u8ew5z|F?mcd~1hwh$Pp2Jk=pRn(STnvZea?INIBpvx8{IT}*od@Uep4;L6L zb?q9h4?X*Jp%YNqFy-3FYcWU8Pom*t>6wCWI#M)5ieJtLDfdInkI8sYNO|Y#<@~%H zGExs&&PEVZ&ia~`nOW7|&K7Ncbg+lN-xGoHo~gU=g#epij`XzC`DRU>S7Ji7o)na{ z$jAl7e6Ac~=M3~ogOLwvY>X%neBBGE zn*S1@h+t>haiMm7X!_ZZ8MqhusB-i&n+p6!zfsyLb&aqGITW7hG7+bX2%22~oVWPg zapM>07-34up!G(~h$yUrWPE9i3{W6~=-PFf4RyJT;Ua>ECXB9W%J?9`S(ut*X3Yi+ zmA7k_iZbIh?3z07zB{`fM~zN56G&FgRc663K3{IPYpTP%)uzie%`YPkE@71~^0JMO zH6zcvr=fzu_hx>VB=|c(5?{m51|VU6*jPK)IdAkgL6+E`zwmohR;G!0q?E|d{`sS! z1&=u&y0qy!paVfjA}`(t};E{7^e#7;k9~8qwdLa0w6@zeNUtz z)Q{wfvsEd@o8rz7Dan~EdHGX}S-{2fJ$U@thN*QFI?aLVI!;>OvC#X_Yt?AD*~e{t z?jaV&EFq0HFFtW`UfK(|#zA9qOJqyQ0Gx+P=nqZHoB1fK=4wvJ3m6Lx+$by4;chDP z#nH%-3VD@~ucwb$qwK2(yi#09ToxCT|2MyJUUp%nvZV#^?>*(2>3<&cs;QNKfb>bieL=-*5lWwiP0m;J;|IP!39y3TZjHpCExEur zYEhEW@f18&=@C`2#*~s+P^q(Q^o? zOIaS5y0F69VQyw(C{VR&wdVwbHvQ^U3_+{2Qv7CmU^J4!rZeDKTKN9<>{~-wVLRL8 z(pdTi6%zZ%aGnwjgUV^YTd-|^)lzXV?ae@W8gbTYaS?9DkKMgSMs#@+SL$vnY{RLvu_b>E9kk@7~S5(qy24!_3Zch_fl zDe*^k3rYMP(28%J$C05p!7Xs?Gz#Sr!w3QC4^SUf_GF1#`a-2aoeiu@RK2Xd-S3M{ zS9@STfG-ph5YVQEV_zN~+8ng|?3luB>~aUsewHch&Ey8u=+6%3zgcc zcAj0RH8c2U*S>tFI&=HGB_bEqESG3Ye3QC$sAEh@ce=lIF!gKs%)87pJ<$#>>9ML3 zp4YZdhF>lKDYr+==ZWX8NdLsf?H}JgzS8b9Te5@jD72k+CqRaUILk}r4~n==lS6zk zNezM`LNHF2J7mvqlmnjnh9b6Yb~sc<6tT3V;o88qD$_w0motxoMkiJ)HLeX0ufA=m ziTM3pNdMgaAuCH#PSBa3=Mzt<;RA!w?Y9AU+E4875?4kfSUDOO?NIA@wP*Z^V+d(z zo^JkHwmtnr4^Sv)r}lvpDl0ja%IdD=<>fV@I8?&;pjPLNu$-KnO5CNTC0YsJ2;S&X zn+jZr{2AX({V6O0cTNG>VHV_9&nY|zrn*=uWP$bII+xY5t3;LZF7%~cUwyLAhA&7y zaf+H`X_6tN+l(P5CEl^okF(m2jofZYe|ugANAI%?mp^1XR}Yo520Z8|<>;$tjwqOX zL;x`%-%QK+c+mKl?Xr)}`(KTS=&__}h<&`lSpx#aLDDOCf{<+B_yMVD=LGf~i&}QA zkaK7Ng2wT+Q!o?-G<_#O^`4vMqT0|r1$3+M>S{LlF+vys^|XDMW;ZrBwv4R9gw2;~ z6hcA6dZhsP&P>;fwBc?G5RwMK#5V8WOMSA0KqTDvwMUFz5wWOhmkqiJHP+C@o%^3V3nkSg)N?>8((2u%`Z{(NH)(J~1!#4G|7LD^2}{2* zXzD`I?6bUBtwV?d*qGaly*lAx`*N|bPLCNaV6AxsB55~S-YKd1`75t|N0*3vnxf7N z7JxAlhZ@>FXJQj;6kPoMOZ-X2ECZ$j<$+=5-ohl;&8e)k?)y%9#0TJ(KQG^S8qrAk zNjl{4#g~%?xKBvtf9ZmuL*1hTYG%JMSdyl+$g1)w-#XRRvaA!#V!dydPsyE%U&XC4 zb3au0O^%Nrxb5^s(RH?(1y;7Ik(rq}bum%vq73w-SFwO9%~xN%=lkp9Xlnoa{&xO>*QT5;cZZQ) z5zGCTP{0iv51`A*M6;u+x;SY)^3lqj*S-GQ9{dn-ntUu>+1z zcfVa#;c#zf2Q+c)Bm%CG9^G7PEO{Mn3JlXVP(Z2X0n5AII#*RW_d73sg3n$N+($mv zMiBjAlaTnjS{#f!1{{-Bym+B(?FNAcIdqJ zASYKz0s34}(io1_tR-!7X0sBkFFszze3^`^%+}^8FVI|VWo$aG2Xk269x(IJa@?H(s&t&UhW9G z&6EGYfDi(kqp*{dF96^-De>DtD~^P0Hh;dkGt{o?LoZ!$~p z<7RXWuL0W|@x$OU_t)0c2N0t7WjhXYW0iR;tKoP>ELCB)KBMLCK^^%(6g~tS zV73TxV5v?x^Q$IQcmzp?&YnLL6jY(DJ{)&D&&=o{*E`qQT56GAco_VVzA zEE=_Ne?NKewcBn?|8H-Dk@&#=_Jg75k<6;u5n&npwqpVixW20sk?Ks5N-wGIPEr*`>)gBK`T;X;*qa!d@lE=|ADNtMOSAMKN!VEL0JX}WCBnkOf9$xg zblpT?duuP>HLca|Qn84UwIsKqEh$StFn7&;(>kM*DU-*pEKu+H7nRqGS%+;z1G5Ut zN}R=@9zdGvU~+#o^z1w%{;Ij5Vs<=-U$S%MRZ9rvVepH%Vw$e3B$1e+u@WEAP*DSK zm~e~OVskKG&V1Z9XTDKAJsP9HskS z6*17ih~%uy?J(Hfd{&>w>9un#9Zd0%g+=C&<*I@JQZTXlg;a)mlfvli{sC%-puEPFgi8~eHW+M%k_+`8hfem;+l+x?=tSH%$V9d!d3`IzFl zN32WzdubmIS=-`RkU#`cZShM8=1;bWc?{MB^P`WJy$HB}Ci!}{eyyRleTn@MZN7y( zO8lB&4;&AqEh86Hu?q&s<%ZUthP72I{vwF= z$6w(1#@TSva80EXC_5$3si}s}sU7OQhNGkaGW*`oPjB3lu6x4q(z#9egoK{5A_#XI zF#|u^Dl|2f7*U9dWHb+VgI{_8{*`;i_m62uxNKhh#~4m{DB_!5hWPnT9_<*?9=>P^^+Vw}0HNYDYo;N|+?dpV!JRO-{X& zg=>N;AFxJs@hHV7RAv*+_m1SEv1~l5PW6QieAiPagi*4VMQZX)>1T+on- zSPrIGw=QhpC7e}v_rJrJ59?6}nOCAq8cr8*uSUUvjLJp|&bF2>J{oyQZ?{T@@c zyaFH8{yyPLKIseNmmXh2s(CKmg>z}vjwp_HNKa0dB?41l_9_JjiG?olPSp`%uk)nz z1aec%Xfb$|oziFJB8Es{KRU~hBn4_aA@N~YcwD$Do)-7{hztaGV|igwn(Ixgqm{n+ zIPHajd*KPb`quD&nEJ}7DBG}G0qK(Nltwy+kS-Ac38h;?fnn%w0g)0A5QbK1kP?RO z4(S|Pq`Rfg?faeYtn*9P=$d(+JFeW<-k8dN$BHyQ8ojcH$s2~l7warac(=pgD1)&V z2hxi7C&}T%t!dKL=S)yeGJ49szJlX3?MIN6qbC0oUip>iVUyWdl;%OP5O`;R3v*|H zWs3yBxHP81;S{8#(ZD$XXHZd55koD)oSx4dqWpqVg1b|lGQ@&0e1#HY9mkm@=74{s z1I8B%e}|>?j?8{Q@S=!VsWyFar zWh%@{ofEOz)T80?$QSq)L))P`oX~Ec^@6Vuu@AG(zhXn}`aB{w-I{f(VLA3nDoV~m z#okR^okRQ@{mmv3qy3pu4Ht7?MwU4*th53X7j;l`_vg% z%fDCYB-v*!;`JB0>@<{{q*it1=+IPnPR;ka%usj+q%myc%Q71gv*L$GOu73As;&V% zXSLBs$P!MNwiOc3(oMp>1k2i!0)eiFfC;q=ea$P=3qw#XXSTW=el;jhtyx^;)e0_A z41xdq2Ko0N=GO8Ux!)%hF(C{>m%nu*w$wDmJpV8+{{gDm=|f`DE)+ln=N)5Ei*}Cu z;Vuq?rH$XG@|SYNK*sIAZ%|xt78+FeLZ$-Lqiot#W1Pna#A`NBy@{aGo>k|#=5C+I z#lp=J7tPU6pzS9(nmkV%9{%q#xc3ZUY54G0Re@C)3Q4SAWkXsuTTRT4Z@fob?bhY{ z7o_j*PyPzkge7AA_sXMhkkP-tV>Y-?fj4%B6|p1w+_6$#9SNmpn66Dn{lA4#Ma;5( zP9pBy{f3Pw#Df*xBY+0fA=tzJR-c(V3Z6qRKQ-|Psf!^t>57bAwc%XzDI|dAHYr9D zvp)Y^@W+m4&E_FH&!1(=TNvTl}h6~@Uj$-)0Fef;83wX99g1LaD#<-Ft8Lg_V| zMo-@VU6l9BHc8GxUs&I$%MNduJ=!k6bAH2N0zu!Fq8)uEgd*gBF zI*NrXL5CGZAPO5F1pK$bZDZe56Tv9j?a410y)xrE|LgP7uPSu3wA;uco1rw5Mvjtw zTo<4T1Y(l}NhR>uWH6x-p4nfl|6ZF4{r$E$RM}a`e#M6f$s(_4h5mq-kM98dG)TlQ zZ>~=6IgY_}#6k0Q8G++9n3n_!NU9=R+mpqP^EIrVF<5Ow&sS{9RaC&G!*3g!L&^6E zgZB@F{_sG|PK9fJ<`|`(E*SRq+?VR)$3$Fhjk9mhN1KMZ+nLJS$Oxcfh`ZfHmo-7^ zJQb5lmpJ6Mu^@@J#w31Qdm<=3Fv6zd<$R;7MPm=m(BJ%%bqPSbicSAU_Ev$D-Q4S|qIh8v+N;j; z9Hv8rJhf#5_gduPe?LM9Rd#vzi{=F^kwYs+`>|nbW@t|Z?n(co{nLY1<)zw8F>mTQ zF_%+>r00Hn6c8zTJB_}5^=gPam`>W zJzW{V6m1T;%?nFj%rdHB`oS(ETzGEaI+V9(M}51$;Opof^9ZVuD$xO9B)u}TI2c49 z=v!bh1nE?MzOB9efMo?LDk`%gD&I1X!+VpW_wdNYQ?Y;B)90rPG>-m@N0!;Naz&(r zrETUyE)HZEr=IWzFp#C3nz-)e8FmkyIY`}p9c>>ko zT^f zd5NV~(PBY~Sq)XFGm&d^G4oh!%Lg&=+TFL%pd=YPqo2Zg_NjH6X%!E`aE|;t(zf(P z?d?A@9>o2G|15cG-}6kvZev_2%FpN!SThSgHQd&~NOiFHNtb@Q-VE-44j42`dI6!~yos<#+JCqNvjsH;x3@=m= zZ-`&^T3{7jLx4I2E^xDJsK8bPTpBpt9HTB7!oC8rI6n7x9q;?bo9#!--r9%$@u?|Q zPj!4EZkSB3_hTuj>4#~{lwh^}+uwK%rj35sMt5~SCIca_aFxT|H)D~>jIAkXxGE3q zYes4@c{+yBdGIn?%WVvmH-^n*kx#u&-CP1Ar%<7v=u1t6xBsKs8Q z3QPQVC=}HI-{Q((-GCU^=GZ#78>9?|+El8FtDwT;>M5)R-P*oC`pW;;cVoY4=xncg z5NSjyVb<(IR`c}lfwR3(UgaKM^snv`@11kHOwX5zum3(sD;+`_Qjb1&c0CW7vcVf+ zs4g9Juq(Jt%>Ok+)%IJNc^t#p!+D~tl^kgA^`vo8{Wl4pnm z5AolT{Z7>su5%mqwOhks?l~o~u0$|&Yji4{{aqi8lsCcZ=y~53Pt04x*O?>B4)KRH zTPDsG%}p-TP#os*m&1>9gwFaWr{=oN-?*C4m=B4*rY(OT0*Ch2~!u_-QMYaO+ODu)R~3_~RfQ@UzMJX_DLS!*mqWB0$m z4FYYRwyB4}afU$1SJ=>SmvIcX&rH<|}5`rHh$Wxb? zRf%v{x^`gkA3q)6NfwzThFD#u3bFIbFYVTu9HS!VNRZz?)@0~y3|2f=DW#RN`6(#; zzaUf_o z)Je&{-8Lo1_nKXX>5vqYbr3dtFMnG3%3dv;`?G@BgL7-uUimfilpFcbaY}7`INWcPMG}A5{_Kadmd_;jto;pJnVSbwi*Zy3Yt(D&#H`sW z$95~m8!uxMIS%rgS{gF=#=8e54VNvuz8bis4=Q;>)KftX=V)!(sz5sdy5yzyOU_i8 zYz98=+KrrT5G|>1v|ey8kr|R1X}X{#ycaH1LGL=x6!bnRB2$`BSl;`TGtdD@pzb5d zzOh%IwU>CGn;*5&X~ypQdAMER%DR$us+0JXi$5+QC!e`eI?pzJS3>jJG*jS~523St z*^sL(0sYJT-VB5iFLuA21J?o!XsxhI-X(5}uKnWJ(iF3douXepunE7fCo0XizBDin z&sW`-C$l!#?7fe$*mBx&4p4XO5N!EFQNl?AQ6jH2q7)N$vTd|oLV1x+Vsob`7HK!M z$~L@iDiYjL4EG$@{p>l8M8nXb)V44WTOq?RpnMnR4ao?xI3y!z(^#SRU~;&A8LJ>R zEs(H5+U5C?NUX6G2s`&s=K7nr?Wy&aQn?=?~?m&Q`h+vHWXFL z);%jZL0rS3!Bc@ICkH%7(gAu8oWMi%HDjV6CCQW7g4)cX_WS|F6`blbLdc%_LMh%RC=fryvDmxj-m?GRen&C16gQVH zeF)u8U$E*aRg$o1|696qr_^xnbRV}ZuC_0T6Qo0M zv0m?kI|UB%o>z|yzP7w*G;8*W@fqGqzECi-vUtsgTS%ZQj=)xSLCFiNTQb^?rjo%v z;(kul;<`kX#u&>_q6OlA!Ujf=53?<^VX%k5la4WLA4j1;B2H=W&EltjEF8K?-qqe^{Wluh*M&VYFg7(H< z$EM1IT-|6J$3Uk?nbOKs>w_lnGuMRlsJR(W{cFAp#EfNPvOvs7V7~=-W!xH1>n{6? zo4+31b+CUDIzEiIGB4tn-cpMNO|5uOa_Uxtz-i+K9m7965U2kBw!r>9NCJ3i^;UJw z2y+Ph0eE{n4N&Ag$M8+|4Ml-S(V=yqT1wfOn)1fC`kmoH_M#U`@J;L=LUfeMDu=8I zxH?~z6P3;@5In242Z!R&qjzLozR{`&Rq+4TSSW;FK7<5su)IwuB9G?pj77ub>!P_| z^iz>m=QZ-fE2S!hAR^0+h#~em>uY&sO@u*wyL*#Prv7J8(dpXj=8QUyx2$OBx4nc@ zD0!U->`hgCLrlY;-(BMe4v}F4BCq-do7J2qHX;Za{6d)nMkGYc@W?m8?UdUv3R4Fz|zk}d@4a04zw;j$u3hYA1UvON%67ZdTv zq0L4g7Zphye6vjgl}PiRp%k#kfw=m-h?tfXT~J=aIz(M;SN^S}z%$BQ&EYz+`RWi* zL2$X-N4lW3DRbfW_oy#@*e?hU)YUXFd%=F*OkSo=dqlJ70_!1}5%fSB8bo9#W%$-g zJ^K26uuR9UQPEpY-oq5{KqEB#CZvR#|8V1T$x(KOP!Cru+=rmKwUBPS=X9%uQQW`U z(@Rfi`eose7t`!kUE=j0K3dV6T5J;07pcd#7p7HB?JiyXu@vcNC+(q!Ir--;!8)>O zUnFSH<$v|ct7trZcd(L$;bZ{XW2K?CejXQZVLHmuH(zzSDXk1YO_)Ev6dz^v)Shlk z)t;P_s+31QT&VtwvuncdX=eS4X!g@5LA;kg&F*rcO*X*-bYsfOEO>`_gZ%e`sC|>% zQ~{`MrCSSu(l5o*pU_fLF5p7bo4;mhPeVb_@qi>)lI$^$0P@X;wjDwVQIMuQ($H-2 zogS`d%MB~>b|vRgK{@dDKY`Z>prHM>bnZe#+Drx;z;|Xv9UW&7-jX9AmC5DsC1_*I zJ)?%8fvrQ9h@e8cS|gY9eo zg@p=^LQornYRid+d^+qKlZnDTyrLed%ujK%l<{%&lY9e>V+=Eib1KoYyR-CkzBYVr zJ~jN1I@mWwAP6FCEat@UaUo~B&;Lj8+~}FyT+>B*t^&ii0P>mHmTcjNGm&uExp~Ee z&Accw2qP$I)6p$LZu65g8hQKtcNw}0k2usCg=UKqNzp}1FVAmt>0cyPd&@SCt%AIm zn?J|FUqj>VOdbZAe;+2w_!GQ$-}l#T^I`3aK3aBf?~18*)T!s+nG-_cAbsG$qt%N{ zXhNgI=+2uAx}QwAJ)LzWW%}K_jHMT4*qg5(1|#|Efvb=YV)OTRCQ?Rq8ala(J7`c; zd%+SvaNz%~nN1gU*U;yFzaXEVyVJVT&|^CFSr3tqa1j!+;sivb?H;7GnYrgOi-I0m zceUR}7w23c(ulqwmzt6i3fIYZki*QJxqf%ckn*~eW3K9~t;;HFq&rxle;Vy{8{)K6 z9~kT(44`U@BK~tVeTkxNxGzlPDw>pNr|8RxPiOWiKKP6pz2tvI07bnD#^;D9;Xe(j z^NpX)9?y5|vN;T?D)-L6Xi;KUv+i7vit`+TzuhKgW`F>{io!6uIC2?~B%w00c)OAE z2eNSGuy;Vwc^bEFdx+_1d`6i23h_9BM_{W6bX^jg;0PACe%YNT7qnv9{yyV^t}Pz7 z80CNJ@!{|t1Ki>Yy>b>^Eo3Lj&WQ5nl89|zTs-r0-335rF*Q9oW(4XgT)7`w16&MjI5j-TU4iz4hUI+=2I7#bU-tyqU<}O7lbF z?Q;REsb?k)oVXA<5DsU}yRw~;U{dgsR-NIwUG8$&Mnql=MhGJt}Yzkqz3%XtY zNU(?4zPQJ&vC81L=*Vfw$~76unfSzawSm}=i^?^)Y&x!r0Fsmx?%HXForS$!>ROc- zum6hjnKmnG{myX3EutBG(+#un#tc`goe&_ikuLI*vRnVJiqfXeK!Fe{U|`j_ASz=yD=Vqd?O%!?~L z94A8MHS=psLloK{Jf4~vevGmAQI+1aFL$OVFsMm5HgF0_ zmERVnBM|Hs+Yw9we~D={BIJdFeWD+YH4C<*@b`=%>`u{|26oCopyO*S3#qgJo2 zWsgnmSy6doat89}U zAIVr*l`QTsH12v0o|z~|lCd&m2QYUJfr#k4hQ(SIm5OJ}hi8=8y=3H)D#HE%-sp3s zqQr`?$UsWDpk@L)=}XLi;M${^ncO)fI%S;Q#4rc&L$2J+!)AI;+CfC?>K+~W)xvq$ ziNc)1v+7_c+SHwqZWY8MgWjOvP=u|)6$39KZ~Xz{2nJIP8(QmSo4t*N5>14G+h!AO z_Kl9)1j2czKE-NE=tm7>`FfkHDeAVNwgt9KDQBY7!6V!% z_9unZP(eq(WtrgRydsbn&|8t3Sr&4_Vh9= z72m%7%blA}aFx)q1y zZ|S-)`oSIYTiZQ%q7|m5o_JBlN4V9o?W(liT_urzRV8Q+KYDPF*59Enp14LM5My?M&<_9eT39vVb4wGak6!EXVXmv z5Lb7%n3u{Dfk!TqYJ?$jiXv2yj3Frt^MAY6HmJfC49}3iP8ZWvoGhcm87+IJkwN;lWV>Kam+=lZx`*!Ar)EL zgIt9>jB=jP=BSXjBSyc!!|&JV3;VvjS@{!h@(cVIIlWs$mYr~3Q?Cya3MNd@;nXvT z`(0Dz#)pliioc3(3iLYL3o1C_D>mRthIPE|tu5t+C3XN9J7Tu<7bA~ra(eQEucbqj zxx%T9pekCWw4wCsgLFMkE*1vSq(0KE=FpAb;w=`G3oU&cOm%0~-vpZV7g-y9PQjFU z{V9VsK1#;^pe*!3$YiH_YyVB=V^~(y1|K2J$&3^EW){759NfguX*18{l9I$!f$NcX zWofe06>%ew9px!7w|P5qS`$$z7ET?B`aT~_h(?h@N*bStC_QCN`CJ9Vku7F9YAjEu zq$}jW2>Nj2XZbd~C=wpjQXpXb8)OLas1s%SF3kBGcop=&*VxP%j@40HUhmO<=L+%N zPH)zACt`U#pD?ac+#6J6z1~L%je}MBX0_oACY-!mcxJ&r{)xz{tvw-uSmULfV zx$Yi}M1R~ASV?qTtU?@UJAQ-L{zppa-Nm8mC!>7*VC}{>fsm4Khfi({0H6xXO|ys% z8t$EUdLV#zaW&p({owP*HMSnk_iy)#9grorKj9$2pIA=GihJ((fbt`?TVLu22r6FA zEC1v{tUiV4w{mt1E0tY6oi#>vO4~EOdL$6rU&!Eu+^DX+Y}$jKi^l59%1vfF`F{Qh zrbje$F7NJXazZ_JsZ|~1b;c|?l4spWw8O8RUW+1rk_@2fAtwXv=ka7|>j@ zh}&Zd6kZMmqKEH0&yvoXsuW0*{I+H`UU7eH#WN;(+HhT6SLKN^nbWiz#JgVB%%tYb zb^=`bvVyEitRXfHYw_hwd9IdlHM2Xx`WhQ$_(obGI#ck?NEfnv+XWQH7A;IH`1n{F ze1FVtKB;kP3?qV7+ST7L$M&?sp4?YoYJ`R2rZ80}P;)(W{8Rt9W1B1~lG0R)Yb&dInX=F#42nA%(^9z8NJXtCMvh-*r+cyWzwnv*uu zeSIY_B9QtKJ8!zlT7BSkAX)O5fbDxZIJ)aat}3iV#Y4*MWc~_da|yq{Ssl<(j@$3f zh!kAnzuvSMsNVqvP*V7)$6>TehUS;@oHRtFpMzSUgp1!VwF$f@oeikDCjllt#KY7k zW3CZ0yGTFJ;gXgN??}sxPN1sy_6|kX(Sr5#?7qE@k&xE~8z72uTw6OMX*?b4Fnh5i zRE2F#)RCtIJ2+0Yj);n#UkxzUid=8lNKElvApkDWw%vKl>?faEaC^m?V<2xqzSH53 z#@o|t>b7|cJV`bQ(gGYCP{?ru@%+kc_T6)F#2U8S{ytnDR+WJ0gO=HPo~QF0f-?BD zBagxR_aEMog5p_y`-6Ypupj-sE-FNb-s** zW}Dbyhe+W}bD+NWu%UfXNQ27=08Gz!WpqWHt76FV!*a%l7p|Apuy=PQ-x!-HJ|SX7 z7}$btPCn>c3-cMp=vUP^lR(Fgv!=`y*L)wgjX$DG_)vS(WbG79{Ac_XCPbXT;_x#7 z6BOZnKS}H#Lyi7~#BGf@_t+7MGA{o65$;$T*0#ko+J2LL*i;>G;z7)#_<7mpwnLqa zk5TsmLDjl@d3YE2;TPaD*fU>ktHF1vSY2pzCUNw;C_^+kX(a7n3{ls*b+w=E1kN{m zofoNwok&KU7qUUmpHm5VjvdPcELMAT)hLYzG9=1K_O+-aIqS$qz2AT@vyDo$sXH3+ zRo80XqyILXG(uLdfP$E)z3tJTJ)=w0x#}|~t$j_G>kk0)D}&(VVw^}?&U?BS|5{(# zN!kl-^N)>l(pS#nnK|Bx8G1r<0<^&-Uu$5}DHyLPn&~i45k7Y-MmV zZhA~5eZM+8@Jj9e#o^9t1LUc5%vm)dD?(M*``tFN-%$Z9c&k^7`+I9aHd^}-DCmKw zvO-Q&p4x%wahU6XnWXhA8RqAom-EtA^Zp^5t^OH|^9vcG^tKC;p2?+oR*3}o{=f&^ z3nxvUtFK;uKE7Gdmixx7ll))zi9EG;O({eWS!d*n2`2=GmHDs_WFV@*9Znmqg~$X@5?0x zOVCqzO_dv-KYuRq-c4uZugE~nv&v<_N3)M?);X?t=v3#oVwhlwNZnjoJ6ue(q-%e0 zvh?+Sf1yFsJ^SvbvuDe`0w}Tb`QSYr2T>!JQNu-=IzGRQ>oT2odf_rimik^5F?Sod z%%#>f`(F^;EnUz(Ewaw3lv`@oPG;B0kTX-)3A{;F#Qk@iWnch9QI=O?P>c}I-l4>^ zz4FKLt}eH0el+&DZp%1-M0G81rD?q9$;&s={x{hSg)hA}h8F^wPWDRd_6)ac?X9z? zr#eI1Xk>iG0CMEL65B>8sMMtlnJMg@+|=Q270&)qo+{8(pmTMKy>Iu9idrJ;Sj^hs z(Rm2DrP>3_4&GrZ_Rm>{@85wN9sNK<*vO#BXXD%=41ZV9LzMDiG4>BQM=J3Mc_wuY zy=LQe_+fszMUW@$dnX@(lm@~74mz|*4JD_(Qyrmd1ld=7a}K)xq6^bYQYZq}C3*xw zh7V+jzs?t0DSc2}w~NTmjH7?r9kF}kTU!@jY9Wa$Bqgba#?Gyf7S{Z=u&lJA=6ib^4=`fh^BX@Q>)gKj)saB6APIOme5;8=>PUP)%^7_+o zYDC6s!~#}7^WRk>^ryoo$e95|V9!}7E#-T2i9yt&x<@}8;zX3XcWIyBQ0hdn=Z%a9aB~*09p4PrPyin=nZYnJf-^nX$r# zOtQGmGZ)-h;4zbp%l^yv)r%@9@@sf(kK-Tpd!=DNpAyFFo74e>Gq6*!Hv3Nvy|5ar zNcp6uxG`hHMB|aCkK*10DcRzBT%<3Oc|rVLOrHiuQrK5gp{B87UJ6cB=|nSfYfRWi zGtvYJw(`WUQ93xarwcg7`u)Riw3GY;Y>fW>0LOdf(HT-Gb)B4ywdlD?+1IQJEXQAf zl|9h{c%3ktv5Q?X{bYlajE1j}M>I)nD1UAih#L*s#KSy zMsgq8v(!eh8)dSZI^JL3rFxh8{&lD$6KC8hi_07^hEQr6e&K?fdBo&xy7zfCj59JPP ze}|s<-orFLexb|B%=l8Xh z^!k&OXTK%VynU0CHviGGuEDD?OLPM;$Kfr03ezH=9Rf~7~*t8TfyO>SxYZ|0{3e=Jw1{GfJ-c#QqWuEVq zYm1BnOG}6JB!z4yN%6m5s8~(g5h0%-@ng^K<1$QUymMAQs{=n^H9r>hOXQfadD?OMkjq4 zC9v>BRys>Uh3t=SUV7w%0KKuv?+6Dv^244f$ZGN*c#A4i_do0xQWxFX)ARmPOU&90 zXuSak4ian%r0x!?yn7HlcrX@>{eqcDX`f56k9^Wu^n=^wE?xE1o$A7IfvYobxuDNu zNB}CbV}|oE8lX_*!Io@`iQV5`m{}0VHF);zJ(h>*@W6yVC*?hJR0@Hwr_mZ_RumvE zZ<~BC zE6yCP8F;@qGxG&0_wOSH$YfK{OUE@fWI|X~vK1p-*y0_W+^2nY&4p}kFjLDE&wqS- z&(dNy2)?HBnL^*J@^Bj9tAmVI`^oH`Ly6Eyih|&%hNMq3z|{jFxkBxPq@*xy>ifSt zKiuuYv$B@>KjP6pMf8uVf$8drGQd{co5-nhdUh7^iUl0QXR4~$I5=aIli=3Y{zJ`b z-RtYrA*g;&T`k$y@_)L5g%0A=mf*h?RdzFY$5W(6zsnF7=W}#pUE-NMGY5uI%Mfj4 zbk_j<`t8u+${rFyNUdkeDwiiuVcq z???btnpP6Nf%D?UZJ#-M95iq{@wq4CTwE!IDB0%n|CbA`Mf0GNvXziIL&JUZ?&}eLK0e!>skHf@ur#Q-qnq1n& zIWsldWQNn@;ub2b`9z|8Ss)oZq{q`!$0fVt-A_KX6o`IIk@h`i23=TKU**a5#ow4? z<7ZSIzt?OEY&T-;YYX|H5^wDx4kNM-Ry%C!^n{d$EfyWJeC`MP+TRVxnE&1QG%%`h z34oCwm~=5AVXCpdXGOZ~!NI`^8Q{ubK$#6%0{qAG)IpPv06GOnmH!z5U)eq7Fzvqe zB|Lgevr4ko6CHO}L^*GTKHD6PC|G9k+imdd+VgiUz;86pt<>>d#Q?UV|0Cb0aa)bm z){S4Ly>05{$s4qy&JI@zBk<`1H>_PwObBj$91ka_mJ0Ib9PShl6@`|aPE5&Br*{#- zV1t2~LP{KTd|VPKTVXHQ0P`Knb!w8nA%^(0=E{ruGQV6=&6RV`>gRyx8DH;(3O zor?KCaT7xB0SO8@k0Hklnts6c1ZN0*vTX>EuHzfL{0@z8Cb!~s?Y7=MMtGb_>|5+Er_Kao^F1Kn zno3V(@7JM_LR^>~OvG#Z?j#8w@0VT!MzbV6p#^SCyP1XAoty$jm#r4-(aFIX)Ix-~ zu+#C5o>PH;5iaN>-SJwgkH{n@T8p?s_z}~!eAV7EZ=Pj`p{}^bBqM%1Yr&p%#77Mi2P)zn#m%bexe- z0U^E~S!5~@I&t4A=oGi=#sdRs-9vwMWebvFAjBf$?lrx*CcpWnK`R-vLrUHE>N9fG z?P{=a{b&pGg7$lNz6xw?h5&`BS&PG8AOTPZ?;%}aAe(7mCG&`+9+7d~{k(!pxF>yO zz;b(nG0>)NQNirNo>%G#Ro2|TpJ}M=q6t_MD4DKKKMxhMJjQf~3)}A|oaEmJ19F2f zG!@`;v<-BKA^8t6GW+_lD=OukcnoWsGgB~!z=1U%tNb3qU@?)~r5Je6)7NL~>KfI5 zs_Su{`{Bc|a9V72Rxdt{@U!pZaW*#c1L|}Vx_cAp)jyAVlxFAp-z9gv0iaB~2el9$0h!d7f3K)I|#lsjj$ zSA=G)Z0wMJ4_&)@cVCviPe4_>pO z2MVkZ&`G4#*?#-;(ze>Vk5A^d|Kcz)4lWS#gfE4I#wx|xijAu>*ZSrC%G->}37}A| z50(wZdc*?^cIJvPwPsMDqnBGpImmFl3OX_Epp?DB5@;Ixib=Lb?RV?m*mTBPUrlkBqSmHJb8CBP$1E3oZ zA>6D%cAFcXV1j&`90JI&BM9gWo9_bVs&NPp=6*h9Qk9cKNk?r{w_auo*SKr|phZ8% zrziL<$=AR%%fXlt26ay_If4RVzm9(-3FQw9Iy7<(zG4y28ZIX{Za$4G@yZ6aZ<=$W z|I?HJ=NXYThOsvJkkLE))zty)q&Rp1bwh+gP+g|KTuU3kpC(PNx>;r@{*q7)JLp>Z{JeLnvoW;YK3-NzjsR%4c=I1aflsaB4!Yn%C5I2JtgMqi# zUrp4$em!DhudE#D{-qGnOeb6*fk^$m`Qg_N(7Ad1*6fv`Iy`{1R8^@z=hJ#kEZt}~ z77fiSO8O^;wY>CCDR((JpSTro6MdnlSL@k87QkzrStRds0~4LkKt#&!eNG z0b>m2`BI&0zE){|=A(`wV2z&ozTQsqy1#1z6vOFOftM?oZtJ5inqEfM$oC|*RaGZP zN0E_{D@R^nOwfg+XzH8$&QuxDtIf%2HG)E+j`nVx9%L{QBHQBEr3F#s`cINq5vl3v z$b3x(gveu+*j+GT2=-@!01}Fn@QB@%Vzk{oY##T?kBdI)*T#TO4WPWO<%4CP0V|A`X|crnwrm1wKSYsB!lH@7V3A&d3w zwb7=eAzV@;Aa<}*X442b*usTUjkt@4Mx86iOBKx#n>#vO1m&Xp*dh7IX*S; z;#aKP$))#bLcV5Z07_bx^ljZ+BQNvly5`WPcwZM|wo{Lr8EmofcmVu;0)1|+ZeR~N z5vL83p?&tytV2amaM>|z97&gvp;a3shyQK1oSPLrex1;oK!cHMxe=^NH;)mjE~qy( zG&JaF4owffs8q*fXMabtoqmlh=U-lkxc#eTlIhM7*x3^rX0DAu%`yD9M_jy;9=oz$ z(=}681D!AuJDc85OkM-4T~X__YL2r%%w)uB06u$btIlm}EMGJ0V+<*{0%-zP;dQ%a z6H-2>GiGcfks%wBUWevxy?AMQbxC>88`ssTCr8F*J^S`^f0`>uHiIXu2w_i~> z{DW9eG}YH$srG0fM_l_qtZliSMiW9@TwG~Vz8-FF)lWtS2L>9zKcU2b%g-OE9h#e? zUi$q0{lhB+Rd1w}d*kLQ_K0h!PYij-P*Wg-wC}d%Xkki|5Ly99%kUnY{|`( zS5@tc>`=NDRGxkUW&U>wlb&A6etc?(NMcg|5mN{lmJ|%h@{KaVVOs(BBUC-vVRR(%P`(g;<4iXZmh##%I*(qA;CM9^I zj|+S~LW8N7#mZ5wo|TZ`GZ_WLa`Gm0$KLT-Xxx;OZM(I1j}rTLkN(38f|?3gNX%=D zVK+7D0ntx3-9iqw3pGhOrZldYB%=J^KQ8|P<{67>og0XkME3EGj_zY%eE%Af#ehRB zXtzkaXcI}B&VY;S{u_fm_UKGWt*jS|og!9_>?jeGN;W31d_ zJS2o={z+V<+{$?`Ub%`$aJF+tcyBLRMa9Qzc7y@k<^Il}(rY+VsyOc)O|Pw$5Z1wS z5<5)>#c4rFgY+C?z805|crNv*m$Fm>-TU%z1!zJM(r|~t9p|cn)=S;ZnHCUm0r&3n z_9gJ^x@lchSXvxTa|R7 zs0)cfI=2fXAPXNMbHiHb7r_1?^nM{;lQ2T(!6%Vv;0Ls_9+U{Hfe#p)b%gm@=XoDy z+IS%xW4^S;`fccwwW%xVCw_z%&)2GOQ_M?>way<s4xjT$e-bzU;=eI`^*usdT!mQSWzmdEhJc@OaF9{;dcS`8 zQda$loV}w#uQl`rUnzjiif>cCNjJsPK1ZZ;jL2)hI*Kwa7 zudJ+CI`FWKj*cEIwWJJ|+-;6N7HDAs={r3-68AafAYe?)vbZ~FxzD@PwVNtQ1;9>z>mPUiQf0Vnc7Imhtw%X0zTLZ6 z4%qT(taVy+q3yV`|QN#CYo2Sj%=+StA{^Ff_f?TybQSdmR`=2hhifqyJ# zBZJV*{cONnTIdLGq858}#TMb~-8O#$6mf%X8neuJ*8a>QjXT@A$-=ttnI&j@+2Xq7 zvryU3!XCHHP&yvG_$o!r!;(|s&%M_@RLkVU2Y>lI@ z6rU#XX_Sj=S1;Zfp3Rq^@6L>jXjo9K)RMyBQ( zX};RL0ih@BsKTpXFcQ`e-WU5OYGLCd1!*@Rv&ORHHEk@w66Yj@$fb!oepDq;bSavf znW40r1u|Vnzbiu!*rYC7W30$ykm2G|@II^*fIyt4%Q9kO)GaMn!PtKRONB)ThAP8i zXXHB5w^n7c%w2}So8VC(EvM$dm-QK}9^sO6AKy z!(MS~#OsMhVMf{CPm*Q1pmS6W3(c?oMmsK+cXfYiEb_OOngYFb&8orMQOKg~_T~Sj-=^U`B^e4_I1)!C7`RjO@kb#=Cu_x0UwTg0w$Smwk9C z0j2?WW=R||6C~5)omn2EVQQf8>g)*RWd1ncy;62-bEX-DDJbEhnGZldD)DC%n30k) z>M#&e!m`y;=rSa&By=+i86&Ob3=fU0ee*S18#GT&3gZlXWdlkGkjSpLka9ufnHB-@67+F=%sYbiH2 z1Q2J069S>EYTbJQcctC;*d#y%?d=H@%V$OEeayuCnM&H{-?NO*C6 z9vccl%Hb9~q=csvTB^;*U8`(;!fK`v@8`Hh4t|S&>-8{?Et&8dv|7kJ96Se?cE_|EtRVN?DB~l5RKIaBW$aK?IVXL6Cn#))#6P z`G8G>&5-d$m-@)R`bostxW$@SJnp!b5zWt03ZA8O3UZ7e)9h?hf>2b!GU!r3Zp(cw znGz>Gd6y6hfn^p4BgIcSBI~vN30<$IQmY;?@BM%P!80>POc+!O7nY;%G~+moL7hjb zV#)ua#a6gZTCF7Yb-i3IH}_^}NZqjJVWq?0y;?f~?*9IVO`Kj0)~Pt={&Jt+JonBE zFiAGrHVBZOq`=jSEc|hw!Z9ibwh~Ao6iGsv>*bTIKuDkOwHuWHBRRYPI#FJFFc|;h z`townhAj|zdA_Gkgmm-p=yohKZNee_@J!=4BuL-LsKxK9(yjljE9y_~59uOvzAaFs zV7<`(u9m{+Y=LaB7=X1!jts1*pEX|iQ9Ixq@{b~3r+su@$)PUq)wQS}uo3r9qAm zxGr8u+^6U66ZSyb(h1p|-}(0d$YwUy>KIHJ6;`@#XiV$^Lv6_|2N!-DVm#2U-3M_J z1wjPlDPGXUI$gDA;cXGy}fO{96DPb&i(8tLjrso{L2?H35j+mN*S4! z?Ck89@vXRC-R6&XLxe&|dZyV$MacmVFPc0$M%TzvxrKtNFA&lQN=ei&dVI%M8`G`8w54A@N2V3aG!t@M`ZNBoTE|ZfSddg=#1`Yt60BCla&Cebx_x_y zcC6LJAlUg*w*`@v&rWt18%6x)d1*x%Ga@oCG(HT3=bNFhO-%>{Qr)Gh+xT0B=-0yb zLW4Z=Gxa{*TPp?piVI=Egv7K7qlf$1h&=DG;NMt~gYzvNPGkv*PL7e=vv1XY-$CWu zz_7-_`|8-5_HCO@)y^YGaPi&W9ll10s<1kWn|us2aYrSNa}|(o<>=!;6;XQPFjYcj z%5JEu%ThBvHbxw{n#^PL#@+JGoA*}!;IC{o6q`7nAa7&1dKg`X*KZQm3A8z(&N^dj zGsSv*jkQL#&hrf%x^u@N_3?VOgDDcK)X0PA&eNE`A=LuhsP;0L=a?dRXYy%@3tI z`B9vKN$d3YuRRtyPAHfWeh@Dh=!>kXh2z%Nkbe~}nyG#pdhU~AT~YRFB|LJq`nR!a zm$S}n-ruOH%Mc$waS=_>)}T$7)qBA3MGO<4dZOr6F@Q)sqK*mY+ykYq7#hf8gD2mx zryY0v_Z)fTX?WoFa~nQXaoF+$>F0tXoUw3>#5ZJ%v=3zb2UwmlKb{A4Mn|T;b#>C; zG@3nmaF;+7i){99m@&O%4Z4sJ6N8D-7M4Utk_0FzcGUW(IxN(aagaa`kB)LI{Tbf2 z)MFCz^86pt{xTq{_lq9I5d@@_29fR#VMv3n0i+w0P-$C-8c93#5w0VPwc(c+G{Jd0AD%J$OGJIfZPgD(g+}r-z4dI4h*cr z-Y)mn943Sy?%GE@Jwb`fx6|*k6>|o|NwB>AQ<#&XsH>S3-Xol@xA*zQiSNd@I0W&c z^y`OM)_3@Pk2C8HQLKiDucENtKY!?y)z!IGyu$*U?x7wgW(7)Rh7l&2iM0^ItD)ls zU)PLTNgQ5AK+OPVXwnrrLM<#Eo^Bl$oYf*Vlrw!$3I}DIYjr8q&xjuXn#-#iGGgfJ zFwoEwoS=tI)fWv|r5=ssPoh}si!Qp)um8<2O~g2v-Zh;t0pj6m%K>6rR=F#0(7iO? zv>g}jLMfT*TqIY_ZQ4vpN5*29&NP(3=Eh=$aaLxk9bB!gTyQ7`U%bDY4VZ>cpJh)@ zfm>k(4DJF9mCUXtZdV^0Q{(0V6F$(d`7i?O{Ne&Veo+QQ5)_n_AOB(9zuztl`jIrI zBlUsdJNK8NAA^C|7~*;R@0rOUFE7`U_>g&jyhKwgU+*VHAskJ(Tbkc}(}WAf{)$_B zC{XMq?e(n-{#^Pox*A!aR;`neyu~33??~WPbBApJV*cqn^Iwg%`N`y(S-saLjqLh5 z4}KoCyz`>_ArKv?a++5#c>7bl8_LDQGvN}*?#QnAHHmDN*xn{Q4$m~VrGAkmG!N8@ z`hKMe6|$Se-RUt<;j*l!l#m!G-UTF@FpN`@XZ>M8YilTKHIYN>A&gqlCvq}Q)Trj& zJ^Wzu2c7fZEc!S(`VxGRvg1EJ$>@_oj|i0!B0~lkuSp{*L{UXW>t}zFR^eiD*a1%?pu1Wq*glG0DeNNk;2D0e0aVVY7^5#&5MyJLZSbAn)h zVu^jDp%Lo~*j`#%TF;l9#_F8eSlHMyYP` z6^jE83PIui$HOmv^3;@& z`PQi5_KtJD`~H)7>0BV(wYId(DweDzG1Zdjo~eA@1+b?Y`$s=^LF^MvcHPE>0qG#N zeVopwxQPy;FBd=&t%O*z+pv>DoI#oqPw;i7hh@uDbKFHa^s38^TxG%3V5Y^#V`pg< zcxMdVvl}Gc}B%H2zsDQ?&GZW`> z%>c3?u)<6~HCPw3K-LJjHn>&SG@hgz9F-n#@RH9R!V_MvFkwSlzF34&MCPJa?ad5* z{+pj52R5TNAQt|Br8J#lNW!KFpjlvZ9l|vO z+7BuC8KhA6ja0~qalm&JVu9oPkg|vY18jG`tqsH(E~}~oSQE?&paB3e!k~9R;*NtJ zurLDYYTG7Gy7tZzD&e8k+l3g3wLj z0>DRc-~ z2BcifV8B*qgM$IP;Kfa@yhB(T9&dpDa*U&>%}OcyT~9VmCe7mWpSokZ+)6zo^7FpA z1i4W)oW0r`+TWRfB@(a4O6R_sNwZCQd9?b@!q8yd+s&yOyv};b0!xcT*9`-|8xJcg zDL@wc5@NrX^}tjPpU5t93ZgyU!ge6cFZ91%aS*iq^`_(7c|2ZvW|Z1bP3=IiR4PDzm`F&=o&* zcA1frmPW>4+mBcY#*sTUU^lwH^x+%&KvY!Uz$@@bWNzz^o}XBsWcbg_3OS`8zdF%K zPxJnD5qVOeNC(NqvbIaA#p}=A47kOP&$TQqBfmU>D)hZF`qMXzKbMni!A?>EG{I`s z))4O#>G!lF!@+p#cGl$YUf-j8^44*_V}K(`ErxwaO1rKgC+~QDF2u;Fu&JIKm;q;` z=$Vz^c8t;`ny!t49_5X5IGolPUxSmU?!m#PFl}U*tP95eO_kkruv`hBaXr28PEKHb z!$?ka}+&@&L5`kfWCO)TlyJ7u?!Rl zJ$}lbhN!iB?KxNHuI*4;-CMv~czb&@B7-s79^n|P+BS=+1tk$-Bt(968@|xHX|OHY z!|(c98!*(6GggXcp`czfQP}m)=(3(FTM0ARGC*s^NhmV^rPn_2gWV#5`SB>{Q(3&7 zHhiObc_|-t!@<Oy0<>=1v)_48&M}sj^#2&f;yXM2j}E8USOxRSW)p)D5?Y!X zHha3xLZQRBI04o~%*Kz{4W#bSS^H_7SAX|=tishmkw(7&a(?{C(*3l$>eIrD8o>81 z=_E*GxoRMjP^BRy|eQ%0DwOYD_xQD#k%*&R(2RUd{4vku_4NMr$1!=nxxU^0i|t7|Ig9P|0Fm)Hz1g3j=QKKSWG$-3MbX+_IF_nih503_xG#j>bTKOVkfF0h~xFbG5Kpt^8Ld@bZ+VE{`E{kWNArB zuG!%#!twCj7scA#jYRq;^AEqekPsK|Zv`p{)~Zl7L&Moy)~rvXILIV)9udsTdHupZDQ}aeeBDIVq)mQV{DQ70v>5n$sa0$jxo)zH4 z`J76%v6&tC#^5lO0V(N(`tZ69`mhl6jOpJ1#7E(!LpreF+HKnVF)(mILC7TnMJE2q zU`s(nM@PHfi#wmn59wOC0!Y*{i$XL_cEy77*^$2JAn}LL$aZmDe{+?_PTu(M-$lse zF}}RXz=``VA*=XPq`>IA?9qJ*CuFU!o8zsxobcj}zv zhdfeKcBy7=h+27aX3#m3IuV>~Ixe(Hixq?f{gbyoM8?HEy1;71;oRRZZ`#!?W{T59 zCO(#1RedX>!zoy!rK3Y;;n5)b9^mGa>3#s$b2t=hdhm5$>zBsQ%)NxKST&8txW#t#sLc+Zs zViHh@TH1zP-(WpLt+~CH=^q+)Y;`9iQa~{qLy~sIfD7baYwQV@)1ojY9FkBateweMS`(W}y4?W3NB+I$Y+9zYEFMGJMo5 z(-cNr62hfpVHcf4$8YhTH%bv*V|W^g`YWz;wDQCf&>DEAoI~ zbvRWf+ScB_^xL;r7D!b^#jMK@3GgqaR4qJmoiz8eYHAW$natCMRz5i;oC|m!^iX7H zWDC9x$MjY5zz;k-J41SJl`X%uffyd2mghWvgCUjk%yWS|^V=FShD6(W`(sR`<)M{?m=aLbWf3mFD+IfX^dnvh(xj&%C@m z(3r9jPVr0{a5Vc8X)IDT8;G>D^;8~A^nmWJkKqfy`kLz-lja^hf9+T4W1nbN%-Rs{ zq?v}Wr^aq;5-rk!y=Q<3P$sW|FJ5|*=z9uKy!Q?UvR)l9nv|X z-|}0!@ zK3=FRgc`-`68ZTt_?-HxeR^MYRMD^z^F(TOfrfH16|K-P3Z9@t@_+-K?Hp;ThwbOr z#CQ^d>^9fW;4%6hi=Y@t9N~_%wfOfg1mQ%9_N0*nXNO^b1?XW@g(%}b)B!ajsTi8m zShh@?!qk^I=biH0PDxVUMCcAeX(D~x6Abdn(qwY(BorQxzN|ZDmL`_ zb6HbAkipUUo!zqyhrP?gXlKzIwtDK&X$=;woaqDWBzOFd4kQ$Dqn>v~5+k*-LyTLf z7X>F-$isygJSFtAO$jXP=!fEmt^m%TVZ0zh{ZrI#qJUbSZoLwrAS>%?(_U*r$(_fa zn1lq^vwoK9FyRKPpI{^pij6?KJfsgXJ&2B)Yim!mL+7*u5c{T}L!P6RRX)lOho+)& zle1AJwWi%@cV{Q*Z@H37f|$ueB^jBZ8jFt~KXP$$l5x!c{j~&%dA!jzP5HllOZ8{K z5{d~-ok+!cV_tod?z^p`l%OY<2S)rSH7)J*-@k}Dg?Io&7dAF}7ocPC3y{##{y;vU zs!-r4_3`oPDrt9L47*QPNnz^Az{Q29U(ow{&g$&Wz7P!5!cN`1sfLF6>(|*6`-k$K z!NI|jm)q5gBdL}Y`_>CQ;~^`~B#eh1fr__6);T%E*H_ZAhNTS*I8M((5=yP>|C{5b zX)nGqH$V?Aq+xIPDV-a%;s{wN!^^VM=BZ6UEAXn?S~oD$k5)%8Ffa%R2*CQ|r29>} zcEeC12!V_a4Pm@6^Z4uLq@A0atD~c{ySr;!TE3CNlVD8677zy7#DfM+AW7VZ^n$}6 zna_;S@n<0QqTWlpF{Jj@*Ebegfq|V4+)sv~W!%H&-!d##)^|xCE>iIYA%6K4(MAWj z>#9|HiekRFXMkJ=^mL=xndq?lmo?PmjiI;B;N3rk;CYRpbZM~Z8p1ownL|~P9(_+d z%yriBAS=aon~?~@2gNO`g*Kh4-p7n`f-2wGjRtUVY#u#2Q2V~?uKUA%>W6!^iSf4N z`!)GsFE%C6k1o;TS_oyowTmKBp-*=Ya#=X$i9#5>n#6h8;1qc3PJrhWq4r>SAi79S zwCD%)|6JKJc=&a_MIT`)E`I200poglzEh$UmZ(W@AJA7wH>U@o%;=^yZW|skWE?no zCv`y2D0Jm73;WKj&{!6Hd4dplZz#I70xK62E2aJP;nv#1if9XYj1V}D?=mY^P}~#2 z<5kd*_M=Wf$44hElUD(V%Jw3mekt+aOypTOh1#Ou23J|r(-!U%sMvZ^rB^L?&?IcLh2%C+d)dqD5rz_|x=KvgOrf zX{8S#PMS{W3}71=6+I)f8FWJ_+|3Vh%kng^vlTmOcALi{Lc5{C31dj^l{yP-zyEF% zfpBc4s`olrl*0_W-v_>eH2`(*;3(3u0O|{R-$>f4#KHlOtL_OyE zga7-ZWnsO7>U<1;IooONO}x7|DlH?^kp@gaftXcl3Ja@C8b-dK_7DUG2|7!tlBt0I zUi)W;qXNm32`zmxN_@Nq1I~8}q8xotcQ=$e4Dsf_y&FGxIO*R?irE_-bAJ0JYq&F) zPnt*}VPRYSKn8rja4aBG3i))!X*eqC;15Yq+?RiIK1B6itHV@6LPDhk_|6GZNN&}4 z#j1O6a3GWDY~X01!uIF9i%FndBng?i<&wYV+eBD;#=7sf$p}!|P*GE(!=hti6ciLJ z9KbMaFIYEZVaRr1!HOjV9+otS^$=c#0w3A8Z=>Vl`jsVIj+U;k^`;jNAK;bahkCE%<60Ya;A0&^ft0jK_!Rv|s_s?e} zH5bJzX>TuL{noLp*MkeH|2!J& z%68tfur!H_t5q051jM~<%~AWG$TDl{p6k96NJ~!M*@{3;=to9H0Tu20mBFN#XBQ7@ z175<2(B^lnGUFMS2!6m{X+B%s|)(b?vo1%N_q-IOYsu85-+!-mCkUsJV{I) zL!pGWrUX0~s(h!%h5k$=@)DWHzoH~Zd)H4|{vaVJ3^Q8U(Bm>A_`bJC5PATv&o?2+ z^D0}iW}4rSGPCuOSFZ}KboU-dP%uG+Q$fo?GzfrHqG#LbA;8Eb1P8iO^aKp*l7xiE4ans6_1*gVTHl+{;bH%kHKP6VekgQx=4~b> z4Cv{n?mOPz-E|70;hS52ph&Wli#W;>h17P$_G?)!khN28@^Eob#(hP-p?H7!s=kbX zwzc_P+;+=^f_(f4x_2b=ldkW*@}A@(LVt%oWLXjjTjsJ7Fndl603a(6H#I(g|C`!FrqPqpn11ag~V!)Dh zy4%?XM{@ECswUh;oLtiGZi`#V77=|hh6kI|(`5W7E}VcUGBqViNn)vQhzpy3YZa#^ z4FigSF2dq~qp|*v{a;cT8+e5hng{1PrQZacex|INSEQhjn+pjF!Tg3wZk8nDWa$1C zw;gT2yrxsq{m7V_Ms+Yfcd(hanX=4IV8yBb(KFpw*@|Av1=hq=R5(-j;d3C7dO(Qp zJWk(`n)y?M^PLqAq!33fbQmfe9aIs6)b37Gg+0^K!6f2~iH>g-w5It%q|KK7*u@!@ zUDhD>P0i}v*T^sExY@%Mc2kO6u}fr5Seo#Bclyr^4{Jr-@Z8r2(TWu zMxJG4SbDe)!M2eNj8?n6oPj46K|y?zQ=LRj5)!GS=<3%yJ9b@N(}(BNuk=djxOrMw znJ{8Go!QtYj8t5oZogto8*gdxAtt_vj~|qg&M`LWiJ=jBz*qN3O>N26fdJIQ`Rez7 zei^>IyUde_iCxkTJ(GDODtvMp82GE^Db*5w`<~S7B!D+e*dB66N2(s|R|-M&PBk`| zeLPE*l`qk;omI^>FxWQB7@JHl6Hdcd;Co+#Wx2`G}F^NLwz*569W?bY)u-7p)Ap zzyFZwa;4|x%REcJ7ib6nfD-BvG+sisBdupbrcuV>%Ct843;($E&*VSIcmcp;=@Czjj`jooG2*&2CLqHwRx;XR50FDiFc-}O3jq-aP=!YP}cN@#%_&A6IuuSZg5cw`0@Mq32DW` z_067mQ3T#YU(gE-K|nC7ts`Y<>Sv}ja1C4+Sr0i`1A7`a-dMSrpF3+3|2)3~Egc+c z%)mSpd~44bdg*t5D6pybA|;yuqWrfP*Up-XisJ{gov~y0;O6F^ks&JTr#NZD6Y@C< zgWJB@S`EFu+M0HWM(*Il=c}W7R~ih$3k#M~S0{(Pi#?Sc^V8*4e*5_02oMmRb$567 zL{MB`U;m<^TrOaM3-M*Q2G4gA!qoWE@e>f^69Mz62AuQCS~6Wzb>Y{c5)ui5M_>Oy z0(AF}@Pvh_msr1Ux)mcNHA2NU-=?KZx0MuUd@VixR-4ok(wfIyC4pyQF~*8}itasz zsi?EtK68IJ&yF$q_d+^lNeDkUZgE;tLa5kg5$rzn4(0@fkUc zcA4+vF(9RVLH;0?tD0x(2T~F?CwV9#%nVyyS&3^1+1%Rt?`b=ngdnh2aAhHt`l3^u zVEjx3S&_;3UuyfW$osqxu}+wo+q+yH9i?%dCr@TJZ9UIE4oCmlTv?6X&p9{g6cMtL znSD7*NZ2`QOhHjqTMl}upl!f!sodJE-SjCrP>zm#9z#68e%*I@S}0p@WA#RQ_EE(^ zf2_N?MqTwStiI*%-+P*;enu|?G%2iK^+0wJVtuT!A_v9}Ihv<_H6l)@L!gsozt!lEZvK;f> zEJI6w!-jZC<}aD1j|kV6-&o1<-ZO)SJGI6TiG7#FEI2YHee@F72Nz)?SD(7Qz+h21 zhX3iX@~2DM(2k;7y;m^Yu80wtSl>asz*Ba7hW1)wq8 zlU7R?y@y1$`9Dts!P!%M7_Z`2E@hiH*pNaibOwwyYb9p{*5(j>!+CJ!p1Yamn5ymY z5MN`{M$-XzWR&_Vg3OahANIl+1llv_n5FLZcV3Dg2hIE~vj#_-8b615z}|gS{VY2_ zqZI1@>EWCty?#>e5!XhOO7%x7-b!BZWt7N+5_R2v&G(lFSR(muiylq}a42ry8`t#^1 z@nvy(9W}K%6TRFaze<0WrY$#v;ORJDiC#hz*_xqI!ds)9+zp-=R5LQlAi0uSY$?p6 z4oX^{F?qOT<@=|x6%1`_b$91pSOsE`4+siA zQ&%h3+fHyaU-XX*e+|J@iU6^9RL6i*y;#{2YKsXHpyB84esg+ic~7`?dMpQ3D*a*< zZ{mH}@yEry>7mmCRx!4}94^&@PZKki6c$ZlltWw@3SZyXxD48)dvB%`&JiXvYq#+B z!hmt8mV?8RK%3qGpiMGA;I@0bbn99o*JyCwKR8aVkbwm+vcnrq~)Vm$J0HOzqs7ev6IWQ;4)*cD8cS zqNjQNOm?j2m~sJ4m4lWRmpZ!jW{#XB*AylleR9<6~#9C49B{UbaBYPy?U;O!N+ z1Htv7ppt|zF)?+d(f_ckXd#~g;qE3Mr20+qA;}gPduZpti~6Ee!mEoP(C|gl1=a?$ zgh+Lz)smXJN>OD4%eOvF$OBr)%Ch1S70%x-*44f0OHo6^&bZjZUM%dAqSUAYT0z;X z&|y_?0x4Q%s>6+|pFiz;vv>D40+NW5ljFlIc^SWw9PkXS6jXe9!lA*iF{gFGzdkhb zX;@5&+HT&_=9L6Q={bKmNvl!X=U54e4SBPMFlzS1Z{14w@Z%8xd;lGFLGI|a_QfOi z2{;`xoL!#PF~-z=WI;~wr{f18#>E3-1K?W5>5NF<^2Uqh_7{9H3Zd@UcJY^|FJfQe zA`|7!-Xu?=)z6i4bh30JX3W(es(iy?n zL?oss$Zs}6@B+&I!r_)wsH1x>%QNrsZA(>En`KR2uvze%BxR_z1vl_lEKMuOcQSMo zFh^I*yq(*gSv2vdchu;LOvqo`gE4if`;E=1(T-6d7f>=^(oqaFJP(p^bZwC79L?lJ zVcup+N?wW&rh8bBGhVGRqwhPZd+Jon3y1X0gA5|G*`JfBJ>c+p{bN2C#hiTHE}usy z{>1wbt}naF!Z__kgcGKTZT_UdldX!zpcZ!%$_shI&E0BTB|9r|;dk!*cGDfRhX9hW z*&-#pjY&Z_w zl?HY69A;;6Xyt3U95y#cVQVW}o>bC4!g6p>xf0RU_d9L{RX8*ZbE+kwqP*ALnYIZgmdcU z+;zdA$g803m!mGj!;upgej}pk4O3GzOiZ=fPgI`CIoaM-*n-&O*%=Dsitp}1k03%h zLsd&9zuTcaop%=+fbSdh0M_ZlnyIMRr+5Y=GCn0tr(@RlaDc6S1Q2VFZK?I|j?qgb zsjRAq1K7s)L2f44PW&vIljIMWU->r&zaFy2J* z--|Iy2*%%>IK)NINc3<0QrxNDiHT#N(7D_2mZBh)BK-Z(Cu^+Fo9U$*6Twx)(~n~i zhE&*QMo9dFPcpDg3J6KwFlNkaB|;ieG^C`@X=zK#9maMS6r|hFMsmK!fwnEgy=JXIZx;; z#9<%s!Kj-Mwuzhm4(7gfqnSRT99($9!q@uRIov26f-`b~{N0FDdI!vae-3{t&ZuFn zi?6cwGL)rq7)kso{RV2P!K*LyjGt@D-!Ib4JF()!R#(iv8sR_X^qK+%-+H2$MOIVQ zKJ#O4ptp7eHRKjCQlZrb;Lc|kRwZFsMy|0w-07+diMVXKqAi_DO*W^^^ih_sunq`mnc@5wfRtSV&hxvFkW6|8*Vil&IL#c?u&FDt0IS$q8&1uCuAF6 zk`jiP#Q~4Wu$tdKp+NZ;{JF4N1 zN7UwnSfGz3bh_wwLQR4aXq~DP=gaL*gx@1050@0M>Hq&Hc&J_M~1F$Yw zK^^pv$)OQ;|CiGJz9LRqZx`~$0crvpANfDu`+t1tL8hf>3s@`Scx-=H05Gccc1vXk z8A&kw;T8vcP2LQcUesp9WoI))7n3Nvxw#2Ig#B-POHHYi)YJ;LI6=K#(X_mr+^-7I zrKWojAfve$nqYS@2~CK0Ch-diY4lzTm~Eh<;6L|AyBp(Ik1>S_!y+KuI6t zpYy)wHvyAeOu)dUU~ALt_98{IB4qy2^(x-70#$LfTt+8)5 zP}asTtlzvNbS4S<aQWmC00_ke@a#9A+j2n$wBUphn z*!~yw19$@g>TTtB=f40%q}Nd$UmgyV)-Bb~o{)D2$Soug{FV_i**Rh!BU)}I2o}$! z)0h}+b5-;VybRO*I5F|FRc?CRD*kx%IX?*b3q^!(wVCXR&RRH>0XecfQ#>qj` z=*-}!twr<*(>?UuOQdm=JI_uJ=rS!KAu;s6p{QsBu!Q|8Ahr4i`zyZ83NBm33p!58 zb>ZA?VHBbp4IFvlS!=GDVl`tK;1! zM-6)VJ$SM{UODZ~-Q~8ow`ra{$)}rh1w^x1E0FFsUbVNkkL9bxA^M@q1&#Goi)2IK z=^mxKh9DA_P)CNx`K<;0Bg;R1&l2q-5UK#yb#a01u9=ve-@CV*o%!W&$DApG3)dCVeb|!zp0m&CU1dc?Xh=|Aa#vt5j%nSJjfBB*vq;O7@bhWkbt6ewZ zbV}OmpO+aov=y#}q@`Km;Lzx>6xL&Ae`pEmvDeZ9$AK^m6rx=n^wBLZ0RFtWrNydw zYIgP_#mq0;@n3Klr^|SEH#0M{C8$+iSy@33XdfLNRm6Vw$=%&uKtKQ!6B87;g5I1! z;t|>b{krqv!-wJF;jytXpbEvt#=eTlLLh^+*uXqDa~dOqZJvpqZLU~z*6AA|Nxv0{ zLWnB#UryaN6%T&eWLtKy^Kmvuo3c-uBOFwf&-%1&-}tdL;F?c-v9e6rg?LCKg!M3wfPA2 z9OE;yrX2(L4=@U8v!4;vTDi>`mjrgI8PMrb6OX@gy5bTNWHt45A3 zIwmF#!ME3OK)29>Drj{@>ES^z?|9aKP^hj~j0@t69&yd-N?<6kHvKqs;H7?KM_tftPqn*8FWbf8KI=fvv4-m8a zmsU6$Hh3w#UYP0VxGgt*@^yJq;BJiqyCF*3D={QNH}d>29}m|LBIs@OGkZqBsI zd8Wz=*Li$oWT&K}wJ}HE)O&-a)8G-w)z#5x9@^P&Ah_B^@NjYxf2&m-9312Y>cgsk zpk?@7T_(NbsxrH-re=-;(iINRc1!>b%-XJ}l1_p==>fwoJ_426Y=15X>lA!mAZ>wc zuE-0HeRV4BA~ZR)wNO=cA5z!$qrku*FCq5N_RM}|kS(hDsbFXGk*4Idm36${jFG+~ z_fJ|9nbXHb`i{FdiKLpQvskruFTq14tg?1@b_OQX$X;pI78bINR0UxZjZvhKEf*vu zkyVEB0*I|Ucky$@^eqtX zh02uZNe zI|rJS;PRv|v-?h(b6*6!-M=Pic^DfCK@6>EVZqem2WV!-i6+o1dgEIuJci} z#BNr^&P+9SqL_>(sHME~(aMz)6c;xNcY;_{Ez>&tJZu}+g9uXfQk&u}w#iIi=(Qo0C zzw_hb{&pVKEjG1-5Y8zOl$i5fYnz(VUTXt=JT&2pgoj{V0qFe4#Izwe5Bk)y$7U(y zQ5sNDQhEY^Bh545GgaMlvzl$BG@2nLVYF%{%frKS>{n7!BA3y!SzNOI_O3ASAh!Qi zM;j2TNSaX2RqeWv90D4kYfoEVN2ssn;$+%va_k8%m=;eZMQsuga^XDQhGv7#LQ*+2 z&-%oFfLtbP1*D&^trYCJC5I1BLNZ5*2;vB3_@<@=ea_#7BKF!hUT)8g?0I|l%cFOf zZw;&hLS(=QBx);dhetLKKDh|>cLZo-W}Qat?h+Xq%{#zuzLpUTw}2z8{cvS)7rl!Z zrsmi0;{4em_Lw6foF|S}28BDditvVPx)Bzf|caHK+OuYMm`0!no zE>i**Z-D0>qe~yf%i51$8d}Q_Kv24VDcg3oh!^)3 z5EC0xu)mEuv+|OXlIyL{Vk8eg_E(dUksZtHmKn07SZSa}AoF=gge+F04jS4oAyIB5$o0mRt)2dPRs$BXq6 z4)c5Q&BxKBuF*Zf*;2i4B=}kppXg|K}|sn0VwLC4GQma(py&u(`x&vF9I4=VLe5WP~L>a|3Aj zoNeT=FdcOl=cc;`A}&M;TL+QDk%kX4Oa0Xtsm6=$2GImF9fx_B37 z(E9GbY;5PMXqLY91!|y=kk11vtKMht1ZE$RN%mvYdVcWJv9T%YujbM%jeXAs7Va+! zGvAk^ewOz3+?pR|g#UoRK2BzHdppm}8Gwh>SP%x#(mmhIitmV(?(TH>;xvX1IjO^F z!$l55zfMxXo-xoQRr7J!unJc!QE11cgD63tvB(PdzA<-dH(MR7f@7q^6;YefP#y`*e6?bBEKicOf?Xqq_>Vfgv15yR+4# z`WNHkWGr7de%0U+DC9_Mc8Y4|xFMa#40S-J3s_)P<4dWpgm`;jHI9H&k|rJm1URpRosWk2+7e&s z{cnfQMNZ7a_jOR#?)C(}rnUVe2J-U%HwD1fJj>;w%aFAevhdpT^xG%gs!-LV`j#T4 zQ?(;^F_P7fWc&kIA@v z1hk3*HbKzAl~thlgvi0>oQHT8F+T^<5D*f6`WZmmd=y6K7ds+2iSDQzlD4c6QlxFjE{t(ci>*m*Q1DRHI%g=%~ROTCsM2s$Xr|gd`mWaXuXW$!WZ!6Fpc# ze9IRgss(A>IJk@f(lyd<4E2_q7Q>H!}feO)Nsl zdRV{JSIh+9Hzu=F%g#s7Z_h_b8ybKiMR-k)PX%!Kia6KS)Fi&1-70TVyQ*bnWgQL= zEZ2KBwh49L+}vEhes;CrrQDM2)pXaPxiB071l#``WIN=<7JNTx#oAO_%2(4Z3PIe% z(Ft{KW980tca1&g+~MJlK0WD3`?9m+yr+yzOu%3PjG{7v`28!{`!jwZPQd*_OH874 z4xl%!g;mvJz6pWNsC0um-sQa(*Pe!R9^u97grua+SK`Q@zjit9BRv~w^z_ZD7vRR-fBY{IC#}S({DYK z_s-^7DSb>bO+muXZS9x>Bc0unn&95VS>HcXhGX_?r&j*voQgRq3c<_X|Ba&8Y6LB2&<9SR)^&XUoS+0Qmo7dqI#QV8sc$FEtR%19s zM1>y3sE2vPle}fp+Dms@K*cs&;8^*;*Mx^m-)_(R{YLSGB?z&P;VbTz`ak@CT=wia z5|H{&-PIzaMIuJncm-Z)I!`YZ1q2iVACvFyeLZnjA4dJ#@)I~ROvqVOQRDAE% z2s<|b4NzRrRaWhZ`gS@p0g#zN148&s^ z9lsYZtlqItgg)uf=z+-J%hRIoFEokUsKY)=(STjZFzj3@mpo3==+Nr#rZEE zKR&n!0jK2H_feW{r4BfxkQPJ$jS<9G{0i1TICuG6 zRHVMR_<#A06)c&#j!OUi0LuEcX6~*_0*6{Sv*40b@{y;1xrvH+AzxICp6}4IcVi@` z$Y&PGqnR|s(2fMr%6X)nuTUX>_=;uq$Z%=}w;{7LSFtFB2desPYdaCH{SwUM9rP}1 z*r3B`!VnZh9gQFQeYeIs7o2Xcj?@q1fp1hl|3j(4v6Z2eFX`##BkWfO>>IdEil3z% zN$ChCJO|5eKv`hnKO?rH>fCOSZwon!BuzO)3_uTNJ~Oil3n%#vfUIb6_Lj6C2p~lo z40zX{HebZ|j`*5l`0}0v0{DEv4`^q$yLrijYEJQ_Jeu3unAsI)c)~=*3HQ(G>T2US z*arlk2_DKg?g2T&x5y@uk*KKKq|Douc$So#X9*9ls#ry>w4Dfap0%s{{#Ch7a{uZLChLwn^I9`AkBOA^dbw4uSl z*%qI=vu0)G5V4GPuy+=k+cCNj<^lA=z)*k{0s>vTvUZatko&S<$HTm|;urvWwH-Wu z3}8Hx5G;>1z@~N*?ZYRSV9I!CuJ%q3Kf9^J1yXrTFa%PChq`)MCk8kMCzH4X2nnqR ziTv_T(ORX}h65~IzEv$!EkME?>D9X-$xeGi>-&5=Qvt9c zPz+L0wSbe&^&2*wuf}Y1oSK>%a1$w1`45vKLQNStvV?#VJf{;-Orw|n<~`~d8j27zj9sLdXVL8eLZIPhK~pm`zT7Aw4vz5Cr}z8qtgQ>^Ay(>3 ziJw3B(-^u9CG*(ds0V;V(IyNLI(>I*5%D({q9CdP%JgzL$>PQas5Mb)Tm~k1X=!OR zR5Gxa_so%eZCBDM=bI%Jq^Tp4Vqylqla$aoGMW+svPueqqm$os~o&7N_*zQFzY@k$u>9iVA|UbwMX5D*o_hd^L9%b$@l zf;(Sct#Kx?Pf1Htj&WD|_XEoe6y9de49i27?lq9w^GUj{$Mz4|+1hGIENEh1&Z9MossD<7_oIvclX`YcP{*h{O*7N{+f$H#=w?4 z;M|!%IX^#t@eC9f^fb7vEz?dOjXrmDaFFWBhHQKR7(n=tx}jQz<(qHNkd?X5w<>s zk+amcvk?JUy^`NHl}Vwt>=z5jX+f1nSXdYY6iM;(gQ^?U%1ZuW02IDUcgX4Da@5=` ziFWKZZGx>J46=M3ot53)^0`go3S8j1(%9Gt?h@>S7X=7dBS>^XnSiO5R<3=BBF*ZT zW*YZS7f4s&`~_P8&P6d)qOSF)q?EkAn-P=0^RRkWydaARM7(xG)|HYGC*&WpMBCXeC$`wpwLkUd>25;gvFvoD$E( z8vcJo37{@OCd&MlL(Mj%P7i{9tzLGxS4iT@qMG9)!2sC?kW*Dhq`v zpV19Jhu%#iEQ{EVS4hAZ^g*XOmE2&`Jk{@(bGymo{zd=y%oyZsy6DbYJ4nmP`_ha~ znqLsx8|_4R8|^XweSF7#QbT6&*~l6OisAbJhFbK`q7;EZ#b{hCEUr%Ph7C+r9;BsM zy7mGqUSG#sGDw-Ks-I!QA+QCWO(azzA-N*#`R{8koM3#4I-#dESY2T@>C&$Vt~O&KFWRz$5|c zBS3fG6`jmX24qe%HgO)O{D0yE8jRMXBbX{Uad6WXFNM>@s_E%bJ+re+CAv{Dk;XK% zHx47zzYqO4w}7767!e=8yA!pB1Gu)Au;MTOs|+p13Fg$40J<(lAo5;a0G`kn{(3qF zudaie9URmN@!QkN#{Rrasj)WURo3+--20EL6z&4+ zoD*rOj(rmtygFFJ+l5*MH2~cXRTOCmRCCzS|Btk{j*7B<`@KOr1O$`@0R;sF$)TjA zVL(FZMw(#=>5velMM@Y#1VNDQ?i7Y@25FE`x@(_!-@oVCYw!K;_g(8<%l}y8%ynMZ zb)H9jzTe{qDGJrywpmsx&&pUeRkmP)GuG^3Z7zM3nh1dB!iASd_wJDrly|j%xRkA} zmgn0A(ZBoAXmhiuO_N3+P(Tz{FlZIF^ZxsFo&QK&ma58fG5qQR8nRu|5ne$VL3)qShj^{1#}Sn>UGO*e2=3e3DNy zh)q!*@8jk@SM|9R_Ls;qS2H$t(@#lHP2Ezw?_lF*nZv|yVP-K-N@wtZ_OPdQk}_{G zI6r>|AK%lX-dIj9W>1#a@@!^iA~>XIWxr+W`p1A@arr@VM8xpC@oI{)d0C&Bsy;=c zEa!3F!NH1X+V=|nhM#e5c*Ru%M~7IbHi5*>F0GJvx$kEGJ~*TQXy3@%b>9Lkj}>ya zge4YXqM~x1@Fb8wXQF`FK6akb{bcOp!>nfT@VVn&B(uP7)nDn?-Ag;OSXU=osOkfE zUwdC$2CK~ceI|3u0Zu_dDfdXXii$@rfdPeZ}4Hx(LiV%V*EBnhT?dtex{!V-UR_qNPrN>dm?!uffA}brEG*Pm}l5iI02GinLLP%r@s6 zbVz&>TPHWjHWkGxV>yL*$jJOrF<;Mpds#{}t?%#IW_hC(es^;$7R?Ku*v+q!wWg&2k85U-s5678eZNSPVY~(6`Dba;;Bs@UVu@dI<>pG*+EV4>s4n;%6%LhPxMDx z!NDJY)OfVq5=}C>0Yv(5s^NT)-V?e>|2eekQx%O^b(B6O^_hvSE!17mV^XO?&=73C z7-(a`A+ZGQrIspM{s`i@gpd3?&oL6&OKCGW-?jO-3{dGobd1vP- z9$9ICUS3>V%(-n?k_d&W#ou*D{Bze|MFtvuF~{+}TwLW~W)>F_@$>R3eM{YQJ0Pr& z4fCM>sc4_wEAN6vdU}|H&Bq3f*EImY&X_;>Y#zjN=quFOpQ==;FE^W?OAg6^^~7Mj z0~^cp$k%5l(lxcWxIs%MZZZ2^NlAIt1pp$Ccbe6Z>SF)+Z(VJ<%lo%R5)?8FtAa80=mrgE~ zrA#!e7~+pRkcXq6vp}b$!|R&Qspf~FvN$+DYu=0vw+GcwE>QjRy@VNa>!j)-O< z*Rz#uX;8?ErKi~g3JM;hub?VU(}`giFbIxN&A!)t`&wjRxc}I*xV#@+@a;=9d7?l4(W-kMDr9i`}-s{!plS} zFcz|CA*>=hWfrs-OoqMWn@UABkC{|;;BDlu!MrZ+dmMEifQtYq6frgP_awNuj780wt@cL(bH4fYsl+(x)jmwafiHFi z&-nD0p^srB?|=}uq83Ucse{N`1@nz`vg-~MCpep(HwJmoLyr#*Lm(u z8s9TZR=iuCd-5PbB_W}d7_@zYBCLxzAd=rga@psZdI7ERSuuWvg?8;uC;VWs7aZ~K zJZ(3(XLbQdN^K3jFj+)Mvn7<|SD3L|lCLX!NAY&ZQrOnFe+ zatRo6#3!O`zc#Vmw8z2c&4RYPldp(&>_e0$LCRzYG#)_c@;8+h@~3Xr=F4e| zraXGJCP8NAm<~t)xEPy$2*jundb5FUB&?&Gw<`z;ACnRR{tnps-;E#;#i6?S=)D5~ zvc|AjT^-AK3J7X)?1xwx|G^lXW?f;WY0RH0R z^0iu@%n|fhxL*w7`Ea_0SIpDzdz>#g3Xy%JcCgrJK-TJ zg+-8x2Qnr|BnFbao6EfCRQ9OkPCiIBRTxqT6A&Xq|0tEgPxZP{YkeXs0}y)3D?ut2 z2mxvGn8<&9veMC667?nj7d22G)-ITTLZxK?_Y$-s>w{|Zzu5q>B>3A~6i3?Czj>nZ zQ|vxuK)dH#7O*_%f>hKH07D*%tHWRw&JLOsW4YYzH!> zI{6f(m;l${h4VausmuwU{QI}bW+c{b#eU{JKlPVvF;Cb8sLO2X{b+ z`=#}r*8oEdUNFZ+aSUnV_$I(dezY&=#Ivg&92Y?qO8B^2wqJnvx`k2`~&cQ z$To%T8l6vrgnQ3nc?thlF*Ud;!ARsOYH#$tx&XXPf(Ab91+%fB^=@0r-p$tm+Pqtj zAZx2(;+sGct7Q1|q#Ku95W=TPN!dNk^#W+XKp4dlm5|^rd~jcVN z7i3sK%q_mX@q-xmKJn$&7SYj>R0)uW8#=E(swN<&L4adHZ*$|w)yFb@Hu6TC-W~jS{($bPfL;a5QyPKR^cOm=!mq!oFKY+(%8B+?o6*(Ly@MJtO z%}E25yokayXee>#_SpD3tvej^?f*l*c?*lj=x|`mPTRwCR=BqKWKq!(URxv7)fXWu zD$bXM4vWhA+L8cj#M>BGjopx(62HpbQKqXy863FJzi=-2Ou$zl#0)k+FVs-*Gi+K z1vPgy43ziha`KyE4FHT6Eu7@Vz(ey!aGl}iRLyw3Pu($6)QE6522kp-W-E|(m zS!KoMGRC-JxzDN#oYp89)wQ_Mysc zM(%z10L0&X3zu=As%evpi&w+QzF8R%U`Wq3IizMD_yVn)C`7 zRyQ{b?r#J7;^IzjEh13pjWQ)P9YUDdoAl|ME6leH$S=Li>-B>W zZ|>YFt}}X9mHu&MYu=bSQOTiz>+!niJEvW&1M!auvSI!jTuP^AXdATu{+(QD7QL=Qp;aU z`-ywt_Lc@=idx?Ub)aY-OOZmx@Cga{aiWxiP=xMwbCD42>>-X+2)T2_qIwqxt4EAb&)Ip{8tnmsL0wN;x%!izO@D(R#HR+&}qbB`Q%rwvZFH(PE(2_Hj|2ii8{Zc)Y zGGR{?K{p6e-r%d8wLlA^1B(=3vS%#s+}mx_5c36ensVy|ZEb%vZ5M&u)M5m*#Qsef zmxcN3^Afw3YO8~Ugkm0!bgkX92`mQrNgjBW?$w~;CV5j2a<5&dcxvSKXx|-1Pf!01 zGycAZLFS!UebI;MAV&Fz+}BN!kZ(gp5Rn9V?3TA8S%Wg2jvhXQ`Z`il#mtaxbFwkr zPS5!kJOJ9PKwN0G+UK}&uXABkGnDHzVWYX+@T(YK5TbdiE-dT;=L{k_yA>Id`Ph1=PmGcMVWUw_l3q!l3*zQ(DJdvAJ348oIhmOZF9x)CoQj4a@c4yx$EF+! z%1qhUcw{y^oQm3L>0b!2+1Z&z$!WP zC<$jxtj5*`4l_?`dy$LnujulNtQT1>RB?|LH7Ov#XySBg>U3r%7HjmxP$@j13?Ka& z7a=k4wj#)E4P!F6UoK^D)k`0~zdqT1F#?4ZuIw)?bSy03C@KN@onk-$W?EW?ib~=5 zI3^NYP3Om$7{`UycYujgR#pbZevUN@Wn=0It>*uTEwFZ>K=;M5z;dy0cOgDs78Yh% znVVZJ2o}ZI#8FQYy{7h3!Am5`hICRIf5bk$8ybqb2-^@kP<|Qj-jhi2HR|G z^2%kwW#_Q^borLY>;8tI}3fjC{ zS`^yOoe@;pPbkNo$nvTF!~-*h4W1O2IK;bp3xh(gLnmIwM+8^IRuTV-D%0No!&KZl zN&Z(;sXTzYx=DNqT1n%J%23H{21Qg(7nbiV-g)1LYrFm<4~ zgz@$l^Hax_ADHhPtUHXQho`3%|4aaDxxJ-m@u!avV@>obUBo%BdL$QFMIHm~`i#$^iwWB(B=8oo8# zn%kZZYO5Aw-vpSA`0rmQfwwptQs`)d_9FE;X-W4VDlIOV^iqU`00V_LVTcbu1_vo@ zZ5Jki2++I2ya({9Jy2ZuH(+E1H_$gUs;AsIy%;3nAXsi-CX_YZ+u!Fhtj7gTDxA9I z-QC@|o0x4*V2UeikY_tc{vImW*E&FF7gv`BPlSz(HWn>R;hf%ofT{)fZbscE3P||# zn3JXKt1S zt&b~Gol9{e=XtdO$MFRZ(?jo4YYm(lq1fa>^&|KnNi)p68Q+ zZec!Nj#>g7bYMbJny|-8))V1p>KKrk4xr*WY2Rc4M`%Q2%6vNDheAW~idTW@o}ImY ziS^Cb9!2<*Jp%6(7krozw&9E8%kRW1#K$1Ed6U-h#-rSqBHNPzm<>pq=e9uj2I|8y z;1Kr2WHnf@Fp=U#i+TtIjpQvkv^R09Ch(|Ilas?q%H9KBPM}F`1sa{PDclRAAnDtn zxY5eI_V%&4orVwelRrK_-@A!DWmg16MCJhUk!3)3HCy_cKo|Tl(6c=xPyurZd_cAI z9&E2IY<@rTCi%~M2s&Twp=9O!4DR#jcl&?bga<`6xo+@bF-W*O(o@R_q}$I*$;GB_ zE+JFb)Xa>SK}`89!>G~Q1BeZ@St*xUW~y_eZ$5DN4da@;Ch4vQ9)s0Q7gdcB*w)>Q z@Ub2Z0yDhCfRkQ-mpc{RKR@V>itcLmAJzL=04Z6MleGM2L)Gq|n_&77Jjp2VVDv^!or@w9~2ygcdXLHe9nM%sG^FB?9Feq zTg^6XQxHJ`5yDPRD{xFuJi+|?U8t<=?5nHuZLOdh@Df+e`ED*P@jj=_X9Bl06*cN6 zXzl+WI5)H)c!2Y!pwX=&FW(KkxWR9ef4*Nf02YuvFoz@=EO~E@H86a<1?k12t2JW2 zeafEhyAwal;CFh;Y81|~-B?mqw4w`)HU_>Ayw3+hpr@2I8J6O#1_5B^sS7iJYJYET z+I~pN%VQ%ZCcb!hBVMx$j)ggD7_oki*xs-aCsIlOKcgDkpc^J)*?=9p>y!&t6uhW_Yf-*i>)KMdAG=kL)z}(VtO6_p<`fRonzZ610p!W z-vBBJ>RrJ%w*ay(hx*;lbiXT)jnQ17IM(emj~fIC^L#K33>P22b&d-_2|b_mU|NVP^#S|VrUwzq?u-fISLbx=so zrj4p8;r8`1eM(IvY3S{J0pvr9jp|@G!d^vWi06L$cCuAA@CjL-)vym38i0D7u>XZ} z`1fM6YjTa~PJsQ{KH27Qrs7DZBF~pz9ll|7HqbY z9&0~U7$_+_fNZU6Sia(m{`L6(NCWV3^@9)r3|jlJ9Sblc9bMgxEAA118G&)#fQk&P zYQC`b27}i|b2T8dE*g@O{z*wxSA{pXJCNa2J`q}4VMuSR@>9M;_vfPwNjKZv}pvq}L#TU7mV!HrUKy6J; zOACXj`v+gHEZZRf27g-$?gU6mH`FI6_NYdu6Y_1`_yjYKU^@IGwew=$T31|-Q#fe= zZ(IGM&uVb3$Z7V2EC#Uy=6xwr?;hWSKRE^Q?BKZje+3&8cg1H#7n8_)&CXD0~dx;Y52b&M9v@Z<4y_m?(LGdk27bA37Q zIRCDvwOef&o*q2iSCzAJ0jdSC0aYZ=o4^zn)O6JtHktjMD^0 zbk*-HN@p=(Yri47x33mcn9O=DI;LJB+Ue(8ZX`URMY7&Te5^>6Sxp7bq^8=M$ELu3 z@mA}9fg@-(pr>Sve6{}jb6*@bPP$H2R1HDQN?lsoRCYE~5gRTp+Up?5ICWc zgQ=t_P6?4y^gbNlsq(Nm{TXP8!^-rn4JKBMY0 zX(T2TN8c-q^vR6~mNPP%!f3dm;icCE&?Kyd1RM7P2&s5(tfwbZAExBu;--(%74v&; zu?nJAP)GzhdZHsR06`nf(h&db@z`I(ijE!t2bDr2pD&Q4Q&3Q}xf=o7 zbzuLy)}PAk@Ed6P`uqEDZht9oSiB9GP74_uR;6m4WR#*<02A1>U3g1L#VRg}O;41a zebg~#O+{00txcZ__NPI*e&iO^?Ee}vW73-J;)>j!yRH1+Qmx^KRrY~*r=Xo7JcI(Nz-w6&Vvuj#?sPt^|(`=M6Z6A zi)}m-0sq~4mv$@h!CvU9M^LGLz`P2)e7AJno_J6wtM~#{vkzvVEF~Lf1{l< zL5+s+_f*#iu;N_#@Y%j13dgz@8!MR6dHrGVJ2&@^LsL$=EGl7TEoVt-?tOHKS)tf* zN+pJ==(C|?YyoHcszL*`&W8swp2_J2zss1^l=XJ^NLg7A-mEt^+WT6hBx(tz8!c}a z{YH~87eovCB!ZlvpeKXrrlrmXeg2%t#MG(qU}wMEq1!M;f{4B)T+lJ;c0yEj) zFPfM^CHsrkmoJ3jh(OOH=>c*l!L#()7|V1S_|_L*J5x;wv@^K<&sU{LjamyyF$^3V zQDYxN`s75p_o4Whf?Ul*j&dGCHJY2*&|b^31-?j&1}BWYZU(z_1pKEz%)$JUc&62a?$e z-yOG^N_(jc5-s6QXm}UY7L65S$>K}3X36bn8H!gXTffGX8pVn?A6d=y&mH{{_5QQf zaZS}#s9*DAO)0kpD(%~>zGGfd8XCQ~^R|mPTU8GIxzXC%cezs+ddO5Dc82Ab>7|@g zpV3DLoZILaF0=ydeB^4mV%>MQwj#uw^QFIB*$l9zOTWWdk?52b)LBl&QpUlRrHvTR z@r_Rm2{>55NulGlu=EfrF(8|_o*1uI__ey+bN>Ci+sBhP-Y-aYc`FkV=&6NW^sFCW zM7&H&>Kz{bsjBi*Q$NC&##_uWn-cP5tk!K?3``0Fcs-eziky~32+>tZtmHsww^-2c+XF~Es}BPHWbv#Q!bWu9AwXS z+^p{%$(FLG`8?y}i!Cg=U$o6zD86{tA;Qmf;jBZ)iB3{vP$y>5jycn)Qt3lye=a;p zvatl_X}7)od89bye|G!|GlzYY%zUP5tyye<@Yign-*(RVv3K5f^Hrel-f7 zW$GF&Vyy8SX>+WEzE6cqYd!YvEM<2*XN~Uqo|`NAgjBRRtHEP_%kyZf#(IRs)btWe zl>w!L%|cf*)3$2saqtYxXMG`8s6mJGK5pj0IO$bq$vEfQ{hX_8Fe>QU-Y$8W zBGH_PagO#%nCQ>iqTpcfR42}|`OUt@UJAnskt8X;tP~ePFkfHb-QJ3>pf;swx|$yr>Wqy2Css zZVBFvvlPQ*&n~?m(VhiDe2O?Q(hL=}+*&`O3n`c7pw%R|2*kKV3N2B{{r=io;n3_i z_gB4KRYiB(K{6Fesc1#h6+soaNe5PLKKpAxtn61s_W3x_4W-(%A=rQI+i17saIQN3 zvp^$>TP{ku4jtBCDOMQhEeQ+!ly*cbo+#n3RV`ma=2?#WTP1h$GY>5%EY0%K0CU_X z=doLC$!x$>A*qb8o8^i{d_NNdtA7>qz=;2*z^B7~)tSMn2s(cHr=uMSjQ2ub1R}m8 z%AA-C(Pf45^n*_%op_wQzbJ1B->MP`MmSMW#OoN}eJ;NBXEb+qCY|ziCC{`%*S1zvoi+K(qfZ=TZec^fO?k@FIhRjc78F zgj+7!ab)`a$j_g?z+S-WljrYgeu7D7`FDbe96C;yXK!2rK*F?Z$IgHy5P?_?K-|Mt z5yrGkzR$^x2Gdoh_m)lyIijs|xxz0Q!V-1g`P^7o`RA-+(@gHMMPI6&&xQU&)9r8f z=iKpli3+u-DT(4-fF%v zP8($rci)+xb(`xb+n|IX=^#&hF&LeA(zD+3xQrX*i@r5te$B*XhKxk8C-`odu31Bx zr+nXr$42Jl(1onsVY1AT-rqOFl7a8a>=S&!!m8bewZ0+L;?*C4zivA$xUce+3^dgY zwkT@WCRix22v=~9ot>-pHo*##_INWSO=0I~{3HQQPR>OF=cuI5%8+s+(=7#^o0zk>idAuMI0$d254?DL zG34_scMAA}dSwP^UvOGii<-1<6&Fhp;b_w;l5R|txH*8gM>(akveIgR(W-)FlY++5 zkX6uX@G}@6^*Qv-NqE~BH!PVSrmMQj-0>0l8Go@|Bnp9NS~d`9lpwr&vcSt0r=z9k zwsaPWXU6iFWMsUpt!1FAXKq5~*ES1*DNE3)WhXAd5EhPBnwa&^nRevyoUSZpmMW!4 zPfzDeZ_8YU@_m^X*;rn@&jec|kRHp)KUw?IZ#F8~yukRnNznV_juS8(-we^sK{gD(!61t=@fxIi8uVyB9;=-^xaieRe5<(E~@+FLU(Fw z@4^My8r!aI`)`*w)#_$4M0LlJZ){BaYl!i=JgRrI^r*RV5Xw~EsHrO@y{wZ+g5tH? zG-#1vRfqEY4y^w1OuX#kVz5bh1s`X&T1bjRcEJ5$J6c4Fow1)-{Ne*;MI)%*Cb$I{ zZ;lr{e{uv=jvOotk2;~>TcO{7c*i~BNo+v`KWtJwrXg z?t;Eq)NDa=IXgS3Ch@R5CHd-#Gmg0-h1pY9X1m`O@_0gVV~2&5D&Q=J2lLL%DH>yL z6V}Q(CbvA5=3h|7~jt(OhU?%vc~6A&mK z9v%*U;u8>9wW<|FrV0X=A!0h=AnHCaccw)p+7%Bx0%!9Ur7xkGNq(qg+D!+!6*W>3ZOB8hu!8WaML&6JtKla!%ie5vRFe|U44?n+k-^N9F!}azCiTCF--}rW^HUW&nnDv^_ zhdf}@9EhgLS!x@ry5q^sB(*|$Snb}n$fDWRW6}6v6C47|Q#*jO-slqjBzE~b2vgH& zIY2j~PdC~{E_AP2uAFo+1w@o!R4;Ju;W)$urbg3)gKu^F?=Z{GD|S^|->bIX72*1r zGxV}KH?Z2;&|~L2539q4>3)avHK_{JDr2?JUF{Aw=I8ZguAP{WT@8Ppk>_kLD>+|c z?%*WkrZi^2HCEG$9gZc3`Ob{xXdE2mI8lt9oycpA?BPCRp0TP1kJTR50lqfWp+c;> zp4Zg@cXlL_JjqV=^@`b7F0x$5)xI*b&isL1vX8IqKNfswJGGyD8qXmPVS!E?=p^-; z=5lOEuZLYx^Jb?{Z%eI=cW8*Ow~{*2?<0`1CeL>uP0wc}jN7Ue9>R3Tu%$NUNAG|{ zm^+hD&Q|<1N;`8ZZsyzS>ef2f{b-iA7Pt^0vEuts!whEPM(`l~NiX*sFxlg)Z9^lj zR`}GW;ykER!uap$9K z5W*3Ws2G&8`Jz-8%@15`KzfbC#6rTBlG~q0IwL=)#zPh!8P~`^g$;LYc^yjeuCou1 zI9eOG$?7fM=zE#^fn3^sJ`^_s!Pf@;4z3eNumS@@*723igzsP|d1dZH6_$;wjleA{ zww!*Snl$w!KPI6nwYt6eO)wkl;?`_6)#L8zs_%~tXfG;>=ZtJdCi=6Z1H%i7ief)3 zvAjD+Ut;lzkdLKbTY0C1K_ehcwg#H9$J*B5Xlug)SubTZo`E+GT%*MQ#kY9a{ZU6$ zmI=7(QPJYf?t_c$kD-%b40YP+RlC5 zyO&t`S1tz1{IaaH2+EU*-#=feQp}M$IQ^e=Qx0|$rffi1K(+d7i8{8$9S@=jY zgkLB=t{5r5ajM+~PagBou0!4wiV*u+g8Afe$GdguWa0dG zY^M+2sB?_FkeAM8iAIxDy=}s%ref}&YubeDnIcP=yVwH;amutgNgL%Tr+Mj?SZ+J=A}1yq4hug{ zf+wl~43($Ag4AP?JdVJp!yvT0n z5>83K_qZ?Oo+YbdNO^B=F7ABdCjLAK)H%J}_;*Fb&y3eUUquC;*SJqR3c`3Yv>r^i zlP<9c*i9C{`Pnknb zN!8ZUh&U1ukKWVKa6OhjS9LXZgdxx`es>@bcJ@T7wwcChpPAM}9lS!&(|#U_`ax>C zS(7JkuJPu}sDcE+gu4&Ci(p*v^I*c3n=gA%tpI`Zz*aMxc`jqEUAYrQPgbl4Qbo_a zMhc@R3TJM^WeNE1dZn_@CM9LiF{ox?zWk+Q2x10Z`KeIm9JS)*kZmqH)WDR_Q$23~ zKU9oIp^Qh$UDcsrH?YHg&<`xmXbcx(=kYcnT_pKJ#^e7Hka*u?>w@6H?@!d1LODcruyl2XLO9W>pMtP37yj~y^u zmqEk@ADJ=N;7n0s@l>R-L2YfizU2&aXEPL`6EikZH2yi=^ZY1vHd$rB*4p%Aq0fa+rHVAx&(pvwAT zaW>f5h;d%s=Oxu1xsRtAdq^-pfdkPnUy&n9lXm_kZhxjzVxJvJ+y!Mhgx=pyigF|a zD>fM+mjc{AL0Kn=GczNj1&O?!h}Q|lhx1Z_EzJ;>13{_f@shJpzd@7m` za~@3=b+=AHmZ9m<;eeCuy8(VCo!xAzz4t{5#zHd7f87vrk~Lr{`NH-B+?Jr=;6uUkI|3N=XZW#_XHOCp*6u!%CTCc`KP~z zGLuHq+-Uj&HfahisPx+@A&>dMkWOH>1?(a8$tR0-3V^MeVV&#kf{dcvTq;5MeNM6n zL}wdxv&TDY4O=SNkylbv>Jk4pCJ{SIsAdv($q|gs6bUjZeq@FcewkDV_40l!MsN38 zVb0=Rt;rAWCXV%s$r>E=2B$3m2&hpmUX92tOSSiLr{6QSP=6%yOuELhwvUVI>h>P= z!_&oE@zsxyqDVbua7EkZs zvA2Hwa#n2H&z`GxC95FsP2f0o*&*X?HS4HJVtk2xdZB48)Ad6M3o=n~ksvP;tv%xS zIV9a#;)8>zeg!2Yw+c8Nf7x&cW49A~JFo(7BgRN`q?xSk?4%1M9UZxE))}NDy8f{x zVCI2=#7z%g;;Lo(#_pUHsU;Wbw+K|Hlf^XC3EE z>=Kp{9rEt?YJ$rmVTeW*uvjoKE%MqHU<8#5w_1XcHorqz)3mGMj7-i;spukJ-f;L zsjg6`ra=4Y@?6`A!fNHGFlu~=HI|C(OV$>!vKkLo4urlB$2oStc0Yy{K8z$c z&+5JX3X}~~_pmd=Ii6Gp$Pc)jAJMVW5LZbmCwzbK{tiRGntpC@GnBp)=?caZUcOIq zX$2l64EKV2NoWK%E5Kd}%$(*PaeXW*;^HJx>VneqS0{0lWLqEf`)iQFSlIUGN0#G) zA4EK?^wU2Ya;lV*5EOp`wRlRcLR`3E?(tY#%y#fiin=LDW;JykA=0Fj_$?xbyT<34KfKwjM3SJx02h8od z*+U}4D$r^?2I*K0HU(O@t-;*Y(fA5wtwxkMH~*wD1}=H?T+g0*sf7~TAf8!HHStj( zyI`LmR_9JiJaYNQUz{!3oQnrpcYXz;++caugNwezAhErZ zW>JiBtFWy4*mv=q-_=c^tTbGHRr4gT*z{vkyPye5#%qpcGk&#SS>sjcnyfn8ArTpQ zAA2s(hS@`bxD1q`(D!T`_kOSg)YE$(31Zhvz&X7aT=)u%eiFo*JhV^CN6xQtTBnl1 zVci@M7?@!xIRhu87p0_l&kr8O+~7_qmMsfT!mT82JeVteid6`LiZR~Hjb1um$o6cX z&=N+;)$vwIz=UJ?wDs(ujY~m~7Twmf%plO*S3#{_-v_SoWAr_O5g+BkO9|^wDy4Lc z*gd$M)|jmm|GESbJvBdGtRK4eIo;gqKrRu10J~3yq;2t=%~zu@062i- z0J8>Aa4?)#nhuho0po(Pybm^i_eu{BMvZxMDMCYIYgdhEw)Ray~ z^L@SJdwS}}$#`EjA8e$?NGn5n{qTbpWZ=v;T6?}h$u{V{@duMUz^iVV_HAxP5A@S^ z)5R%Bn;fBhgwGl^ciQ&ahY})9UG$q~Az9K9O-xK|Fqfn{^z0L`w*|yG#ho>Pm{^x) z(se@ZCLakfS>W39FK=vwf!NH#%TYrp5_Y%RngsrZ4o0Lv>9uS;^56{=32m$rm5noN z?vqRH2~@S35=qZ6a=)B@)1K_~N|8{Ufm2d>;z0=M^gcOx$AB*#;R*Z#VtIZq#H}w}s^72p_Q3I44=o8%=7RWrV>y28GeIPG3N}u!y|Ku)%-zX#i@Y+#&0yfh50I@OZ6r6+1fYfz&1#2job6U z>cHH`=b303XzK07fZ2~=E;MGz^~mm07ql*t=Vo!0r9feS4OH$1iBMpM^s{GliJ>bC zEH0lrn~~DHANoGnu(v=1--2IV_P}FncD2F70_vBx!WMS#HBBB9uX*q;ww#l5;FM#0 z$3R$3OPVV!9^7 zuIR)~=|wAPmNC0=18};}ak*O1&*oWTTPC2fmuEzxjcbgwPc0@1?9-H1J}CSeVUkkk zqyLbU6snH^bfp)dLxy63skiKR9ssiT%o38YzF>*LxdPy!Mz%8d-QOl4VnaR{udtl} z|Gv0>2J-<#MQLxMoFK%viSb~Bb<5pX0f^vRtey)jnv=<@0{6E^!(Ow;e-~iBU138e zhI)a1@fN|fE$`q}nDb0-o{GA^=f$6-pD+~RUgSh1!nYqC8^nw#wVjiYHZEw`dvTMvZ=(PXvvJKWWW~CT>rp z1u(l^!E5xYSaoT?sUCJCDU(xQO=WiR`duDUZ14)w5;-<2aWw?Va)BgNqDP>p@nkPuZN8IqB-e$ct9m8eV) zG5yo0;LyOcW_Ew|TXA_#t$4v+*}`VnzHD@Unt|GdzU25$dDh2Y#V(Caqd)uKSv`LV z9~tj#eCmZsei-LSuyB$#aL`FdDPSjC3T$c%v`cQ?S=%gXiXv!dawHShn61W}Ex7{6 z)?*}LZYeg3@4ny=0c2$5?zt##ilDerh%aAE9~ z{`2y^gP+^bgz&92T*woDglk~RTm2rRJX*$-BY4|Px^bHYIWyN@VNsE)ynOR(Q|{{Z z5o$<=*>6#>wTAn@%*TY>##K3JMeap7R=91x2qGEKpnk$L`SVxskHERo^bf_99oZSr zMs9~8oR}A~CHpk1PxrQ7!zXinqWzD$K^2ahB2B#V`_*>S05kDxYwO3SXtyA8Vj}B< zM|JS0-b=NrMD^Em4sXT$_dhP;qh%B92jAF&PZSxkW>0`7^~JYczewV1x8JSTDt~;W z=ULij7)~6Jdkww!t`AlNDvBDaoqpy&=6_H{p?^Y$c&QmxL1|PyV0&H z?6bEfoGiXG#%S9?+h=)JhUUnwF)9rQyTb=O5}nNbfaj_4(24=@S6a0)2Q_4ct`kWq zDQN@O39cWehL6n8OH-gYp!9CPH*QN4rgL8Rz4gMS0;zrHvysCVB>Y<02Ohh92j4BA z2@w&5V0ym5GN`Kd=TTNb{=y?27R2KKzrgmGUnhNWPA3#KqC>mfcanZRbVs}P-Mf0V z;aH|MAQs}6`>qXbNYjv%Kx!_f$ztk!GsbHVP%~^ZSkJ(`b8y`IZ4#Vt-tYL?aAxD; z@b++H%(f?_chhE@pVv}@Lrq6#YDD$W%30lOsD5mRxk=BN^ioglg4VQ4U`~F1b-*Z{ z?jvM1$ zg|8Suh_81OnJW#OuZ+J%bYifMEUm6F_(fLAU7zMWu~T&{e>C#q&{EU^PE*}uO9Ocr zAqdvscJ*U!4%n9pM9{Q;c&=jsD``h{QmkR57!Linrs)E`DxdSC4F4Y~Le83o58?a0 zFPoa(ZWn#Z@KS-^BS(F#E_xpn7s$8+ub`#sO|kAHYwuIuwT`99~o&j`2O!#O~aJ-Fd;eW-zi6vib-{EejP z+v5$P$i!a%cx>Ih6fAcDtA@daZa{;4y z?z-u24Zi#ydrJqq=QdN!Ptye$LPtA*#`H49B4aWJ!oS|4iWBLQL~v&5F$w?K5Pb!s z*1=*dO%y#g_e(77{GqSCsy+;#p%?%AJGU-W#`W_`NQLvG61Sj(nn|grtyWt`*CT+% z909#9_xCGp%Lzo(Km?K$A-P^K@eu%X29@5i)L}z87zzBw@B7mAXwhAcMK3oD}6}L|- z&%U_-DhKH&U_Z_FQW~F2$wMhaBmMS}bLQk@rQhYy<8q{<6omzC(uibn0ud1rbY&%? zM_?soOX|4mfYHp81`o^oATlP$rwCH!e(jA3Qf{-r9HngG3N| zc^$W!M9Krqy}{@4*xWdSjr3P< zX}4&W@wN)O3t|j|g(N6ql>IoVsS(Vq+P!Wo`qfVu8V@Z07Cc6^>w4^;#VqX=w#zvS z(=8ykOH#yT(XQ$LzL{ws;1e6e0#zrACF~e{J-f;O`y`#${vWoZPzK~+|7I~L#(>F+ zoE!>$nqL8sNG542XfS#MCx}L*u*}(x0bIj7;DrO~k3dxol*0lQj4(0*Xu%s=wN08R zyqjYI;8S!+bLg^-H0_8eF?|!_|M0OmRMnVN(`vFUyIh5+AietvKxqs-KE8p&7 zWubAg?NP18uNe`^_T_lk>#el`#!!i4!hq2a4mdmRv|zOqgor4}Bs@s0uq*~L;q&FvNiB~W zh+A;|#w*;)qRW%|pvlQz?L=D1#Gu-8zkj2{~oH$Gjnp%q1yVVvvxL#EyJo z5(OnC${ZAkh5!sJ9|PFlLJgE~UsH3#C${2dYc~AXTvsVK2T zd4;vqic`@L{TO(XADHol=l-OEo;f@OwMGTa%pONb?$_I^U+DG(jN04VExA87+PWSu zOtyKs*--@@ix$aade_>M4}>l?o?FncKqw@lXo zJg6S%sIKy!{xA=^IpW78c{5XV{-#seS;SR0@uAc|nXcnu%FS2ZgPxJGw5kfLIRL=1 z1ux|*3o}nAX+Xa9-t6nU-AO_xRG_i+d#oinH8aN!x5{>Qn)Ym3P)t!8N*Kx7<=GHz z%Ri_wI-?h0JPo>GC@n8fl+ACH|AWaLvYiK6a%|<^GMCfl>mIfARa8}u13;0)byFfJ zlPr{N)84j%c3rJhiX0raC3o2@>N^|7%SEI3Y8nvF7V<>r&ONcfBsnWQIl z!X7PX;PGKWG;(2wRn>_8^x0-Z_rRHNo0 zVP5NPEMCR=k)&??4@rf5UP=LUC0FDcfTXz~fQ5lEx2*%ml@wfNMUw_BM6kweSFYbThZ`Chk+NwmaD(zspmC>TQ;tqnmyVPKsIH z)AWzV5dPDu-!ARP zj6{pGPP5dAeY$ZpZ}03&lO8B=hq%b~RS(?kS&QibJXPUeo@c}!5i||V^e$AHg!BAc z+MrLf8w6oM<(}OP<_O6Lj;5DUyGEH9q&xLXj0T3b#6hjfVJ8O8vgP-Ci?*qulq)nH z&g<20J~ve}aR=!i(ke)qB@Eh!obiEc{}0Vp!=fcv`i4dnpJd>Pk`$q{PLnD_%L<(# zrJV_ce9qBl1O0pt(p^CRHDmkH)Dsv_XLxXVG}!U|ROegKZK>iKb`(`Da0g`1b^6k& zLDQTpYZB-{eGF(I20|3EO<;?L#Qi)GF)`3({e2|(rBNnBX1)=uCdefCGZT+2xiU?L zbq4Pj=%vw044gy6y#;&W_E&w~{A5Kp&YobRunGRm&Dn(f>!jHB4upAV6|y@`O~Cyh zay`1;ONfuJsihSb?lhLh3kWR?H+5c$vtyv!J5=tT=V{lUuxL2!KyW(h@K#*o@*1;yr81Ey?Xhxb=8>fT#Zx8sc*Av>J=?elsx( zC{+uWhWXSGDmNBrSZC;Sjx99^zo-{Q$6!h!J_skj@qY25aw7CyX1P8Nz4Tx0bd@xu zj`*e@&XTCbW^1-5>%dw62ZYyt9NXWw>>h}S09agQT3VeX-_t^?>(BqUWIvO&ZS3U!<^?&bCWCop)8GLbmdXwW=39+zx3May~C;Sflj z3yETYgb(SH;ulmaz4l@wp|5>iz?=S8Sh18$>n-#2@Ze>^A=)h9nlBiZZ%#}6z&wly zooNG4vvp5d!76?&io#iH^5KRSxd0E73oz||^MVd|1V%aI`-1v=XBQ;edGXNy|HSO< zY|HO*cl@r$+WItCJqqO&3K#O8@T`ED;U~CFuP`2i_1^K-jtvIR}NPGMFjv%$F)Ri4|FC2RfqvIF)}Fp+|0>RLQQ;baEdkiK?sq> z)Tqw}O?l^#0GM4|Q-N_#y+^@kZ(8R3K$j%VSSq-emBF9z{~fL+P`!Qt#*`;Q#ZmE@ z?tobP5%}HAXHJ|Ema& zO^H(OK(3XuU4_C5`+<1_I6ib;f*u5VI`rbe_kL+Y@SIB-x?CszCiJx>C|UT3O0zIj1l|TX$r)-F(znG3HcB(x;fw!qVQthMjeF z%)%K~pa;60A$% z(7G_gQoD)ih4%jWysg`e9taiX?jv~6gV{)alq=ipaq~ACSY5feK(m-cEfZo=7>U=#-GM?QVdAdY zU;Ea-Q(wT<1q>F42>tU_$QTx9M-^L=$k3!5w393?UM(E4J0Mb6rV(}0Nt%;@i3J5~eaVD`@pE6W)c zt$(f6v^Hx&(3YtSeA4ebu^sIdlHELB8*!cNe$APY zK688gl`ddUUv7iHWMmPi@)xU(ngaFiXH@cNLNOf2ki&ke4*LSDN>}RW^u9!eLUe_K zQ>IKIJ79Syy7chqrPtbB3Mq7pPDy9lVuo{-NWGs)(i~2l!Psht*=g#HgcNrNL=o)C zB=hoVlSJ7yA^b!8i}IQ9{y%5Ya%nI}sNZnXN&Reqa~tj@MCOjqVfXoPC-uxna^Zx} zNN;HU&bUQRUSn(qRdG(XWOj{maNN2CFSP(27i&Pg8@$VlaZ!C@(P6o9WZ6S*>8Dw# zEq+8i$2?J+zXG3N+EjFAhGSf>TtG7+p{U^aq4}*1;yq39<O^?jCWBVDJim-%KRnDfyj4mb| zJhto2MwzlaT|DkGNUv;(#!zY(N!v@lyhVFW`JVr3P)@fA5LgyPeemS4d%6_M#N=E3 zO{zNlom;t(N*b9(1FI&5tuDyC5q%DA15;*&Qwo_ADeq`wDUTO4MV8iV8iJlH|2YS` zd2Ef#?0mnOh~|;l-=j)}C+p9S(MLXv>;HxWqOBGH5kLS*G3Z0+)>@`ohKAm5nZnv& zUmmCg0+E2Ze0URt z^qOFc;AAXx)f|iD30ids{3sCH1cFMfs9a0AU%!+=a%`e%J{*BwIY?5)2@H2 z*5`oACPS{q+US2D*#*&1XPl>8kS)Q3r(G`YAC)^jqHreNwwoUC-6;J&QN{FX;jEn=Ke}(-3lm_LJO=Y ze46_Pc#ZVK5qNuj2-^b)xV=cYRPgvPAR5`o_`pyqq(mw?Ikh~0h*>e9Mb(kcI@D(} zp{;yy;cD609~q2_;c|p|R3ahg0g;y3aE}<-mf5{o^?l%!UHCPT5+_a);gQLZW=_SyJnMffSv=Z5znaIC{ zCFMGw%aX@(tVSDCu;Y6iQSx@ZhOqC0Q%ch4@zdz93}%vk%fQe720kf#kG$chbqK09 ze6B+f6g}!wy;l~51TeDCT1RuQN>;CFf@G8mg)((-Tg47E?!xJ1OpCjdq!|*5Q8Lp*qbG@yEOB0gAophyQF5^P3W}oI~rr0;wN^v}w=;0u5i5fawg#v$mCo z6}9YqNSZ84TTj&24Cgp|DoldDJ{yLv6kWMGHp&emz_=p7*br5hn*`TD;l3h0g1tT5 z{;o>)dR!0JEv(B=&ofbCOJNl-?9l|OCxw+DH>!V;4`i$JH~-L;>gXy9DYN|LlaCXr?rLP>HlyO7bM^_@_ktUU%4brm@u)G_Yfldoa5JIx03L-Us13*XCoqZ>6MlIWv6g?VJv~=8!I-u? zEBYg!tCxyS4arhAC4x#p``avsiGN{9E=P*U%iyQ5&SKVtunlDa^~Amm`iQPS zdC0zqi^YqcZ3PTA^}NxF7Elh>|B^O1RD`^?5an7-bqiIIl>6!ee56A2&8XeI?hy2( z7SN`;gHydb-)y5Ao?zltL|%8+3}ZN9=@ghi59pS&M>@^;>a_ve;&a5cEGf3A1!t4m z+F7jfiIG$a3wIu%TpkRs0z{^3NEh(Od1dZfiKhPc@Y5RmmRoU{kSJBkl@7NK3j11_ z5{=Ktw_LHrnliss-~pvpOJ^G*Re(>;`t*7=R%0HTBA*L+&!Z9z*|yB~a=8UMGnLbe zMe%mWQki?{EjjZQnKr4y*+2g#U~wktU8Hd?aiS#@9C}j{nQd2+qV|r0kY9AsKthF{ zfRH^s*vsv&c;mqyHr+)`-nticdsQg2ARnsYuT5*t^ql`92uWpQ>YopN00ZepHH!Kj zPhSk&O2^|35=Xv);o2vkWBtfdyl4t}ccg=2^D^6j5t?>eDS5nMbtHwI40C)IbDqf$M0a%(Qq0_VW$o}dE`(`FjxNQ&xPG?Dn8pg;1s{H-sS zf3bVCDI|X(d7QviJ?IZePC$b}V z-5FKFh4Z<~pu#8*{BB;tZeKE|3&%fhLZ6;p89>^g)Pxj~cw^cHm4?*8pYTbh?dth| z%qQR_!8OXU#k0l5NH*r{f4<;{LX>Z@&1V{jeMQba*$2iN^r5!oW4JPe&DDv$Iu*bW zPPUqvf(4FSz0~;J)gpWr-=~?P$aRZBouTdiKwWCEpcpa~{tC^QhT!kfx_mLKks5t) zymhm6zV1e=^M9spzq>q7cjp-QP)_?alj#cO+WDIoFZ++o9iXmHJokc0%?5fzqqQ-p z%MDC4ZJ!BG&cf6rqKk?bRdmVgDlRr_D5dr#y2}z+9{YJKkGlJ-Ab{dCWIU=>}b{shVh-P{+(#1d} zkSX%}yn3JteC-`gxy~W(ZYBswsosN;`DcM}XlzD(=fkiZ9$UA-u?{c~YX>xt_1^b{ zGWS3BgF($6v*^aMV$9&hRMw${h?_t}NmQq6M1@Op1@;w>Nz+D@NW8roeOSMxTFH%d zTW=1FCmZ05O*iE+@d|U@x!WQDQN@N92WFT)sGBKsVsqA0+@+MKqTtZ!tP@JD(~JvB z^viQixzX3R7XG$XD6%x-a-J=y(22}L2Gzw_BZNF!{L3gP5Oipm4nG-`bn^GEtlln` z!~tE|TpwUh%l?pBME#A#Hf8+%rPpX5#-jWDLz$e8JnId8yX5_0B+i~n0f7;V^K7%;yDdh^b-dL;2x)e8JyCTG zeYbGXa5ZN+uCno_b-p5)P*0{#ijuAhy=xuF7f2Y#-d!v0ddQ|Dd1(B4C(fVwwf)Xs z(K#`oLplK!(>%sS;uEzFgLed`TCuz`6)W*|Y*D4eGtHs6TEbU`@O@L2Ow@GN!w|fc zs%pbARZF^`GU3unDc69obd93vxU~Kz_~^euwb1c5i(<`dr49MjcBDr^xjL`)o(~uk zMdq9S)+$M%$mVRlt>|(^HBGB?-ke|$mUOJ)sDD4hps|i8x=yo3seYPdeP)lcl_y>U ziek&l&VgU#DAJ6??HdOokq}e@78Ahe0mWdx1YZAd;eHhJl!HB8ZhNd9K&rPlW+0uI zL{Lq#Yc|=+H-nz5vjVUkKUrb||Du5<}a|FvWmDL88C&g=6y2OFKl-FPQ zCxr0~P4Dqui|(7{Sg_Vsa36j@(3`lQAY+Y?4)0(cqF4?so;)fZ&SIDf&kI$>6)tRx z4*!hXt%&0xdAyh}eF@BZ`Vi8#!~oss>41);cAda1T-2dc$}Fags86dUfp@ucFiY_%rmimLk^|vt;>n#sS~w; zK#M~&%AuJ{GBb3MIPW`0D_2F7E0q;P2l+kEiOI5DpVC9*#1;udpCc`OSj2%Spk$u$#Rzd3b|=};D4wTe zUf*7hKe?PEILFF4IwY%av>1j@qfw2~vt+Umoq#jcI0RwawQKvWISpI71khFvRF z#(Cjf4-?n2JHE(^NKpPMUYg38vHmZ5)`0#F^akwn&ie1%C;0B9!u2&a{ zG#8g!S+xP@JGiZ01oN*t3?jt^`qf!3s^Wj}hiBfT?jFp{AOkJ1%fCF|_@!lObWXH2 zKVqdZq0&vmtH=NWXiRCCd>UVt8j@Q{R-rkf9mY>r?T7$LJ5C&R#)SAWCye59jrH9Z z!LUGY9JK2pha6Z+JjxaLHZ2a}Oc19HRo$Oqoq+#d6G8MyVogt;jp}D6`Pt7V^b3Q_ zd%oJ`39`cRdflj^hM!d8fPXdDQ)@a=P5Uj)ZDx(6z-}^X%RcT6l$d7lJIOXODbJ9f ztxpg+GKEZz8Sybc!PAzG|HxO^pBYOp*)N8OMi-jO$Z!Z(wnc|jA;6i%X>ISwy)xv6 zkWvl5rCeIeDQ1*X7I8RFRd&dsXx1n_Pr&5?Pz1a&j>x2cVBGwt(Nans)emEGTb|O- zKE3mmYs#*HvGcyw*_7O6zb7adu~@Y5`j9UY6-OP@6Rc3OtYA`N<^3Tk7uid&cX%-3 ze|iDf{`;a4azDib|4^M+q3bDCu7%B;+2O2yoG7+g2wXfW5q*`?RnEP=pypC=b`1xY z-pS7?7)@Cp6o|y9$Sk8eA{mrTW8gmb^GrfQfRF}UVD4Cn7v`Y00D@5=KerS^Z0?Rj zP+Ptx2fxz;Ye-#Q3TF9M*_t;7?2|AwRfJIENTaT#)tzBwZA*mYa9hIn`8<` zuC;rhK&26u1lO=}JQJ9~N1&eT>~GbQz)&8Jhg-sp#1RK&trPHr?;hQg`t$a7{P<5H zb$A#-33Q*8M`ua1)Cl4IC;fil$lg!`KeF=tu`f%~-5oN533s;s2wmu@(ToWzrjLFn zTHPfE%9P)5jovjd(1~(La2s1YS)`I!9>V==2mgsgHd+`bf%q-vV+;tM%6jqfx>Sy3uXm_bpyh|R&ItD1pM z2A!b}$W`b9MgV+GFGKe;NasIg4Efpn@5sO~g-BYdCM5X?Nnb9ec~4<{4W8uQvjUaUt&Vh-D8k|sW_nSx zT{TWgKu1%5Qk$jTRqN^*TE!$-$q*w0sno+>SM1sNZc~`8{ws5&lArIU*yW1I;JTK9 zo@&$WQul-+YH0F#_a=`5GprBp&?vq~h+!)jrJ@KRu=(Ox!*|0g6JVCo&#IbAC#o-Y zY0a5{EzvipR!%Rv^Y2D+2|lFSDNxJFi9N+X>4)$Vh5)m_g99Fi3uL?-Ju?`JNg7>d zwg-5+Q3)TEONd#R{n?mp;mBnj)KI7&Uc$9~vxBjcNHb$Mr(fH=XInR?HMlU@Ru>)VTVJX< z)p8kTW#bCjU$`SY=V-JbTlcBH?@5>rpa)M#C?%XM;EeHBKX}xcQJMpT5nJX+fJSN; z%hR6!8eRS~)|HauwoAzeV~LcAtBp^~RM26J5Q1$kFubR0Xh=3Tt}H)MdnrC;rtuH* zNvP0{;_qd$uD_K1l>oSUh8s#4x~dp!1x$>pCQ*5DR79uonWt91vG(rI7oeCb`*^vYdv`U{_+fj!tWA%b$s)4J{-fyy^~X>R z>)(sD4prS0DTWshE^8cH=BbWfwY-*ifX86Um`m4Pi^$}3!oit5nTXzde zMSM)!5$cIG1)5vA>^*ex8B?KdxJtzh8h$(Jn~8oYNz1(q5~M4_hHtTTePSp#+9t7e zDO??*;{H57U+*@$J_9jGIL}{bGe3s~!;SV9=iFR4Br<;#(R$0Dhq9?D`}j(^-grw? z;H;b8f}f_*ccDU*yJBAC=xOh-1?7{|?}4SIUvG_KIf1wDo(j(*$L`R+?PB^kChYr) z(^s@^do_smp&3vXswpF{|UteU&+cKu!*lA%#-_fR;8rE9m97B8|32Us`XmKzJ;_cmpt2x(~k5cgu>C?a&m zhw0hc*f;UpFZxaLnLW=1NsKIj8w%2^8X)p2vpT8@t!GS&r@x3itKO4^C-2C-hr8zi zx>v8ZLQhQOG8&Tqe3|ho8$eH~IWA}YQGo7+P+7%A%Hx91eXdFl+eJieSO20om%8b!?t7!R;p^E*7>B~oU?1Nr=~UK+bmf=LLux~^C9kK$4(`+0 z6+d8U@#wXlU)qD$-xaf#q5=mZ^nVXzce+rR8wmkTRQ%~6%+NTN)4(K}oy;hRAaGZIhUI;I`o^OnrFk;WB5;CIPFnYw>>h%7I{EpsT(TX9}3xB^?to`J!-l z8QU?4^mobiBe!=W^+rKeCw>+nAMc^~6>LYmm|4{Hh|WIBscL0e}W&1PO@lsr1#v6vWM9jroz^BkuD zld;d8_x~6J-MN}H6tA*n{XEkCF5@^=JbDr>tYX_hTpg@Xm9yt9mt?dWVAP zxpL;11kNu|1TFs1LK37w?e6gSdjQ#_S9vr482J#r;orK3Gs)1l<&FjO^zM70TzvH3O@@QP>-(X zSDT$4SppUByk1v>?B{HKD;!xk?O-`tzrSap{ItK)APjH`yy1WeHNFpV2sG|Cc{i%w zTn5X-8U^XTPH0~IY_16Dx!A2)fy;qUNx5J^?Nrzbz)5h*Y4sOsEQU*KCh%GhVJF+r z;hn~z?Z8BwrgItmHL4eJbGv8n_CG@RpY#)w+Vr(DaBB_AZ#T+JLYrHH{_@+mD~Zsi zX200IMgXIk2uHhGKCLmGSyng}-`h;qWk^Vm)U625@+b7hz?;?jl5DO5otYV{qZivK zTe2CMoQHK0JFFV{N>kH`6EaYyC_+v)`~Is9R1wOHg7*^9$7np%NWb365b!r!-sBy6 zDpa!M#K4BTNT_yT^;c zrxd_!&i^}Mz$1kY=n~duV6EfyIL3Y4zXD%rc=G+lq&#_e2)*<(WV0U511s*PY8c`W zTn%4--!4nwF{%Q^x`ei}X(kX4E5vLU!lBj=rHZo`mVG^6@u-W+0GxZ(#$~1y-X9J` z(5@t!pV#UDk{g*qD{wIG|ue?4B~ON`7RuRW@Kk z(!+?nmwzr`26AoR5ie2M{;~NYa1*vOTC%lb_MdwzUj+VW9K)Ut|?y^(DD8tFrx$CMvx+c7TsPkB_;rb(HrCdbYv1_IUB~jKrtv z4vSv#^~KI$8yRkM7r2<0m#x;*nd|}dbkeUpa%A8VfL>|+G`Cs!F7$v*z+dV$sv#;wXEt??7S28o%Y7`5_P1^dse(^^;phYIMY^CrpIGgTdnTd;> zs+SuwH$4J}f^|;7(gJ~$=HDvKFhwn|zxPgt{N88M;^J^H=>RB~#(&Q&zGeOpj5UpN z+N9&e$XGqBOltFSFdcGhwJmo|i}B={M`vCu3U+#_?K?C)m$qV1T5WQ18=gpV37Q_v zNdb;xmi_wK&+s9NiTTxgup5==^7PMkQi6i80kCKAwW-nYc znLWV*O=EY(B4h+ym!RtmJgJ;1cPC1(#rJ_&k5i~HbIdqU_X3y$Eg3U^=KY%slEyi| z1{@p%a|v?Nml4>W3pP|kUm!O3tNbBqIhQ;i9`cr*rnUBZ&(L?Z^?EO}{>it%Oz8Ql zm|eO2t=(#~*=7B>W<`9BswL11NJr}4hZ4D#cB|s$a2Yf&tg?1O^j{P~LhKIX&>wLL zmlC%clcsDn6Me+34AC=OJDcj|mfzptd3|QOf`jop`tTL|UbN`3UB;XVUWkfK?+lR{e^LykWPLLFgJX;@Akqu;phf<&xEtLMheZ>WSMj49yn_w}TW%lrAA!@{IE zEe^*!tc{@{FWub<3=)Aq76@pEd#3Yi?-1c)-~=~d&`^%;^nWqyD1LA~f9jzB$rcRJ z=O&!_*xFYR`#dAVj8fPi>kIluh6HJksrSLl+&!Yod&7MVUs&%j{Tt>~Gs_Z`MeXx2!KII30?oOtt+Vxs^&bC3`H za__bZ;H!)qGgQ~d?utx5S~-J9uOUrX+)4U z9B=3U`_`2)t9}Z}VX8HW3do=34Ae4{`L*a1$|44jn+EYON7hG!P#G>uDy65JmJE*s zvBd-1`HzMes|A1krnp=dmDj+>69=VAG3MQ~zY}r$}qquAtyf zF%g4$FA*_kqVtrnTaxbpE@wInt;t2`Qp2f+ar8&s@udgwV-XqUU_V!6euZO_ts|=K z2SmU$9zFMwAzbEsOM7Ggg|Kq{%|G%;b~jhl*0Bt>_ZcdtlecmxM)?fYY4tCzIDu;K zBasX~Z3a+adP$xOiutdJ>bvpk$BD~*$?Z<%@gxGKT645EK6R?`G9s*oC%v5%-YN4y zXn=JPa|$9tP7=+~$nTlB@aP+S@qIqTm{;R{6OM0|$>$)oQPb*v0EBvH>jUqWXZD6S z2rXqWPG8@l^W5HgSXxAwltE3Rk-~T`v2L^*8u+C$6tlI0yORLozfYY$VBz;)^kUze zkG42GBX;H!frNxyo?>U#*#rfPMcYw?V!XowNGU_3h5TLuPc@v9#-3Y@&vosL1T8fW z0UC(l(%2DX_yYJrH4Kw+wBpb`>OVzS!TM7)$B%5fWW}_gcCJFRy<4kz^9up9$?iYS zIwAcsC77?cKv%>NPGNY1X=p=<E(7p?lEz z6P`HsKwQ#WE3|c1rIfols2TXl&8DI3;oEbWO67rpN*Hh{AWg;CfcG4jpA>iKU2D!r zc%9LrC?k%Y3u9k-iyc`c8|mSp0_D;<4p$4`opy7Uja0AYh`O%U+k4Iqh2KK|NF=d@ zV*i&tJ5;>HAgGJPe(08ccNVeHJOn6gMNl%Gq_?KEug1aIVRhWwM1~hl{8;4og~7k4 z!_iXhSTf^3Z9eJ4>LanIA-3$9?pErbTLJU>BoiLbvZGKLd#nD@Gg)It@8w@7-u518 zJi?55y2KDMHTttSfGaf1V|X7V3zqS6z!BPOxrRiTh{2g;cSxhoMe0;N3ABG1j5K48 z+hQMR7t5j&NanI;IiGs`>)q>;bd>y83SrPO?)TR~Si-7Kxx;&fy^b@(_&kq!M8y+; zwg<^TIdH3_FuObTvjYom=5W4%*Kv2js@uMR9a;792`jD#CMnmhguwR1g zZ=Jt+@URIPR0oEO*xGtKKb;*oh-VaHa>ust-|4qsu?oCAZyWL&0W;`}CW6)AT{lC} z^KQTd3dRwjAfIZ{d>raBvV#_~2cn!-RVAOHBX?TAhM<@Lv<#&E~n1z6gUoWOt zt$Owv5-gN?qo!j>1zb*s-|?)kpPH~swU@NK?Z+Koz3Wr*OXqdF>_1^lsvuc%IzCBC za?8j(BeF@mNA@avhnC*GNnil;BT}DN7!|x_HQ-QxPR?w5=}5G{1CVuX(ae%@;|VE> zl5>Cp+Og!dU_=U^-@Hd6-it~&o`2t7bu_;Mk6LmyM%LCY+cuFw{2<`)XFyIf^p5tR zQ)pWj*|;xFcz#oZS%G-P02*w;+!`j)^yw`#k1mmhp$4{A>RQNf)y%=26W>eNOVYH67k;x9S!~u<^ z@o0st;g!nY@iqW3tXDx6ek)Mb#o6( z9+#&-V|FbOa!9rSdHGbJ#=x{>Rc^Ktb#=(eLi5qcIM)YW=MU6~NSHc2k8rK(;V)g~ zCq(XMbUm{{PdS7v0)Uwd;idL_IiJt%$KQv(9>uweS{Q7HAN0IuN`3)B`1Oj`cOy(_ z13YPCQl@5LS?(GYpi}~L5t~ykncjty7U0LZm8N)(yg4tIxt4wZjr-|(-`COy;*L9a zN?dc-yPA$nfzp@5CA>9jTSkBV4!s7+E!HOQjp4J_10X`kkZRD4+N1t2X-pgEn~s2WSqg6Wrh%l78QJwsJeVDwvPQ1tTpi(*U=^r9Ly ziS=>2Kbi<{69`qaWpUcRjp_BmysoW!+;O_pzh=I!-#YVP28evblotDlLWwD5YM(+u zjFr|GP;=V$>A-fjP^fZkZyP;q8Xorahtqwt zVe2Ogs%_|AvvB5Z)9KM_ozM8-OFYf&SSFjZsFR)QNvn}hzhTo8rJwq1H5M-{(GpQp z3ie$3xM`cimbqQ!=PjgBtKE~;Porh2I?Fas51f$uY0yeze5QAx;mxV7X}-82F&zDU za4B~iX45?Z@0{Wd=9cYx z@H>B$g9bNtoZhlpdohwtZO`FP*sF6ID@5^8PK@}EoGgjz8q8d7hR6kClVh-^bvSPB zTgaK0(eF>$>h}3>Iv~0Ff=>oAUvJO+EIafc%k3Lf%99`#ihjiVc4tZO>CZPGAnfXK zLJOhLVBYNr&b;iV>V8^7MRy--7pu{5(Jiiddz0q-)wV~C#U7@g4K%SFl?{5YH*=4- zr<80lWS?DTCL)OE+ArOLmPolRZ{mCgj27xa3%p~sE-Qx$PioA;S~lf=wy!ev*CXRf zN~G*HR1Fpil}1OJcE$_I5ad}G4Blm#N#-H=|6x?LvANUr7&a0WZ z2~)@97(d6_!4>EzRhJr8_4V3=O7gK(BIR3+n-R@Z-xV_)<=r@Hdbp`>y)Ul$2{}7G z9M8})-ewH9OF(}{9YrNC)30CZ(LpCGxI#nuarjKFTl_soP6FGj+ZQ|@77IU4r%_Zc z4>}>53>vkTpp!uINAUAmSO8{m&U4~*;)pxebZhR?H#F2j?3T{_{2pgL4Dzc4{T?=S z$IF(!{D7>bMJv-Bc;_-kmMqHLw0i+}CU4IXMs3l)yIZf6$uUsghQZf#phKVqOCem7 zoPM|+mWh%XXv9Rw?cBVW`1P5Wo{hL6BCid4tx;hh0WvodcW7CAy*rVzmM1+Wsh_E?v-ffjMe>e{9cK`mKX>WM)Jab#)Z^h8cLbH=$I$wO5*YNi|6<#T%u_{*r zW9AI!f?Wv|h(HFmZm=>wPIAPz(wnzor^nDSC*@JhY-Qp_w-RNd3Vqev;9+~yQHjC1 zFL99Bkm9zCGX)H?JO3|0TfAYKby*|tah=25LGleNHyP`|($JE-;H#pQ9>`HkdQoG8 z)bn7|EPjmN{Ii^(==5_^re9A%v&IpeVD17M>jvkb;z32ieo)(1_f9dEuN7vc=oB4V zDcoa<*Uk3E<(>9#vlS4IR9pVf1K2h+6&R}tmD)7!xheck4r-bb+Ah&QEzey1(`Zl`DJ;~Xl})tvZu0KpSLsE+eRDJWF@ zbts|)ln?Dy(j0u}nZ){$t#&VuX2)D!geKDaKpEaIk$!s zBWuUs>%V3apTPGF22a~tYNsbYt;9ky{$Zl0B_X=$f-Bx8a|tmXa{w(-nH3B<#hj~L z8P+Jlh5U?5kMhW_4JrQx44LH7FZ*3e+TisZk7(!1Qr30@!v+VR6?2H4n$JpTGv~F9 z=4|=buVGrlPLFSG@EYj2&(EukM~ISGUymvu>WINhG^>^;Qtjn>HQ6A}+k#T9^1c>8 zvr!r1sRyMVEYj}wO#+}F)^@jdP4=|;0rj$00ri)(ShYr7nzNY7b>xM;Zi%Cpd>Dy2 z`K3d&3+e4GIwR^0%@29Z??-U$>5S?ZwAR`Qz3Uw~R9iqlT3a1Hr0l%)c8ify=6{() zarQw*Zw;&rS;5;6_mu3;t1>6zs9rOb#dBOADsSAhdYD?sVoJA1OR_c9E$*&sE7ZiR z_Zb-j^z5~=*bn2?*L`OSgYj4S#rfG^=vdFR4(Yy~KlG0euoXQ9=?G(*j0AsN^?R;;X@? zDd*->Froy6jK2)J^f22kQP*7N?pPL~jZ;H6h-QjR;Upom`5H)&>8bl`;CAjL47^2tc42Hpo z-fp6|=$*KTPSlW56TM4_KKdxpVu;?pBX0YB{_s5J%-MUb_kGtcYj1n^NtCka6vrrl z1o1mIs%iI!DokAcrv^_US*Gz~t>~fpH~dJC;}oON6k|-yeyIumk$@5?4dol)VY#!q zn=Z-@$_`o;yWvZYNshm3Lu*P}%QSm9#&NRNwO{%@&SE|{z6xhdS?~mt2-o~xX2Zz4 zg*K7^RwLbmK>4dz%Zns`wggE%mO`utzS7C-8Xw3M^OTa78xB(3Pp%prqONC> ztS*7P!w=`^k~-)uN1e*&CK!buaij+vM$V1rC@9r&q&&%WRya+W7nTT2emFqV=W&T>++=gW|LtrfH`C^WB1#S@* zqX9)VxXm=-uFW>K!0m&q7t=_;ER<%zPzgE)cQUv-&^FCxlwXfYA5SNveBuFF_a82?(zx(<4;=5?w4%wg_>=!M9m9QWoJZ2oFsdj^%Iazi)+noZO zq(>gaufd_wZzfh3ine;*mLpXu5sx`aXjv#YG`zl><$q*b&hY3P#hHrD81Ty}EeuX1 z zM7dUcTmgsDzg+Jnt7>uu3y2!r7iNi?s0 zO}#28uf+1s(&5zov=r%E01Jiu$S)77Rkc>Uzyx9 zh@I`s%y+@G10|Q>&c~lpU&(_?A(K|L zKUw}+@65e_+8ugK3rJgHKGkfL-+6dfpeo$~8*-dB-fav+_G*fe4An|&P;{;uAIusN zS!LK$fjB_swDXro1*R2O@OJixp&rXM*};e!f#$x~A}kJLhcu4(n9INX|?f7I>yC4b-;RQ2m6aD9PK{e`%L5iM1RwmIJaPqtc#lskJm9DGZKi%+E6?FEq=)0-G znc*;VTZo=_%0wd70S|Y{md0d8LjSY{U5W_rJJegJs=^teHt(>tkU=5saCEgz8aHG3 zQgtrze!%hWMse$vH&%|>NzL@o<2^u>FUmCi{+cnzn?2)lg^P~BSL;lw96W-8_ChNSm!i~rRjJLhX^h!JKt{l2Z#Y6?|aNzFb zFQmQJDzX7Sp}#f-Ao zqdU3ki!j$q%uIA&nc)marr`7w#l3`>maRC0Gin4`h1o|L-(B2RI_YYVMlN)YsRCH!1P!SZ8Nd^R^6ZfSHGQ) zQpMePz!SM?YOO}qA6=R$dP=C9z^Opv=v|_ZLW`^$2*mb6axi^ww#5C5PU4BnX$bFP z^;-}Ol$o}VCA7M(sUZ}kg>5aIUn%xS6c_c-{^7&Kih7THW*DY#fzlE*apwko)xgZ( zvYSL1tlpKN@TxHAA5?%aCl(b`Ud&7H+%_5F0*0&kKKg%vu~ljPv!B3~B46$o_YB@1 zYaghI+$;I^7AIPGy5TpKJYf^1<|laIDZDCn*JBhdDJ+y@9M{x3O+?1@OfmI|D=?QG ze;wmQl6SB0y_GuuU=8akFOe48yUk}Oega$eR&j2nbSbQ@$FoTrsKvo7*P-Kl2T_4h zd#UONV7QHvHnsL6@$b>Fd$NcDn)+HKT^*a(Cl4sU=q1Id9xmeo(D1aaJTh$UF%39L znukuEH>|ddJa`d${&K}|d2bWTM&EXHjR`oE;Cnc_K#ICTw-4gmA9wlP-asq^D&RP9 zenr3hfMI9G^%fRa%g@#KpQkA@?!U$l>WbV%b!{;6U09{V4moO`I~Wf`crC!qjb6ki zRpWwZ?)1$^6U`?HbJd&Fg;ZKmkwu7G*dv)J^m`jmnx(A1XWf)n7eCQ!;|Ma3L5!ub zcs+0%!5Pxde1L_5=4&4h3ir4;g`>hy_h?|HpiWFFjUh*r%4xdFn)n;X+?_R2(Jg~| zhiCrA{HP=%)iTE7=E;`@YYs%=`jStoy1v*EY^0NBdo0J$$#tGAd=7}&mJ}>@_jeoG z^|fTIbJaPl^dg&=xHu7Cd*9 z3_i#>F_*WA^RlZo*Sa5gj|b;v&*0}~Iw<@0teaV1&q+;Jleo{;NNz`Z*FsspmWn*p zkSW`f#n8Jl4~zxBCN|n#;^32=R`|L0M+2uipJhI|wEJipuV}0otL?SU{mkdyu>1LX zvFr+25OnjwaMt$tpyavq*%3Yd0i;rlj^(^ZHu_nw)BAa8_-kFv_kn;xyKx@6co=`6088u9h>A?jGLPp6)#1^)l4US=+{hQ0Nf>E-ZF z5@;z>T^(e|dF83k`LhHayCW;qe#pCuu4$ejrs5de1t;YbwsEhnCAm&a@@kMdmc-`u zLC)WH4AMkweHDO)tui7im~J9m~%CfU*k3R>>Q0c`;wv zvLd|sZNS=f!lnASYx_=V2RoQ$Jkb);VOZNiODm|GP`*p>CPwWNh{ue~N5@C=#7>tk zo3dDb4Js+9LFhwa*Z$)qH?Y=%>zE&BG?V?iX) zt-e}e&v;Cpqnw1q-U_%PnLoK=22rH>^$i|u4Eg%KSO2X1n3bS3RoLn>|3}5Ak2U3F zN&~bY=flVG86V{rTLaOjn}mU%4^Nx1o_5JuEhKy+kCCeEH`cToZoJE@wrjFxAUX5p{VYKNGMC|{T8G1TtqP?RN?GFjj*-y7 zOolH`l~4|^BGa;iK*YLoGmH7fboBULT`R(cKmp)zpw_opzA3zRV-4Fr?mv={C%rF3 zfK)|zy4+?^|L?=fKu+*0YxkagM^~#QSb8^(^W69Yd$E=kY5wBw{0y?N7mI4pu(v&! z>aFE$7uqJij=H@>^SIXYsE5BK+*{o(I0=f%JdHovnoBAI-FRG0zB7eS2mVeZ> zxmN}YS)fpB^0o!^dDc!WgHOz_y7>?#!(A-|#83Rj3zN7mMGo03JgoHH`LFHW5e-Sm zCYCs4k-YCxhVU54pK%cc`97&INvA9chfT>DMqyTo*7K!OlO(^{X`dwr=e=?0Gh8m* zj;s(?&HdNDLlq= zmCn{GsZO~c{Hgi|`A)TiL-{1c><+*EW(3-vMEVUc1@~(%J)V{9x%1Bag|LC|3#?>@ z*O!Gq3F2P<4FAe8kS^iW-{k>N?liX7pdsJQZ4G1+17ZihSk3z_64pyW;Es)m{e3M{ z;7oTanR3R0LYx#BoOz2ZSU1+tju*6uH8sB1bUBWwQMcor zi7-7@krBgd%k|)(fG4|^g3f{cA#J-JmnaWbShx4f#L_1}+xo2EfXg0rr-yy$2^YCT z^^UnqD=O854?o5ILDn?V1Jdv3cbsbdY_6nYrqcYP0NBBhA!O$*Yf?4PK72v}QkEy^ z)7TKEpUf0rTw*3K!RM|MJq2BYu+2Bmo~dX$(TW$%@VTuM3Tqur(EQN$6F+0D z*M8B1(G$LJ<3nqlx>H`vN_h3Y!$;P0>Dvk5SR2ycaU{t{Q!2VT{TN+*sE14`F|9~9 z7+qUp0DW`nU=;djV_U?Yd(kS<*s`&`Rw7Sb%Y49O2((!`@y;enOR`K-~W zI@4J+66Lk-yq-SChd-f9+E>A~eGghRK|MR&U)h>% zABJ7Kmyk4k;iPRakpw_mlEWIzyzZ}uu#8QxlhO)GMEeo2ljQ~`5BK8#&$X=go8r;*!w6IhtAZwyrX29U4z{n|X3l_6vW(x8SJne|&S!Y81u3!PmXA>R( zk!r+uigYkxv!iUq=;Ww(B%5%hBL1+(Br-`?(h&(e9XzX>jHn;&Phg_|x*PdiQV5PO z`QDlMV5{g8hk39lsirj_k9UCqTT{kbTv#!^Wx6e*Oi|~AGa<}vbffZ#_`62IFe7Yg zT2d^*QnSGj%OcgNa_WN7pAX9!O{$MJB!mgAC~iCRg$O+P!z;YVIR2 zOtjgtEDQtdT=BXP*{}A&gb={V9X3dM2Ga-;k3m&;{D>ZK>zKZN5W^SNGG@V#rYwI` zv_Smoj^{hcMWl=pl~2j@nSfgpWPSv<_12+f;UeCWr=o56Z6vh?!Pr)^cQtTwo^LuT z)V?+esq_L-Zkq#8traGA znRxvUBg+5Q`uBK*SsDWumDX$XR_t24caERpi;Qzsw(hmsu6Y-kzX1g^&O!X{ka6i z?$o5&7GZz(M!NFHm(Leqp+@qVZFy%Q6Jcc1YFsR5P9OL>CrDQ1?qG3U5U|9@|GCgL z)<`l9CYhE}Q!c(mT>|LT{1OASs-{Q3M+R5+czzf=P*xC$2@3nmieCD7@t5Qlv&rl1 z^=1u)uaaKkC3n*3OhIxXHcdjTTv4(w+*-Pxx9V>uY4&=?VX8L~QRdh6CU7!q$N~O; zSSH!5OKeOd(I&|oc%x~v!~wD_Ev6y%je4wSg3GIl$Bp>b0nwo0#|*GC-ynA5Kf?gM zg!qVF^3$%!D7_h~hfCouH~z3|$O(hlI;O*;sA%{jT*#Nh^krbcnp^+VYq&{a_toC; zfD}I{r+Z!xTd$$KMu8nDyPG9Q6)*$5d6JH1Ps*go!?66<0$_T0 ztIC~aSurW5$CLC|2|xvD?FDObB-ei-5~8S*G9O;Q@^4KuNM{7_3SjiFD*mlJ9bK>< zt;a^g|Bpr2_y7yMDvM}^u1E{||2(na9lB;u1s;z9$`X9@Hyh#lGVSbd`@sCVV&VYS z0UB}-q1P__63>ka(nFK3dS5xLZ0!8cJ8`+w#7{{}h|a&6f!%>J!J zyiIm#tkLYpM$mNVl#3}d;xUAL^{QU zLjMMH+pMh@U|&a?MMEz4AE{pZPg#K?*IQu~GWqMPuF9*i*WiVcU3*!C=DG1-Iq?^P z*YZ?eDe&ZCl=au>HTZ`Z3&?8hK#n{<2OyS1rl%cbfW&?ol^dkcd#~bVb)y#&;c|`f zUjn)TXX|8v8j>|2cj|2WK zC(!BLln8WnZVquI@Y`DPCwZ^+ps02`J@8>cur`o4?PTPR?@uq|RRmG?S}WS#SEq-| zxApeI6;zO(7RKI1MG7iOwid<|77faL+^3bEaD4@iIAh&?%a<<3^ zlwGIe|DX6fmC8CTZ-_=tnKiZbdWy5$BGZau{ogp70ccCYJmpwki528)ivL&cfZvN& zg@ABV+G+m{oST>NIDBINKXBI+G8o-R@Zei?%3o^!hl{pe|CNFwF2-xM|7xB8)?DPT zi1H@-pHRPkc)hyP_Pw(Qf=SGLxUBd$2R9{B{%^1ou2vcg-w5uPA$o9&SW1om$k76_ zuYAoHW%K{wl*=;Cl5rynU{cr%xe4CCgvG^pEeu}KU#-MV0RQ6-V9h}d?1~Y|$&sh= TNQCJY@S~!rrtn_Q?DhWvy~23( diff --git a/doc/4-instrument/macro-seq.png b/doc/4-instrument/macro-seq.png index 6ea2050b41bc45b21cf607d7a4b08b9534d9d8f9..e4bd6ee9ece40cd9f64c318f11bc97c5a79cb101 100644 GIT binary patch literal 17643 zcmch;Wl$vDvM$`n3^KS4?lQOx?hcJR4DN&5;O;uO4esvl?l8E!ySu~Jy!-4EJ5GEN z=f?eU`$siY)mkg_$;>BnWmSjB$%w(jV#5Le0C=FdumS)8VFdtyr9*>*&KU6afsVq} zKw$wTXYG?T7#lPr{J!_tq`#y|{!#qwsJ{BXkkEG6y9#o2=pvu=0?PV|9pqsW8e5r!|FJ9G(=0h` z=ut6Fpb~t^?n4ikIWJ)|g9l@`|C}RQlDOmznw_#C{)eP=y~1|{$)utcb#l76+)yrN z?C@y^7ndeZ-(xO02^526OatdqXM*WjQbpI$88``GN518YP*30Uhj@J)s*+bsR3v09 z9ly+rV9jX0dFP-`A){ zv1_Pog^(fmwRqBgR>uBdwIW0*RheWa8DL%}wToL)H0WLv*@WC?77~p*GxQfIPft(Z zAu_T@uc)M?Lql0sT}mJyQ|$;+5uaVmy_z(K0>?M9O)*x}^MX#U|(C%_5Qj&Mn&C!NbP7e)`hMl#7DoU+>^4piuFFgEQ7)AihwJHy!$dy zF>(W6FlhcT8U(78v`yEL3Sm#^LqCayLL|5=0*z%RAI(1%(0~1DxZS*BR#G`H2vos0 z#>my~lA7;vf8^w?OOnhx0?Dx%2AuU8jEpnOT2q6kEQ&3*nb&fA?=MD~?VGuUFn;dpM*g0+bH8=2&<={_Dv>A_iZlY!RUFKuqO zp})hGMB88QG_*4L5Pp)G($h<|Gq@ix_;nFlu{)kDNK1P1^M5IV1sUr>f6C-)#?_LH zuNr$pbR{C$N<=#QtzScdiI8w3R+hKR={GjZQO!6nDjWCf&2$Q@B^51gUv)1JjTU z6$^IwR-kIW^y1aJmVy>2XePG#2MCJ58nvQh)*Q&>nLUqYC>t}!d7n@AGrZ1ZYI(2! zeh&rum5XfQ`+6Xo7m_rTC(TmSlawz9HM~sU#0TW-pVI~&z=@`YO)eLv6 zj^hOxo}pe`l&B|p38D3+wcU|7_xJSF)X$qi1i8d?X(Uf{q+SQa%IA@lmZqlCd z*W1fu7zV8`58to7zZLCI645bdmGM84SuI0{u&}ViUoIw(Q%7a^AU=mj)8>W#WA-8e z2_Pwxep4j(6*LohUqCd87!}oZ7=1fZ1wR@dM~kdQEjxgv$@281N>Pnw7(AFcH54_kroIGhegr1({dYJ!4-amJO{WwIjzJh=&kAgyKuv5fdqj+cjws?k zhck=)#++<+FrOdbeYxm)7^GZALzuYO?8$PiN*5#Wupf#clT4%w0C#-x3Xg1dIA(P^ z({zWm23E|6;kbKvoOisxCF*!$>5UG>QVjI<>E*WDlal6SlcXK2vS1T@!fM;1N9j<> zGI;04=R|So-0lxwuCz5UDizi+#nJ?7uC%%+Zf}z~A%QdrmMI>Kz~h=Ig*qdtjqB4# zhVu3GojIuIEX2Ub5(QftqkOb`dAJ;iBuJE~^n87CJ8yIP`-f4@Taj@hh`L;%7Ka!0Y|X^*FU3$XG+8qkV+TjKsHpe%-G+l{DaT+8;FSr?=Q2#!Q1V z>~BZ%d0uou_4#CYy}5Mz!+=7s&uKG*T&Xu9d+$ml-&?TlB{nLBjQc@WkV?5GhtY5x2wnUy zoo;ygXlLXzL{sx9C@4tPd|q#@!JSU-@2~f1=@V^kPU2DrgE?Z6-BKdAPkW;&RS)eR z&-mQVav|1p@Rc}3sLFVU^3tw-DY?{#1jwxKJx-pS9^AQN%x~Y~JGG7FwTA38T<&~! z%-s-vzV08?@r2BKv8O+e{J1HI>xTgGqQp>cZtiq}EOnKIEkB-seM@2CAY<^$({Y8E zuy7_n7~U-Myyx{O8#00QeEBMlb*m3~9D9yzs54k^JBZz2>)71xPMp>~oGv!HKWww!4 zy|%OMf)|v#tTbXX`|GTW$QhgFW=UZpOs!4uOH=dg7HC;#<1J8hHCeqbH+zL^hTM5i zUm|EV3`laS5!0zS6Y0VsL8gg|;fG=5yPZ*tz?tGlAnq;oECw$@L_tk}10Vf4mMT9m z*@o+5hv{}fuH(G<=@J3SYjDXPuBeAVS^u&`rA%R9Q>EKnxD~${$xacEfYbil6g9ne zD>P#$9EZcpQk^+;BMJTO5JkqxY>DdX!JxKQPVc9%*+3!9PEhtCtxTUKrEE|mB8!d> zlfa8}%Q?W|Ws4D-xF9%eVmSOtrzZC&K|VQ+J{;;FQRU?I^z>|QX9UpN2`wiLnNHW* zG;sNGad&AtUarE-5s15cD?eX;`^I_+?(D*~%OuEjw%YRbD+dRMi;K$^Ks2sYx^)}x zYb5?m8<{!Tk?>(g96CL{n3=f zIln#ZMoSbCO z;Bu0oBBrH<|Jj>(Oej@Khrd-PxC%3_u=pU4*V}CqsPP!}MXT9f-kz#^ckPbEZ9t`z zgoxPbRC=Y24f(4PhSQp%M={X%Ge3dH&E$!{f1pvzg~f)qtE3gi)Y4nkL{p~i>f^I)8%25TL9TgySQU~wbB*Vw&|>$e!nc23IxGrN_W z-A^KY3J~Hc6{u;VS59FsZLyq4&Fm}>wzk9%nVO4?l)gfx95J|h zYc)R+uL94T_VH(`en^u&<@-!weh@Wf2&a`e5Yz4(zfVoD0{Wo9frz0W$0!XQUAvLF z^n#}Z`IhCUI5Lci0|O_GHJ_10`uVnq)Z7DcC^YLSzhrl-qpRj~B;nt-?7iq(!4LN| z>-Cl?QxnXbR8PNIRIwpIOJHt%rjSin(qCa9xAoi#p&*2vgcww2r`lbk)u@jP^~MVM z9)mCo-@>C2D4}}YED%1DL;dV}|Lv&Bk@3Kzp&}sS25lbWE4Og7n`1~f#HCu%ui)E2 zS4Vyl?6yKaw~|?^@Si#I|2U>u9_!XpXk2OKcMkp{pJe+3iGc{bEP*Tu>n6#_Y?kQU z;76Hu^6JrEu2dd3jGy*scIHKM0JkKK$V%%-%wWDqTT9g$@dgc+kO5b9sneb-OJD{W zWm+Hecewp2X8Ed=UU#VpJA!_0n#Y>`v8RWl+{=Iaoe`gq{kTAGOJ*hjkF4+0$u~cE z;|ahgx4*#3tCi!w3ipD$&3$*Jv1Xb4hrx?ya6=`gJ^4hRA*4%^6{2u~?m_ z)8T1S{^JyWrfg5s)~~7YX7PcHVqEhEY5uO1JdG2QEKj=^;&Q=^Ml?WEI!dPpZvPyi zdE&BRdyiVc%*jJ@<@fu$v%2uaP(JSkp$9mr1%KxSa?fRr*nOc79sv)V)GsM|if-X{ zi@*+c@fZGVhNbQ2zTn6T6>J!g>!~^B^f!X)_%KV|ICI;cW$O-jRg}+vL3~&hwCMu@ z1u7i^neg&h#%oQdb)|}9CoK@GEG!selafNf3Ptl;kYLfsrIXRVehsqP1eI1)^__wE z0Y8F+a!^U{#*oh|oiFtv8z%)&@kwLI^$7KzaV?hp&O|^y=SQfLpbB3~E_+1F)?s4* zGKF_O7INyG7}Qw*lcnj6K=G!1DfTDb@1$xt#L_)|rC{kw9u&{2EpYX9E;hQ#i~#DS zOOLlgt(;0rHucLKZ7v?3*Q41I6ZHrJ+GL6D7@caRW2uG z>Sno#+6!hy{HfWGj^}xZ_tWkm0f05x23aoLc??Hb>HXaCf?V z8SV^4Vv6F5=1IX?))njw9|x(&)<-6ppxZSO2TI|A5&rr9dCZ`3CyOMVUldSw(9y!v zTkU6|xUwjf@qUo8a2O9;H*6DyMwAxCkuDV{y)Ee;URVR!a=SW3uf^^Af-=HiGYFX{ z#nD@uw|}-C+y<1PkeXx$i(+GdBo7un!fpI*b34Hgg-$CGHc$+X6?(VvO@&{~R%B=v z{z0@hO1NNXXUDLQv5cd?p0WQzt;kwRHCF17atnE?Mzj2HROze&usJuBNWz;|wwyNh z#4EJ@F99W%%|uO2jcgjH5gqHJQ)2Ke%7PAme=x7Y8{gd-FMH@y#P$Q*ecVpOx3Ad6 zFtWvJF#PB=ej->jzTV!-lbqS{>XPPlr@>{led?A2^-R1GvogmE*%$|^%GD`` z)c|SOuX*}YsEsX&6e@ll?!GOB!lkID*03|>QTUk>`NZuyoP053Whm!S+>y>tK)oh* zcl}C(cu0U8nU+3Ft#t(*KI_mDAjZ=MO=44S6%qhYu_5>#{8_`7i!D^d-UObI^%DS4 z7z52ZS3je47;YSUZhoA}S-XG-0AxzoSt@u{7LMphY5dITF&e)W2LSlv{poV7%F7p8 zjFc<0^1n~m!08wl22DX6>D_K{3LhQUfdK%TF?vW}g6yZ4D99lIfQ@2OP^+Ub26V`j z0QEk+sbD~V%8`L?%YXmypc-WefGyOsKwgma zv1apiSg*x_=am+3hanuiK}xDIIB2aRS;DVj{1h2fE~URf#wjLMo;ptaouy|Hi~3=t zqL?!&*XHoRDruSTU?6+dZoMvn<_N!6`3e0oM-j-12#lax|7Bor$*JwXn+5mOuho~2 zw+(BnU*Ui;in2$1JGHU9!6+fUM|C*hWtK5t{uz)s`sb$ee6J~0hNP2Yx_q(PvV}Cs z^{uI4ER94AEv&C#wAt3fyv&dXba(NNgR0imvd+qZJ$nL;j>~4R?Nr(+7Y^HuJ0b9; zEfUA+?i+H*z;?{-KU1^myq{`#-rylOd30rHYc+{haP95x4k4FmUa!eow{Q_<+}Ge3 zo5!zAUI0h;%3}IJqd*xT{_@U+FZF)geEYI@MQ8Q(Vm&Q88G$43{Zd4Gi2MVjpHlcs1GjWHHG_`x%Okf;w8IHI4dB_F!ij zeEZ=dU&tfyC>KG_Vr8RBib2$-C22K6S@PO5%Vp?vfd@#WXH*EKzz z=K2tVw%1t*S{rO@Zjk zKw_OuJiaFNn3czi>CrOFBqZx6f5xDlu&d9|X&Nk2G8X$YSe;$VroASS9_40Lm zg+w{%-b{%#&iiM+M&o?aBG`&*-F;hnxjooQCeAh4Ictb)+U z#(7ko?GRGg1 zFdx`O?OB>H9jzmtF5<7vrw*r}yU=ILWXj~oVMt8m+{`YciZC8haj7k zE5O~#hhh;D2tK*tLyLg`bS2G*fO-6d`~lq4@UX|LsQ8m<-pGC2?(+z*8=^MnnvQ;I zgv;YE5CLy|ZWvKLq0i7oqrZN9f}fvc@guG3Iwaj4C;DKILuy)jP%WUPL_9YMx_2i0o=pndd(mr#y{zJ7?J~5D##fFoe-}@kqcPCfN#xp)BTX{;A zb*2$Hm4i7lAI0xgW?)c4!70V4X39kcX{!o9eBnOGwl!6 zfd&1PIlBwsfO3guch4cEWZFKh((w$xk2$Y$Jt+=U)S_hLx#2#L%am|^y%L$DX_svP z1#_?ia|%q0THN~EKkHkb8JJI{Njp_pdV-7#XpFc!_0kV*n%A~_ocWbE@+XNcFKRS- zx{CPsM;=g~a(!D9dD@m_1pVVp#o;Wscp^z5kr*&e|6W-x& z7$$yByuJms&(_{H7+gkhQ!((>MWpxCk;i=i{Cd~nyI5c=bo+mY_EQ;m43U$#&q{xFu>L({AeX!GdsFT}i>?WTZ+G5Oqm2^cglvfy`!3~7#n}e}Jk2e`;pzHtmo(<3ycYeg}F%E>z z@@$HE!&#ISXn9bPQE+eTw8pK`{I}z07G8EBgk360TPMj@%Er9O&vvF70{{t-;wmM@S<+Kcd{>c{^@7G*km3V z%-m3q_p+PLpK>W%ahM2o`i)rQ82k6?FN6;??B>%uDb9U%;e$;8Ram4Xf z%d>HO1gVW54(O;EuW5hI4eSt0*K#~=iwtS|xT4I2zeZ0k;l#SyNB($<_|F*>i=q2C zAjM zZ&7NYZ{-CvHHe%^Kg=XYX0Rz&>6v4guKP+pNC??sy{QnTY6dNl9b`#>(HT8%w7<&Lzf zW@q}5-pz>s+sOmU=H(aS;cixu`O*@rgc;jxabr=Xw^TE*EXpvpO5W&iRwV_JEe=Ay z%-uXLL_GrLuzjaJgb{o0k;P|+N&&VXhS?=YOUh-lc|*y(q%4e1K-cHJE*flH%agpO z2UlBoQ`ZiE?JpT@8PBh0TS{Pn%8wldB@Dnj4idl*avws_HX{mDc>McY9OOW`Ad*o4 zf&TCB|GNGE?J#e5cK~2wWf2{HZgmZNS1q?w1tbzklz&9~4-NjOCLbDnX!M_&{QnH& z=f&U#n4F!2N*r04hZlp_|HqL3W#|W1|B2ndZ~yoA2X-GTK0YvjC3Cf8cQ-GqZwrIz zQvVC~|B2)O!BihQ{?B2A1O?dqzkaGJDLA>Ar$QqtX_&hYPPDdBGtJ&+z-s)L9sj3H z|0Uahg7$x~KHyQU6=1TqhMrWIdUiL*Zi`=HM*}{ZriF}O$Wi@&?Na@pw-yL;o}sGl ze_ammZ_gfQpSL@^srFtv>wp~62QB)Yoy)bo@+7;%s(BZ6{oQt%zMkg2zuJxNaX)Wy zH6xE$@t=bDpb9_<|hP#?qJ zg!yds`>urVxffM7H^O~0;A_3rs_SuC1Mkg*kXR&s+m)i~*+NxLWy4`-Ax><|>tkGy zC;Gg$o28K_S$!fjUy3Mw{wF>y~!x)es8p!|4j5h`>mx_DG z89gc}m^?_JRx~{tEb`>?a?45bA4&}z$vF`xCnw=jt(N*)`uq>J2)yr4W--?n! zNAy)2jW8ws1@#xJ9sV^F`_H4|a=Xu0(n#imdC|hNL{@!c=$q7tlK#fM|hr3YQ}l)SFVn;vjMub>}t}KFr|%* z=`MRoXkjcYEV4YeGXd1RZ&P`f7DTQcs@G}OUi%STXTibGXDtTE;k!OF<9S-)`r1-mf#>+;;|}%QPB{YZhD1+n?W_&vkA`|3Cr6 zhYl5SrqMGzJUkdF4m<51+*7GxQlAZPDo&b?ic9c8k6?O&5F2W1Emj(<+S@(v&sG~& z9hbG7R_pGbtFDgaO#uuF*r`}vpl8(je}SAf#$qb0WL*v``|p(fyuS{bD?Ds>hvRWr zEf!i`u8WmRST!3r*C-m&U#Csp^n}T&U;%5qFUBsl%!^(?VK_Lr)|)9w+n6b$!%nRA z{hgg{Qm{w#%c^yeI0I+drdqH2lo3ZZys#R2ocAYgfcNC4L62CAL4vBb3mGw8^FcQ1 z`QVUH{-~-1cv4}T?hETUK=)wcZLxwbX~>Y=oT&}+s_&~#YC7lh`@UHIejUYqG|;7c zs{>T5b}B9#^mYAVE%~HK$P0S?;gC%1?qqR#d710=YWpzuX8ES?M(hxwhSN^o8pQ70 z6=`?T-?{AWtn6HQ7Qb&ouF?EF+SZo=k?P1`(!N+azx3GETiD;e1W?vk`)XUu&aQ$h z(GWeQ>r!i<4JGsEN9^HsQWnSUBh*I;{l9$-w|W6}`vY!9zgX(R9|eWVs4Rq`ieKg${ZQcjJ@B;m8W12w11LS3K$ zrhq)gIJ0UhMHCYgv!R{DUQ=*@DO{eOQamNhSfnQOvpC>{Tv0|vSps}fvw4gh0|3Az z04{3If}&e-xzW442CTDhwJuyaHio}_0tukYFiJ$`qnX7E=+sT_h=T-WBvA2@`VGdr z%bseT9r+(h0;NWcfpzs}5H*o|3g;iaV}N~eq=s2j4-4HKS||VtiTo}l9!eNo0-U2|0?;-rH#+XKB1;~r95%NmHalhk zIv`gsPE_Hx4HJpM+1bmb7OemVkjoesDDTi-PHN+Ra*)y@GjD|gcphX9oMI^6ii2d~ zSh!!(Jzsllg@pplFXn{ zB3EcQk(Mldt}yynRl&kamoMFL(6&GNr5S!HPO;UmIEp~>*@T@ z@p9EN1sA_|EnjX+P!nva`G?<&%k{xu;PK(>-SK$OjhpmE?X?~5VvFQ5Q(ow?I*g`n z2ma~6Qqm+uIct90A?l}!ck?o{{J=Jd6-#y#l=m6KgbCfxv^?w8K##OD{aPDUb+N9O z#~sCDcYE{ieheLqXLlq|_Xl#-&d6ib51FUxtQfM^%h^PJ!a3r9z}@8}V|_AsJrb_v z0yF#qCQ11y2omLmZru`S6&1RKM&f9A3!NzP6$;P)$mjc+c#Yw5pv@?@nr!CE%GJdP zDx0>qzP~lT0F_jRWb-5$3f#5Ixba3?YRv_DcGgSiq`bUv;#TuRsg8ad!KZMqalj1K z9q`_4?H*^-gjkS2b2XSf;q21uY`I}C;&LF1{n%^c9aHIny2r4&xLbwdzQ0~}G8Jgx zM_gXhTF&=Qp?Kpy-@&`vZ|Xp^2j8G4UdYit#g60ie3_{qzvw6*3{Cu!>QIZFz<2IN zSO687{yT%&e5hEOlL$ajqF28ch{k^TFjRxV6UgK8q&Xr%fPVC8Psa1=wB;T{rah&e zAnH+0&LA^LD`+k}He=`3FaK{EuM;JKybPJ&v%{w+G^#&*k3e-^+kRh;N%O63BV~nb zHDsMc`AmY#C&5N1B@Jm+fQ0wqwbb8HZW3yw*XWqN-c{$y!wH9ui zh}GPlPpO7Hqu6gp=f+w!>U}#DI&Ge)+zxA{A|KnBv0hv4u^)XDJvPux!hPdewQ?W+xN)h*sNU zx{_cSw(3xRV{el6w)e_k>&U=rQsc4SH=d=R^V2Q%x8z?=f4huStTm3x0=fdG2ZSuX z9YFT9YaPv%+n(;>J&v$~heNFcBHW)&`i{c6D5R3y9-MJmI(hsbBYdW!ejv_-Wt7CP z4t6o{FWC)4yK4Iz@M-w{bSa1BtA)@QG}8;*T5n zJ>|cs^18pojf2rLlPqW<44Jdpi3c}8uwOlns8(s*PeDAtv3CK!X%>2SEStQpr5V|| z5jLf#ExU(A7Q}R3ERM`uxm@4jRigNQx69sVWAU<3tC$NsDB2hdU%q$vUfFDan;>IP zW9fGHvRnQtrpTk+?n?UuX4|og*~^CXIp|Sxz6yrJ`G)zApVg&fJ+9u2tg(UJ{uqm8 z_X5#ti_e;KEx8AH9Sr_zyQ)4j>toqiv5Ve@xFaD{L@M|*6S8)zEBugzB;nUAk=;x> zC%0=x6`{j%5{faYP)%l!vudt;0$BK=m_fovsmJkI>M|{MEZm{~t2MuYRC&>e{=W!d zVpl=CFFLB|Go6Ldx3-XCo=-1Hyf?k{Ne|5dX>l}}pgsC?cU1?9N z-_KPJ;Nt=*gLYr9_704IRz4X>(aa3xDo=W6EC^jJWBePtg-Y~ z5b=)}!xDvP1_WQpHz(zE27KB$FIU>UaG_Ss3VhnqXtK1`Dy2(#^gKYXxCzVp$`yQW zaya&Ot=)`h6RZ8sc97ErCaG%ecmbz3i?$zzLDy&`0{I7DyxH^Es=ZXSggpWqHWfSy z>$g2{>q7Ijd-87SH^YuJ)XfoQn_bQxj(Ags#3=mzuE?Clk|{^OPC+;d( zmPXUG&+vgw93WHF29vYML4-PuVAI}97#3;r+ zV(dI{6tmS6Hr|7DzkynvAt+zM4(DB0YXh!Ko0#hKGHBfI{rF)9td%Vj+Q8*K_#m7+ zwE?0-_Y9>iH&1Xk6h1%zX+IdfHSP2wx6e(2#jK}pMv5Uqum_|&&z)fA#R-<`= zJ5Q9l!Y_&#`ee%TBIp}mUZ5O3FE3&4@QLKWo9A>5cro{MUlVxT5b@_>yvH(*pg4sI zimlIRnyiQ}l;^Ajm=!h#NqtgLR}5d5SnT%x7EQNs{YV0kW0Wn}JpD!(zHGTCaF`?z z(IMLuC$b?LQL#prShh2g01_V#A|cw43M1G+%E%x*`ro2|dY>rVA%`SvVqDX^2%~A^ zhXs=9M#_{?mabJo;OV2lflF2mN=InI{jsUSFUM1cz;lJGkNDG}|J$jsGg@#0w3)HU z1!(+e68v#W+m-LgA9=^z$wdl|o21$HMKowO2i})x+OXE!$5<8{>LP#*`xLv7IzkwB zXIv6&raTJ*9VQswPgQ$viB@hyoT&2oOX7e+=Ubh8n|cfl%Rx{iLJ@c846;%&Fe8pe z{$}4S{%p<7Std00d)4J{O(X;Msrara&6d$Zq}XgdEo^!s^3QE{JA>_3BWI5zb9Smz zax1k4??a7YaX9l8w5A?mReM)Ix;Dhgqq72zQyR|ETb;{};^kevNr=Rt{&1|d-^(RU zUVEqGQPQZ@%YY<;yE6TiV72N2ou9B8ZOBt>NcOELl&aX9iY5?&U&QF_pv`&r^Cx5F z9(Y%XKz9n!quT6dwUlhsAk1U)Et{JitRyE|jT$Weq%Bf*-R+21U#jWpu2}%Q)@l2y z7t~jod|i7EJ~b)e-*I-S!T2n(A5thKtfdaAd{dLau%pF_z184&K5+{f?Y|H@u_I*hqh>0FOFID-U7|QUlqzMbc#B$bmimoe;Hw(+y)im(yh^xrc9Zo#plC?i0i?p~o<&=I=MQ z3(h>QFG{fjS8idVwNT71n^!ESsxlchaB3^-GnWfRHB8jjYlT*zE#-J>2aR(kTJ`ya zEv$oQxq2l(7;U^#nVO7l=JZcRBK^zS%uDZ(DY8(?e&QRdF9o<6w7fsmdb=xbH&)&@ zHc>|#kTrkn33bu^F@z2i{S>2988fa!W%c>B^?t(EczvF)&Fy}(FHF^rstXPAlLx(l z#wK-wXV;+5SWu}lTy)hBnjfWw3syjFI6N-5%OfT9_WRBpCQO}~ryMPaKhpJQsJwn+ z=rCN2ZsSoRj5xo`xJgTyavclc-oDc7bln$J%W~QOZl~2`c&BG67FWuZz^IN_5rS52*&X#!-?i)%*Un|G<_0i+3NUjK%Vc^JDkHb$nG(h8!OKSU z<9ahDaqoh_*Jg#z=Z7XVH0Rg7vUo{vncJ__3c=mm%&9FLhG{+<}F zS0@1@l(#8;VMj#R@NnVak9L%&W{v*GtAa%FAiHpPFE=?C(!5K4)Wr{aHRfH2M-5D%w;U+W=3+oe>g^ zqP?#$7?NP4Yc4C-X|SB&eTRU@b&Aif%m|1Hj4|yUaJfB#C-9wBeHOf(k3a6_@zo72 znxgV$@GFl!^g$RBL)4uScOUN2z}Rj9kZm7v0Wk@wP*amd+$|0mU6~A?ZFDOlW}3c{ z*BjSKIHy=@vu;0yjB=@nY=Q?wmsrfii*48~VR4UndY!za6x5%DrHQ#qbCRZopG>uX zT1SSz>dNslW0F6bDem9kKzEY=&G=Xo0_OdUmIh=)iGoH|_$8+EmXWTTaYE+btce+8 z3`412E2qz0I-|o)#4B(D5r!_Gl{@@Tl)Yco`@k)<-`kM$Em?@`Y+BPn(ocF1)m$zE z2nO%!u>$pWyV0u#7^bCylqxj!jzggj3Q3)CC4aux;W)v_#=#}(hkQ|1kN?G+jvrMm zFO(F_0=`~uJ@5D@u?vsf;mf8f^d$+n)Y?XC>%ke9>Zl-5`c8zwIWjJ43tqUMYc=5% zoGZGb`ok(5A^_4>l8ev%MVOQjQ`6@AxZwVv&tYYq2EbE`34Nu}b)y8#58A3<3x*9F zK}t8RO-R*BZo#hEUUxjA`(dYllq*>(&an*pJ6!$g=B-22AC4@{`A{ae5z3l`;01+4 zQNWv3Gvfs)4|svxPu?R^U5Drs zj_;cWS+W*WnFxarUTDKiX{y0L1{H$h4LMT~TwCrh7{h%K&~E;kD8A-yY_4~otF0NW zn?Ir}GTZ~iry&VPqG`i?6#dca8ZfJ^Ut1l%^f0cY4Li}y?%Z`kxNc0g+@A;R$L0-X z(si(@o>2Q)*{8;Sq8p-VE^m*0oJ)p_rwYl>cCQ1z$^4;0Xuwe|5>Q>y2{scR-%!Fo zI#xovQ%zqEe_xm^VLdru*EMX?;hHm<8~MA2V}hVZa9~tGb4&>Thp#Vk6yUk?rYE1o zQJ1-(!CF2bp749)IzDnn@iSm0>%sj17E^vEzmcpL#jXZt42js0=4~dql;p2mQ{ro^ zh|xb~%ciAY9hMv%!NOl_0FgNRw@!^(>*-vL=LFDh*w^xiYesvjHPSdIQH++$_6fYx zgi}KhX}j6-bMS)apKwQuX*BgPLa|2HFbv~TBz7QnCiH8vN|$I9G<$9nwGtsgy)7;{ z8mC*3a)|=bB-eAqUw<|@??62GxAo>KTdi)eDF|VVQq3xwbY)oglF{%d)GCzxsAbav z@|-*g{a|8faoix=2PBHFI9y1#b!=3h!1-Z&Bze}lc~tzm#yg*4imfqJ6z;=gq{O4K zwjjvi&n7Vwc5z`HGS4(mHhaRdt@XC+_?sI-d!*9juqjnk@xxk8j*^%r!-?ra7XC%w z^mG_)NHC&i<@{+JTqK}n^PITrsAna~+Y9ZdGBE-cNZDlQa+ zHrGWx)C-QgyRV1*bkIs>4wW2E^avkz4@u88bTa$d&%qK;;9p5`UigXcmziU%#R>RvTcH=CQar4fUv*e zy2CU6n)R0muK^w9PVM()j#MylBT$Q)2$d&){PAjUvFe^7dT71ly>LiD5Q&=hdz?#G z`gdF6??UfG*@8f2CHXc>+`m68+y#k+BUU@KOn!F;V7?{N<{fa!X01j=Ui8~Ymt=VFBynK!a*nbIaj z6@@(EO$YQs2n$t|py%3t-ggS57`a}o*X@Ojq>N%f4;4(q|n*sWUZskJoy zBU%*YT2Ykbu%fbh!jJ_yrHwd1A+ld&W?4Vr3uEP{t(Tvnu>XXMiQf}DRxd?w3g%7! zCgG#ccNV$NKg~dGj;#z*`=L}7%T)&?<>U*$NxL6GbJAUU{5)=7a?rvfp)Utv3KB`U zIJ)}ye(kG(lS7A--uRh>e&+^`l(irVQ>7`@;P`2=M=qaeh%E9B#zDm7AaQtoQj>Eb zIbRv5p}5qDOFaMiT`!dQ;u)DubtkCfj z8=|5^g}>fZ8UI3Z{$0w8o&+cQfg(1bLYCaMnM`OaHH`a>(C)Dz%7TG-!LI|;W`_jN zy^ob;1O~w}`@15%;MiZIu)fa5U+2+#UP4M5A66!NyN1%LN%KV$fyPvlH`VeZshn^I zA7!en`^Fy<2(2VB8!I{?zBEcuL&l2lU6OZMdeHq+!@P`luVQitlq^Q%py833v z0)u00%u9Qqd8AoU7K6sr2s!zvhr37$9&0*hOxBAh=Ya%}(r%Yi4nrHKN8NEonIDvJ z_4s0<8}^Nf(zsl(!+(YDf*C?c)9e7eDLxuT;gm(b?&%nIR0v27Rjlb@bML#c|= z6Wyol$3pG?i*6ow+UG-X4UV7Hk>n}Hak1|pqi(Y64Nj>)iYCEz?_xEqR~TT&D&m-_ zEc`WPQg?0vy=2d9d2uZFn*J^SaB~OQFn9+XUerCqA2N-R(}l*AL#EN#aScE-RJZOd z7g^AKBr>_s83*ISBARuLee48w`+by}D zqMwPIdhuk#7D2evM;H=};=RZ$&u*tOAHe+bAm1Gf9t)?`?B8q%!LZ_(T6lDhROuiD z>kk$)l-r%PMdW|aX|+dbMYLhQ-FuL0`;&fXv4=g9wTksSzv>1GgVEcRLvkt2Nyfaz zQb69P#a-cs#E@+Y~rKq*=OZ%S5{lOzkR)+Rx~)m*&FX&B{c=4#8g`(Z$B6+K>^T zuQOj=n5jfGs`WQyOo+vU!s*&k;~ruqAWX@ILQ-#JHzs-2)t~snOXIG>oCx#ayUZ?X zY?2U}g+Gfdwv>!holtYK*bF7$C_(@3>e^`H-M<(3{3MeKj1?x$4BZ{BwVqvAY3#7k zTdcnJ-Z`d^fbKN-n${Q+z7OinDYDSz>S38Iz!KHEpQ?15w5X+2dg*QkiEcHed0F#H zbx`Co6_H%xPHDqzSDN}Q^}?yMQZIn|u1Q{G@ZIxfFKXs%VHK#{(!?`Lpq+8zIBeGg zCKO@yUDkarR?*&#-{Z6?KFldt9ivKYBr$C-!!s%!JL$40>L|ox6eg=&&4c*nAz3AR zh!E8Md4J(vtO%_-<&Vgew50eULORDQgs|0mwpo1L1@nf+joU;29cBvRZXB|TD3cW&A*G(K0PXm$Oc6_NH`ERxz1gph}~Wi@#ieIdb+f z%Ykkbnx^X=skPu4%(|r=^L98jG=t&2PmUk$3R*!qn8aI^3o=8wWyU5y5J)N@sYd&) zhjdar+M8l1tfr*v8;4$u%3p*o1J$Xh4wW$8p+sN`av%~%CKNz(rD>!u41>50cHgwV zz3F?URDo2a&l&5J2PXuHw=lIq!-y<9vt_s1rnP&2z1>54p4@h(JNa0JLVp$sbasPf|3g&Wx3Fkijdae)ZJs4Ef#^53D z{)p`d6BRJM;gHwGOj3is&^nM38;`;)8Y4B4Q7TN_@soQ30irna$!&f#?=_}rEX9#g zA`FhinkyC=yKb8Q%cFl%PF|H?9^?5)Zj0?PzIFIvzyh|9M+gk3R}ynyqn*{a)LO&L zK#bKuv&(KhKCBk!;++~oInZyHQs*lEc%T2^5}Q&qTTC8>G|P;weMS_4Z28`F5LENE zd}lZb0trSb;FgWSDY`a5d3X1;hCrKd4Evv&=<2Yigl0zF7ro*9Cqe*g=1;LGf*@TQ zwSHZ170ZQmQ*sp>83-x7tj|L&5Wh>bYRnW)Za_~7^8bc@j&^TJJ&Yy(T1B2E+@p`; zOpB7deSN%z>Ui&ikl<(}fe{INU93|;E)E^^BkhG2Fd0~4UD0U!&Z9qPRm(Ck z_8s*PU7=AFCxno(wwr_Au*AQV7uu}m!zhcYTY^heDVGAiXzR4POA8y>%&udWwb>7C z8A&GUlJ#dmpv5Gq$aQAwn5pHEmY)DMFv zHwILOJl1J3M&FW6Z*Yvt(v_D{(jqQ zt5ncR#W>qNN=h~%V;qASISXB*Pk7mCT24*fl08I`jf9unFjjv=ieTmZn4Lv3NeKa& z&tlim-KMD`0Pt2*%m#IzN6_004@}2$u`$`u=|ns@b~$ literal 17322 zcmch-Wk6m_kT&?@uEE`%0Kwhe2?P?{-Q5%1U4j$bA-KB+cXxM}px@!n+}+vP+5Ps{ zzCTVwpVq3Uo~rITp-KvpNbq>@AP@*iT1rd>1cI;ufxt3h!GRh>fj;0VYA-D&tm>w7 zk^y6eS*f`eKR89SVah#A!{C>7WE(WDj0C`S`h&a`&8pZlDqV+3oh`zHuBBkotv z!}QLOqyeZRu>ZS945+D#c)dF%7ZEfshLCOmP>M)<2 zKFe#mC9Zd+Ilf7o(;Su|Wq{%9K)%dGV(ae_DWGvJwd|BvYAmB>z138n^no)t1O!yP ztjtyAXC)=O%Pnyf&nv2=xEu1G7Ctg0Nt-_$dCD@g*$Dx}i+S><=3IanWBkfg3rBOL zq-_b|pcaci7w(Owh1)tfI7AT(BFlf3WiO5m3JMUri-D$WkRibX3iC@w(~&;< z-VzlN8JS4uKU9+1N}Esd^h)E*frOm&qfaUj_2#9?UWEdVG@uF|hKcHaf@5o`B&sYa zwKviNGfMSC#)_K|q2Sx|wYa$Wa59rCPloR?!%!8z1q&u;8pQ!ItBK2#ivUV(@z$N9^M~n=8O`Kga9Eh6&TQ}t%~hP z%0zZXdfuO@&E)y!@02&JEtRS>X<4nc;))Ax`EP7&kctLv7~G$&s#jU&eaWk!0sngb6TZ`dKe~C1iVnI*oFE5Nx`1}DI&bIPnHP-yN0SzVN z{zuGoE>4$`g=m3Cslw^vjwx;X=0D4&o#r$6adB}YCN&Rw&w2B6uZ_|c?;7$TICvnh z1F_hM%RJ5}%E`-%ue$AzWk^QgT#jA|yViO+bRz^X0&$i mfF)GdGrSO zYrZOM*XvTVeH0{<#@dA;d)CZKgPGXHog`R2FRC$!smI##{T?~=sc zwQ8kb)}p;Pe!yIiM_Ya75)utY4m|4PA@+ILbidvk%@QPrUwB!^6FgdLdrGry56vQz zGiS!>h4E->SIiQqY`ywZZ9Lo`O}yS#hQ9F0>qfA@ z_4V zO!zaQBnP!B736VgWqa6}$e)dy!)ZrH$H_IqRGe53jlzaimo$&zcJHT5@4H3E{V{h2 zkRzZd$8rAq5d4nktKo&;hU1z1nr}W76uC4HO7Q?1^Te8uv6|zK8!8UxTTlq@PX%pI zj@Qtpl;~={Yu6uF3m&6LTk-yKEBTLo&J zCzaT=@wrwyzQQEWsZp7BYP3uX{XB{M!$fJ#pZOWPUG48 zeih~r&YAvJJYG$dW<(bJp9*N z6cPVp4~n23OE?x|_f<|#4r(I?T0l3j9i4k>28}8bGO{fI$^%?E%Ljgt)R+Rh71}K} zO}kht;d2+ax#aUqvP#ZI`4;H$$6E4n6AFYA!CKt1vv!LaCG?BEMmo%_mz}RKU&3#l z`VWzgC&qgWaB#MNTfJ?S&M>#vTv!}iX z2Bs_{NqNWmIZIrJxRFH}4{GL5tj9(-)MoY91@FJp-EFBjq0dUGku7w7q6G64`EAb2 z_11&p+Yv-UYu(DU_wT_#0?de1 zdaP5C&4TuC<02>aO5&MDzUJ!6tH_+pW2{`^x4#ZqJXe(Bk{-&!c`fS6G<>Mhi5|gR z6-B0;;1r!CNAqi&c2e7mL22(>%KJ7D*~pPDE+y^U3z2|VBptlg+uOV0QZyxVkE~ux z6^FsH-ZL*&pYjlVbK{ggsXSPi{|!MaUx2^cho4vYSq*RgE}u&FbhlKRuRf=5hM!?Q zsf?4Nu12FkY{P086*F19EEtmU-X2L-;6=4rqB6c80^n5{=^HxrJ65rK0vM;JoN zJ_IWyKO~b05R~Ug2!5DaDAIw_xnIG$3a$*}UAC}4Up=STfXod(_S^R_M@3{JvLtn) zG4SAz2RrD*#L;}p#b_?W?3Z0@xzs9CW5A-mTt+#0dd9nv5NZ1N6~%l?<3i|*CBH-9 ziaDn_pa9b*Vsfw1^sUI%fRml=i@n2 z(VHRatg=h!BV@~pht6+S_-KOX3qv((p_b~DQyJ+lSSY=QmQ^^IaoqAg(af3cj5~58 zSp&1`q8F=5PbE5$ZB(_k-VK~+V2EkZl=Hg3|2&f<1&iLMI%c~ z-F$;W7mT6dbHCc@RLGgM%#VHFoiV3H1pew3fW`f_l$A8sHFuZ_3dEng!^wsyJ^Y?` zPUmZc6`51&W?Q|XXIGb_UhTd-dbyp~{Uxc7 zwY7P07t!X}D@Z{_<#IR$l|*;vCN@QZn76Q?agyzSCLWAT=tmM{P0QY`Uo6$OyN4Ya zk=3E!cVolS(A-x?KhRR}v@pb)OU2L$VcCd-xs@Ouj-`;!CEeP2KUZV_gPyR$=q~Dc zGcDLq(#jj%(a zmvZdwCz|kGpn$%k@SB;4#R>QYYlt#eAn8f+Gr8mCPSeb+XlnT@*c^H90pQr96nlAb zq~j-YFPtahQOLJAmCyr_kAT>!$1j$R+hIrQK+5co>$rK;SLm*!JR%0FDY@QF>v)+NS?Y4T6&;?ohn=~hSJF8n6M56Gbxc(yuugZvdL zQL->gsJqSWXxbnb69eWj{T^CDO11efuxatLO$dvJPi_5f77FU75*tF`2{XJGFJ`(3 zH6smGh^M8c4Kw`aIbzL|w(OD^)c+r+QFzj}KReOV4(=(cu^-J1BG5NR;t>!i_TPGy z@B$JLxegwXb{;Ob=L}?(P|O?T{LVkb@ZX%hRlDAIDbKhP40JTD>~2PZLL)7@dbi0en*@abC56Fcw7Z@Z_blk>{)lQmoQM{v;h)x=}*6x+z( z5?MVpZ~2T^C3&Yx8XSwCl^Dk08rY#MO7pOb;=c6q>X7^EiwYqKlr7_6{YgnPe^}Q_(=@jyf81~g?7eYwM01nJ;aYiV zL{MslP>-@#$}b`rl%n8S|6|m-c}oZosF8Ar1P}hGpia>W8U*T8lLjVHq69h%0c(37 z0(fN00GB4;>EM7riJ&2~jTU)^;(E=~ITYgHf$GDn9S;YD75A5s(cQ7cc2R~Y%tI^A z20L|4h84;=qkXs4_HOUAhKX%@hut0Ga>Hpn zn3#LPW^5J%)%dPDU7e(+6h)FE)L#nKslPrBp3>7Xq**Bne&&fmF7z*uR{()xF6a*V zO{6xfSozn$;+Q86XQk(glXR{V6EFe!14`qV+H_LVHUIi&#|ae#Qk8B~-J=&!wgStr z$hgqa*}p~-SpA*uuWyLQwpUy2E z3pER0LXOo(p8AldKzQWF4oiq2P)Xi!{P7GA{+G>z9pXKAp1nuR0dqv8_)pxYfYjBd z;x$R&K;iM{cbGC$6U?;n)4Iuch(yOd_WZo| zL|l(A9P0Qd)L$~)BaYyUtLy!dGd?(DdEC3!i{jKr8xKN*_uN(%_o5t!eXE=`+j>(3 zc3Yp$o5hjo@glW7Rtbr%7Z}V@-jU)y?X3QMmMm!Bq-CpG(Yn(u?LcwMi^nG#R5Un)-J6SbP|wzJ-jVr{q=m~67j)f_x~ zlG!x{;@RFYTAfx_R@UU`!?$0^*>AAw%6~EVY^sBSaCLpv?z_u|=6;tyFS=RP^Z`f3 z;Upv;28HHAh6g|y9k=1p--gk49t`+(hx-+A*)>$l0!8kIn}_YC$c?S}Bw3V=OHW&! z-)3tGaHjus!C#SG|HiU;a^Cv2&ZF-LCEr!YJ-5VT+Sm1TZJP1Oa&fU@ab7Dak+QPm zrE=BtId1Kr9X-xua(B3kJQ|p8t2axhPm%{k^E#?h;AuZ>sQ{)0il#Y#vHj@FcI@zS zEy$kb)oLGp^KNKGaRWZ32UFqW^Oou3d~YQbEI=h-p(Cl>t7%z;JU9X zS=vnYM5%8gjRA}U68?x5x2z1e)9Xkd7Jo_*&c*pW$~H_VENzaXg_!G+S?t% zX>0!ymrau1B1I4NX*4hGJ%kUWgnPKJANM>m=I&%qmYb54{nFY{aaVIXWw>8KH6-^A z)$J@W)w-kgM-FjmzS>&cUCuWi_wBlR!N(hP?#=*~Li0qe`mG*H+YY8DKp;u05zlwD z+XGQieFg|R8g#&}0lRv|72?!+YCAbOQ50Nnw>b4Uqy%0lQHDp;&Zskm4c0JyjFd2p zQwAzET;k)mhc4anf;k0k--3MvDsNz2ocax5lKU(_W|h2AS2Z|Gizgc40c}fmAY9iy zj*(a?$FBN(6Cu)BPamzFd67M!C!ATC&|=k-+m3A)>4QPfF0TmUFHhcWUictTm7nLI zyh~A-rU28kzcT!V zERFYWeoKHMl^EsKXrqI$s0FPaD9z3OPs5dBCXp8H$y4}Gv&IAauYDRzeQ% zRHfgy`r+(T>QS%Dg!%kXvS>(+SMmOuJ z>=U3TZ~!9ld6%Ht)0wpa7&_ag_3i8y4N}Y}?hJt8)jmxvny`73)_+&1Q*b+sXB^e8z0)7f(I31E)1H=ihInSDEdRqFjk?A-HwwM`BMxH+9)x#> zbGNQGehTU>@7B+VRH#&NSjVP%f~ZrgFSnWe;nY~QshEQ+q-m#6Ldl1j={f3hh9#sC zcl-vRygJVn6mgmqV3E#I@$R5xPEg|{K%f@-`847`!^3^4VPWvsqHK6|cK_X_l~4c* zD~bai-(^qUecnG68(72Dj;%Jjo9&~T2bY*l4p)?52EU@JHlraS&Z8jC1>T=-?>hh#-640mFoL&cvcP(EgkPXx`3$`9Hi77Sq#VBTutQ zOm6SABah4eINLj69@5j&<2lC`W^1J9=2}AQnx|+Kz|gWO4#YmE0OJGH|1H(_;xLZD z3vfLeE%k+kxiI!zzCplhRfhn-Ly>Y*lO(A)@b@_ds8XVI)(>+h=W;hAlBcBdQ~;{S zfKlx3Z-u${x)Y3Yi+R%80pQf9M_vB~OJLBX{u;*>Io&@r2?f$hbb5lP{A$h-u4^yg z3k|?Rb?5^OVWp;z&PTN?)OR@n%YE5vt}(eZwAA(S>i&tgMKEgeLXW(!2tXh3J0sEM zlU7(ATVAgTK=+NavenXtjlBfZ?F3>w&Ry>Vn%vD&UXdZ<{!GqSnGWz zI*@5D!a@eNGX?MOGD??EODIf6xqNSmd#bCeM+k{r??#IEGg-DM z&{B8~%dW?W5>G!-E8Y0Ns=0;@e$5Ti_1P3-OfUhxG!q%k8DmTB0Yj)tKX~bcPLHRV zzS7#mxBeyD8Xb0Sszw$_c#Q|d^G|FAvXPE>eQ8x!N=i8bkE;Rf?1j;IN>pod3I*=w#I?BI6XyWKa%ld?#_(CT|OJQCX}Pb6ma+RX?x9Imd>CPR=SyJWIK+{kc`4CGgzP*DP%d=&$Mo8d#_n zppt~vCI$3j`$w+BB-M8uz3cNITYG0MfInMuYf3Ffp$~r>&J&@T+nDp)?Pm&={#86D zs;bG&{VXO>Zpqw{X%Dn5ifg%ptZ2L5^mnmYxN?6UYfvwrKh4f~9F$nyu>%@(BG~{> zJGHC71N%~2{I^q@_k#6GDgf<()G6N?_e<*NmU{YlR{uXWJwbw;g{oU4&6b%9#!t!e z!GEa!bRTjJjr{Xo?GrlU4px#3!GOAE+M?K3F2eomLcsBMBAtbx#Tex;T0Mld{ROrP zL|D@A+>k9JAu4RRPbDpoDpM0$ZO1eApU5x%52}@>N|pQ^It0v+E_nJ6#4SoIvG5lX z3$;7W++Gp?849h(_GIBFTSGmk1yQ)-=A=K+SQJ=oN!}+s%NO?Hj5hYNTo{Wae55*q z6rx@}Lenc#jCa7ZRmwwKl%{{H&)GgYxQxE7_jzX#f_KZq?Xb%KFX6uE*4k^K(nR!Oo?X$2Bm?iosVlj2U^)xV-9z>A%FD_t=`o?l5-Gt{&eM%&qI53t5fj z)E12BOtd4QwPTDE%#(~iB{v=Ptpt*q1ci_4xv)2ATb&q8>PaP4QKt5x&a!A&$zkw?zAP zFgBZI-29pLYVLx7{&HUO&tGgYUX|+DBuU5U-?jZe4fX#)+y7IyqKIOI@BP-ixd}^} zsoN*+mGGB~t;+OihDX(a?EX7m{-+WC?|VRW9vH&TeNn%hBecKpyu3R)@FEjf`@lkw z1rG=+hU}`2sW0|?-uG5;cwVdF%$1&VW%i=xaUiMH-n;KrQ-fqzGTpcg?i(>I&9vm{1M70GrWV|6@V z=?kRbvb?T_K2R&HI9xN9mzPhkS#dq5aX|oz+UUKGJsq-Msx5AAer(#$)NFP-Wb*BB zF+9%lxtb_ScE8`!+`KoIu{wi)<%j`699>vW2DJ7cr` zbh)AOTb)H;7-qSzH^&&{Kc=Me^k?rUI$pzBgj~X78{O?&ep@~_{1-|^FlZD>QBl#4 z$>AYUQBjY!0~(mKEY`xc4GogL&wsCw zP^8v;)aM{igLDyruzn(FKn5WziR29;nL4fnClU5R3KHJl-eLp-UX2DG7+`>Pk=^f3 zzNgU@UiBhaXSl0fc@1`|tVI8Lmt&!Gb9aZuq{F09wb5I{GAHDD2b;4quEkVSEI+Zkm=WZ4Yo1M*297{ zGKr`ZAJGI15Ju4Bc0xr(#n0@^!elziAGm^H@@X96y?+wvE1N7Pe=Kir&*w0s68c7v zcSOPvxsjxeo&mYkM0Fi=4MIvNkpJIIkzaQ0D%Crsah)SiTf5p!g&JigB^K7!+I-i? zo|WONO$QI+v!a5&2b;mfQ6Wh99C97MlpMW*$q{!ww-e6J&VDwAX6fLXA{D(z%rHdW z&@LB~SGL_P0m<^Z@^Z#iT=(rr&bhfciQe33foA*dekjFW3PAW+*l6Lr0=&GurlYBt z`yVrYDr7~dNpJjNoMb;9v~F0f2XwkU5Y5TX4ty6+AKV%wGEpOjTV7nhg!2>vf~<$9l9O9{X>nbuKCDN!S*=l!-`5PSStK?;pedIPCF^+5uD(8`+)Ebp^t2KATLF*HZsc9E=1hgUw?KBx6V;k*F!-7u9-lMLB(BI*>Sa)pgcrw#c0>uO=<4Nz{Gm~WRyJ_lI34TNcNg2{0qJ7fMQ@t>RqrbV+rkF`^}k?ouf(%KZO<(g@J|&iD@aUjD`@_=4yBn3w)GO z)}<4-AS>qGVYj~6lY26TT(sHxH;X^>_+(^tIp}Hid_6=cbNi30E+^4UVd+BxV=hx$ ziG^BeNFnsy+11y;tEE8ghn@JdjBnuso=)bPId1m1_w%LNJ!=jJd7yb(eVws-a^40@ zX(TAzV4k{?(0-JIS@BYxWO_}LJmn6Oah7T7zmJVeI7kP-O6m9c)8@@Otl55 zH@87}ckmZDqp%N6iz+5^Mow?bdy!V7L1NI&m%pT+8%REUEA3AU~lP6Wk z9pag(QnM2+?uVKB+4)u{hD19-)8S2jJkEr}B1tCI^VC)r;Rom0R@I7|lnA_^GOu%s zDfoRs9z07wCwMRSUM0mAe+u~E@9-CdkijPqm@IfMQ4nLs9kWdw-4Dl+4ft6td}?ud z$h=D9v_)dniZ0Ch#c;XEOl-<~O$v^@*67@DovoZQuDb)X3R3ic!r%FxM(xYbDZutR zzwVRF0{gwA>35f&*&pD;xuwoQ1%t{THEUyYyTdQUJ5KknS@8uQH8Shf=%qN<7ME7n zBEYeUp8vWg6I(r~Benh{<^&tbc-gwfW*YENTxovFc3Qx|+?j0AY&pR`^l|FW`5OL( zIaGy?g_wuLZsliKmUrsQR+cY+`X|q!?gVGsb(xa9qy5Al?~G2!oF@@C4(sVNxOmf5 zQ-azA8$!+TNTe;k8tZ$-3ZK|1;*;4r{!;o5+e7tWu%tIWukznStcF3xPWfS&)w)Ld zPXT@BE52eLo;VAAa36%Zx4MI@pHBEhYVqKym(jKf7#zMoExQuSMWiJ+>j(GB9L<#w zZJsaX%BG#b)X%4+$f{dMq^CX{41GRyn=x4E93;7qqYMkj<3XxwPPPBM{P%P*f3>wy zZ#LHUXZifAD0OYgul{6RhAiSyeTJt#-g}~m+Iw!#*Xk%hPYDAubU7j5l-${h(Kk>z z)@$xU%O23TXV6j4+mGeGIvsOI!Uqk-9|ru%bz8ma_6H2{a8qXy3K0osO5J`NU{@M3 zNGYUc)E@mI=6onI4yTeel{W~EVSzR2f9Z;~GEcExlk%lo7zXozCipwQ9zAEX$jYrh zIhm#Sxd&T~t}ocLr%_66H-QFiZ+mA`FEiyYbUJC^*|$%u-~@kn4r^{T@1Z3}(^DF^ zZkobT`K}8f@oza}q)5*-*d;dxhvzH&pZA!w9nZUT3hf z4h@VA24!e;E38h+`sQqeQNVcOcBik5X|;wM>+Ux$SF-#ir&Guy6Tc^Ib+_RJAS74* z7BDoSQbt|y9vYD@@38#%n?=`0nVX#ji(tsodGDDL7lC(W9=AFLZBL`xWcnjj2n10u zq(#uL0HZ_|=|q_<%JHa^#k$i{^*1Hyomw%})8XVwjRuYaQ3H_y;TYN8SOpGpD#Z&L zos$pt8?n@O`=OsjyJCT_!SZoGS%7dWzhb~d?aU-sn}o0TnujM<8pd!><$Vl!IkU7r zuoK7lqJk!lp}adU0TX-`E;6{Y*n&(TTu7yDjF=AY`#r}m$HY%0EIFz9-rvVW9(kjI zNYLG6pXHZHUCAnMowB2#YtB7n*+Mgv?YgIiRyr#Gu$$gEuJWr@%r*fdzrVqny&8TS zpSSPjm9g1~Rim^A<0UP9yfB84-p#iOTRrS0J3T{my1qf7d23JPYHLfeso$iTRQ^xj z^D?}tjnNEGX9Pd>TbLErufMz;{0=ni{2gDY=7#ZL@Tt*O?ECR6IrZlF%yj3kZ9-*) zwN}UISUExO&D9oH0}A0HIAtFQPgnMX6$$?8efyh9P&=k&gFEyVJwfItL!Qur91ZXCxe?*_A7dsAW;WK)3zAAg&+BF7R3Zh(AxNvno|-9FVr_MPHVDr9=}x;d zFCa@X<8(eZK4Nn>9Tjb(+ajyZZr9J)+;g7FqBPOOLZo$Iu3S5V{6NWa9KYebWCWzL zCjoY2D8lE7;)rT{BZ*;bNXJu_M;1my_FDhQWw1Y>``2Fhw-w*g+=hwmSbLrQ(rs$>StYcnzf12Nbg+9pL=prx!qFD|*H> zV!fj(IXLCBa`NjI{HW+g@Yu;D$*L1B=XJDFgS;^oS*N!z1R3*ib zIl~hxn2mdcc!k}uu#X43mi6$vR{qU(GYybppH`}{kzgY;a)DO?^f)h<@r81zOLC#{ z;9DtZrzm3}X7gxrs00Sl&C1~DUMP2sU~Um<_@q$yN%GavmMe3MJK%DGkhJ&(1_ejy|n^KSm zS&eK+ndmZc+gTC_SPEax?)J()V80Cp(pv7~e#kA0MszT=myp-R;V`b?tA!z7F5kYd z?d@Zd2_#3GxiRby6n6Y1yw3I{0|p8+1Ea)L7DH3&(?T@hL%g6sxq1AvSl(J2RV>W!|??*=;)GS|J`_>+KnN76O{m?YD z_( z5yg+H>kOIP{>>A!iZioC%2MNq()VcX*+gUPr}Tu(<`@-{<+~x}5mot7XOzoxvl=vT zMBfFDFGy$Qe!3zG8J0-eTEgW#dD1zE`+1*g*aXS&$^dhE)>OFIuh^%ok6oz1(1+YCaSw zJI}T@lF&E)H7rmx>X^^xel2SXA4Fq2R|IyuQ5>H_`@vL?o>oHQ?gXMtJ@;Z%*4}G> zEerKcg|15vl+m9586;7}b1qEcA-s=M_=-GPB(0}WIIcKkoj6F=HK!y4@jW_R-GV!t%8;AHu8Qw)svUDb?j_i_;HAma>0(g2q~BWh9ftb!#UW&2 zM&^|TVbzGX_^rm5u9;b_63eEGR0AHt*fQ8vMV3=lM1g_Bb8W(gm7^!#4Nd5zUF z!G(K0&?=oz51ZsjT%dh)({-uxqk)B}ZhtR1(k5iwAY84KPaYNoS#N**WH1F7Vffwe zp7XWvI_dgQ2{Vp#eSD5ipeoFcC5>6UNCF9;kWE(_DLpA}ot$|aY^67+W{>fHL7WC| zF@8Du@IZ&rQq9P3H}_-n%jUPPAd6?`Lzi?v#(qP&mkdZUJCyXYYahrOcmoJ!DeVr? z8`Vs`bRh~_7U83FBdIpgE%;Yc_?AHMFMbYMcBl8mJ1;I9z2(;mg${l2E7CQ)oa$(S ziK=2~;(4CS8(;l;!blhee(I4&V`A6a_crvjvAN$-=s~Uw^%FkTUe*5)?LMGu`KSWz zkVEP9cz1v!IQi5&w)>DlAYf0&Y!M^|O9mse2k{|`&s`!KB&0@Xuh~x4NE0IJN4Qrk zje&?%5Qfe_0k<^>+v$wvba8NJ{8P#R?*O0U*16z$w9!1*!)-~W6Hab>G+_3*SsLOI zc^Dx-;O*fTqbw8AaxDw@kLC-nfiHdTeBR?FmedVrQW?WM$v6z3 z+opeZ#+pSM^XXhr%0PrHID73oD3L5VjLO@!Has*ih&9UNkKaZ2~8XXUq{lD@Lrm(2Wc`72rGBquZ9{; zY|hhgBWwsHk@z#K?G_v|rir{!xr)7yjGlqiGMl19beOa|>fH0|u&nYn!@3~^0qo#& zZv7A*TfRuu%18)N{f&|#pm^VvMn;zAr_5TYlA`&}6?uxCmz$J!EB6goHz6J3Ssd& z2dWe~4S3+=LAZXFts566Oy6h=&i&yk)-}~f3>?&;5s`H^f7W3HIQ#!~spa_fy=f=Y zf515`#O~xT!|)w>$MklouTJQTUdZ+x>Y+vV{oFZ_}pZw(D(7&X8xXyx@?k=$VR99ORGp2Nb+- zsNXi=)(kI2O*`(hxFVa9wpthuIPET~*)@YSnmDa^VLQdr73jJ!Q(>7pVI#}(+ABWp z#X)Kh1eb~WhNrIISeWbi?ZcBpmttd|F*5PW*7VBPRSalXC)8ijGxd5XK zKUn$bQef8r1!iQF0-k&QXoycfhA1u)B3W8k$o&Vg!gEQ!_Fsrx*LQtH4aVH!_I-dJ z(XLKKfzlH7aJCh!Qcup*UaB`=TydM>iiEQ@yMwfa2??gHNrLV8;WyZ{UiqCv>{Y1V zl&o+AO)-XCD@_~$K^-)-}Bf?>jMAu|Z2p4Rz+y*{oaIhP?uE9aNh2*b1kA) zD(0s)#9Ere5P?=GB8nSV=pLxV5K542La)g1p4em18-A*0PbVk4o z)2D8D-{*(oL6JO(Pr9b?!G-sfVKn~)Wg@VadLIt;#Zdo5)6fVlF3C%H)+ibZNqU9C&>mBa^toXbHu;8yX;`zC=1&oc zTM|XjbOx?`3I>gjEX(X3zG#_nMp@gM=(SqyF8<(lUvsb7+n_t(2~xDHw%lHy17WpF zFc)QD$DP9qMIcqE(a1u#@~$r-3vihu3)TOmmdl~S&>^bUI%~pRRTEqC6Ua%#;h^!f zn+Z#AI)3@0E10z+`?yu#@#d4onh%^tftcsA9`3F-xEek@1H$K#i7m7rRtr|5Oy|_` z7A%aPkWtwq&R?S_4?#Prd<`)aN<28M{^FMrmPGF=si0E6W;2@;T3Mc$o(zR@WB#T7 z<%Zr*90qX9`7)pDyEZ?!x(`Uffj^p?TW!T)I>mO@b!`2h9ZZ8 zgoM)!izO6~vxvM!5^*q8cg$qb8I?weE-9c-tHbGA# zU1S{rwDk@o_8X&ib6!LeD&FDLEF!#F7#Lw7{k-ieX0g*1qKLu+QAN$yKmHFiuXbud z&S@=Nm`o;rBU{~J@=LxPAboUq804anzG!RlW&7G!N45;vO@-@`$q8%N?$;O((HbyR zu%q;utJ^oeo{Pv#q-vb>3z46~Yc%Xl`5^La0P?yK5z9&x3g zk~cVH#K0)1;oongKc}k7=%Drea{zTu`zy0-RY)SP@3G#bJ^XwoTtmy2Nm3(X#R#vI zL+Xcfb(04c6*6ddBzIYjheDiNaOt)LuX|$9XZCE#E?snaIWo;STBAtpuRrjR=hIeryMDFDd(j8;O*D4zdwWT&zo3 zINLjN)%S=WnmX#xzoUH=gYa;b{?;VW5Ng`S!yceknxL1&VEf(ejL6ySg)tDgi9e76 z;d&$SjD;f2%ukE9oe|-@9ATCow1wYL!pD?+u4%r3BMY8*et>Hnf(W?`q|Wh)9UEkB z)O97;1`WtLMO`BX<&mKsOWGDzvtZ)>qjaNouWuz~E=pGeJ=9N#u7lU~G((uzIiU#K zxO}?}>r6dhe7?$Ncy_f7kT$)bOBe)BX2~(PZBZClKX?@-dCG;b44!z`1?z=Bfz#a+ zIy{llgN^PmQ6GXB)}1;z$nD(wA0rutxZ5cUIrNQbo*f>&evMwiwFT&07^eQI2qa)|glC{{LUo{Cn5r;oG2vb4tPG#)+RNdAo~yqn2% zOg3e%*ZdcWXG}w)qh52FFgZ0TGUWrt2VH*2IZ7*{R8DgtVY8xH*e0?|tY$ZEf4)iX z3$y#Ea&gp@_`)%B$T;B0uK;%qnLMKKP5jkz?;w|AZXji~&t^wY_I@SGEGB#_g4($D zS7-7_1PKAU+iLfuZm%j`vq+H27rYEIUNV!>#SVj)m}zt`X@jBYH3i@h`%UTnYJ=u0 z96gOo`w2sANz7^wL_YNbR6l(OVaU^ZyQq^-#}&-I6zPv@dW)`X67CRDA5}~lDun1T z8dl6~BK__v!E3m1!6cs~T|a_8dwh{&;BvJoIL~6GY^{!4kXIzVt2ky|(Dky!gYQpt zx5b3i&4zF+D+@hF^R2|~@y*HH*xU#Wg19ybcUr;;*8;4`TV*Gh5>=70V+2S9A-Q~Q zs@@nO4)5*neC{EF3gjB)n!HN4*aG>~M`9RO^HB2D)6o@)Gy}5(EL8b+Nm^kwA@v7t z##ACA0b?S0zaLmSR69<;8}KA>r<|TLMe$mq=~<9j4tlLu{4S%1rgKAriaD()?T2v? zwhJPU#zU@jTMhW#5pY>f3}EhAtJv|om#D`6RzL*LazZCN4$ff7cjOQdaVEn`mT1W= z%vTd}b8IB#wWFhFD~98YBleHTrN$@x!H>;~$NF3h4SPlMX2MBs3Hmn(-z`yu#t;RwVZrDQW{WbCB5K|gMv0IIfkk?K+7dW{&cXP~*+myf`x9>Wisk0uG+Yb6DaX7` z?M`RJ$N+E<1`X{jpERIlTJ3s=zj&kFUKxaCK^DjZ3-bgfB5eg%CtY=R@j+M>1C#-; zYwZ?M64bijW(|jiRCn4+hFddAC*uL<9Nejs+9H<4575`YU#maQgD9h|WT7E(a2AV$ z<6sZxv3i56UX+5!@?*)sq92m-0wLrw@&cs4)saB!x&B9;R^0Kk-XIHAk`?!Q6Exg9nz~1cC@5}4o@f>i?i>Xk0u|Bz{X`iJ}>dFOf^YqeGcbvjabm1WT#Sm+*<32Gcm81E5 z+`#-kIeW~R#qX(H>Y=8<Vf?HaTt_k^j4&n2ZOdg3n?L25tMZp%0-5 zVXQ}j{*Yozo7p!Uxil%2RQqiXTlix!FIk*2A94crz0peD!o7CqP_oxnyp#qb_E?$O zmG2$Mp~W-m;6$er>v1l7t`NeLqW#gcT=f#Ue8@!d>b5^+wdCTvyn6?qT{htZ*qCBB z7=%X@GX>`V7Uc|XVNydS7{ZcB481R_aXAdv$^{GY)7UZRPu63^R;g6CVW zQ7(j_kStiHF1RoMM5a1NW=5uy#pLzY|I-`*MVnoEpUj#eH*J;^N*~onfhyCv*fXGr z#`KL|B#?ytddu%OQXod{_0JfeZ{ZQk&s#M6LMQMWB#WWa#W`e2ai2N+#^te2&S5@8 zedLdj_<$r+Wq(iyRnV)M@*sfT7IGoepBJYUPP6iBOVx(olH)9u%^A$F;P7t(S}kDe@H0;_ zO@kdaOQ`4=0#~^UJETqoSdnNnY9%wfmh+^`0$*fRn>QYvEy2;0k|APu*`Z~kp@O2Z z`TsPM($RLqL0PDl{(qkM!6;`?*B!0>oq zL1DLAfes3p#LuO;Xw_a?<#C1tk%^+^wnxPY4UPUv9dID^#s=Ljp=A#$d8F0EZD1jT z&K5Q7?uin#_GeF8zb1KmckH3~=s|&E6GLPvs6;+Ag&yR+LH%D7k(~$xANe1>mPuXS O>!rmN#41Ge{QeiGaukFB diff --git a/doc/4-instrument/macro.png b/doc/4-instrument/macro.png deleted file mode 100644 index 4e03bad9275e46216d5dafcefa2b76fd73f7b266..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 138231 zcmZ@=by(F)*HsZv0ST3E6r`lPMM_e-k?xYtqjU%eNOyO4OLup7cQ<^$L#X%O_xr;~ z?|tUXnb~{owb$M=b3DaF_~4$QKYQ@t0h|E;2k{3Fpz3to-d$b5Ur$Z= z<;)&DfI$NPdDu7Uc=6y7Mw-9}E=lXLjVNu&mjdH%r^i_t9v&L6wOgI={JFl*^cu?sVDNqZWg7%aLYXUfajC5R>(ku+I389JL{h0QTqbRJ8eRDN>6CcX%(k6EXTst3LRX=gWu;@Qo| z?xsp>^HzlgCVXsi_9_o|&w63EWgd@uCg7R>Lx)cuu#}t+@4xb~iPOW|kFG&63Shvz z*Wkp4hjMBWgMguW_yNiTwy26C{Y7iYIwh>w%^Dw@)JZUa{{{6H_=17Vp+={cdH-et zKX*xZ|A+VxXYmMVJt(d%4}kU5K7a(aa=)f`-vYnWhDdtA0&5xM*7#M}hJg0@>wAr_ zEe{}G&OG*iIODSOCk1bD4ui237Z~Tqij5Be z9!JTEN6iVI_HUEikDLkcV#T&$1#b-j4fkf;*J|)qZtZ@%SXi;@Z-KDy((K#kZRoP7 z?+3?H00zW|`1KV0=jH>mX&5*EN>?On$za9qCjsox^1%P$EaLrVI5i&pvBAwEUNN@L z1f;tQlI&Td#@??1_>9A+I|GCLf4h$EUjk4z_B0E-zqjQ75Eif$c=~@0js_OIXRRpi z-#ia?Gd=`(0W03`|Mm5Nr5hMb0*G0%sQ0WX5pKduNJWxWCX2^%gvmlC$?N zjPB8JGxjgE_mkXg35Zs}b?E-zI6A?R{AXKVU%-m7e+AH0`<)Vv-GjOVg6q54F zUt$?UQp`NwZ5LoX?{{gey3!;~Yh|SwEQ2o1!~cGE%v^V;t1z+__WDieZaFyvDj@9+NFABuln@lWY1NTmjIvj zPxRc`c96>?fD2oN!HcWo2^cmee?aL0d&j(gqgbuhAVKyYA$J>0{~3xJYwrblLw#Ue zfr<5>P(+RW{gF8tG7lgoxUru2o%|IP_unr%`B&Jz2M_(jP`^p%-wtz;MX+Jrvj%@F z7*pV|f2l}SSyPF4tEb& zaJb(E%~|~IW^QQz-dvU5ka&08al<&2J>3XsC;gfKNHDjM+=T`sd>+ic_z>XW0dv#M z+`rd(kMrqY0?A!qPyGNu`v5`}9F2qYU>bJe`)$$twDmy51MmTNI>7&edT)53rf5mQ zq;|`ETsM*SH*$e&c#>Y0xaa=O`i|H?F z8BI(2stUUvPzI9U)%bQ2<$UbKRen-*rjM(mf~s@PE#?FY`korpMQG7!oWh&%LwIxye9Uww<`~b0l)0t5H7KG|yJ9$y|`~~vPGP40{eMt4&Nz+1n z1nh^AdRA0Wzmv`_%_xwiHg`TcN)K-c6>R(mB2thd)GhO-0*!C(>;_)^ z&fPZtfk^P^B4T70uw!mb3?2%k!COuCPl`x;c9Sgc^Y&dJgN^<_mJ7BsdL!pWS>oCp zSU}t*m*0K?Jor1UJrJqcN{awerVAffSKg${-}b(N`d=aEX$)`=*l4#Y`-aYLGu;1P z1u(8`^eG`LSi zJVey)fMj;m@ib!}?bU zfRRE1BSQT-Rz-Q`sy1djn#%ZH+6TJ}Ra`boF(oqj+0hGKX=femLmnXe^rIy8qt1Ss zB(;5zQZD=b^yQ6b@3tsu1{QLxdnbCN=GUA6Q<2<4AD0?1$2~XP)&O8?9efPVSb%PT zeGJArtk{$nEa9zz|5gq+LaIULW~`td-QsSgt~3~#cL9}v_?OBDZwBlXJ$A;yOxYhs z*te44E~3C*{;lutBLt8SJuz@#Wc~%?4^Rg@r!-(L{#X0~$u0#Q2tGXro%`tcJ^4Rj z2}nzb0~9B)F1e-nzks>o{U1&{ffAv6gG@Usf!!VR$8Lb&1IXY3<1NGgi4llGEnsHA z&|uC2bH?rD+(p7*wfbAP|KY9~Ni%hTyndG=zmfl!TkcFF+4)x%y-kf@!721_@p%{B z_j(Q*SmY1OTRx%$TkVe~07uhZL=C>W^Y@>ue-~4KHg>lhdk7-58>+pnpzi7daMJlt z1$964)(XG6!At#r#Dr(}Upu4Z{2g`wwbxBF|4|aZ3#fnL0W1J+zaQYd`(3S>SU1E} z--z4460J*$(M^=3@isf%4E_(j{$|WOYpjMigY`Ptzx5A(7Z~6y{$F#^aB8O0_%ZNc ztDwN#7dznE@axW#|86dA=&ld|lkwfe;CdD;fB#H<OzyMRCS-rpl{d`*`1M-+HPL;ZN@P|Bw!d2gKX@ z8{9Skbns2$xK%-Sj{8%Q+!tElHE@Cz>+dY{XQ8(=2(I34DDW0M7Wtuo*ZwiP5cghFsZ2k$@$iQ-3tC( z@PD%I|Df(UQbs9FvX-05*oGBQgZE9gyZt-$-_|l$|4@*(Z1In(=Mkfn@NMC9AOHUk zR{?FZ_1kQEqbTlW`8N)*=l@QRcl3JJds`>n%8#4azmKKg2D__!#KD*Y+D-R_1-8IV zx8vUo1VqR|6gcp1Rqsu+3F-_enE~bdj{@l~rX)7KZwke`X4WmI{ExH&1QQ)&tNqO| zaIr>-0LGA*;my_nt^v2x^l%y92$6?Ys>a09ZnG6vLeQD7(ifxOHstQUJYDxMK1V>i z$vg)@OcDI zI*Ur;r!TN~^=_LZwVdGG^9xLWCg}!w8+5iUa~vfXc3WHhAu2F6Pv2*k;IL0xpKR>7 zVi3q|88DbU%lRdcWw&-#b)9PyBRE}|94Dulk#>Gq^JSS`LAbMo;%_UbpeK<6Z89&` z>W_OTMg&V7_Pg?8$Xm?vqa}W_dE7fw5q{B#HpAj+R~uQ-@X0O*>!E>DeI&NyT-#dXvShNWID2@jGva_@RJu zg&D{BG7FK0?&GA8tmL^c>(eEy;_!UC$dLmCZtcIKCLI#oRTaumbZkAuq4(CTE;{!O zlO)um^=xIc-F2I(vJ;79@C`<8XHFFL#;h^;H30|MphLMl>w4o*naPYCiT4u8pNoKT ze%E{0sb+4l0{)<8PQA8N@rVLcRTUT*$Zg>WMi~yBSY1&8na9gq zr~M_Em0z|!W${7ByZvqi+D^RW=~KEv1(FVDtd2+VjL7!;zE>fRa>0J&bd$W)xVL&?$IQ!2@2b6I+ZAoTf4Ljl@1nx~u)O!hezQn)apq_8OJmii z^|?7^MJooc?mh^bARll{@vxYjxk$K6-F!ErX3H{%H-1M4K=-+UdwI`tO6 zpN7fZ8?t000AbUU*NZKGc!QnHdj3>UW+3WvRR}?$pU||U)@+!>LOQa{v^($mHS;&n z*_)tWC4w8khP>2khm9MYa(GSLrX3&c;57y2r1CaGiyCF~YqnI*ry|ZX|9O!fC=rxfwrg)%&nKenKHdV#|2g{|MG!d4K{~i zab{fBM|~^uk|#>judBYky!`c0as~QK5n|p2V6*s zgf&uG@?xJR=l>~_YHHJ*WOLDn-Ma?2r;PiJe1F9wozv;){etaJ&D9BOL6aqAF+Z)9 z{lO73K*GQY!bR>l?2PQF?ycf8uG0@hr7~8`U-vK>DKQ*2B2eJdyL7Wf_W2&pK%Jk2 zpJGg$VVJIEz-FswWhgQy>hA|^cv8c|GWA*;jg>_YS^em6$%i^mq|Apk(ei#KnexD$% z5QPvn1v*UA^A-&^-NKDjgXyxmc)+n)TCQh?mH-QAOt zAX3R&_c?)&Ai&)3RLB<+Ns*h^Ix@FSWjSZqL``2r79jfSCK#K~9n!Rvg|QaiNO+9m zDCn2xy=G75frds2{q|m<4}3p-t7oSgjA(Z@_sXtj=)7LEn?tS-b11KjR~1bjJFhLP zoHp+Z{=30l5lXL)fF=Io6`CpSlgg1+(m?HO@94sKiKg#siY=v+zlgutzyv1e#9{4V z)0f!v$*$HVlq10WU1dTP0^YM7c1QMsw1dMEH0MF!t;s2UoQUd{9AUo=@mP6rm!tl{ z<(BM^DeI#(;o6EJgQ=+jx`DT>1!Q_LWtQ#|*H=@+Ex$gdxn^jkm@u=!a`)*FG<_Ov z@|XLtVEd4GOesN1z5;NkFc!0u!*CT*w?$pSnhBY#q1jh8UZ5VhFWKXKoh5XFz4$H! zm9m1Xp4Gjnsq|?V-3WNa>SNi31P;(? z`T4-2a=*09Ky?v2^5efdfRbEfvYR%QI%J_gSsHN}rOXrE68H9#rFgNg1J!C3-IeP{ zts0ZyhmX7{&fiXP*g+{Q*Ejniix{;%R|<9CdAy<-xIUsd-$KS#!>%VXi5^kN3R9x)dxNr?^f zw{>r<59TtCqxqHTH~T{@!P|&h4L6++t(! z;UvUY{Tv8fp9H+InU$e6t zbz+~5gWe*4?-Y)xR@@0To~{lvfBu$*B~hTmqjCJGKtZ`wttcQW!`I3wufct%skh#| z;!xLMdkYOQB!DS1uG*)v%01nRnmc|Ghnx9DT@zVYxh_Z1eD?LbEGBEcr5N|QBjIkM=j9y2&gYta~$Q;!h9JNQjE<~V=~z4~^Vu;#>u=TEKTUqQtHpgA9g|?_yQ@sg9WZKizhW(DU z42E09^)c+;vt4`?%J7jDTx|pbkHgAVy0vqry(X(JwR4!@A}<{BVofEFj_QdX8=o#W z5Fc_pYACeU7=nrO+d_SrHA-Ar_l?zDU=S8tXl1mespwl?Rb-L3xVxCe^|wVVHfA_N zJ_>f5 zO(UC#a_i+!11^hapXyKRIUn2GtHy>ZUQzyd7jqV0Zo$ra);M$E{lRUeya#2I{flbt zRUjHni3iakLX)XCW+=HmhJ5k5hw$7A4#!tVf~BX+R^8DxEfGJaYsb4=x@u#~9PF%O z1~OF{jHVHZ1m#Zxnvhw$PQdTttjgt`bH&t8Fjk zi!5ZC-e&R>pomqMPd`ZV{p1C5d0Wxg*S)B!v)mDOKqNWxIA_Z72^LUqsB;yRRz8Dw z+_mgdED}IhxEfadaO8Aopm|oN8IyOdR7GwRA+yb4iv5$Kl~V{CG`EJOYq{N>u>5)> z`t!TQHx+&Y1!;>QoaI(-V{q2fyDV&DvmOABxy8Ic9yGkNim84{_VH-8e>t05%d5Mx zGT`NAMP!XR&tq#SiV2~Tnr-&4Lsh&gW$kQFrz#y%!aaR5i_;>%Sr8B?*r>BGaj(0T zYrz$L*XmMfkgl-Y_UE}s70-(`o|{i;oSm#V-Y&t>*ow2lVMV964A2&Hi8ABs)EYw9 zvMk?ZJ-j%}9axBZ-j*HB;AxK!tck5iokiE`UKaFrReF5S9N}nM= z#&PLPNr_5kRUQ)teLtDvBPdM^RVBL~9RD{dCaq1aR)vUK5@-i~$2$}rSf8=lL`G5Q z7^}>wWSt^cKMO$;vE5xO3RCci*H5%P=E6L)Cc96+}BN? z-!&aKq}g2qF)sZy8^9vD=63FrS1 zIGA!%k%%WG^Xs1gN%&L z3{sb@Rhi^_m%Aaw1ftYuKmKVn9Y?TdyX4cUkWpwkyn>Lph#Uv#-^hfqCc)bDsL1Hk z^uxx{iNIwFHfT{|3S=^DeOAYCr*Bf+N(FN+y$vF@`B~u-3_v;3$ch|6df~#tiYF&B zE#BKCmlfq_>yE$^B1ZDe@N#i;0zGu(I$O8ga$mam%UNWR1tD@`#B`j*YPPBfZ0X|+*U9`C5fPxg$6 z;pM?rRZrXgDzxH)~XPfFi~A&3c-a3b3S`aCj|XHet=!a6xw-5+fq zCOAuO)eGyZ9vr4jbO`LH{k|4-aRG^9!3Me(!{(Z(DKw|iN5^uNEYb^9*fhMtVswTg zL~gNk>ly0`#g@vI@toEXEWS}M#(nc%1m{{PSK6=QAPOq{7^Dpzqz@XTj*Fq|G$`Qp zz1+zgfx0|7H8aUR`F5cWV`=IfGzH@CM4ysvmds6aWA0pWca!IRdf7i!x#&jXM>--^ zVjTSY)D@yI{p0qk{uaA~oNNFTGF#7OrNcItUyJgy;;5CWEX1G0gsfgILx;kW`DTWL zz3Y2H`io2(I2<4*``M<_B0JW6ZNc7k49nFawxhhrpn?c-7#Z>s{`Z6lxv=x81|+<* zVQ1eU3MbTmDp*kI53hDro$J=~>9W{Rsec>gOVL!Tt-O%)iD075GnF>gK;i{zO`z;4 zs+v1|BtBw_I>nuAihSKQxkqTJXIN!X`QiXVBEk|U5t~t#jZ$gS_-ndM0H8MTRpIoT z0piFjkJmEM!t#Km3VQWdSMXJXQw^LWju>V#$L>hpy3?f1B9q?I!HQ(Eadu#uAF^O9?JVq(ZM($N}^{~Ecn z!YXhZOU__0Si=0Zobx^YOIs5+0g54lB;(O^T5@m)21e)zL!o(afu(MktVAohH8EEMtM21u88l^F{03xVZzq>G5R}fNg=^&Oq2?rf*v`sJU!<#oK z$X`g*HclEf`Q8|hbo-pbtsqipe-<`Q+4A{9%Ak4P<<-%uH7z!rS!R|pZna%ye>he! zjGLEGQ}H~kmN|t&rAlvdR6=A}v9{xztr}1^ruNXU{tO%}%C$PQKE7hp*DFmFNy}gm z1SG}YJR2%0M$FM0Q9QBrcIF(|E^T}B-z`x%2rs|7nn>>E?+<_I&YR#WFH*JLO%lh$9)+8mYmL5T!`!3VGYBUYn*z+TV= z*<|1IvcCnUqh2)X{)$F6cVDQR<`*K={t+LY#3mYaOp;X23LFl1wx?V_`$89-$%=%s6p z5aEoFu$b&ewe@`A(pj3q3JgY@jRxuZkzRcGTqLRzFP3q&vS15>{am0v6$_)wsgj+{ zEt1l)5VFQceKPeK*cIs8Ur$(%NL<8D80tzfrEv#DP;SuhHrq!rS?Q9GDzAxIU=4PB?qK~xJY*Zy034ji8G1CcX6F{QNH}@?9=?g_0mLx ziTD_FnDoOYhDVItD6nOG<)~%O2$m_ zEWe8SJTN4w6Jb6@Qn>e%PA`mB=ZS(%qY9s1ow(a?#`cd}dKuA>w{MAQvvV;RlH+QC z&d*_gad6r_c@DcVex0n^!Rks^Y^*UqmZ)S^o)IjZ&*+aA9F{6yVD{MbIXBnY(zj1+ zGTDPuhP?^1Pr+ty!%QpO$EP*wh%Bv+2gOsMOq>5uQ)>}&q z(HI8lOKPEI%6X}&WU)(@QkfDNiR0y&R7DoF;kTU}Rul1KoYWIOevKL-OZR57QCZuM z!QgvUIwYijUCK?__JGvq1a}Fg*DQ9s2zI$WA;bs9Y$FO+59Z#KB!6gWfpn@FW5(Ma z&6v}!!C`kSv^WeXF_YNkM~L#u79v|g>fx?VVtLVdl1v%oY3%jvGIOb4rj_n}*H|L~ zS#Z{McNr=E`0FXXIn04y4a)Uyi2WW_l2w7;46o!1(`N7_`ErA|marYU+AH+&uE^&` zG0d?}m%G@J%jJ61HX}(6NSI-lLb6qLuE0=40_03hBJBa)ZPIMVY-P^2R+x~{&LttG zSRC5i%1&t!jVG=0`SZ9Hi`jS`ra%xT5=fVG(F|4`rbz!Vp4D3E@yGgcwe6~}DH=fX z9decX)!11(dQoD(v*E|ter_Vxh%=-CRWZF;5>}n6^ zR~lA-3Ej9)?s&G>_!>$7%gu$$TbzFW==ZdJo84x&C|45wp+{W-2K_I$rksE;nj6zZuR&_DfvGac*+xf-o(+2XpB zEPsI6CX8u+j2(x|ZI1NIGmL|%m+7^?!|>F+Ho&&}#$>79)o+n$e!n&dT#(HL&Sp7Y z!YG&8_<15VWUFkNC5H-p0cn#O4r!$*4K=qNV-vg*k z-c3HyZx|q(dtFCJh*qd`ywj^5EDYz=&ohTtN35l%Z3my8Ih9o-%O5X{SSb=(PwZ3G z+#wE+Nyda6No(b)cK-P+Nm)Z=6z%fh;!KCd&WzNc@>+~Apna3|2ZoKq$@Kh3a>X#B zL4UbL)r?>}p^%o>0N$MDor%0R>y*ynbjL3*ie5YZ)QZROn>Vibnc;)64T2L`&^V^)HS~xN}0xi!U)978PtL zmtWH)vI)v+e9mI)6q$vLC^ns1{NbtDjOg9qv}zN$q9lY&X2eMEpt+Kp!B{RD)7^<3 z%4`_6^OM*7nNo#ANK~A_G)r{{QePw8szmBIcNNOopogQPfl>JnhF&vHxAKA-$C(F+ zG@o#(bsoci0uV*f?(}NHAVRfXYluqq$Jf8EOz_K*z2Frcx1TvUv;(v!q6oxvrNi;? zHv$NEpP?eNxdYB|U*SmS6_Kp9sE$lxnXXOl%$8rQQgG<0o3CbtB-p-FC|bI77jF+?v%PA|JK#hvhsS2D_1EC6i9?Due^&5gWL!T@cBd0# ztR$cNoy)pi$`=~37-o=Xt`DAu=S<>KMBfC*H#;x;65e$iGh-rR2zD zY4%QVydb-ks%puLq_xnb0c8x?Uc4V-iq_CJd9Beg+vJjcZB~&fkU`k>O^B7~$Ulvr zY~;;*dtrLCgVl_gl?o%$5`35C*j;?ohE&&T;`AwpHo=4uzphBiz)6w4m9V5GwwEX{ zBB3RbQ%Mpc*v2n|<7UzKBz1h0oVQx*{Nel;zuLd^h@`fnqUqx4JF6*kDOQ>nPx6Dz zN^sAmX@hIS%2`AtwZx@)!4c#Ii4u$gBTenstrx=@5Mh0uQ^iBjEYW5NS*sI%R6DQL zvD}2{7fwLkSE(0*i5Qv!}}W%fZ z$13@$3~g|<siVvhr*7%QLw)nXK8nqpd zUIFgrpYjP=w5({4Q_`;yW3>jC_KMawjz;NLwUTtGc+3M| z{Fp#t>JsyPd9j}~h9(Zj%LK5SmuPH+zrT*Be%5`kLL)gQOG#fcENg`Iie=km*N|^u zJnOi1KcrOFE9uNTL4J=$!2*oVfz3E=tyU};~tj>e{*nwr>o8FQO%^w!%fal&+rcWeIf{M z+@S|Hdp9|;*`~DUMF1z=f&FwLilKC4n3IQXz~>3HgXAqNR@Sah_fcjU6LNEVk`#0Z zFl6F`zc|NH=f&o!sJRjcc=6RWoK8G5B3RBMVI%Th;kQfqcA6I`twk|OuXVU};d7p1 zNb>Gf-|8tvMK52ttjVWu#WjhNzB{`ejiicgtHTN>w3M*IDpl$@=Q+eSfuxGkBft%S z0@321KrjCwSBk|OLW)B$=kJNUp=Y--#yy&6ZorhVrMuFMp42o z(eK@OCxQ8bJKH;=`X8IhIkkupRgt6ttRudV>}Aai+09GBjD*VnP`kU zqk+RM;K=H=!!(4ra;0r&R@CM6DED$UR<(&UIdr!QhshDT!jS}%#p=ai#D>Dv)v?Cv zLt)uK;LQN|Vlf`|0GGuB1!a@TF6$#9JP+w zfanX5>2rb17%5qxn8vl7$m{=gk!0t~=5Q$8Hrwa0m;4IODu}UUCjODYi=mfrkJw0Q z0~%X$e!}u^Pdd)v9I2f9MN6xCH8eQ2GW9h%sXE87QYh!*gQk#x{Igqtj7)Vf#0Kp$ z-<59{uW-s5jjndqCOi=e@M2mXNguttICO$GeTnsM7k4utn?aUjBbqIlMbM&Ez{x^e zKX;kTE?sR2(KX0FXf_c3!$ zvo23hS!}E`+d%%hFN=d)CkQs$k(Sk~GwD3}Ggj`kNX+6AMr(vzhYSzD*~$s=QH&Fm zPkOa1C0V_o4u3OfnIh=us?7&70y-skyWHVg)$lvyDNT@+rhC_e$ospGsIf)3$Qwa4 zzOj73l_f5d^aVB4fF{_;@0~%`0-c2726HcGCKF0b@^f{UqX{?5GfoeJUcR$eAzPW$ zo~wIgbXDZkiLdoyva&g6lzb9qEW9ra-S8aLg(-fv-tKr%q+pfw9di75fe`lecwkf>1xCCXLqblK7XL0z@EUR& z^FE7jy(Fyk=*&uIRVJ|Z;5mtH?pF4IGJJt7nQKyO)#TLr0>w0MX5^IAYk}I=g)n=P zpc2D)s#+n>QE)49_0sLThmZ)IASUkHxTlxFIg?^(vXs}*>a|;!^iRm&ek#g~6*$=O zB&CN*uX5Z^P79Q=(QkZm^qSZm<0yZ9CojHc9eUq7S+}WEg_sP_i&ogiS5@&jgZir)l4=c8hQsGl0ijtdqjkTz7eJyysyXauEnSKE;CI!xMJRIcz_Za=o1Y^tk! zuTM0*)MeB;ZWX7txS&N`T)3{$+cX$oRN(4Nb7`=J{JImtAhQ+n=AlIo3Gvu00-B?( zZZxfBZ5K$n+M#=jeX8o3k_2S=f&jx(Shbd4m1me=jRqedYSwXsS4;}u`7;*f?3*%x zyS>k{CK@97KWP)zGu>wLUrVjJ-Pd!=bCRm9p*`fPi+_FmZmphmtM1 zg(Tw*(3>k?xopSn(^TNv`a^jJ^;-Ya=^EY$lV6_#n)O|uPg?EaGr-HYek?Vf2AT6? zAJeGSUL@&{mS~8C6GXCE2t~-hrFEjVJ9uovX7+vxr~p4y)vU{2Wh;mmc0w&KNSTq9 z>P#XZsX+V0D7oh04zk*$SwzxT zBy!GFdHvKFb8||FkZC<^BZ6|f{{9p}pIEkLPOp}!4M+I`{{iJ@c5gI`+~B%chU zbFFAfJN;OZyyJ%v3wWlW7+cM}(J$tLwHftmve<{52MY$Df0LT9HP$=paB^Ec-$j(5r7%M{A#CHus1 zf^^Kt4U*FLFF0VuP-8tHyO19sk$qn~YP83|@o}1dVmk8j%grB?- zpedQzEr=x7T*OeIbynSl7`guVoyoQgvY9@AGjRbad(NM;r7<&VIIAW$^)wkcz1I?n zRLUy;JoDh19c`l~d%Q>`JZ+`&94>oee5U*aXy(mF;j&)FOXkfi*!0orbaiM>p!b}b0X>nQ zr>yOihi_r77$Vr zo0z!SelCBhnF~~vygNU}s_tva9+WH_o*dirczREDE6kc-NMj*6Dk0gUzaX6~jlAB) znfqmr(Tbt_tM()Mo<&#LVo(|By1I9fuy4B{OlD103b&KzTN~BJV*r=;cKju@zPviR zAh1ctjEQ7YsX=}g-#XPlY;Q%z&zihGEgGkplJRDDBBfh}IY}gdRZMH+%a-ohN?Jig z;krnn+%t21Ju;89%(ac*0oz~)JP$r$v#Ff%GeBn96KRF$uB)p%nySqQA3_z<>CT@W zO;#Wwk#DeChXcx9-Q3nSFIKAIGqPC>tKB;niSPW@$Fnai^^ujhp9F*^{Xi2zdexk| zw}a+Q`W=#hx>@=YSxX{+lTtn8>yJ4p6v|<4DIpa_NFzR7(UPh_Rtwr+{x(Ov?;FFM zF*T)<@2-Qyrr699XD0ioq;&HfUgWY#pp|n>byQ{x8D7F+XG01&ju@Tr378+Gx@fJW_e~;km>ikojdLP$hXM>>9oOOAz+=14!upOhAJJX z{i7)*#J^y;U%Pu$4>(!=SyCHY$+Lm!4Lk_2(Oxn`6_Zn-v`m!dB=HyJ$7k?5t?fsN zf)=Hq0HLv3L7X2P^v)BF`6~Tn<_Y0d$;+FGRl)wdYw-DN-2)!7w+);;A(DD2o$exe z5m@v_`I%t}KxZ)NdEA$w%|K`~b@`kL2c5T;g`hB5O0%>4XEMZL&l368)M5*&jMNd_yPcUXVU@G!f-)Mwi|ZG%V^vB(3m; ztK={YTj?Xnu-(>|*`cQU$z4NR=!Td<618%OKjQn!*INsg%ieAd@L&-~7m8JMkJe$8 z>@#fXK|WV2V0ts`q3q0OlHr?qQt*f$uGI^NB_@kQcPpN-i%Uo)sZG5W|EuG z^<|Iik7HY-?J{*QUiJ~E&n}oPo(T^j==R%5x^^;uSrl1I6%);u=D>Du5tB&)znt=q zEB1yR973V8fh9!UHamsJ^s@#4u9Ew@;Bb?PTssBdD5dc`e! za>i32#k}JW2Mvo+G}8sp3$w!W-mnl?6nG0mHhmST|@g&{=iLyo21?K}X9C>7L z))Mb$`?CwpuHyRa)&5b-lma&MjsOSk>}T6?qLNOPReWKq(xI{@M<0#$YM%-YrF1Dr z(1Rr2J8}`HucBL>H0TzOeQovbTQcI0sA0l2#!JqhJN8$sFO^>uy0V4Y>*+Y`%2^twDj0mw8 zDaVtQU@ED)-YqJv>K*RGAO*`+$V87VP6H#cF4|%d_a5spxU-}MOIp}&gE6XsG6mVV zo}*zL)-)`Cg4q*^u*&R#_uWzIP;c#Cn+VTf=M2R6&l8D`3bpCCm_Jp}AGO;kkTLcm z1)b`R#YKoOqaMb>&IW!+GbiJJ<;5YA+M^H-Tv9EoQY-0aTDl0*H;5{o&>g^Eq|>ZB zfvf{*@t^51tKknGI7{@qs&Q%2_T+aiMq{(_jU8g!ZDN<8wv4Qrr9FiuDD5o9U|bwH zwCm8|HlDK4>V9X;@;Gy77J?%v6xZE1CeaaQe9kF&Go+I|#q8)zsei;DNxs(jgms8i zuHZUx;YDl{a@NiUy4m36Di4L8HMwE(_FE`6Uf_ouywBoCfuBMtAKqDpX?N=HewTG7 zY!6Uv>-+lcd5HpaorpmOovEI5HbnGEM>i%_QiRB4vBl7M{SYo%Klu5KpAgG2_CfKm&uzMkGT^XPTyKC6B9pFv z8MitYgr%%z`|EvM#s8W<7xa_T++dXBdM?TxbL1u0cHtXH&5SH2ll(S?Fe7IBvgZ;i zh4@I>G_Eo;iPI2wkH;Lj(jxM3l{6oNl+V9Ousfc05YiDEDq<9w%j^n?!(+csjGvq2 zo2u>aNF)73d|t*yuq=ZL!*0E|QQIGamw>_m_ku0xV+1~FIACynQK7U~`&GXv zB3_bDt2Sw+Z>3ejf+!|FDszXL+383+&vm^a zEXgUWe|Xz=x#N|ch!qCabc{9!d&bWBUw5!3uz4{eo7R`qxUM=<76k`V%GDj`6 z-tFrQ`kR1kp$K|nCEn!Z6opx%RU*8dr^&ERud$Rg4qrML6T>>Fzn;Ar#o5{HC{=Q4 z=bNo1<;OKY;7qMQPZwgV!&)YR-nig(`5ySGF$|{f9I)Uw+FY!MRlx5ekTG6v z%=G~GCqr@|W%kC8u?zQMPn3r9<6HyZD}a*Tnd(iRg;ZHc+u)g`&wOoIT7!HproTO1 z8dl|K=B}jqrEpIfIQQLPvG$1c_t8@@hI09kP_9@`ka3BKYpgcRL9=}64*$x?M6OmI za(*e@u+rSMgwTSFpNMiC<;^3!a6^q{LSqdY<5sU{9A~HAC|r4-aIl-Hy1;|8V9%XU zIisKQcEI&4Ia=gO8_`Y>u7VF^bE@-n`*03Vde)Rf;)VO%inp|w`MQ`2@Vi%{CVdZY z-Z*$bCV4_mJDi-%bylDlPt{nHN->#imGfg8BhV=_&OBKU!q=XR_OJYiZHn2+FMz`i(jB4^{X({a6-f5up{P;?3vi$Rg|Rt0+i&==?@qb?>j`3MYc9D zJs7q*SRGfFJTt7W_#>LA#a=Z#nFqTkXIMSan_VKR%jA1EftmM(4@gyb>cOy)B~+mP zD-8IUr_zB>)}u(6kO7LV{BrJSDp{_j-Y+tXFWTGgpo0_KTd1BIz_Y%nKjclhcnB@J zyvZ0)U|=^Lw%&(q5M6xw%ahdV!&;_n#iyylASa2h;nNRhtwr)A3NvlS%aU48F0!E2 zrMG=iOFBrkjaEY6j0%=uv4glv>!zIf7CJCrvbZ3Z!E*D=v08=-sjAKbj~aEgQ(zZZ(xBN!dY$P}ZFBwYs<086QH}8H33n|9Tn$G^o`?)w?SuM)U^4>*L2BE5nOeDMd?%RoztR7d9 zAle`XSv%NahE)R*D?)76eph`@7e9Rl`$V3gJ3Q>jsdetpP6DIOc`ur;(zueP?&{g*2j%2#Gu$OwJU1OwRP0A zw*}DGqylldkmS~Yg_BScgFa5#14XUcc1fu?wJ!MEa*ynSc>Q1p*~>Qxd`7jvZIO@| zQ72h(dlTQCc2}>xGhic_Ez#>P!LrD#TeE+e&S%Wm?6!M6>euOvs3B9aoFa{q?;HqG z7}Qb{GgUgsk_d*5_Fe+BY>j$vJnq`Rg^w$l*@q_XvYlCsY1)|&MeNxhsorw8562dK zsDAz7*m`7XG~?sfL7-_>5(S#GpVXNrRG#6}?nn%lPLHzT`{lJuhBQ#t_^r?%&ikaE z6>s*RZP9oCt_n1KdnSNiet58zsPi~Cw7r0J!7K3BTp_~2^As@mHMQ8+N9I^lKQL@3 zg|%jGzov4(bKYuI3{oFE3J*8kWFWtOeUV{?yy6SMQ6WnVftII!K10BntTUQ6G5x2 zRFMAC-D3XyaMsr(Wdw28_c6=>po#V>vfWf(>tNI-rh+GVY7Nh?YG;0qY3Zp&dfirj z{mNr#S;_q&f!kG$ntJKz!#)1B?}voY?H<^#;3!9wi0hM@4Rg|j%re96Zd6_D{YYdL z=%)MeX9J>vDvxh#9w!*89#_ReSo|aPzS!I4_m&F-H+yv!D)uZMuZ4Y$!aIga8xE{N zvALD_)T#lvZW_Jj2o8OtU510&D|8(?%+VXm#lj9A@5B(GfM zMOOcJ2Je(gf6f)0QfE;;E-$-y)|G&pB8zSL{%lU0)sWM8Z<;F}n{Aphv)APCjeg{t zyl7=0X$iF-zsLnNFW{Nl@*tV2CO{M#pdSX(8V5CNk-R!e0Y>@r}js-Wj1GU9b-pu57!gww5g|jSC zp@DN<`I%O==YCUOsN15?wL#mP!ZxAXi#->$j}gE#M?KL^nr>JxM~mn#eu7-ix%gU- z;_y}gc2>9qy87N^U2NvkH-V(gTNqoyN0GyWr3*=h^1yR(sNteWNW>di)C z8P&{B1>KTap-7{gn9gaGTKov__4bj9(U+!GQRnn|FjJ#r!``|$eap#5fo_XB zp{KwI(t1eEv_9)2WyQh!_-tVx{0S!Q)%wC#SwrQdWF~GN6?8Y{ucF&%%(Z8|QuAHL zHtT1Jjd~wm%!6%wdXm-Y>mN_y>b)-gX0#0dRb(f~XgPI*0W-!f1+KaeJKg6oa!ZY% zpp<_ex)%>STYkLYOt~6fa@~qBqzVB_BZW4+{Y2cwH!q$@&2U=(xDkIpuiF(Or}t4< zUhq9*le;DWqW%4ixmn`CuskppUDCgoHbkT~ls-Rkq}5Q-q%mjtxcXVPw+aGW;=4Cq zALr6EPd*y2{Z5YUnsyhD+MLJ&1N?9yMHW+>T=H9?%FAvo)19O)O`WuEo!sFu|&dh{W|Wi@v}RCX9QLts7A#Zog5ZQsQyQ<4<&}aHs6oYBA|nU zo{X)5ytOXWauITee7yd>8|pU6bRrh3#g05J z$KgY9*X5GCvrGT|o3K!X(lkFuIPg-$kJSo)Y z)%@^vxcy2Cld-O_-gpAjX4vss`$_t-vJ*AEoPL`#+LVe7U+=b@;h80` z(8aN+53R%W%Ob^llqvH>wWY&VL7fqYf2cXT*~9VRf;XysUBjJT`-fh04y&w*3qt-J zE)%u*m08o=YVuPoaRL}{bCwNN75b4B(X||4IRg~&yU7Pysv5(^`O<0qXBtPYM~mAL z>2G#dpL~hT=J%&`5z9(419?p)MVw*AQ1Nokx<)HoFT{=x6zw$r;;dE35I(=_lKgT6 z^s>zRvf8mueZOwyDuCQd!k{lTU7_2UJ0Hfo`9nIGFVoU)zs&ev&`TN0@rsD2j(9*Z}W($4TD;{E9)0{d8%W528~*uc^+fpnx#K3(;vAJjK>-6I^; z)u4!&{9RRDsnXTm+TJQQX;|g~~lmM1I!K0F^=ka<( zf@Njzig9f*__$vt(ke5|h<$ZdqkuT7jvLRSRKBrJyHgUXMrwo(_5^N4~_y}r;5+^%X zgM%coGDq!C`IWc4vc$#FInhOt4DJ!eWc$y#EwZ+)QwLI;C{0btDY#^J+@dUPSJQ|h zjTcoa+P_+k)Ed)~vI5O7c$MKMv-@G_+kjA~(6@MYdS8+G#<}CBxVh_V99CD=XZqm~ z)qwZjavS+qlK&{bP{%j3U@VQ@@;d3)@qJ*t8lN!;Da=8?! z21UJW$Vm#ytZb^_8?Pt;W{7D^{Hp`2dNLmbWuhLh=`KjH} zkbH8;?@E?bU&P~brozbs@?q0$XU3fuD8LI4AM57#DpOPZuSVb{7UnCXh1x^BX95yE zBi7quE7*&k{DV)XQgf%?w~7vv?Q4%q?3eA866(x@KTH-~ltU+S^Q#mEp<-G?oivV3C%v^n($8L5o6xuo7$n)!3H@)#R-z8_(4Az$RupRf$jR_nA zQa*&#_4DMamtDqtz#f3nNC14i>0u?$Vkwi4LtSsUkfrm}pF5$e!c@_^I79lvDiK@M zt4B7_cBHV_b~xRPd)`#mKb*Xxh~lB{`xEX>k5e{&l(Ja(l}=}%IY}#-WxInTNQXm)iu(T%x|$}py`Z( ztdQ!RNQxmU4v>XmKF7b9d^Pk2mJ05ZIxjex^ z;wPTlK)AF7ZDT|#1GO4*nVZ@E)%@Hb94`3hPmPw;?!zZ&f_#gfr#PBBx%P~9O2Fma z(Y6QiHHbV8q$W1cO0#dDS-b&eO3V1&rmn)0+tPv|!1IPCWC2SxgDxJivk((_Iq(QT zSh*(11_%ykMJ(I$htK)yG^?HSSde>%*hOt`<4e))lo~RllO%0RFC9%>OeDv?^ftMN zPhK8SZ*RKBzRW^@&QlntQw~%8$7Ks4UpZxSHY03(eB#t$xi{Kt_Gn5o?KWhe9c_X` zrlTP`!|euJa#vV@mCk?UQ z!4#16NsP}Rim+~20R6}Wh~!gXXqM2P?TZE{E+lasf}gYv6zVZAQNu@dD*RBSk7*I zOK6aFO8;3TMK&JD)8c1m^mp&=+kPdLBD+O;t-Pa=rKTi>VRlff2mx zTYA(qQU;&>nR$EI)W`nH#)g?eiti!-aUY>Tt?{d?qEQkp+L!mgIBPOJ$nmOZ)YnW) zB}#E4iR1>h;jlfZFl-n{@8csi?Wt(2?g(#Wk?*5CVT0yH8mLlOfv$0) zeEXY3fkg)h=s7RTmjyt?;)&`nqn;YBA86#n|+PSG}v zPAHC{(`sjM`ZBu79(Zvgoj}Aix`}|%1b76lpg33G2yKF(YnHTlpGX}iMqb@?Ddn4= z0I^mAsR(lFL5%|>>-&538?;~g9ovfB`jwXk5 zWYWDe`K54EIv6@sS8Se4ruI}aYf+&fk!Xd2IpF#yuDIW4&Y}_)uoWxLboBXbXSMiY@Eow z++N>v$*9lW4Ab6PA>@8jqbpLc{PG10c-Z;xW2oxk6>q5+ln&qTt1}{P??St(I6Ht< ztc*-@W(a>*^aH6;J#RN`d1`JD52)Iw8Er>slw2SOF>`#wCI^{6iw@1XryVx)yY}s( z3hQ-Zr)Af}1Sd7c=Died3d_>dbp9mE>{1e$7p#mWWxcV=x|0k%1N@$iTLpBSPQ!}q zu_S2gsP44L3|zMYg4tgft*_^aCST`wsl2WYTgoe;OtJiNQ~Y`??iiK1QnjQ16Dn5T z6Z>iEbeU_(j%Aluw#-^^ZL7_~v`ZgO-&R`WZv}y0)bK)4wSm9Ixv%s^4j#%Z>RomZyEBbjV80!Icq8K zXs~~i37PyuQIrWrrorcbNNbofPJE!-*^HdPbl2Y@kKcbeKpoole)Q+OUp)HE0SoU6JhR>Xf&?$ z?D#c_=``8v#FU}bKppT1n+TkT5c}s)ir7b?sNT7G4L=nzzB7gSxL#g}9e?Nwd8az{ zWUMxOYrd>XXXw`|X=%Jg{(^m;>OAbacE7CsHgt=s}m&_;@|~A%H(%MW}_3 zjMsHNLDT;%e{5m9%VXK2dy#(mMQcX3Ubq-yKeg#wsz2IzP)*FEXDpWc!W~)3x@N>N z;JzjQsJUkcX0Zx2#Hfu_y>joT!!!_oc$&~~dg9HZ= z#3AT5=Rb%(uoH+=J>h(X_18e}a3XN}Xgj2>Azk#GCtTkJ`s^H0$LNh%&p)p12_jry zo}AKUJd&A;LOrVuAX^Yz!DbBP5Z_Wf`|i}_F?|#xqlhXt(>VCA@xTpHS{d~d3nJcG z$zxBVg*taouP&~RKi+G1Jyob|LCW$zV%d@R@lFn;U;NQ?9@n^kE21=jF(@>aeS4|W z=P!Kk-uZs*L0hJkF3SJu?Hx|iC?V$)SHw5BVxp@Vzix-yZ-<4#w!45|hj@B=X&%~K zqFmFN_a!E|sbA)~Sr1Y_V7m8jY@*}LU5@%DJUKcV5)n2M2A*43xRl_h3;vJXN_(5-%tkr9FXnEt%Y z@Il>!ReV-1fv8I7qyJ<+BF7+ippif=-TEGoMJQ#i#bGgQWxW9LHm1Pto8lrUlq8GQ z<`c@Tuy`TvqHI-4G%9XJixxLM(w5^%ybxC5gU&Fr&JsnLitRUqnLCCL?bGOdOVqI;*5aC< zTk}86RKzWlzjTp0N4IU;WBV1>c)ueeAc2QbQ8wP`-$WvGX}~KQqn>dOzN`I2Z@D_D zRGjjx!Gq%?s?t-1-zf0}_W_^|A3fVN{b!T=i6G~vK4NhMINeOR#F+ZoFpiVarr{;t zvzQo4o~kVDEi;w`*D}pLh>M^$5TJxhNZJI=i6 z(?`pLsHjneS=77D5sX4wq)R6$y}IwdmtA99dX?^OUO|QYeRjB8WGQz;_$z1YEwZHL zn1=@kH@idkao54n=8^2pBL{+%jX2%XE7q;03FpqFh7jDsY^#6X#kWw20$L1R1Qdx& z$*Wl02~Lf`PspW9_F8zgT_Q84oAC5>I11JER%_qtY(V~{EJN5kb^;#RWvjICIHI&` z19;)cG0_aG&E)Q&MrDYc?Ki_MC;;QcGHcHeRw+Yi%Z$*RVbXIYyKljdFtn~N{?RSIpc{AP-!!qUFB81A8D1YTc0=r`4S zeM{pl0F3d%1(fhlUEsY*@CbXNH!g#@^&+oh1m#SAvo^!KFzPM1v?Gg<|^NyjQO@ET;RFS-oqSg%b|#ip&Xv zeL;cF017krL5(I}(967S7_ErFZ8=nb692>c1^;Rpeueg2rI!4#8p8Ee@!O;O{IdN0 zxR#qtMPYijrIjga)G&`Q?Qdyy{&3Q1#~<_Qwi}dIq?wsZ2Wc$J_`{jxmc%I=xT>A9 zWfMO(CgbQHG*z!1BRK?SmiQZq>(DcbHlCp!AdR#?W{ilJwt}4H?(I>=pPk~=zYD;2 z-14tu7ln@+Q*cVJ^X9b)cxiLdHWTnVew1_#vQVa`U14Oh!cP0#P=vF5hB5Ba88!fx z8$pm&Fp+6hhc1JERMdSx#co-P}$fx*1AlB#pVyMUcYV-2)^4I44(CF$=#mgjiX_(P4+{kZMKT?rKM4&#R zt8m2BHE+xMKUSgoEW>qQi{2CkjS4B%j^wK&j9r1NIeS>z$k#F+7nWw514kms>}S`j zN^T!hqu}D!w!%S+abWCUuz0PHxLdJ5`taEQuqajBCfU%2|8;W--0^C+?o-ictH9V{hX$$;9lI-BL}(8e#%`jUzYY zkuSyHGCm(1e*C_7ysE#g0Mo|PVF{G8!qT~aTKttWIpN4eC>B-zBBEwt5g~o04FrW}AVbdAa_Z!?i?MpkKl;tD^J@>0 zd*0GLGI?Gl)%^x2RoB*2gNheaFDN*asL?zVxx<|08`9}O*F_1gOz$$ffNuz8^w(y? zaN; ze!Z17Sxo(EBo4Nieuo2zjE$igXL}G1dTMoMdZ^BL(yBTVHJJKr890KG|nE+^e7R3VzPql+AS?wwAWt$Gk$Q)p_lAy zmY#6lZ7VnP)X_QA>{3gX(7jyKK7kr{UBN9Hqq$_vf3WVa4(84P|9_z4tYk zgS-8|Y=7a9FY!Y&t5pf1-eZ2wwfYV;wf34(aSk@2Hhw*gYbzK#ZDdqQM^WUk&rM%4|?61p-${N>*lbq01OOYSaO?ac~y_2^^QnU-Z?61nV zV1?_EoyIltOWiC=m zO)~s5$8Ap5R!)6=P||i6Hxd02QO@!V>|#22r!9J}Eiy;*P8edUpyYfP6mDm$rC5Zo zp>X;^!^FNW})htHld)1GsB;z55n`;2(655ieE zHA_yRjx;l53@oR-uBH_H*JT&=auLN#1~9B+0;bplj&&6^VNR3bP8%io7Yw*&t8+u|2m|t&`GZ(1G3X9ixl4y5L>IyM5`)cv6l5xvpsn zj+lBwa$5FyX`I9S(wG|6G)-CQChx7;$;pa6!5)4es}{ra?62XO@h+aQ z;2zpSs1c$`1u#!g7J74Yv&Mg(=rQpwlw4o`wunqTGsfZLeW$y2imL0vkB<@BK6e-2 zlUsef7d&ovZpf*o&X@zwT2{*rAIGKaQlePE&5&um7dM_T#*_L44S7Mx=IH5r%E6eE7=;2zOb-c;; zk^Vdzr6UCFBwDrM|McGTD`ZJMbo4a6UPM2k<;J0^t%p_M_~=ORVw^hZb!y@b_CcY| z(VQueUI2ePCbj?OuO}twR{SUU>boi2nOt`fa3x7b0Z~G#1cDkN{R%Pts1?Mk2hBdH zp7~^_F?ue82Ao$SrCnz+i*BUW(XRNlw!w*Di8WU1W7S9hwUuZl^&D&`g$fA_uyc3$ z#G}%s$S9vH*0DArwV1l}?8Zz`-||1mT<(CSy?pwU3m-^<-0uh)*ANbbon|u?F7(}F ztOCWKP0`7^+l1Ptbm_bqZ&QLmE@`}~S zwx;W>y5!=vOi$0Y`{U(z3^bI^Ur!ayEl7A!z*(cRrM${wM1n6}JX9ZZ5%4TzrQzOA zACd6`d(5RAiy5s9urb;G+|(TW&W zA67}5L|%@%-~iiRH|);Vv$FK9EU~>vSum0artfx#lYfP|-+k`cy5*ETr8=O^m5Vc! z3zAit=@#Llj{f>p!(#_lXh!mf1jVC3I+!09mvVLJlh8gZra_{&L2Q`IXXi4^Xs$#k zg=35ukyn|0IjP1`TXmRW16*+_X*f(KcD%2QLrj-Zuw;!wP>$`8y>jmW~m5E z-#JlwJ*_s91Wg9B(19<}FI6~h_&LD7sBMBlJS&WHOA2EMOi~p^|7Yk7Wv)zYxMDtF_R8(n~YSllWxB%aiM>6<;m0gf_5wfIMpM6X7=ELFDWZMT`@BA!PxGg7Qg2X&F=>I9Z9 zJuoX989dsRK}C2$vChh5nb)*#^~S{!kUsfHp!xs&7#gaRktb^x5C<@;G?oO1<(6oc z*OPN=_Zy`cx^X-oJ4`(9!mBdXZrKcr?Ox@%EF(r@Ect&o(OU_il^4FT+8o?W@4aJplxLa#C+rtv{Zfs^E^m`p?<|k5(k04X z$sa3D#iUjD)MFNEc$wN4Kl&bV^akfJr}RsjuC#O$c0a!y@ZD{-WZ7aUZJ915+w@F@ zq1ABUYeCuOPJQLHz1x19cqe`y!ZmSYgNG}pd3DwOx2gq)vykH_OhA*xUfcAulzS0shrV_R<>N3+8{q1Qm z0XkC|Dux{e1Iv8hp(C!&Ml~}t18>lZX-qwr!9Dx?XVZsw892Pr6n^og>uL2pK*@@> zna+VHw=$J(qn;7q{=%c%(Pc_*M4;$t4VFVgD?JE zs8nG}%|3#A_VrT%51XGh;X-?jq@ju7(g-5R8FV2)KCF$XVD$iI@+qu8Va;fCkJ$VIXw1rJD&i2u26W^K4T_@Ky+AC3tjCKyO*iB|EC?s#qS#q} zS#AtL7AQDWYCKgiCkE60sdGx>SjX$i_c|ufl4t}z-(F8KS8{{YXmEX1caO>1?qXNS zn1!7^3yb5Y*CJnhnz7D6*>6jo(vKYdfR$5Hz)A29GpTXKvW)r+`*>L7Xbmx9sJ0>@hp_}kO{MK?vBc-3ezrkiCu-W}?gW=F zDa8Nmx)s`^02hPZUI>VInB71PCk`itKu-c7w7Gy3^NGV+7v3+aWl3gD7k&il$lzC? zhIhQ#ewKb?;B_uEh&2C`z7~4o;XzUhlA~;jSxP_lN?4JrZfg40DS6K;xn)MHZQRbi zEX=UNk!NX5E`j?oD-GoSTbEl5-(pu=;F6K zwa@8xe^82~G$$r&4aBHz$F+^nwxm&-eUP<|l-)=7TU2;|p%-9!NZD+D>^8F47t_AR zQye885*omVSpN1Toq9}_IJ2l~&VK@|+VVK1!?bwfEenit; ztSK0@J*tCQ&%p573Q^={C%E%eVde>(=o3mrOH^!62CS*Fo5e_owz)US>FCD+2E>*h zxLRRlj!}%c1v*~C1wlYYPMekoEHLQ>!Uucf@(Y>!VD7wrKeyJ)ICk07&u4H_Tf2?p ztkt#E?@wDo`rCOsoP>nhw|X_#-Yl^)BrZGmZI0EYqr@$F@YN5ypH|1Q*6EX(k!O4% zR0cOhcSf`3n~_8rjFD&o!15<{P&#s+f3k9>b1Gl}Zj_8j2^~^A{QdTN4iQOQz-B5W z2;X!#Cn8p|f;$BQkBIkCKA(CSi0$eKYF9JP=cGjb$n(W8f- z8#xzFwK@YlRbvt_{BhT)L0dm7MBr-22SU6bEVSP3YaoVYfz_SR(vvv#mc4QKMQzBC zZ?33re?d@`J?%+e7{H31ABUIhX*@!)qXr_3UcT(_e-b1<rLOvKFZAW_gr_H zMRC{fAc@ZsT$-_|{LV=H5q!gwI_2d7aRn+Aj9QvoQX~IUqQC{qzo`^3elPf*gQO1w zJ_zYWjY2e2#X&cP<*@V&hO-R2C~14EK1 z^eHt!m1vRH?|l9E-2@)G5kK!xJ~OTC8DnGhR465vmf+zd$$gQTg?_><=cl_TZ;V{A zXiuolT3og+BW=_ufu9w^=v+{wGr<2Z;K%Lh6|;@}2YtMKmIMU`3|d=1+~D4zxV!2{NsZEAP-8S+hEpqMjNY74g8;vo!{e_Q z$$x-|f9-7jxR}GdHs3c7&H$LYFE#*&D?q!UoCtSoc0eX|;4TNB+lC8yv)he@fz1YQ zn>scAW+<3`?5%m5t@R)qw(19`$ZkniUlLTIKpsH)r5yyW;ZjFJBiJRmzzdz8jplDL{v8vR&0qV1R@Ted<*4Hg>XTI zQ8&ANS)_#dXobW^#l5c4=wcbct4S~uFeC_5Z41R7hgK6^6W53j({vvXe+j8@M2Ez9 zk2jkmfBuq<`#h2gokdQQGw!+B)+h0RXuO1&No_?hq^9jv5z&%0@>WLzb8%`_-$R z(xwF(ND;2IhX+v(XcZjqEz!J4Y-^6B4$N`>{^{iL%_p^kp4_CoYuU%5iRMa_NNgf! zpUWNv2~H=j?Yr_EY7|@1G0dER5sN>(H&cFmT`R%gFY%5%4PeQwfu@sF6{9wsfChx+ z3Cg?nxxIUhoY7s@Q;O$*^o;1$_o}p6Ce?W+Wy;Dq|0Jegd-G-fw0ge#;F=5otXZbH z1%x8G^GHw12c|*L2kg;6{VO!3^2~b!=8pLQ; zzsUS;D?ayFAY=@iklx+~qOHvYlpSBg*F(0uB5+LW*HaY6g(||xKT6M_plf0&9}-Kw z=Uw`=`OY{0`644t2= zPF9ST#RCBgW_ z(yb)`L@74yFXbyjcwGE~vsYK$PNvKrb%r_DnTT@}sgC4z9n$WE&3S))TB@!j{)=Hl z6s|owRR?#-X*JPu`MgE7iVqL;lDJwoU1=b+MSXOFYGB zHmW`k-$VeC28BnV54BDXir6F&FT+4onmw&34seODC%#xpmPY`|y-_=jE6}+-*p6-N zsG0JY-=zRT;F&zotopA_HTg-}4Sgu*7*jF?@OSVblH^0oihIFJjRg2Y9(w2`Dr5cn ztPxj=$_Q`=c33$}EsCzhFL7iExs6z)5}knQHVz>G`?!UKwv?A`&q`q?%;Xv_u(*T@ z)|vzLjP-sRNFgT4BFDJB8$8mWtwC{~BsDvz|Hj+G9Ay&wW6o5z-|Xtd#Og$8nn=k- zKp&yF=dy@RexySLqJ{)9qTm{#zTsn9@Q*&C!%xpiCGWvNj>u23I{P*`!l~waIKVk* z4U!&uF6_U%eZ}ghK$8TWJF?4tI-=`OfsV5fg#T_TVFD~p3cJqc!gsR*+oA;HQ63=m z#RV{01yB#KJ0iqExWFmwfS8J9u{*PIL_&a-zXd{mH&OsohiI?Mi(`a>h+BL+BW7VZ zQg|fog@({XnubXpzx=^PBl!oyR)ltpC)N8wJ#>~SZKY7 zpe{Tdh_chS6#2-40_1+jDgF{cBVi2r>s#apZH!Tf{d^7DHKSU63!mn1Bml2}Du~@Y zK2g!gG|OKBwEf&p!U1B7vNbfxiCz`fP-srlsM8Xa^-%r-T5A7}YP`cia^eUB{I*-67;W8S3Sx*rWk75atR>q+f z>Yff}wc#OU0qetafc8p2VX!KbcxZY(e`hXDC)Vqj71|i09~%QomPa_k9!4>Vt}Q`I ze(m9b(qyiVnO2+JQ@!t`DPQJO2F2W-&l4Bl{X7i!+cO(}Ry6S=@ZiY}UaW%O1UInp za@4)01D$$~Vl4qMUW`i$@C`1H$lHZAXiE$s*hr3m<*M^{V1MljFt>+F#qZIackv5| z3eV|tpKFtq4kBBX7^5IP7*lenGq=7IB?Zz$7a%Gd8nnk_N~KtIE^6-B76?(D1z0CQ zwg4Ebr*Vm6v$-pUJ)>t(Sp7`Atm0@X(mA0JBacnO@^qkNmsqg*&ckcEtUo7>utQSdfWCe*F9x-R`$vHFh_})-dh82mNLA zfq8j=FAV#zA0tH=gRa<7iwKBbaSql;09E;~fb3cpIR=%qX4Sgc#*OUS8dCX<_qpc( z794@@p3D<^^2ms@(5@s$DJ<*QfWdkQnz>TV7=>zjbH;)xz}puBA%4z@Kv|VDTyp{j zgyKJ3i@Y2Ia98QDG_e1OB@1t!%3CcLc+TLNk>4$%yDY>`!I42)NgWxTP62~XO_IZe zN)XIRZ8g6vUbgEE$Xi*B%T{Z6n`4<2U|2*N9UIW`sCewnU^yF^dft|bPhZX4{jWI- z{S#T%v?j1vlNK9_lUC!blNT6mo=vCh{7Jv|;hWC@h6iuk*d+x%$dwL!J^kHXTT}&l z5(Xq^A4H{_7Fi3w{<**Z5lY6#^v-c|Daxx#J=pM{5MD3GKoSx;;%RF{Nqf!`Eg5F% zDoRyPbE>K&cCfj$vFZ%$j590=<6r>1rw5u;B~Qx;KReTipM*g*Fw2Uc!8M-87HB-f zK}^QrQedy`=V*I1f})>)z$;qFqE)>fuPAJ#S$}+NuP!n_JA2iZM=Jcr^ULR;xt!ep z{iOP-@&$ZB7>|319?VYanrWOUMREFnKP9-BRKp#OR>}EEJ|pzF44%X`_ILOYh)B zrZ4=mzHq(&B}6DPfJ=g>kV@@5Kq=7je?L3<2$DOfQS+uT@`MrEW6^73MMtJ*qn41F zK!}U3B)}^H-ppi^YjIueqg?kwmlsD1Qm$buHdP6`ZNA(e3RXWrXPQMn@Od>2P!)#P zKOo%y`%$&+Dhm~z9$r6DF?Jfn=d`n^H{2N)*uX*wfIf>(Nx}cqnMrPH#pBKsu9vQ& zm`!11kec5rNMi)ozwsPLsdWo}qKe87R*=E^jFI>MsU!>ElqsgVAyl+hEg8EGB>)x} z34p%oV&w~?@$y+LRkMtKzL|s0>12FYwmgPZRgGEW&rnAyrjTG2gq8X2u0g$rNU1SP z$~(xwFRDsxlUOr}5r?#n^d7#$VDRLhKc8ibKzy}Xy4mW4=6Tv8-MBXGxLWZzXlWKZ z_)fqWXX?+bR+$dw?Tkd=nWoTq!Ml;A%pzUp9J*G*q8`H{R9+%z>w3whErKkL%!2&y z|2AU=7SIr?o{Wt_iz*A3wZcB(I zq5#o)W>`Ideu-TEJadMQ?F+<4NB=S;y!*n?IjLICyg60b+jIb zjl=#`W|s|wbAl|By+692cpB!ky?+prco{-p-%c?H6jI9ZdPAq6O8TpetYW^FIFqnN zUVNas5Eh9bUaCIolwMy&p&^S$lRx98ah1_`EFimN!(v;RY3ExJblFh1t1mcowz#IH zdy}p`cWXYoQ8+aE=4UDNY`yU6YWLpjFgKVSgdWWk#D>iMLzu{VPct zO9&&T1VN~=Os}rw%lh`5F#PGZc8cVNKS36lRPae`OsT~o(FCDaQ6v>O?9$p2l_CUN zW0qA?Xh;Kkk9;5jlN-=X6C)%3u5}h#+U>)II_nNVM_qyakxtk>25cmQIZ^f-Z4A z#lMF5st$tFp|%E^x4z#+raD7x$k`V#W?2HI8FBze0V610{OXsv@=n($TSJ&kjbxZb z8s|Whu0E$R5<#+@;tG(I$gYlRaJW9lT2@~E*G4#-+8lw0hetNpY2)Nem!F?s3@{S$ z?`b(mEQI1kOJRYXPj}bV{IrS=7HuJ7tLp+AHH`$r zBn4(*x~x0DaCXCqK{A{kXV^?$DCs%p&2LQbpzvLmg6wnq5gib&y}r@&j&d9OOIoc zVT%7#s~m_moMOsOa7{~JDNfdrF(09;HpQ)b)1Iv)cfY?Hf6Mu=eu>nFg45+@QKIq@ z78bYQP^A?8E?M{%t^G_nLW3=Hi55gI5nrvbyu7@s<0rhVESx96&dyGXI1vBozgk_f z>SO?D^{RCcKB_eNGz`83vaS%wD?%XR>NjFR-ZXs-jd^1);~nxlu)55`x`;uufErt9 z9HvSTBpra=r=79HAShdO{S`{F5~$V=h@ZQonW{x-jSUT?Lf#jEAwl@eZ=(bLp858L zH6+{dCh@@Y9ZJ9gjB;=I3c$A#Ey;2fH!&TKjYX^_kh9kRp@#M6p9&Ew2GUl97{h? z{gt+C9lq%j3;`qzoOE^NUP!KHj&5wm@t?uuWIzIy5w2&^Mhz5oQCg}qu{B{>=}CX$ zYXMxC`?{#;+f#ZtLeGMau1ZrhU@XpV+xFP?2<1zOyc`6{8_0}VkHCWa_~77vszsL9 zUSV?JYf5hNzbAY;Y{-dsz|BX{>_M~c%!N}&;H#;T64epb0F!ux^g#4D7RRN1sHP4} zf|6{eU|C)_z;q&gF~ZCsCdLeLjXt1XBkdaN9SSRp(TvnH5E;L7VB2ede}4%H#Q!_z zEfou4N)8+$u_wf>2w^C)6yZ0uX_wLYxc6^>tc%cQsv5CG7~UT!d6OwUb$xaW^rZy5#$Yhw`@ssJ17Bzx>Y+0T|YWCT8>` zOgsYLb!c@+ajmox0f)B^8p9%bh^x-|8dPf*0vN9lm7_lA3ZIbB^KxIE*WzTdP!@zfp#XpR6FxNni9}0%(=hSHh8)NUg;{SX z6Y;FP!UMU4rmVdhm8zQtIHw!S*Vv})><-x)ShIv4h!McIhMYXq(`tNFIc3XEPYDou zB4t(+4K7s42e|5%Ha4oP48p!YFS@gdNl2F0))cecPSzn{;s5Xj4La^5{{ET(j##FIlotOXCSm-XQ@L50gVK3~=p};75t7AUffOh$l(cg_smxE(9XcqZZ5Z`?oR2Bp`^c!UcLI>4}Qu& zGw1BH_gc?-*0a{$XXuF=EZ`RFrCi;lG{`x>Scv((i|x_8yjAuW2F>e%N4)#(q8SiA zro;>gp;y`uqib|YqzvsYPaa&3mJ=0N2giQFuz?&#>m$!20=whAISIq_Kl$Zf2(2y@kiV7y(dwi5 zr17q>D7(=vGNuHEhtFIz+w%~`kOo7E&$W(?MP=aGfsjBQjzolCc>;a%)AeH@=EN}E#lfjFiLKtWS;qXBhGg>3qHzO^_RJxDo6iT)xoNz8cAPYwR0Ofx<4kkw6 zpV%uF=Et5eTV`kV9u^Q>;MyP^6$bO9>5YLny(B=)NB@OL9)clIW?(e$V*5r%?XlC1 z_=;9v$86UWvX!ftSqa|5eg($IP(~C3TVN+zMfMIxdGcAC&X4#G2%M06Sj*_8Yr05d zWE|=4=NMQ^Up`Ep;3^_n=Ym)?&uC|7XMaCyOxMuxFeTN+`XROTT70G7E-jt`IfrYO z4!Kx}-&6W433G>Pr{Jteb-Z|YS`3S#XuVANH$tl;C@Sh0@ZtQzLcoh?XC|DX_-H35 z%8JIA)bod{rE3ic5Nw@BfBD2?XqYd!CgT}kTRp;Jj7iekPzsc|l!bVRQWh>^KKl_4 z%ILCw6&eD}UZSZgDk^F&+RIRA-@qP1;_4c*X z0_Cc>qR?xUR!(JQ{PF{&4V=nsh$6Q0C?h!gx4pS&T4`9`@HJu%vlldhI$7MG$;wJJ(w#l%YYVYW#So@b~c{{r}0HDEL8lJ)Les%_SPU!*>E&RRN3I+JI_kRk<}+ z62 zUFW8Zs?)yU45%Hu5(%oGhZyBsDnb(&#bb|RG5sE1a7cExw}}+|LXLz$R8>>EzfAly zdjEy;JwS{jxUtUYN0CutnD!%VC`I+o?W*cHfr(3e_L4ODzIS{u#qYWgxZIom@$R@dILOL zM-9rt!a`1N_^sfQ1oO_?xZ??T&+m^jh4Q7Dy3f*jbxGsz%{%9dx0xptdzKX(&QZ8R z@4T)!@V(xml49DLY1%rp7A7k+p}7ni+-H+kCX-f;1X7FzGA#tafxzk06nZnYv>4@< z3rSkeFi$FrEA(c6H=F+5ny=)qUdd5SXY>NPUpJWUS|EE3k(~D+xS99(+tf9F$x&-x zW~^B9@9Slx9^jw#1bX5AIvNkf@H7@uZ3_7a`C`uh>GaY{^r8b)0%P|CQq07LXFpbq zk^}ahX9@TuP{DjM2pWt>l$Fn3zUN9EYRr{*{%L^73*J2*67IF~a~htYy>W9LPO!F{IMI zAN|9xQ6@KnwGR`JtFYTk%c?-Vehtb01@doU)9ElX>C;wWG>n;;xCc%`TwPCygtmoi zW|+d^aPZb;p-WOyrf>wJQTjnk6t`_(x-8SJ71qj7fn(9$*beqzj_a>n^R&(k6NC9i z$}QT_`!BFh3P{7Q<^5zre+{BJ`q9`fsT(YEm&4u}Gnyi( zT69S@?^B2x>5a7M&!rD`+l0KM%e@1`OY6dm>6P^zhhp%0F8xt4@EW7ApF)>x7eyS?Ee3c z7R7wLNvS1T*Ql2tBP{@>5EYjiVvO?XPla?_@#{;%SqkSs9g%TtY%*v3Id;|?qtGL*W4)pIDo)$>v zO2DM$N)_6nK+k=+M_dKZpX~-NHLDq+Q8e2Zj!Rs7ab|{997!NK zsrklb<})cf-28Bv!=cZ?K?6}mVb97pL>JscR2FbY(S(IwJKXe5g6S8jxo@qa#^Dio zs1Au(2odL7BYwYpE+2-u)}dI#7twGJ?Zw zct06}%9HL!ZJ@_we<_6?9$*mn^}}uVEp|bNW_l#?WyE|+2fFK!LtBNrDy^t9tw=zs z3l10qt^UX0e8vD;g6ASuFcM~_jHltojI@Y(sg9=}xbRA(`~dS;@^c6+p4LWs56O_p zhi0;K&>y_}HuU-4ozFMeG9l^-xIYZOhiO{=RYd74wkm;rtJ4twZfxrfkx2b*SI-GYne$u2h47F)biqm3&f6x#{Zd{bV&09 zxih!wE}_Juw`0{4G6LAD7WS;BbgOtz_S#A8)~g5&%Zx|O`?WV%#>U1-s8Ia;5HMH2 zbca)gZKM-D`Q?FN1PFPJ@AG3^_WE3;oFY8BH#h3~(S(omZi{2q*NqSriN(dC_92CZ zteBW6OpLA826=n=6H>=_KU4h2U>-!DLT&I!;x+dexIyd$O{3A;2VgkJ=g;c_>3H|< zUFm9xkVn?ua$b9b>X^fUKKx#vKgoy_u`p@|7)DQW%j3L=y^2}->8 z(qa?fp#LPFh`)hO3$*W-ljpUWM47}%!ls&CAROPZamPJT=Yo)+pv*1slaQ#LSv-4( zld}{I*<)mQc({3P6PRNrWR%b29U%m{YQxJ?|xq)EQ?MuJOAI|G|JRb};zEN1t%*;?53J40`D{Kj6ayg>3CdYaM z?$q1ctCKY`KYtqrlaiH{m6IdH$7kK&%wD4l6ePcQkA{Y32dIkHo3kw~EjeXL$CwEj zQIOC4QjoQf%5|uYGz|Y^fX0`-y03sPZ+Ho?gHANy2K|b*8H-dqTis$fSFS{GS{h)G zLIMIRw_Y0?=L{*B6d!ufSIn=iN&Cy58eZz_w7On&Utizy6fG_7bHYz@2F1=J=3l=+ z^6|+?s{8wQl}`497dgR&H8eH->x)WC#vD(MfaaXK8^vKRc<*C!g_XSg$nLl!FRJ?E zTCR5Y11D|dil|Z89|JBxWv9Om5NpP&U`42zMIR(W$_2FrW&?ueJHFcq$R)ybGbOqo z78e(%zjt?YlPF!PI9MeIn{Di@$I7fz3pqJC9YLnEoYw{dD@YGm$L%%&`&>qVvGDNl z?02AfPYz8D4e9rUY;6w?+!L8?96_?j$-yyTNlCJ>-LG^4{teomY-c%ASLQ#xx3Ijd z7L8ppCPk@PuNnJz6PW zCvb5Ledf%WWl3V2c`|z~WS64NLc+knfNY*dPN6@J(M;2=oy@GtW1H?o5h*FDCe3ne z6Ya7BPzaV0tYcQ>;mWsf-%j55R#a46rmWnX4d2dyaDQJpuH|x*V);3s0kThl zH_+wLC%|8P8UiJj7pwQ^8;&=Fl^n=U%C`IDp7UZGK9Vnwx$bwPha#0XR^={nJaqcW zzaB%+Zg!*HZSXE?8KKc-7i6i+>l&FcF_a+K0M_1P??!S>8!05zytA_d(C{L$)!Xvg zy1LoL-n8i;&ebBmm8y-6jnqjo5onVdW9<5 zuvfE9`T6;+QQYB&dFkn%uO*O3q>fKZbF=E&O}D3U`J}}k%Y!qnwNQVDA7fUmT!CIF z4`$+gWK8D2!gUAwa|9;FGvzHx%*0#1jeQ1zSs;<2b+E@3ALIvWeBJQou&y${{r={iH9eg${rl5`dx_H>gQRNnb z#OD6Cq<28LQ^B3OI)9pTf{ub$y)IIEbFyMiu=^`ONFcg>yU1*ztHS<3<_M!)h3>>L zwY1b+6A=+1%7EnM<__34Ru9N2I_#;}sg-XBEmi|cZvztQl~T*KNAa*jP=9FTH7r?p zFiL|!e4tBP{6Nk2{n=%I=B6=_cZ0gf_D>o}&>a3NC)Zix3onOxdV6aGcF!~iH%5b) z@5s!f2as^5#4Ae z&9=jtV5NXn?Rsw_Rqou_*mqnpm6azzIh2(h6bgdN78$9?$lTteJQ(TglbUfV)EoRV z_HD$pJ*U=Vu)yKS#zfW7(9qtV+nS@8mX6N5?1{8A{(%*Mvl$n5i)9&WYj*4Iz0EoQ z_DZ`wtgo-Hr{@)HQrj*pfIq0*aeo;vBIX&>3q|)b(w(1_1a&>69EiqQmaQpqPfry> zjG;`u1Y#a3Vy|T#`eDCABMTx>y4l7lyW2B7ytKH;(O12^vch|~UY*lqX}2?|DJm-3 zbg@00bqyrdQey`|mEq^-e@N5Xm{MA5yVVVlWC?)RGci<0XXi%gT1EFuw{KCltDVm& z-ekGv?(*!txhyK)dc_Z)jNVzW<_B2?Ad%OPCWc%Ej9>7diiF%5{_R-cpZmP=@;zu3 z6_s=%PKU#9MJdjlmaBGik(L088h8>iGFFau$Fs7sqPcAghMc&$xliT3I~-ktf`S9X zAWHBaEHfqeaoX;{twlg>D@SIezds2F(LndoVYPT}z`R3`e2u@N)(w}^pz>%3@H#NP zbyq$Fk6HD{xe?x>Tskk?fZN#J=pj5^^_vIy_o2JKJVWj{{2VVs7EQ@2DST6V8JqNu8&9lr7EqK{#v0rn^oL(kA|M)FDDi+e@$-29 zD4J0{pfOzVYPQ|L2xj8SBB(e1>+~Sm>O%XKaXh=$5&J`)r2qmbU1e29Or!Wk*njvs z(5^a|D%)7nf^Gu!`Lv&+DG9`<(!TwUQ@;CeRr!>$E=oXQcdx6hs<*xcF7~~yqL_dP z*t))#c=jBEpK$&~Wh|*8{Bs zKR%{~i~-E`V}7_X+Dr=+2ypjuB{>D_deC3WE&!OSW@~owii51!eTji|{;Z$?(h|{y zMq}5dC0h|IW*(>%ZOUGZBJHaJ))*Z{Z&-y=k+^ z$JFdX=w-uwTNFCq2~ z==?(x1(g6Xd#yM+l1Irf%&yl1ay%eH{A*orIZ+)f#qPqJ)SW-j9oSY-aK1a1klpC@ z?I+Noy*l5VOQ`E!2l{(&9gue~eV;Ti50;o1ss#7YiSB=la`dt|$q+aJ6YPY{!H|A1 zL6Dc~m|~kJ@e+^O|K)n|UgWQcj*c!)I6{j`FXhUAe?-!TZrLR6dijXhy_6nZA!gO49&huVFDi9V4w=M{JeJ0C0GZl|NrA7+8S~ot)h0Fb#$@1mS zIGCAYSmSygGax<4uYyHHKnB0KVK)^>i~OT1TTlPTSw0GL$TE+;I5%enu?~aECX_X zC>5upmYl_t5dH^f@TMO%2%@ML$h3{2()71Rb&-lPbOe^*&advB;?zIoebn{aGEnS2 zkH+c9fADW!!pt{HO_Xe|0PnOn>vA(W`L!P^z&~yPycsyoVZ%K}eE<|cTX(|jWUnb9 zIC{}4d+3IHKUVc8BSHLK6bEU1HTn=9eG@SsgmYS(q-xRk4K4s(WqaDl;D&oDz|VgC zexD0)kGoxHQI)-GvDUznK^-zF%FDmvJBd)IkXPhMS4A{7mI!X4hA|%q;N4+fqWrq9mkTydL6vT*mk3rRkZwI zBb46ZaIZg2VH8AHa2^DSJ$BF@hj*ruPHcs`3=+$-T^M1tRKDhTIMWoECRnj|Tpw3| zatlxHfAlE?is61Y8Cy3Gn+Fh7Pg^WH(%yrkFs8#VZ$-3NFGqF%Ci)B(`6KAbcuq@@XGwGW|BYe|4b2q}ETEmG%+@ zoZ2?%JcAArw-UR1(Pbgq2A2r8W5LVo zS+u6T4~UPxCMgZ99dBmM)i(F#_~3}psEbVQ@*bP)o^#|}ALh+r9^0A&y`Xfq{m-NA zW^+le{5jWvh?h+;mwtZr)80?xosHNm*Jq*h^va`mZOzgF)aKLlkj*5Zo9S{ z#ISFzlktcT{pj=bCsr0|Riz}~2yKO$Pexi-xsr1pG#t`Mps1AqMek(LIAVi(^RRiINovv|sMM|?Rl0=PKkxPyWN1&5gXNl$XrFRL(XEx5q@qEGbYp<~-fU8KVyOh7OJ#E!2ua%&&gzV(%o@Ke=piQ?WX?G&7Z+#68!)SWi>Ksvg0TFJJVl^xpMzHKIQ?DbSZ;_vz>8x3;fl(XTG79OP$AWzkm> ziQ9*ZVo2#7JlKkxTv6f}=G0B>E$qswrf z5kCKkTCTY7Y7fk3fgGb9Cq|q%G+r~Q$Y8Sgh}9i^Y9z_%3p(ymRW}|Y0l*d$Cibk_5@483ubf!EpNJ2FERyk}W?FTQ(HcXTGX_ zeKg*s?_g%p_>nUD^`_I&(Ghq7Q^j#7zBMb)ghUS)HDW&O)l5{us4J?Yk#l;cU+kkH zDEiLf233vSj?8yE(vjQ2=-Bm*IThyMT!*{8{ew7mfQGlDCav&WoxnY9JpI$-d#UQQ zO8|^X+G`aaK2ue?*|Oc(J~HPgKXtcs@L_I^fqCLKHkp0%Tn5+0qluFANtYZO{gTAaB2zj@hptDvTX&{(st65AU5?gWNbH|klN%!EoePAP zIVM@hk0&ZzqDywO$gQ?(hL`io&iThc*b^WmhX)(GV$te**x~2_gME`N0Tl}I6PZuOpFQ~K_!|7Ku(x`v~zg)InTNe@5y2@qm+JV zwoYwe&QNZC=$008ELE@nv%D8zG8M;dj`@~@87@&Ei2MkwsOz375b39YFUrt+d;(k0 z)FVc`G$Rrdfc&Qggyr#CxmYfj{o(zC z4TI8?wI;&u0u0Fgemnp;&bkX-!1J|FsQM>#5%-)j&jNSso#Msw_3KVcG~nbVd%xzJ z%>|S&L-+P9*LFn8h}_Al%gM^Z%In@|z(hrMdDD8(=pIn98GY7+)}~+~iVPlXmvWq1 z@h4(-v>jF&m@A>X>;jt(fYprs$_vocV#F&!A6n&df96Q@L1Gg2TA);Tk%E-n{L}7u zsJLVMEHBUTH{CUdy{Tg0j=>*D9oNdbdWVx_c+5`jFd5`mc7RposrQ}%ya-Ax_ z)N|6MC4)E?%_}ZR3|eC+4c*hl!SqV8sW)?!77NgkthzPoufKDTAG6SbPRxX4;JW~c z>U-B&=za$%Vr=;)fy%V*Q>m^y@|!N}+=cxlJo{t#ZkDwvz&uR=NsqB7Q}W%^gHZUra7l? zjoIvyr(#HHZt(qD53Mnj(bzrEsQMQu`l34g!_-7&1=2bO}5SR8&}F>?TrtVP7Kl$KnzBNC~b7a ziEV9d`Obm#c+7nKoYa$)z~E>Fac~$347qR6K7xwVLJp?BSIxR&@W^rx8;D)}km42c za=gf(wy<>bxIP9_oDSKv9C_L%clO_?^Vg{gqlO_>-;VY2Z&}D&nEh}u%5lwOjU&s# zYv*meLWRwCk1ffHPI1DU{&(ry!}n?XW&T#rgDB=Xn^3^+8A1yoL6f!MOsx0CUFw#+ z>wkw+4vdcG>5Gl(z0>oy3(Ro5M*#mu%^A<0|Ev?eQ7l(^@KtjM>R0(bDM-w^=5X`K zCnw8awgUGwFm^CRwRpWL#Zc*XIXn)-he9FwV((j< zWQO13mBwHwvH5fdI-!lhOzjm_Q^7;HwXnW;Lo|!4Jb{%WA;RNqw z&#Qk1yeFr9Kmo97He)$x2`GOKkOEB!A~L*cg*~FLkj{TMy*7ThK2`3+6Dn20pUwPM z7P?&URu%%^jV`1Lkl!mfcJsV=h2F${Fge?SrK~4%`VMiKSs)divV;L&w^O^N;=&v5 zsqnQjN?Bq(ip{*MFU;LX=lu#ixFmdYq*3CAPf+U<8J0xc$5}qS+_uMWhI`W#nlMd( zJkytLJ$s6B*7jQdU4A*+1dhG01A_cVa#5q3py^l(P*w^XMIJbMd9=U^SURn-b5Lx?wd}<5}p(!oZ~Vkv(Kz zbKEkz>ux`cqc(l+qfqe1+XKqUGq3p%z?hWB6Q-~;D;KY|nJaM_8YlC;Ag0f8k1qBp zo@LUZD85Xo)r9Kkd2Vr#FT7K9fjfp5uu<@2qX4j>}^E&CUqq^rxS8m;2kRb?E0~Dv6tBdTD zYjAG$YvX)>UB!U%@wDU{KluCat_#ozFqE=a^mPJjDBslmj_Kyb!|TB#*#0aBjx1rE z<;7L&-8eDu`bOiZO0+B-uJFjR^N!rfQmZxme>w7eaf#7H%L*(e`PumT;-aePe z+lhN9YgagCvbZJPbfqeDbv0Pg(Ny3?i(af91GkZN{81P@Y2HM!>k(+8FolS&5d|9@ zjt-JIs7Fo|@*d8AC1k9(y+uG8F;#e_g6CZE&~E8mzwIp+n_Da|pw}3t*MevJz#((n zEn_Pm^P9;{U7kRtgkGlIr5Wa%kwg!SY$VW9zS z6q(g}$B7!L7H`|r34_%;Pq)iCxfxQPl;aPRXW7RyRFT(vGbwNhQ||jl|2^%@p~&xG zJ)F?XropNc21&uj`XUdhE`nR$cyfBnv(S6lq%{JDGQMTn)qC%YWW98_l9m+52OrE8 z18Lim_qZlv?hV|?|6PpI3-P3u|4N>K%_}exF(7bR=9a_fiHvDhc(;r3vcm0gMKr4E! z#Qwl&4XzcgI0t5HiEpzP!^6z3O?Z5ytsB1F>43<{aGqg#aMlv)LuIlzc%Qy|evVRc zFJjW%@nGtGZIN~m)0_D`sI9#Pnb-)G+#Vsg!};G99u3KFLtm%lRz_A-jqs#rZpS*a zw~{y<E{y?+!)7FoTC?YQw@`sw<<@o?-4Pn6EBo85{0+McgS}^4;DFxg+3(;o7oNok zWeq#dvpQcQJ~*oB`TU}`yc!ik`QkE_LYh^;*Ky4@lo&SAijqN9Xn+0Nv(QV|NT8{@ zo`<)|9Umtt!6E)$kz8=i8lC#{ z`rSG>M7$|7ST<{eoV_09rS-jXo|odQ8#l8_FI@Q74rPpFWUilHO-p=9QPGWC^Q(qCfveczLU_3_fS=crNpx^ z*R9ykLpqCE(cVNnJwf_zarVKs)&GoAd>H<>ao0H*a|F=B23vL5bW>O^UL%oC-zKx3 ze(1l!)Zk3Xgw(g!Ftm4gyz#hN|KvDpa5@y&bh)U+GV^~1*z*W_*);6x^N6jbov#+K zX@Zvh4pGydJRY->>4isoSIaIsuxWjrMs9#T=zZNyT*#MoSWUbvhwUNraOyL!D)FA# z4L&Bufz^Ee3wrMq4#~~~jP9G>}I0lMRtl{!5 zxh&6Y8QUZax?VgfzWm?uxj|OCmekIl zF+K}jeB#Z%7~0a#kIfFWJ6nO5>N*b@}@eX(cF%u3F_6eh{UOKwL+YF`i0_9($ank zhtK~rLa)4-^5iiiVR8M*^ROQAFL(1ZaEO%hVlzZ6aMm*EcjbhAmbWh`Ugf6~P3z#A z>*%>uLH6K@rMuWorUEsF|IT0p1VGP)H)tI^%IuiLK9>Ug-n2`zsXK>8GT;gG^Q~(& z8XhcByw+MX70k%F7H#;I*kEy4m!13rPigkt>!GawJam{kGV?1yH6E}iIy4u-dDs{+ zd7G6wk(5{a{R@@Ul6(#33HeEvY2MTX%eu7ZUv5-?dTZM*e&YYJKVQfo!3DE}Y^URK z9yNqumudG=bG&)YcqzN6J?wGda%aY13a9>uKocU;8(7Gvit1Nx?r{%gqbU1@+p2U`P}gH zmVBJ{eCSFI`nXY;mmO+T+rd2ppC{Itxd5yA*R7x4QLRc*fvw&M>Yj$Pk$X>$IzgOF z()p(0x1+>-sAAd`ANvI4DR13-rbo?W@sP>j5|aaU;{%B{bmQwsjlJo3FTK*Miry=r zB83T`-KEnOi1<+Yu@3D$cyk!TVICD15a#RLJUlcM|5AH%Uqq^^*s1&pGd^V;GQa!m z|KLk%Gz2oKaqb~<+XGidd?kl}dyyMCJ!EAz?6@{k+}+nV8^|0iFUo;!Y~)hx{qNU9 zAw-OXS8L9*01rd5)VJ!rI=J+vCeVI!^9ER&nMD?NO+0d)SZ_NMr;Yz0a3s6|)=TJp zN#{w#XY`^lsXWp1_>r)9q;ljb#N)j7^J>@$&oan5bRK3wItAd14$# znuatAhU|UPaTsD$L{ZQuM1+?Gguj~gEgB%Jcn@m1xNP5)_DI_gMCc4%0mY=@mrNU`mE=LiU52L9q>9w`fHBnPcOj4BZ_ zBjY?R3qHZ|=`*Sgy*2M_#tvnCgU>V>#4s7`7vdJ!5-+G>a*L^W=z;Sq@-(Gj<%Hfw zE941_c1W!NYnLqyuc_aquVYZV5B5BFcuvhvVg(U$xcjf^QMwn9WrE3ggjIwwwgH8n=pHPuts8p4}$&gjHE~g zP@GfD?gpc{tAk$F2>L*2+9Z=*5D6i_yws@9Bp=<~!R2sU#+QbKrfI@{cl3Fz_=OP& z-1QF!II8H}x1a5fRE*g`q@%evlid@NyVJKCF^enp+WS;D5 zHW51<`EOX$uC~%HDq0n8#^&~wsj*GQL)q0H9rKY;n(FA=YnkM$^-~4kP3h)=rZ!IH z+az(Nkmk%Y-3CKp}s;J2k>^kHfVz2-Xr6M$p?0{ZO!f|I#8xk5w7Tw7il z@p8Bp{bKG}8s50ojTI8JsWRU72utGW_sAr6KYASE1&fI7tcvH}hNP3s%hWXsBw+uB zBdjIW!Kg?kI}Luc3}L~s z+>k1>p|!0z?oqBZcmF2olA>K?FzTWFWDPDWf%hevT7hU8@sNy_#t6@%S|YfNOqvKx zO_Mo!t><^M_Y#H)H_Cyo-3Nh!jfSgx9zhb;-BswtH^37P%qR@H{I=;0)~Idf=oE}w zl@b4i9!uKqkZF+2S(8a0SE`GRK$@PTf?mXwiKesT9I z1AaGmgOMWY@AuIqcIc@I6Z=}?1nK>Pj%bPM4!q9@vx{|t&?}aVJnUcV#d#IMP^5KK zX~X@cy8S94bjah%%+6PX$#_=yJj2~3zGj*1)JO;)Ru?2?z`juJ#J_=V#|zae0f&uX zIwgsa2@knKul($Xc?4oJwrGe;8`@&QyqrME5|(a&$}}ra>o>H4%P_@A2sbD=9QF{@ z(#^FiCF?7)FT>g3lg#qwxRfAyMOrZFvOO?moP>GMNYlzNSUKc_)YgA6c@_8X-@+7g zmyA*SvEi);MMqwR!c+x`W@OGw&rG+@K(9qYAcG>Q*~-S&BAa0@fhU`TC#!en$DRwU z%(4a!_tPmUl%%Uz$r=^aXv-{8i|&6$v)?S;+E-y$0_(SMD-Bp8bEO~@{-Q$iY6RbJ z2}10RT6DOgl}JuYLshiHqg>^%j=i93lG;y5(w}mf!OVO|dEgSx5d2;`O_4C;jV_AX zld{pSNu`nkl9&)?!#-MKB+?zq)^xSf@lWmi?Y z)k)^=uUi%78s;^KW6)}?rTe5ik8V>+doxop%g=nnt9?4tKC}$8Hu;=o>q@y|tpJXU zFO!`=dwx3_K-mAdPIM4U7I|foP(oL;bY@YJHG$kFoLy4u$=XLXbagFyhmp%KxdH7F z-v5&FA~QEeaWAl+XRv?wJ+hH+cx6IpO_ftM?p}pDR)?QR|U<8+? z_NJ{;8{AP(T$wRpy~^Cs&Su`H(w2CA-K~;Bj(1+u`VAQF!8IajjKzWIOn>AoJj-UM za=O*-TPqn>`d0Y(>eAm#!3I_vQ(2-)MO`Zi?Mf8|E8T`qg1J*5#$D#HyJOq2rMWS6 z(TMq&<6)QIlY(b>Q19>?=G#LofKW`;wSLalBB%9+*fPGJXZa!wa!ubr6m0;vt>|l* ze969XqSB|MtqCYTJxzrP2r#bh+vSTj7>I7Bd}-{!@*0z-tU+GLu|OaWPwYKtn_S^a z({^~5Lw)No!o0mQtxKn&xLG&aajvVE@Q7sHhYA0%xo=m8Ew71K!eD21?xNmH9T{!& zR`AdkE!$aZ^JPVO_Y9=E6D=#W0=q4g_;zYqd@65h2r<)$aCr2Vs*BMWXnS0{Yo^lZ z!-ci#BiI{zLTW>l$gVrtffP@fz%7`b-3E6p_f)E9?=a7uj`PvhYeKht zELOXEeVgh_39hlLo^ft%(pMbMaUqZ^*^3PIx#ye{HvY?)h;D zn`OdmjX;vD(Ey0?cc8gli|+?PcK-)LN8jUj&dA7RmvT9=tO?Q4th*yNW9|6@w}%Is z%Wr;}_4auv59T9oVF#VvwPx;b?Uka`l~J!MbA~G_Jo*6DmpEL>nQ~+p)fnWT_OlP> zKHPc>4;eYslcU77TbmMl!!*0Dz%4Ap)yF2i){&f=cncuTYPzZ{&d>ffjkYULA;%E?P;W0iCTH zDXitAUD3(&+6$}(W5Ug}%mt>5QzaqLQA9*yIA=-thJwfd?lPt5!K#Z>6W_}IPH+XW z@okB1H6h)LC9hdB7~Wi}8}MeDEV{iZ?`4&#I*408a9MUr9>?w^&LmHBY_H4%p8~$! ztdMv(EVkodx<3NJx|Cn6pr?|9-3{kbDIwvTZ!y}5Kd9-9a}VHrWUwbzPt@cXwRPG1 zjz0NqUEX(P2K8xq@7tKpUOP6CDV|X^T;8} zrPEl#iOm53KJ9~NMx-KygF_C*G7_%7gEW&2H3-q+yQZ#aw&z(~{kAcu6yV6Bxec4~ z{yO7BlXPIey|UZW$h1N}2W8Z4tT>lT%Kq*Bd&oiM(MP-0ho9Ir?4*Z!NE03X07X`? ziqiY^CdPG@K%2d)oAO@l%4+vM5W(d)!)z67zB$?Rn!#=FD9sk!MjUrC-X9)9-r4b{W%n~$wiiby0Ai~^atCOBzh#QccU9#-*9ryS zqV*bJ^JLW&iNR`mD&zJ%faZ4Vs*4*L!b4DGEkQ<-O`#5M4=2ygC>@zCzxRK$Fr0Z4 zKZwI+A-YD0j#5e58DgCh_=rhTU;Zf9qX}MT&0vQ+@X&dhA0m78iLw9Vkp{6Wix=?x zt8MY3*x~YB+L_Lmi^NOpDjkJZUN@;6c@B9ckMW>|MkwD9;@3~xE-~exKV}EqC=7{U zPE~SWI3Hc+=YDSdBqT)csi^s!?Yq*PiAYXM4GMKaXi_N00nkL^zulKO;%COno}cTA zgE9K2;n`-`<*24AOYlcFvWW?0olnay_6)iC%#M8z-r(4HQSH{F=t+M)#xcr=luE@% zpm$@)biwa9Pb7`d*VF7Hgy@4&GiFHEXjI71FAr!pwJg@L4`h7l4K4tk_c!W%U}zwa z@ut%)SZUDUO>2_Ts2Pw0h6RwulYWO3twe~GWaX6jXdNj%tKGQv%H!1}!-|t)xr3dR z;T%<$r}u8SJuMDwwQdfYdz}#?SFU5w8djW-)0QU3S^4$jp4ZYWYew{V8JXkW)LwIR zgVNrsswOW;+M`?PWAfRvpH&*FuB(mKPx@s20gLpE;S;Jg#*c0k$=TF_CE2M(m+mYF5{!GYrTKE@5^!gFew3*a z_M@NvWOrBP<;+@A8&yH2&B~qDT9--xE`qD2Wj4Z>dU;3n(ETKiQF`Mu`&Ub&)=V2F z<4xf&#xQgvJR`>s>jRbtY~My4c?8q>w>#M_E^<34E%E&iHE+^-G9HaXL{=F!USjUS z>X2F-34J}EQ1Bck8gVOtftB6xQVK?+HOT%&BCx0-q9RPbZG|TRpcwII|T)zP$4x) zqCaBTQsN!t*6t0?np=5s*v9e8dA3P(u|;k~3Z#SCvep>Ci>x1sN?V=?rCHByW(5*j z)v9-+YdkB`5eXlN%Uu_PA5>PdHFDgG4wV_ihgR(G*fO$u!rwf2z0LpFp!jOjiskCD z-`zf&9W)Q+Uw+a)D6OwIHnD_;mckf$F4qHB_EfiSUbB@QmC`L6qKC+v+^O@MS?Wv* z?Gc<};h|N+iivej!yb$I=5PK8JRy+C^0-^Nd8?zlftMmF<+N)A5>n8fm(E0~eCn9C zO=IOMT?;2^i>$O-z`o}1HyqK@*O&A>^D&d8Ot-Y(cxh+F1D`&U*D+QO@T5{Q zTf+<|-swn(doHCW+jrQ{SeDuycg@5(M!@B%Eitz0NH8n7l6o^RY?m&Pt_*ErJK|3vHk7;OSUFP?V_z_ z)oXoEAfB5#f^g5CDmhgU3;i{ijk6T5Wr zIDlyZ?}g>|Vm>Ws`B=ry&{7_A6;JuMkL|D7x{o=`*{jPH>eJIu<6QB%bVZk*ic2d0 zjh%+`!@)0(VI4Nl92_4qGKM|U56jA0YjF(;%~REE^A1m-VpNgBqc)aerlw|iz|QdK zwUoRLsFiblOn>hg^OQdnDG-`_@<2oM+TSF)b8JJ;7(P%i=UCngIf9(vsyBaZ`Rv*kpKn@2tR_5(9;WOvkL zdL^+@S7>C9rYny}Lm`3PH)keawY$?T9=b`|ORnvy7>~*r9oTYakYf+5cSx9W-pu3? z&8<|4(4(ANi6&&tG&{@ZVlVJ zI0xxD9@TlpRT7PF??s964vW~FR1-Bz^G1XO5k>nE5C=9)dK2pFY>P>vf>&P>?WHL) z<`JttBT=oot=e{1SA}UFF8d5mj@(_LkmKaurXyas<4gTxfxIKiR?(oqayZ9aw3$oi zl~t_^hMr!^p7Q&31AcewhrIk*9RMq^#;rHOV<$AGB-lv24Na8w-o!*ORGWOluN|z{ zK^5t1UE|Hrp@LI^m^%qW**;CTx05XNQQoWe>EtG7zeEwKd3eDba@6k|t%kk_k_{R82jg5uMiLNx)Mw4UU zaF!JoGDuWIGg6J}In=68v&&A~%%#-1L8+jE#ER8RX%bTpG^!8FuCJNSWjw+5fb{Ou zg_S79-&xm@8uIygJ!@qT?_Xfs=V-;K$<%Bsu4B~Uv5Ql^Bd_u1YzD1#*70HU*HPOY zp2Kea49vGl)h zV^!w}%X<(qEPB_j4YuPCAIJ=fpdMYs5N~+Z}eec9!=d2Hp^SQfI|+hTLjDgSpzOA%??YSutfXDw%XrV2*>i+Ptun z&D$%>P@uBO`dswNVu1k#l%~clRDLDu^_VlnDR*tq+NK3|_bp^W0$O-Hr#iDk%UZ$w z`KZ~w{1g5yAr1|w1LlC%M(v|bt$;LW4lq;*r%5J*1!UkvlArmLTwL^|*)lN;x89hf zaQ2ihvfAj6tEA>QtR!D^EK-$K=)O{^{9w4V>BE5-ZYo^$a-e~s9y0i7FrbeoP^?ek zTb^2@r%%(PPsW}ZY;}EHk|iB28WHTe=+Kp?rln&a+qzU}+$JZ+z?+$0Ra|_{;8ZqT z^$gdl`+WuWbztfL@%7bVQEuJaM_~{gN|8Y%l@b|1LFsTnK_sOdx;vyhbWlm@ZWKk4 z7`hvzL%LB?T1pzeJ&681@qX9gpL01g&)#dVz1F?%706<~tI1sf3yD+`L_F zcLv=a`n+&02Dx__^=BBTF1%urwSolh9>(nP;gaVCdqc)Ma#GNi6i6qS9HR1~t>Ao| z5h>RM-|MH;O6GBLjKqCSEg2;G{m{HC&xc-#yuc%T1!-O(^nJTY{#lYj`35(OX8+yo zz!}B}`we1J6}kL{7mM6wQbgtU`#)%yWT^mpPbk~=*c?jy;oxpP{q2dM3dp^+rKbBz zG=Qj#N8A;DStZ{@t#R@yi=rMvOiE&Hy)oRiV)tv-k=6`#y#y1o>uTPkIVm|kcoPY? z$szuq3B7qH=y`#5Upq`EWpfeqa$guc$tK@0I=0eK9=Ltb#~e z+RKZ%MSC7$XHnpFbYjI*YL$CI znh%0B>wQ=Ye6?!Hlvr-x{d5)sso&tA@2y4IMnX9jm#^87D;QE8E<5J7vwH_2%Wwu? z%!QCG9Np$6MRvK@h53e+_C$ zV2f*M+zzixO2a>*bkBd{R$7N5WRAFE0Nyf!pR|+lnj=?Qeq7|`le64!%jeE0X(O6j zqQ)Ote(!s>`b|cmt(XlqC2+l?vbXt6?}umk9}pZq(O2#zOg}D~{oDb6psC0#ll#Km z$Uw8iMY`mf#k8A62Mn%}gD5#v7#UX%fh^K^R`!tKC9TdWtw_hejDy(HJIrsD8l$dg zBi0iLdz^8gy4p`q>v_66ji7wHk9IlRESO@u2O9$mCa&$xH7ooeafeflUMF1PSPzq3 zEAw|>r;Ok{I@sivMkl*Z%C9e0y;QL(xjSl1!<)oq&_B$S^tJ?&1K{9e7Zq0rS{VJ4 zC!Ivz;S~DQ?5~S=IKUhIYUj{zpggx4I0}O2h##9%ME2zC*q(!CIR%hv_2Y)Dxj z95j>d1Hh>N*mPqE1!_kZX{$EoqizVPS#A7qjBss$DQ^|XH4FOp+{~jD=LX?3J~SQh zMU{E9efEq<;<{iJ;`N^i&}x)vdsMAGw+?QxOju`va5in|lxO^OiT8ZLo=Fl!#ro|u znI|inb|};>fi&9k4r>5uc#8T3Cp7#47mvq!zKz$1JOvBU`q6Nk^}>+}QyG58U~a%T zgbD1TY^JU?;|x*tBm{fMhxN${eH_*P>J=B$tdu^w&1(mvUwuAH>bWMrKi1`$R95Yy z_zdy}MlE2ov{FZ`W`PyDrwY2C8%%gqK-|@@L88bF<-_k>uB)p-DR{MO9GnpFr+oEU zX!@42?qfjSd4;vU@Fydcv)NnCqfWVoPWFR0`DoTltERKU)MWwzb~FVi5vRzwgq4Y- zi@;+_nVz070YCZg3B7Pw9xc?LOUh zr{=NIo#NN}UN0@GTeD-6t~K1FrlqiQ&D6>5uEBXWmA9iuncKqecIbPiT6$Sz>OP_T zwo>eqAXP_eWJ6)lBO(4vuza#UqQwlU%-rO+X0*E|5KLhHt8@(HCd`7OM@lX~S~f44 z3OsPx>`36BF4{61cv9~xS&d2unk7QM8=++ee;`kG^*wF94%C>$);1jhN; zQuO29>vR9?ZRW5%F~_!9huVDq0x%M*hkI%>T($$|^AomL5|6WWTeV~pV{*QQxEdl1 zZSJu07(Kj~ZWC2kl{9U%wXG<)pFhm*xsznGpS-!7PkW2gImV^IWDpsg@Hx4M@qM(` z6|UnY$j~u#ZHq`4;KtnUraQE^h+(OvFM^X4PO=H7i84@cu^x=zH=;{Vcmd$Tp%#n& z_x|M(IwhRGCRE1Y{pl!o*1RuIKUAZjT$amDCG1tl2I|Th+1@toS{qyJjhBw;_jD0r zqZiY)RLx}?Ighf`t1b+nKd`qwilrs5O5&ReE?r7gk;_@|Y3N0>j__3`$W{s77J71D z%j|sB?(VZdqYEDSJ+*g`h4|Kwqpy_m5D-Cc03y;E7ViqGWY*7=m)RC6eG|;3-8J-f zEiq!vN$$(X-DrOHk6kc(v56@bhA?cr^{jZUolL=P`I5f<92CU20ZrE|Cv_|IYl>eW z$f2nTpP0^h_-0M?La?FK=Hq)czMQ$vsLAddGm-37d3y6C+2Kz-MhwQ&J391cFMh9O z$!6g;GqG@;J!HpXp?;jD($;R;f;^lw5~iF#DD`c~bq$VSvm=6E7nL}+`=oyiE~(s# z)55{qVb)bCRyVm4a_1rgievYs>_D}&Vz8)%0}puAT7z3E6$cs{OEDoeSHhX4y?nijUI zu~&1ykgdCR$R-KO3O%jKO}0?g&?2g(bcR2O6N)=9m(Tt0H1273uo}fde#u1zts(ub zJZCmwV8Tu9s#jFDI)O|)6b7c>W_;6KhgN#zezAG>K9)4}e$vu@O`z=C!$7Qao)`A+ z$4P%Dlx&`NW4T3M^VTdpu}&(hFtDj8`ij^EnW4R5itcA(W@cuVYZvt@`5Tx%Y_K+O z*P_-d-sk0lYEnKI>?Psv%TKe94r`J$+(e~5?ROa(-kgjy7KzVqM-f(JE@qU$FUJ;{ zt#U83Tp;o&q}3YDz+!w)3X8vB@fFq|gQ-4!jX&-<;eP47!D){6w_&_t&=tzr07%tb zZI6v*$Ma$(08eEUtD}=cA>?_`rtBYkt*G36ACiaqv(-uJxfwq|y{6#u(t5WnmrWpx}WcS|E=A<2?sys>GVxyWgaNcgau1(%v{ z-RyJs4U!I?Fl)~D9?XENBk&DVR*?%H%b)@IN>v;Efl91pI8PIUIFBfjMs*b#%;8?R zlqOFEqZD+>zahnG+IxLr>~kmE-!E*$XY6qf^8DF2IhC@NaT1rU;jIfB@ii%VwETt0 zOn9-n!{cnn4Ca9bpJwiHm-VO*q*BN9Hk3BEZKO(N;Q)K^GZ@?fJ~>11S{t@P z`_PnI-D|SP1KFC}JEduf%-LFr0!$ObCg*w2wzJloDo5JiJJZ0_FxYGxkZF})P-o0H z5WOn1MRx0Aq=Rc44J%J0sPVk@q~&sC@H`JME4QbKMbB^}SC;$Ihte6diyXEwA_KPy zrT?>c*Ly63h{v_Nb#4Rl60K z>R!jO`EdpM3o)>T?6A~!pR2+_F1fTXL`sg2_Lah~fZ;-C9M3>?pZ>0-h7WF7>gus% zg)rEz9%ZkvUHh!6UUC-RirmnA%hERVgMkEBq=wxta12ii~V}R^~ZS+mjVkU zA#dQ4v4R)!s>;D;x+Y_cLBEZzAE1{3gqsy{RLJsYHhK-u@{j3yHMu0Y?U&*``+2G* zT0&{_{k3$h*xhMbpdJAU0__@JCN(91z8N!GW>7|oPb;{EwyS#uyyywwVbfb?3do@n zzhcHc-lW4ZG@xsG8F1xM(90zOukz~L%LTeZMTb^fnnpXhZLG!o8dR;hZzi=Mcs~;;_Au?bMbb+P?RLA^ z)Jc1iG5x(rzH44@+B1@d)+ZbMqo_wV1(a^q-BbxCy%lwEEgJ#rjoDO+UtyN^3FE>< z>=go2UPg$>*naPRC`=zaH8@G=??%Udj@OIPZX7B3uA(bOmpic~&hja|3Ag!bRV@WB zbm1cpfsnFl!cDc;p75r2)9!&VAty`*&~BaYkuY?)?cyW%(39ex-!^<!d%G%Fku$c3_LPU@VVLsV*X(>?hx`QKj!`r3$8ez@@+&M~grBVcE%4JJ2D6yt9< zpr)mRo+><{3YjSO$Wy!l>fho*)ZP>TP#p!Sv&l3vkUQ-8yTGoE=^)~grLc4mm4i<$ zY5Ma)7Ev^eR~(d}_vb;qILJWl>sX1cQO$yM+jRPPzjxNa?pxRE+GYuORwU%K~>>M*$-gke~gNeEQ&6I zG+M3AhdfMD_jjuXXbJ%fi+#eua=q>@(yIRFZykM&&Qq^g%Z&vE0ODc+gAA9F!a=VI zD7GL;>$v$^vecrw-CTYjulMdU?YC%F$?KLdzqscruTX6`2*ZlIijtFQttvMQLV*pD zR&9}n+dc@+(_Rvhk-EU2-X)})sHDvW$eBLjgoP-vHHmjl45}`lR9u==x}-Z$Syv6i z&bF%?#>!y-&B*)oHlj!lo3clyqb%1y7A=ukaf6c6dO5@T5$x2Qvz+E$4TV>S9CU18 z@q$SQ*JM2sn5uePkvDa2?cPZ`$d&b&VXE2z|6ie+a)txeWKL{&rzQFBXoi&+p;*_g ziOUj`x3z~aFx>(5V`{o_s@%FlScdYXta1k{9i2duAl;rxcb@+^)7`~OC_32%8GIpB zGL8WRr4zferK6~_`W*H*uT;|UAa~2-lMbPc~ zO=dS$-QRtyOAI&W=TCw~|6PlT=LwF!+q(rg1-Fl1 z1paQH9ylU{S4hoh;XLvU9|rQ_GN!sU=Dr%Lhdu`am#t^=pW-VRd0yPbqVr(hmDy^+ z_O3@|G(nV8HvWAphBqI)bc{!!zci7iC7Ni(_tv4}F9^hNmb|1c@PP#*wq%O}k=0V^ zu;9h|o5*0QvM#>zzy7tkd^>1brw<7ArZ0Cd*A0^~-2p$SMZ;I}@ozhIO}UbS|W&AL z`ooG2_%sfqOx;FxX-aPL*9@ZehnXaXL{;JYQ5M(Lk7HkV8OWOWK$fQ-X41heNao*}H%LLLY^AH&Z=qzJ9r`Hsf8WxE2UFyx6Pg znoJe>p~Lmzpn>i0;T2{O&7i9wuL*i1mhMpL7Ho{v(annv}P{GiAV9cF^3h_n7%OstHMu6H=V&-}QQ77{BJ|8nb@ z{dNAue3EVCo|cx%CX)l<1_9iG%yM=)LwZXNkLjQ`3O6o)X84Cql*yrOw~u^=)|R!tQe&cuF^JRB+wpD9J{qAa8$R+G zLc<#bg1N@nZX%TJ*+gUCYs*?TX5)*}Jz?ryF%WJ`B_`-QHob-jFl^}`lF>#ivqan- zHRmZJG6R;j8Z~sWEMJq+wp*|DUW6GR(rR01@%z~fBEj!fI~kvbrL&nkM|J`hJlF(Y zr!CC9PSsF5= zQpJ(;jI*s2e10y=radQFw1vaaoc!+&3RL*QU`vwmV*0AYOj~)h>>oR~QpBmDgy7U- zBx&}SytUXhDy%^d-{)@WCtJaleg;A2%8A?v^$_%`CwZ)H&E^&519`DF-M`;(T=;u; z*phg>n5HOMnvOO?r?9?om7-kyGIThN$ll!;6s0~1I=H&b7b&!6TGae>I@a7{y@3!G zpponj%a=^ouV?!kN?3<_nA>We z`+{!GS_#V`EIUc_;CnGIi=sZlyZ46JsQjNh!t_<}z~S#T7e3FdAmZ&%kg}SXeq>jb z`C;jR@Zs)u59qShon;kY7l!rgeoqFl%SvXVYuIA`g07`)q#=e&nISOnaX|6x^1C`3D zXQ2<@kqJu??(9#Hfx@0kp7vAy%Lx-l*;a>UGPZkq7_Lp)jrUKDzy;t1XauEPyqJ8t ze;8p-@{L8&j|q^*xh$t6FLzL=|6rdw$!%MBHPrr)im7C_OvZ72os+^`VNr9(N=xfp zxpUdu$@wBhUZ${(f%3w|5&pwUL5}f*)qTbvQ&Lp%?Zs@DjI@;HV8WRXqxB~55A+vMHL|RYB^pywhU@9wD2CVB)c|n0HCeZ z;;VMO={?iHS1qHCkLzO+iS7yJ6GTukHVxP1PnkzV>#eJv(y>*gOi&wnvuM1CE4qku zxA)h*K*2f93lZ|uV*B4*wRTNN9SW2+G&QkhY7R)rF~AhZoj%@y*~OGCOb_|Dh-#F1 zOs%!688R8YN?K`5So%tJMWbx81|)=8xQjtuLIwkci(t6@y|2%b2w94xZfNx!{SeyE zb(pCm-|(BdXA6sbT(wctm_W7cAZb>g%e1d4slVHS1qk}2Dl2Q1jT=$q&t`uFeu4{4 z^hw^%-uc2##lE*);vbfm-c-;i`|)B^R=%OkXf1o??$__xjf6D3;B~`zB*%`MgI~3R zT8)r$zbz&bEW91 z$n76?7|pAY?&grr!}R_t>BK&IBlc)D(8J+xcOIjPx8Uzz^Slb!QE3O<+_dz^o#hYy z5S0)1tILNuQ`Cd(+j$xSpU}@i?cS^6tXvQ*n>VW1FqW^+j@BByy-%5=st3q{ zh;oUxe2Pid)AsxK-e7E-=Q$2j&9@|`JKvCx1{a4*MrB_way#tF-gCjx9~Y&9o=Xz2 zA0Bs(1p$0aS9`5VX#)?DZAuwnR(i+hg;(9XQfyXNV15h_p>y?@6g03vsbPTmjvjeD zm~|#m@|IyF#4SYMeDMKc!=~)lw~Ct$sH$V<^tT1}I)|oyR_C3GrtRCCByBaMmg2vO zL~RR=ri_M~bG_NAA%wM==1YGtWK;%KZp@cjFcVE*_aaqQ35%hB-c$g>zcgth`hcsQ z4*vY!i;;&IN@(bAY@d_tG-KQ1wX{F02F=R5H8D1NXV6CMY#;lpg(T2ToEv?`a%tK` zpZlmTp)8!@v4zVUZa^A;`NG~}L3p?qS0VmB=Z$xa*#h2`x12{&qGTm)J zKo!{Ey}*$c)fu2ppXAn);~6wzqr+CE-F&WY z9;k4aX?v88ejbWfkz6jd6TyA5{4Wrl7 zbf$C0(s#|hRmY!58ClM^%eniy{kaJlo1Ijt`q6<};5tjZ8+2)t&rm)>Oy%D#9lt|O z0HuMu6pP;!+~0H=yIpez8O(a6ey__^2Vp4Rx3Wq!@uDPhO|#}*$B|;yZQzH+q?iHh zq)S0js~J+|Je_3+1Qzoqu6ae7$XZTVnuS98zEMr@L4#qK*Y)vc<*Uw{P1nTieDQ0q z`DcjCf`r$6n43%@Utf;(n4Vzv86#_VNy(4vIw&p$tmcBbV7VK_O3=L62dDrSOPdmn zLCWFYWDTt)C2DE-P^v&I?Z=R~{Q9ef(Ns#>B*A;4Y5ajhdGbRcyYb&3@zJ_6Zg|3( z=^LpHmhhe3@$BntmH4Yp`##Rof=z6z;Sblfa_M%h@7fcXtLMp-tnIrJ;@-!kk19lri zVoMiQlLy-vzGQ9-$**v@&X*pk$bF%a+#tL4S~6NfVUGdd%v-#Y%+uJxA-5m}=40DN(V#6O+%L5$%}v&? zGj!0er2UvPFkeiF_m+?|EP_I-*zLsuTsv)Gi2gB1-D7eUf2b|!TM(%$buUIlNuy<9 z<=$HHn(`kBbYPG)X&DkJ@x8v(s(dS%3)X-j~(ETuiB7SG_Hh+3@P=tj3jqTdFRc3BBwGG9& zJ1>9|uq3)YD}Sg(mVQ@J+Jh}#&=ZkkZKg(H=1?guf9IzS`qS?skzGP#a+WkJ#7u{} z2ya(a8dU=LWsUWz<3Q#h;`qm3HHvGCHa~l;w>;^1;)E<|9bff$C(gPM1TpGdvFv;Ko2n$a++-!IpItcrN5G)JpwV9{e8Up^RWJh|K>udHp!6&y zA5>Kw&SSmsWS;obS3OUp_@(p?RD2egogjaMlZB7ka%ZQXd*&PCyntF4n}Fj!KMiOj zaG!QgDRzWyvV>nhvsT+6>5$jm2Qt(%pE5@idk5#gEWJk&!ptq+KxRO!20E*M2Wy}; zJqfZ37LWt_hnV2etT~b&tBRgDy@y|?E8l&btwD1hdUQ1Rfo+>=TN~k^e-0YDnmbL}_)~41RD$&$ z6cIt|F|J)yoko=v?zFjsH*?{#$iQbV)GfHqafpQ&XK^Y>9<-^SRg7o-)-|FpLyD9u zd}Sf`E+TImOz%=OIXmSmtZ`5~Kb|8<1OX5D8>1p70401BzsYh=u*AI>iiv8B3(#LG zS3MM|Yd#wl0zw?rpObPXQQljVS@3MW0D=A}5X!#+UK5LsULn=1!Q_uBlR%~JXvxO< z5AJ1k0l@YThU`v1T+~>(-GQpxFt0h@pSxUe?r$U_=)u;4^VnH;zuCJ823*vnWD{lL z!0?-07v3&Yv0Rvo>_OQ!BNVfqQWv~$6{)MpNtU)LKnHE5DCr`0UFv}%t)q_U$Kn<2 zdff?-lGmk`9WVSqzgOoXeSx<{8F~l#`_6g8S+Zt-BQ&j2bp*3Wd3W3c0muy zz6+G#JqxXsbdI`~PrflVNYO5-|9`csmMr=TA>PMxjky*;JX%KJ}9 zx!JY#{oH@a1X%cmi%eskTm>>T(sG|r3w#jJ2xHjIv5=7=aa98JNyt;CE_WnM5@c^4 zQa0|*O-#ITYjCVOsNB!22Q@vp?T+4oH@J3Y2K2%%`ZwKYXfidgvI+mNbH@0@(#4z( zJNUy65uStc>EFf-r2#AzTAK_8d~UYwV}XRKl?ZT?m#dtE%DdN`YJ4EG3U!fO8B67< zt8ryj8*1g1akYk79@Z0`Rm?@ao@?tnI}pI^UNJ=Al>`gzxFy-dftf?A`!hvF+XuzV zVNZ_wK14Zs9JaY?Ww}oEgfdOwraQg%gpF=&ZMR^3VpXAfd~D}7mFLGeok@8cYA88; z+I9Yig^*i>H+7nF#gBONlD~2>MP1QH^fhLVu(qgpfJZ9|hs+m~t7*CBjTkl_@$Ij1 zdmkM(5bb;LddyDtb$Hr?%Gkm9YpvvX0nzdR`!H3~TRdvlu4+sQOuFG>Ue*(@3F_wx zom$PFY!|!{U#s&nKm>N5&e-@va|_xqe9djq68Ax&3QbRGIZ)-e^f4R`N*){Xuak_2 zHzs+=M2sB^>_&-OlHRyD(56C*Offg}>m$v)pyQBCp%8StPa(lHOmukyM zaAG7{GeqW8rSLc>dCq;Jci*q8sdNyq{W01i&NV2#e%oO)*@+3zP+K|EO;@*s)PmK` zEx$JJSM3Ix_jGsL02`9H)!-H(Th-@o5uD0kmp%ACprkSIc-+=?AmDro(g4;LIp~o1 z=4VOr?wREJlO}OU$;%4_7_%ZRe|M>N|#rXvLKsFmX;7X zhC5tEOi-EFvh^+5;Uw0<@>w0IS`Cs<4d&IuONY}K%kA;nTg#)lJIQ0-syWPvjmNL- z;LQclK~*~|r|$M@IezI=S*YzK=|zckLjQ!A+ujr!T|>8=nIlME+Z^il7_8ppv$v6= z&L)96Y&(_sxzy{2gbC2`gvJ}Gc2NR`2&awkciK%G0gB|%BX(~ufZ`Od%D7}zBj~4y zp0gZM37E2hfa0thhHZ|VNf*tjG`rlDChH%r-}iG2jgkCo$S~vZ`7QWYV~QGM#-syB z{MKflDc#B2b))WFta5PIRBc(3@JuQBR%xWvc3?sL7n85I$65pfNEAjpK}IG(wd}ik zx*6?IG+%Sj9nOR(`OnT+X}qn%hbvb5>a}x)vDO-GFIHevg3W7X_bVR|>N47K+FKRE ziYX6Dro?8yGX&X{%_OQv79wIm-Jw3a&D~tG=F!g@KVH5evrA;XPOLQYa#l{mDAY@V z5fa>*7$rXx)YuwnWgT?$LUC(Ho*CNyo7;a$!@V?RRLDF|bs&hH{2H`{23i~pyRM85 zN#9KaK5#zApn^L1LfPOAJGP-ux-R*kt&B4&2ITiabOnF+Qq6^->ulb9$o+ulewE`F zL5d>yQL8U=Awm1qsB`=rNdS`^i{2_}p80WMqv?~w*Af7&Q5kF>n^W%ykK|l_pvX5y z7i!L2Hh66VY}=SDvGIJ%Yu85kcz3da+suyfJqP+m^9to`!kH}~ii03PqfIJ9-oV{B1^?XQ z?rXl1H3=MbHgENdxv!d)K5sebr69WxmM9*M*#(=wXBK8t5Jq}{eSay3Wn~0TINw{#i>fsoPQbwfg426@5rT6o0@1(JJ zqt?pf95&bQRNnFq5bGO*VE|no*6$P%QZ505Y-O{#iAj2&gNa02+U~}h5AV+mr$v-* zA0B&9uxBagh)Z<%83AG~b8r!iHO_^$DAGX*JN|8T`&v+xeQ7N7o)|xsEHeif4qwju zY>CY|&R{~@L-K>!uC-0lPX6|Jch~hT{pLtA;o*ty;q*}hlMS!J6yA!7du~Jql1tqS z=j@}nF<{v6-06fY5M?EoB7>9q%y}5!Uj+jq(yiT%rKM-5-zst(DlGI1+*szznkYY9 zY|eA_JX-emYJA}8!S`eAv#@M@iPMy_WD#po#Tr+;ykvm#klclygkZp#PfI02$l94f9I{hTl#b&pM?pd5_VKOOw? zhfTSYL#x)SP0RTH5oWDSmhTt`ygMST!XkquJ4Qc`jXSH3`Q6c4+E3pgs1QCwSHvrqkc> z?8n+@1XxhDFDEcM;XG$BNS%fml19$5-jGcyy2)mn<*EO=V&k@6WOaQotQN zdMjPOzAeQX*Uf+JqvmQ}a#t|OU88_*cwgEr`u0AeIleZ7(X#s@uwE}61uRU1XRz6A z#T_|Q;>aiZ!kPRJ5RqN&y7A{4hN9GSq^jrv(*YvIf;JM$(0^}*Fb1;Rvxpfu!U*Q; zxU0~pie!=7uv$;TLRs3mZhNbHaGm+Ub;K-nd}&>)zs*irE>gpZjUNCuty;+s#QJyA zE799ei-_`8hNcRdP-34Lk108o?bqoji2lvIq4SdKv>)Gn0rql-%&52@oJg>lm;;_~ z`mRYI;N~w1XZjte1V3U4)=<1?Z<6dHpy>~P5J5z0VAcEW)}I{-b%SMv!-f&PW+=D3@{zdzwk-4TN)j8ENHa;Ii<*> zKUh$+4E`WRPW+Yfve4vbJvb{f)(V(v^d|=d=Lvu}-AWztCP2h*#zDjv_PS!%omfG6 zVUE}_x64fyzW{2^FaLgO1L`Ng;vE8f9Y2&K%xv@ae*WGM&upAvcbAGQGOD2$u|Hn+ zZM}>~8nAQTW%Qy-j=|yXUbbIB_zu27VDffGu&tJ4I|#EM-G`Zf=Bq@$;n+6m!9z{{!MQ&7Q}we2EXs+DjqS z+n!4x2z>oOp!E^lMR+I_yWjv35$;;uB${xmF@ad}{Tg3Y}Xgn7mG8bW9=Jn&g+kS^XDQ9S1&JG1}++*|WL0xTvL%YW{EE z8LphieXpXT8egLyxWrbQvM}b%%dZ{r+wS9y z=j>-aRt1e#5^ROsI(NbNwU>~$Ly#pnjbRwiJPC{*G@)^%>4|@L(njEd;gPWCI+tI9 zzh@9|=c-YbQ_!Uzq>4}*+`5|y-X!C0Y~q0M&IiLivClxyLThj0*MYxkR5hmkK|+j; zztubDnoJ9MvWpiaA#Z(1V)l}wTwoSqj<$FgC3pge4WB~(?+Nx#AsV^l!}7rflc_XF zxzG-I_iq8^fu<7wUJMA@hjz~1;#51&Arrs(7c{irnffh9M7!)RI`l8KyT_bZ}XDG40>9{jTZUT|C;DtF0kzn3zQ;$}5A zxc7fSO9NT7Q@xuahUc~a$2S7WOYBFVo`K^|);q$#)k|@tjqHf*-%c=}!-L}@FmnDW zaj+4zHVOP&XiVeRvs~zt<?_+a|r zraCc`rXHfKmy&#BD+P1VS3&Ul*XhaQ03GNhfZ09J(2vUxhQOOHS%(^uy|*A1(gm*+ z!TjrU(A{ztNsQ4F9q=rq+&N?yvqg?{_gOJ?vMtPqq$($wo{s3he|pIne!aadM>@6> zj6cN){8HL1x<|A_Ww+E4EcKr%xCn%tOG$Y1DWu^8uVovYrazu%4GMjj2OX4{&F`66 z|BvrPpp)sHE2V1%#D2;pnT~TS#h0qvmOmu~>=o$Xuf=0_Bc%nVhj$q+QJ#JpBgKT? zzW>|l)oR(3onk(`L<_$zt)?Ay^YLv5E|4gymmoqXFBYG5SP*rrJzdK^+~2aq2qzG- zOfn~Tr5fBV%y#wDgz_;*nm;OI1}2e#{r>s+U<>%SXn`oNzs$7}#7vcX2njyPtrX66 zzPy5;E5AzoOY+)?mpRFjEdnFODgmb-=8s$uEz_TKTxSIe1e68$8S$yUU_m(oAPssm zJ)Sr~CSlat2%GVxUT>IVetKi zFj^VC-VRg)z;*8VLs$jsnr!_9GN0R+JisZlo4-bk+gk}i68~MC#7Hy&QjU__D{d+8 z83Yl(69`G*h(asS3||$DV&4`!r-!i3#$A6d{|Pl#<~V@eW4G8ZjbtbN&lR!4!t2{< zd)$8M39pGmC@&Rf)c0>czG=ga=Se;UKp%aNIM{YuF-xo!UuY zp$2cjAHe+*TTW$~FWDY)OMyl;R--zbKb0;gxthsOAnp=`w_3IAVb+i~U#VE9eu|oJkR|Y=0bmGGnByoh#KhE@P2WZR9Q7HK! zNb&P@f;0EJ$eqi}B=~Tku|&Q(Kd1nm4GjDJtJrATQ`3Ia6Wk|<^gA&FK!yzSv@!>u zP?Nos+=ijNBLMzYp_AV}p>(D`0~M)8-F@_TT`(r$jgeXaM<{iHDazae@NhZ^a93KL zirtFq(8*owi2}YSnwNb2k4rO41k3k#36Pp2uR#I(PAgLmMoG5ydNW#jx*LN*HfJYt zxX$2H_kza#RW}Ck8tUb!OWBN5(Dcm?MtfxIf)Nmvehki^5xf0>DTek zVl?jA>px@~&4dgdSt8Hx!A7(47u=XMS|m~EzaRQsmR`Z> zm$e}QUJ1C(rXBbW=mJQ9^#&9!5khO z7f3|)oKc7g|EaB_T@a<9htbQDuRI2(0myIgj0i2ZD&Ehl2VBOuHX<$5esw1+UI~>F=qIAUO2GlyIzoGF~jrXshp^vR}5I{rOjYl<;wBiZzkMe zH%Zz=7_CB4(PE1vN1O^BNjyse%g2`@#eSD_D)EPTk7$Pf%1OR%juM>)pyEH)2ZXj* zeF=Je39OI5UV^Jq?p(dZKC&z1?Oqq*e2GgP_J}tmvyM^XHT8)=Y6wpSa$=!Q1mbr# z6uU0$at$ymZlKyB6+DwRCz)sc(aAkq`U~8&%;!H1C$OGC`K9l^u4b>%b-D({Xw`Ho z%Veqn{2O0jguaYPp(ZPhB@IShr7j*`#+XA~ho{82&y-J3S{o4!&g~o7lNE}8T-FRn zDRd^Xi_s=mZ;9l8?Ukj9XCp-qtQFj!{o7*}$h14~>k>vEgzUr;k8afz>LMhT6#v({ z3sx`tU%mCqIar$p0>2~X(!{{8#U7&_hfd}(Oz#0z#=yAzbJIcSWbGzn&ZN(9Z3I6t z(~;g!chTQEQ)f|&Dtwd1*C2ZiqoMyH-t*q~;s^o_!Dw@yH7aPX0!^&stW;;uW5z)+ zBE~P06Y-xc#+FUNi93!$2P#~+jcT+FEkeYyC58iWVS zr=-+bA=Lq6T_NCFq@Dw9hTvTh50^I`cdb~!v0A>gtyTCx@v@!yt?EFPpq)1rI;{X| zxlK{;JAv%-jhU(A%OV;pL3TN`;YZa2!}UuC|Ng~iM$yg5At#~*HL24L7I~tomaA)$ zg}|B znyW+JQQN@3X#_#M8`O51bF}Zq(%uxk9L|bQ%|yvt!?C2(`dvKpS~&WPF)u72La(Mc zjhO&V&Mzy2LpI20!+g(vG&ye#;uF>}Dh4->3ZuvD_f0o`zOL1+6WwMKP|>0j*vlX5ywMPAdeVg9|l(bG*8{ah)~_AoBKT6}IM$lbuT~0c2o> zcj{N*xL8mJzY|a1zzhH(y)B>7ECnHnqBn%W*Y+**$$>Hc02Znk`?rO92H~&yi6K-l zflnc4^h_Oih-^(=<^=TC@yX$5Ex{MYpztoDWv$!bornZp_WtrP-_U8`1*STU)D zyIY^rsaY8-Geen_+AlI@MEMo{g)!4W1kv+Xe=i0T5Ilo`{?%O3_@;<;KOPf5NK87B zMN+KUQzY=Jnt#6k|GTSTG_68?bBHHJ|A$7D102Yw zxrH0aIEW49d&?GSFzY}JyT_pW6Z~Mj+B0BSF}4+W?`IGt5+-sVlFl4j-X{Q2_!HPj zVxk<;mTM}8n7|G0bAbs+(a`1Bx7eYW!_cmgIW_#Dc9D@pX*1*;B#NI<65)8@Wfh>n z#Tp!+_B-#N4l{R?1G0Q-Si#{^^;A3fLRhGm3$i0tB}8(=j9?8yIo6k<#dfOx@b zR5!fWo9iwx+^yEF9Vd44)+9jv)lx)1C3^L{0WfbEbjW-P%RT^aW>WI96MF^#Xog1C zvcE9+q27lCe1TIC+$PLlbrb|dfglb9B>73OVAK5`%s)rU#m1cYTitqJu#bN!6I$Uk z1OQ@_%sY?NK{fj)bZ@u>v7Cf<|9fe``PCm2EXLNLI%0O0=xzN}2IbL#jdPofC!zBH zlz0aQ!2?$tdK<(iX>&PYH?C7W{_9tS@^2;Ohy3&%ehN0D(ctnmw-|Zj@foqr3s!>5 z03sD&5N`H}p2*-XuA9nVAlwJD{;9#Ye#iPqRU!D-!VNgs675M`^Kbo4IE5=zF&~K9 z8EoI>8e=|0p^xS76y+lW`}1dIXJ=;@`!iWsSQP$3tYhsiYIz>ceEpi@6r*?}LD2OF=u>{I zuI{$IqG90jKuJ~g{JlSZ_gj1n(Ihy7y{=LR+Y|B9J~)p4GL&aTYMlMF;qpCee4AOpjT^4#L$;?&eslWRIkN_}fnjWy@M?!eZcLijI1A9j-uqXX45jzs7g z0ja?#+Ul*53n<$Y`MDdQA|fJ23M~|%*l`h3j3X7Uj(I-lckf;vNIrV>$k_P$6-vx6 z(c&WI{E>3;p;MpQ)^*&OWQfqgZ6=K!>tAP`L9Y%rB3Q^|tkm9btEy%3#R%Qw#{&^8 zk2TAkaxT!6yYF*748MCKxHC}G3xM%BKd2&w`#=Ptd0(LTcg;I=aM|Hlf&8B#XG>mFu#RPRz@f5d7XbxD^rb1Fl9Q- zAj-6$2G#;WoXX8-JBy#mmTTIVPDn7lvf{BedH*2}%Z(d%aefJA1fa2Qn;{+4OGG?A z`L8vFo+u~wy!Shqgol!NTE$i=1aWwU${?f$CFHB5WdxQZg#>RO{M4+ckdXjJ^*j|7 zA@iH{&DuH1BgG>7ih!y6IJJhkNC+2NTicB?$5nZesL057amnHM@-T_bezhmh@WEEW zHqJl`Yk&m`xQP(GCWGS-*(w#vFFX|}^@B$y`XB`7dqAql=+%=Zn?`YEyv*^*#%%jH z=*jWYYS;8D-IZ?DGulO8y}(pgk785VUAl=Na5^~>;t2ywOU*}*5JkHr{tczV&!RM4 zd_!w;b2;dLKi<@`ES$2)`UO}47M%>yWqo&J3@>T=>E{jE$vQZ2i@mY)S)9hcpVxdi z-Oo8mVqi61xQd5 zpGm*CF6qr`u3GW6s__CMyY~{fap;=J%9VE<-t3jb_dE6HDcFa^lFhUM^AmkvZHxTO zM*Tq}>c@u?AQmrr8JrgojXZ)>p?pS%HsovTR=cyXrO$5~y@-U~^M05ISYh}l;y@#Y zS%r4?GULE-ufD#%$~Pj|;}!SmD#Epru>k9Fe(j=D8D8p-+d5W#d)(%=IBy&=PXr7i z4op$UMEMMzRF|A@57tXXK+mv7T>t?C^#mSL?t0WqeY9j0+u3J#PvOYmUsSiI>z0#x z-=0qt(3v!Ra;Ln?COsK6T;iF|2v_H4rZd8EvOMEC z19d=CaYmxS+X?TK-DO87zbt^4{fV8>UsWzGxECF;jVW0n@1b9$NnKhR`gVs8bSvqL z+2DCyf*yU}knN{CrLr+QFdrv0!Dpr6(w@|(1s+(bnvld?Fldz$ew`S%)L}XO>W3UO zTJDt0;Sy+leeltY2ft`zFJJ1~$cHxv&!-o%+ z8(dMk3$Z>6>y_Y0i;iK1jMPu@gN*=_31pLrx^s#_YjKKDgLAt@1O5H|7{%B0kjeXi zD*c42T%ma~@=$jEYeQZv$HQMFpEd&E8HY$B3NC97Y=BTY^`7Gmzn#waZG3a_7Px7( z0vd>ezHQg}^ONI8UaW_saSc|b^{8n|w^}a%I>kE{UN~wN;fdFtutfmdSEC5v5+|3d zh9vEr9F1zwsPc(kf>@e8M?1Ljt!H*`e}80nWbBsXRZoi_2B4+w%}eAt{M(BPTK3b+ z1NW|Keq+R}4@4bc5+*Edy7(42DTdbXzF{7E2y)3*Z-#k`*%M;{0(zS^s+-BV>FHpA z#L}+q*(cnO-aDr0As+cey+{kttsxs%9l7}7XHo-6Y)Aqv)d!9~`od%?i1)zjpv>Y< zU29w8D+t)%$8=u!&T!e{^U4)gi|I5;xG~8J9px}tgU>154UXEhQTg%RzPXt?6Df0K zS|hTn*=kXgyWsZ6WGV{~g#zXkY&DtP8?ri9R%El>x_+fzl#dG9olcj3d2KoL;^Nb1 z-V zfshKj_6c;&bzzkq57+3__+4D*+$CeB);br3p)N3gdza5<)_){^YF&+z{`{PTv(;OL zPR0dTe-%}s41!uvi2keI)RD zI{iL9Se8>!3sE35WD!cnsk?67EB1!8-o1wp$oWM~Ge#gWXK*M># z08q|a>>+v?mzR)cXU=X>)tO()eFX|?B+i_$znD0C}IpfIriCmD&h004q7cGO(a6 zpGb$(W;nV>xJbJcUnuU!KF2>ORIbdg*T#n^AZ|6Z2UZ1m@QxSM9&A_Qeb$K6nsx`_U6KceIM`T zx=;S1jYBW=hao_NJYP3uQ$zReskxl+qc<}?+^sp_!+;I$gnvQrDZUPv2_(ZpR%GFrBs-i zqbVk2@!F%#xmR{)GPkgU-n(slWWv&_dNmKuZ0?q-hAZR1(EQ zvsJeHme16qQ}A`QQ4-eQcEdn$ntE1(yJBIN>dS|<>HA~hnI~lb-(eI5*mMmJJRJu) z0u=yX;6JdJW?FgRa3TvZ`@}J^>P??LG+LldW|5hJV!)rzFAUGsRumS3b7pg?bA6cM z^$QknqxYZx@4JEAs+MW>5P@>d@VJP#X8pp*>lyR4vjJ z+rgrtqWZJsm7kyAd6~lNjH-k1w_<9;+4>K3nws&e2_DOTn>HN~h;}2BCZfU~c+sbA zmWc@o%AkNAAHTcWDbcBS**iJTpegq_{osWDuk_^uBqc?J1A>3YKR)U2OXX4&VBV4fXdP zES~-Q7WFr`w;<-LX=z>WRWG>gC(bswN2iF($@PKPfCicWRTm9Iz}&Mm{dAKMNW`gqie)@7{<}Dl00;qfD6f?k_=a`N1%ed%2|-m( z&YHeSsQ9etC^iNleA(upXX)bDR;0O$;~VJ5IRy(=I8^Iv`q z9J#b_#_|sEI&0k`!{)<(q!pSgE@2D?d*#lIjQ;(^9~|5?z+gX>3-3Xpmo3inCLfgW%S5W^83aQ$l!-m6I!J1Up#Hg=ZuK%)TBOu22KDXid>HXou2cPTX09)f6Y6$}FmrK<~ zGy|#i>Q1+&VkT6VJhV2MZ4}Gx6Z1khGkSP<&J|I!$+_}rTU?#FxsVP-2$NvkPlUm{ ze$hkc%(0!q5b@8GXPDWAU=Lz z#7C6~2?^I$SGAjbbRHpp?(XicvRx1~Yqxde(Q_@fW&)$rb#ne43eDw^cVu!|#@G78?-M`@qh*Aac%JVk=_lFD)uHTUFEjpvc@%-zAfLdp z^ve&y#KZ(uP+dt$3BX9+*JrdJXLogqedEskPvtou$6(~46ZWS`8V0#B zdQW83Y!Mzd+88G;_;ZppuRYBuHZ7WJkg10inl5s%$aeRLJh!@4+Iuj_ZsmlL@MfN* z@?4(}o26FAc6uaC6KL=z@}QB!B}LJhg-4myIgKmAJnO6YRtJRJ5Z-nP+d+Rltbgxg z{E7(QB-tAxBjGxDI(X?UCm5%8n3GG{%SJ-2wa{68}H<9wWEceEH zV$e=1UKu&6{k&_Q@Vq}5e9*B9x?V0=suknwG2_}*+V=iDTF}Rc!;I_`bA#}_$~z6IaHpl=SiO6G`1!94@HRln zwOx;+<+x`cWr1KzOl?o6Nq*IH>9G{Ejh}B8l3$znQI}vUcra`vz6Rq8u6=`iZjUdv ze3|ZLrq70BEuS&zUfy+3lr$c{Yg)4Zu=EX|PjU(;Ra;glj4*n`+1&ho)1G7SaMKEp z+4HjRzOiZR_>}DKpgn$~Kb2jGf}Nd-X}^zap}~Gd9Beyp zKqXkWGlOt~fB2>Q{q>b;8c+V*43|sa3=x>)BBQq~^2A5Cra2`R<89qp@>sB#DgI`UL8w)?f;P3pLl883I%q0LZhX z%cB+`-Qt{PxQ9V_*d{%I%0r;rX;Jd1Vtc$uE1pS56Jq%wI~n{n3Nqbm%W*})AB)cb zHfYwLa=GY_>E-3civiUM12@A3qA4VqBhbj;=OgcaQ!S1FkNzZ`KdsK zY-7Q4LdQGvH6eOK^_JsUkaWUf%r*soxyQHZoudfSiF{V+`;Tyt+q#H+g8+g0zxRv;r`DrqnTZz&*xt;VPT@}4Gw~_2x7IVI};^jJPxbhBLp=o%-;dP zR#sM4TYI)UmOg@n&t;>3E@!kPuM<2+Ra@qMybgWFH0Vpm%zS@yJkWG|HY3tR{3one z(f&D3?#2&+J8;k|M$NtWI&<~BzF$3g{rYvegIc~>{r3**`wwXjE65#k@Bet<4Wz^| zoPPGO>AU(m%+{`@yaC@PM-*b7)$f-^ei3|)9>M2)))1nVUROu$Gm9qM;%;7Tz&c?& zpE)PmnE#&an?I+YfqpZR`XI9u34Y245`jLtB@2-409^$4CK`9fz<26nsxZnGbo!uc zwt%hK0*i{s`wbfx5l{9EjNZU?*UZ3X&8p?+=Wo1RPv&#o=aBw*b$!qzbaU8h&>Cn# z0wuj&sd*ef=z$T!lQGd5GiK{GIm!&CerJ65eIs;^3V^&-a?Nb6%h*?~~>MC|79taNj4KgUMT+IgBRP zeV;1Fh~>*BpOtZtN{8?3oYsQ-(#=ZS9>ZOGLL2sd{UB|y4ktiN5*xMvO*N237>uA zzV+Oz+g1xF5sFUrZSI~()(Mw3v-fPgm$DwzSs+cfaC&EtL#o>iS9R#)_Tl( z6JG4Gm)7UKK5di89h9GHG&19&U=Mkc=6?2xH0LFSpMTUKJonRN@AO@KtHc&cOibK6 zr^)q#xGPZ--Xr8{mfEb*;(JMNAxXtq(QXapL4za*x81hHXL_DOFWnu+V<1V33FCr} z)bay#bv}-*aU?4_Ob#cZ>4QqIDTjq0!lFS+tPPX)GM2E=60+}In5e}S41~Gb}Z9Mp2~;SAo$dywR#HVg20V%Jg1XZuyLe zRE^3ufNSBtMqmXcY3h6@ji!Q)_XT^TP()gew#6HLSQV1Md`2GF3XS%uevA+H5O`hR z)-+jNpL*%MU!0BK`;tRF46-Q@s#g^HIpJGIRuqmdWFEIy=yh+@UpgKbyvkI!YwwPi zwen2n^HAsZOy)sjDRU^RaOOEVUh;HMQMPlJ+Pid;)2qq+#uBfFJoPi8p0z}qv234c zbl5V6j65-7U;?qD;w*7xlkmm1tFJ*Lb);m+iwpOqD^JzB+QZ0;AQdGc5bI8e%+?AH z3`qNFkoV`W{S8Yd%gXo&r=mkbis={0FMkzY+kH8bcoJw(Rk}FHU8$~!%k!!KPjWj< zo6r!U<^Kr^Bzsmm!iGE;5?Hj@84`XQ2;YlF={002urlW3pYZ+3j6R{ahhKtEUFOTQ>YWob@XKdaYGS{%C>mjpMUuNCLeBRkC z!rBvVtgh%8_SAG}2gZ$)DE;?nu~W79^I1)?;&HBPOeXI?eL!00G1=auXK^gdvHR}| zY`PdV^ZMSeEP=)L`MJTDvBTo`WgvH^DJ#o%?&{nVh3fZ9x^~z=vBy}8dug`Tf7GTy zCC>C`Q5sW=C*}4!1y)7IdnA#p&^jlSN=gIj86>->?Q!X(!cs{Mx+26Qsb=AU|6N)n zM^zHsw{YPPpsb41Rq{%nVST6tSTQ#u(h_vujPM_Ha?#`!7rVIZ*jgDV)!gv3-=Um5 zbB56C*$yyQ>l2IurF3gQ#91-8N(QSt%7#t{EXGUpO0;$MsVw^{yy*{8;kP^ZX< zznUKo@IyD_I5vD-3=*SlJkS?y<@mrKW5mVA+E2ZY5V`}&e;W-d_^tYD>c?%~mJqAv zI2ZHji!O|#Ch)q9GKr1N-763H9`WYE^^%!pWX7!sj_bV}uPwj*Rf=Jy>D_TjwC|Leav7Me=~?I}}mtIijE3Pl}`*R#f33Ru8fW z@JHROYL~?B&r#FSX$u~`p0=E?SRJ`*UevF~`n<}v%7q{zPL)yHei_#=?I!zz5E3H; z0#0%Uf-wTwV#A}5wo~;(i;aNXZykbbxLk7?hLDT)8 zVCw4*_dv=Kb}u(^-I05|Q)uJO!Rw=@`g0Ox@05-4LK^0J4X^rdE1&gz1jNJ3O|ET- z&h<;8=s@lZB@uFT`aL=rAQ7XMX(9N9S~`VW=1+w0jdT7jm?Ays!t{8o)IaHKx(kT- z{9sEKYx2Hq3a2KN$6Tj0+~CXMX~P|2;hhi1yS8mb5I+V(#ivAZ-E5uZN4(|^&-`1==QsOp8z(F=Apb!In2b4#&)J%@6ZfCXDiQ%X zhJu2EF1<9p+oVKqUz{i+WMsKQoh!7Plk&aTSQS)mH_*gh{ys-h5J6}uePr0|M-f{F z`Q8`U26jjk>Z|#gTO^f{TbJ7b#^UtVeALMJy+Y2JF-#%n(zr}70>blnF{!HawKqI; zMvJ8+9NTz9$;OV1;t*o_k=q&99t@~-TG|#V9~KGUa`&ne0G^NPICwgTiH{Kj0oNR> zi>3$zHyjHe7wtolazsxvFO9gHOA^Z)k5_l zdv(QSELNAB-czFL;q;`ex!bD^6q}B;knRYL9O-je)%%wd-2l49%;6RC(8`T`okVUDfxgN2WCCDUX+_*y_}3iVoyv$4*S|rHfm* zTI&kWP7m&Nz{b7(&%Ew&=G^AlDK*$=3mY1=b*;a*jm>rR8a%C5pByTuV(5$#Ruf{& zmX(&uwQX=Om@Jm#8}~$_iI0hkqNp$Tu8TcPq+4! z+X+iK6{ZUYwDF|w*ObKKTha@JFtOoO{N;UW)!{~e!Aq;;qcX!I68qcVMW9a(^peV8 zHJAj;n`k~^Ags{-a#^nv!LmS}`jq`s|4uBNJn^DB05W%i4|uQj8ER%E`(qOL~g zRq_}m4tj^)4%4*)fhUZgGBj6}J+FV~3-)KzPbia#?m3tm5}hwDM10GfAp%#m8gSX3 z5}-D}=wjahDafPzqAIf@({RCQ*e0pM`kL>@P`45~2!cXR*YL%oG20=Ehi2($;#_E2 z`XCCAUM(?rHh@Wlaqh8-k#NDhAN%@7(_srV2!kXa!JtR)!=6Pja^Nt7vfO{T?lG~6 zxjxG`-PhiftkYHe6SdiOxJP?6VP$UUc};b8cd4&RlkIw*-M=B-1-MRtO)I2d&=dn9 zv`EJ@$7G%H!7coN>JXVu^Tw#ADc?vN8VL@Q zeYUZV4ECRyJ6zA0JRguQfCh{jbqsjz_%lA2*LcR@{kicdact-LZTz~rB0yb2I4`en z#N^HpN2QS~ts(Z;BGouhYB`UjvQB!nFWTOjb6%NrnvBdPku~mic`%#SB9DS**eW?d z2Ps?^mQzxsFK29L8`fB83sua@d{$IFUaV4oIF^j3L4LvLex|MS(t3U6__Z&^Uzr>E ztnmKMGZC_b>X0vc+BGCba(mYcbtgx_6I7{6Q~09(N- z`43&+OsNfcU@6pFdagy?N#Jp%`gV1{nBkA`PoAqpKtw)5GE7Y@ENTtgLjZLE>>8ir z_!LR=L5H2n>kL#hDu9^RDKnN*S5Mg1d`U+~cR~){H5_T!4yJZ|Tb;q0ff5ngpOD0D zuR4wcB~6Xuvc5Yy=k)ejF=Z8)x!KTDtM5Io7UD5>-`q^=!W7!uCD~fUlHbFLtJ*%r z+-4)5uzl~H0_x!e{Bv`<<8b+)oS9gcGtAp{5$(dpVk!{y!gR} zx7XA+l8y}KE2Og2#ZHc`Cmriw6T4O%QPmnw&fw8z7Re92Uga3+^n!4h>QmbrSI|w3D&Iqg;BOP9o>KM?gHbsU`6%t?~NRp(){t03T zzzz`RI%P8e6#Mn-*O_YD`_l z>k+1&5d8dIA+f=E{kVJRp@u%S*HynJ)ft-{hTdKOd6uu2E*bc;%G%(*X6_o}tN)GN z=8x$HG3mjaUY+sI3RpJ)%_7rYYY@*B3f(NYJU28K6;FN^{bBN9lX8VPtG`ij_K8#z zLaF6b#Gr;x*NWX@jVgUuaWC$U2gGpbCD?<6$Ogi>FM6Y z@IQM_8KHiTu37JcBhb>fJVWtY)@tS~n4d>-VaeW!NHOyOgS5)lq?_`;mk>+$&oJ-R zmx1FA<^*-VWSJT{BgT{lq$|W>UtiZ&{GRzoat1Gvk#zzS_&gFqt_i;mfey@|axQg>Bz>r;VM%QHpF@WikiorDf?vvZ9|O0@>=_JHkUp%25PY;WeVngS zseJ1`4h-BJ;hv=E;l-`6qdjogUStLY1Tbn z!)CzTKkm)t0;X! z&l;ZdHA}JAsQ~Zo_3;IrheEco><EX}*6VC|Q?4F>W{aKVJpE=-ra<15vnC?bV zJ4MUOb>GO4s?=YF%(y77({K2vni{$qS&H4IkNJjvLTKrFauVocMyJb%S#J-g}I z092E|TktlY5Uf++H|Pbr;i?5D$hHRkwpSl4!GL!6JT?3LmL{@`FR>;1Ge4t zA1)4;0A>4%p5BsUkW!>~cz8IPMnOJ>8xF+vd!ftA%WrWoWu&E3zI_8SDZEN)6}33^ znq8AI^~1RcL5h3NKSwI`&JddlN` zBW{P#^>Tr+;MA}%!`w~n-jh9J4uit@!5VKYbHb(WYZNH;faOOByTiVb za5%~~4!&^+H; z&@Sk*RJ|L+(ia}bB_jhU+q&=FrG$IOn>ro+ckhO(tY=1TaDUWVSy=%ZOH`s4Y@yBG z%nT2Ww(`LB6>{hprB-QnU%z?g$fqxA%0W9^{Nb5t+02c3FGcn=hr-T6Im7Slwf90y z0Y0Kjp`>|~=y)GVVRh|LGHv}x#ceSdaoQXj*EqWFZ$2s97Hzuv!4hI$@U|Kic)M?z5lGwN-E0H{kjQ)%uKl6!4AI zFRL41Ye3`Uw34Ia_@z(6O!lYS9Z{p#@E=qCmhclH3D+RoibSM zU2!ar4xAx{gLEvtd<>I6<|TCq4Z)KGY;AsoE=xFF6+cZFxYhh1bjX8x|4J61W_X&r z$}%jnD(#-8Re%kBHIBvA1|uf4fFFx1R&6hi-St1Uh>bZ$L8glXWOwT4(AEOMX zLEc*75|6Tw#Hci%>e<_Jdbo-LEua$JW-9~vro&Y4a8pTU6Pl`dL%hE9ZCRmdQm!d# zK(9vMa82KEB)2$H`4+m#yPRt}QADYJJRa12Ul8T#c#VmwvxAkGg@4l9)_(@)x-W>l_ z15l8c#~Yv{z@`5L0D&(dA%fStm zpA}QtZ`GlV37fgCO$B#%XHTRvKS#G$%Lv^rCxZl+%d9Q@b2+=(BFgaPQTcSnM?-<5 zvlCwrSL&7x>x@H+Ycy{2zs0fF7E1XI2yf6w9^0 zkWv7bt#EjrbsAD0%v(IN3DlNBke=>+uft~KcxF8tkI3tOokUIx>O@40!=vwH(?=nw zC6Tz0mOFqCLr(6mZ`x}w3zB#w=b=kd5tUAiH$0N&EfgW2T197?rYCl>g69IkVBW{j z=LGnaIms4ZB|4hZd?^%k+L3*9oAZnK8nZDCj+&CvUKNU!_^cpYJ!PU}JVi%iuX3^O zUaxU(!$9a0?FanZ=E^h$ZN{FkC$eUpeL?7_;f%*-WZ3;J?ktx|i)@H_FqU2=hDmdy z=D<^N27k8QRvHKT;My=q>~@$UL&Blc7xmHy9jgiK>cRI4Jn6uPwbyyR*7~7T|5!x= zS6wlW04{Q3Trl_jX#CH z>R#b2_ggvL;ZQjQ`d_6Sfhk%Q#Z>v_7Kc4kK>s?A|)wa~9)| zYr5bS7zGjlbeTT>`|Wy09jGtH`->K$=cJS@E{{QFnZ5*`k^Yz;^Niws1^|K#v5P&0 z?wlT(zyJq9@sU^|xVi3KQh zeO-?)eVOQ8A6;Ii_1xQl3Dc(;ibMkF#sCh{1rEoGSpl0Q?=kBlO;R{ktmf4|GuE;MILokDT>* z#-yDtM8@l6-d@hkA&s|Mnttrf;I{b7@0BwyC_^3JCYAswQHfqE+sh1|7I9-kcZ>`K zaSw~ZU{1xn4{(99J-t1ptPpxsalk@#oP44ey@j!&Ou(im{2P|J$Z&m#BCTHf=QoTPUs)|s zo%t_|B&hO?XxxJA!7x0{=?=Ga@dNWlxIpRnJ)dHEv*S}nbN~g7oiPh-{n8oiv-1pe zyoe$kd`L7gQPC8BcTmRz4Y;)M_f9=fCx(QCphBUQDsM$a);2aq^g(}F&RajoC}2yecP1??QeNQ9B819EcjxehDPCZV!Nz(@Fo!fAs zuePx!o&o#+C?Ake{k-08NJmS3>SU#2by0X7A^YB^zoqN9)_$}?VCFi z1TJAX8F#NZB}V~NAmffAOsp8c-~Tn;BUv0I$K&{sg*o}8s;6Nnt)cqOfqAf5RKw(S zC-?lt03gR#erFq?UeXQF2;f?*P%z0Btk5|!^fr3%KfiC$C&4dV&{ZV9&N68;?g{Q- zXeG5M4X^R>Y`#7Xj>Sd-lmEm3naaSx&|tR&d;H{Uvx$br)ZR=r5Ou8Y?ezskYuCFl zlAP|()|TJW$Fo*_Je_z)7cr#VsMX#s{#F7?QzG6jj;nr{_9cF;UCO2c;Ezwcz2w?& zIc@H0!dn#d0yu*}FHT;M8By_ z>VsvjA8WsF4E}n8O6Gg<=b7ABRUivp;=G7xk{^<|8q)JUuwde}tnCdB320_^@sVma zoDWeO%whowxzcy}>4QRDOq5E*NkxVb_&O2vsA2xe!we|$zhhviNc70+j^X6-ES)CM z>CjYDJdFs5mZrq4b_-C#4@^Lx;o@r7IZ3^f%*xC(1T+ZnP`>8k+8E0_+aBB5ohq-` z-ZzR6$cZ(2_%eAUNCAVDrW*r#EZDpNM&V3;Wj;nT*fLe0pC8hTou*eAcr%+{vC+8r*4On@KwaN2E!qR zX&5(a6GEHT^|aN4ZNKGcNv4PCqiOi1Cguh-Vwmj+XY=2@O-xJlz9&>*PT!&_(DQr- zVFHTUN=upQk26%+C)KH2Q_&t8JoZgn_E7UZdqgI|-!He8D4K?CgCc}xK2?1(K?(W(absC@$TsE_`_+Q*Tt9s%Xzh8!`6>GDyvJQ{szty#s^hndma8KJOuiiXOL=zA$QjVPu}4 zzIJF_9~o+@1W~bKm;}X^9_PPFQcnHGKLjg4xc4@N0nyC*I95I7Ksn)Y%-AN)4xC&^ zg8%Z4cNrfCX{QsM!G+a>gC?LL71N0VvxU$}cw+`=_{|5&>u7T-Dx3f}w<#QKzqY7W@}|_J2OroUQ`3%%b?P^llP)gYPRJ35;r|Q9KNL#HE-fRom2Ysg z5k|z7>b8t>m*!$>O0DzD4e%>YFA=do1s=t>e7Mw_)KHU|32Sh>KHJ+vs{^`7F_lcI zXle^qI0V>#hl_zPcWa#fK?DJM=t1(Q#tG_!T+PExzy`hzcC>_iedqUs^=n3+O5VBy zCQpb)1twZB4f#_47~(aIAN|B>uK?J+wSZ}SbvQ}WiU00 zs+wBUpR;6d1>SQ=rXvRbTl==xeu`y$fMZDSd7lK&W5BkDrB?+~AsGoP3fm-eBq{v5&= zJj;d)6)fMzqfk5GT=-1+6y==Eame$2?&6@*^1$jMP0ZJ~=)4fZ&Q++d$im0PaEZq5 z)Ov<#n5Bj8xj5RnI+UgN(`eLF9rIeS6-Kc+d2q4SBO7!{5#|2Ub(b2=b+kK#5K#HE?g~+mpY$OILp0ivXz^E zzYcb9f)PCUZ@>su{j_drZ*zO;@JFn|uXlohi$T=Bd zXX_`(6txnmW}*m!Ze&>FN-fvvrBVJf7SISqnGzQNlVjTkJzZU4HFUi?FDlDnqq$zu zIR+{L;wyqKzjUd4tUcRb7)NbWGUkCRpQCf@P}&8kZaq&)iZ5sSkit1eZLB|(3sbny zL}*qIM#tLVxdm|k^m2GcQ0rz_?LRtGlh%}9wM{0fs}uxLE7@D)EbOzEe@w{Zc_*>j zdn?{Nn^Ru|9^Z+O^0&A4v=$7GC5rR<*oPFz;!vH0fo8D5V>L93Zux_A(NAfFXx!;z z<6P;j1VJqZwZbm+hy9pKi_v0$9}YVLjqY4&pPUXJj#j&Je7_eS$6)m1=FY%3 zEUNEZ6WD|cevRhHmik;CuFM`8gegJX8^LNm%LcHr=;VD1ts={Si|lgEztUO&@h{AJ;5! z7T6R&dht^mS&5-$IeVf;5251wT5XE()*|Vdcil{uVKZOOT%_{s@39ED4Hnxrf916n z{pqb3icu9D_!;q7U}pqD>oH7ZWlfePO19wBBf38JX5jYYihuQknLhY0aM2}IKJp+1 zLFzzERv>Wd>n)ejq^`mUXjhtw`HJE#gsKqvQ+Kg{;9hB> zSwO5p%o5N2T6MvWD>g&DquCMgyA*Jq2V0e}_61*x6;FfV=%^g4Wb#Qu4?N-0MDd4~*!6 z(#EsVbe8Y)jrVYS@3Z#!F2#I6h=|`wqoq9D?L4JfCk#(tkAj55?stpc&nNkx%BIUk z$`)9Qu&J;Mw}WX93V46#J;uO6&=h>#@ibB2QJsZv;e|7t)=ZkFH|?A3Sgr0_%nGR=S8Jh#vz6MY7(fps z?_7VVTCKnC{_uo;?$ycX;kV!21I`_YRD&RTK65pC+p-KP#KC3{M+ zoe+}}w@X$(U;ULw6;1zoY=dar_)Tflmw0cXlHoG8BSyOoo7#y4l!p@(;HMO%b*inx znyr$wF)!hFZlV9>4VbA%>tDb(8-w!7mTs~al$wv*lCn^b5dLX;og|Gw4Fj5eKf~tV z#$cq*0JVW1HonyhgVLe*%N}e$8oCy5y^$o09qd@h<)SzzaWP)~5vCHI{D>VMC&YSC z|A@mX8X_Y8#jAthE7^eeovA&F95zGAj|3i916RLqQQt;K$40XBK0cfzA~|IFEHQm~x>T^Ka z$9h7aKjN&eem<)q#78T~++jRsWQAL)L5kA&G` ztDe6wgDv3z(2%nOF_*&>_9`Be3&1v7a4eT#99G|8C3=9DoMv>jKWDgfm)Bwx&kW2{NB+p?$`> zY$y7vcc~B6;r2S1|A~O?`xX6Y%YbT&YRhuIW1`n6D^X3k00ggH{M2_&EBLh`-QCi; zck==ySA^$KauKb*2>mr8Gkt-XuU)Z8m!acdY^<9gB1VK;s)mo;yDhTk8oq~JU#Y1& zOu?Qp-}hM443yivJ||>6GFl6)B<2i^s*g?3W?<*CO5tH2FlZ&>&hx;)414{NiT#v# ztf1P~Rck9OdA4)=cah=DlF`GhLqW*JaFuZ!6Iaq~S5^}|22m>3Je}<+Wwg10z6S|T z)|=8l(*{)3>U!Qa?Q5+K3V2Ta;1Y7-JDJcWmXCnvwK1UK^mdYca4BstWa);4d?9lLQZI59nn=gXjzL z$A6yt!NaoRC&y$t)wyZgzM_5fGMt$*wr5x7MQ>Bu=~c)<@=S3E}L7xbq|Jj$_kYTeS8QjrW%Sq4>8vG~YBVs0;X8 zRhB=Z^bP*Uq_KS7%}e>DzkaCb zfy~}WaM;NG6K?eZdV*O>ift{x-)yMfu+BJAONz^v_r4KxddyO6 z*~j0r_A<#s%;L_)??ByuOSQ6!I5nMlZrbO|hpah;auh zV&Y4tAH~J)hFxF&8=yMsvTHw#XfS@;yLuh;7>`2{1F`F5%44O`Rm zD$S0jK<9PYKj+Ymcz$m;=>E}_8D3C3qc2lpH*^Shp?KfbUX}5gx1$wXKIP||5|b7j zg)=8&eO}s>o9}_P)rT?_gi#+@1PZ1ze<}am8h4p_MV=UCQ%Mr_iXb&>&a>NCaWj5l zU(Fc$!AHcoqAQkdxTtNFy|*7-CRIMviT?68)&+dHD3HVMy`}eaZVOYKlFn2n-DcL$ zC)J&Ukj%9+(m)0RF$U*&%}!p2<>A?MEClZ`CN13l8WFi1I6 zMJp-MHo0Pw+8zrUm$jB>{nQ`sEiFIyiH4!@{BbfIDr&Uh2%63Tys$)Tfpv?WNsSbv z)UxnI3jvQn=M$%bO`4+29Q*JT!`C4fC;-7?Y^Bi(6fz||dxDHCA-_z_=I40mX2?Sr z<0%?P&Z1<)^Ab(6`cR?3_0`4_UD#gyEGaZFcM@U-{yzV>Fx%j7Lk(<($J<&vVb(gK zRQve*kH!UAIgJhRQ!Gdl=KI%&v*VlKe#LfEzKi!BJT*k;P!2Ne+{+mdD#fewE3NGU z)91Kgjq00eEt1vPT96!z`bW{S0xuh#8Vj>QI2|oR ztPqSJ5`IqMveZ3C8s*$x*vN=H)ZB@VCpc}yPeT*8aj&z$WPy|wQ{E1tcAh1RXBg0a zd#RM2uN(?V{CY`cAE#eCM_EoAPMi>HcoZ{GD8$tUfSIa98;|>^P+c+N|6{-H1k`k8 zg^%qWFdk-$#gI^MCd5rF9^o0=6{>cU>nPsXkq~EjDPkZK9XAICmxg1%UoiU=mNKn5 zgJ||y!j$Oagil3LPN2UzUTm!8L=jh2Y?8D9qrhA18=T#@Y+caZw`GWrtSvI-;ntGl z-;NyI<(2ZHgG!=iSd`KTE}8o{Jowb2d&uilaI~VdL=@^OzfqIvAF-NdX<5VA`7+Vv zer9rdmB}^Q6;89eWDakZvs;t2bw5-XZ};g#KNH1i(}Bx-Lusx{n5}<#Pt`ABN1{LZnu)~P0LP|blO+X1yKug{o0JXt#CN= zFrJ7D=^`Ua^h6^Xs0&RM@*GoJ>|CnEw&Y<)KVaj(N zLS;h|`n@+O*Zji8KtIrJl^bDGLyiHpe>}~` zy2Scz8Ay!vQ7Zv{Bo3`f?h-+i@;_b-eDOSYxJ&z49{$D9^Zw#?$Fs!mWS9i|>nld` zIk*!A`miMZ4@+0q9Tj-JR>|BPIzF||osXG|ovDk4OZ@yCPeW=vv$mqc+NvHScn z&p=|e^e9`+K(fj(bqZx4>SHwC)mj6S-7be#nC&Z55^*qk4Q;8-58!{3x5$g&t~ z)8klK;)U*d7LZfQH-tk}TBsv)KgCG0d)MfYF3c+%@)C-cl6$gs8WR}@`0rklTS|9+ zC2(P(Y?j=@?Kz0zb>^v~MeauY<^@A~W&Xun>wT}c@!lV1S`)IlEu67yEG1sdZ-O^_ z*O(S0I4=bFu)e(6ca66vp!yGEk6b5Dd$8*g{$fe`q*zpzhOnZz`01bpF6Svpy2145 zD4GpCk^Ua95^a0N6i>pmxh)d>n+egc^>~N`PLkjqEXl|mGNgqV{#zDblwA3MAI?8Y z;aWl_6pTtlEVTa_I$gH@6sLfSE3e2cyfj)cFIB~fNRzKv@R zC#^!sdWoR27D& zR%@i=bCt_m8aAT3j3NC1C0{vqEG4qD@6@bW#NvOP%Ux(G_cAZDHR=hlDZY1(6b@ss z3b;~>G58~4z{TK;p=(5<3^&(RCMLv2lEZ}#{r1>5BbctNv@Vp}LCxtR;5hteY1EG> zt|UN7O&sxschIA5kKBR#ppY&J=7sHxY~dwJf)=THLR1=3bel3weu$}T*xKw@0&{X1 zF!~jH_Z7}A5yO+uIAD;-VXf5gTee3lqZH zk)QF(BF^eH33Iu{Dx~Rsf9t1>o~ONfC!-@H)6;t?b0t%N@t!r3#pP=y`XdC&s%)4H zEXx~BtrgR@E%27+-SJ8)g2WXa5gMBdRyoFsgI&+RN|^_>!|gY%EdAR{p(1)W-iPdE=}!TGwgQ>9eabBmBQiA1KJa)~2S;Hfbi zibE@+dtMf}23{W_u_98&>&hs}Z?LI5@gmGP(5k5X8Me{ND$v<@kqIx!abC93y}&@7 zT70|zwwvxzQTwkZ`@`A)!_-#=#1$;t1{rJ!1P^W@xVuAecMI+kEVx@BSb)J@L-644 zuEAY`ySvNVJ`RKK|&#D%F zjEnJ((?g^?1hZoye?m*`EEJi_F((|~;iGjv9iRVL(e)Drgl!*};9Ht3-tyU$?(;c0!WvYuVC_fPdZAm-S!)|LWQh{I}{4UGX2gP z47+F?pvf;R{;^+0U05>BjSw7Tm0Ds&_QV%$6UAVix`LkTir zsIs2+#0u*NB`5#r6G0!UU1Y+70$Psl&kKVJ%hckbXT<{z6t35FS7KbThio~xYR#Io+NvLmj2p9o8VJ`1-YJiSey~)3$3kMcch@A_-Q9!*W>_IH z!&=gyr#(sd6k`S=m&bvl696|x`_pljR6C*rC(yhJ#JK4&?=1Y{k3=b!2um$fv}?fX zd6I?gVVRQBcpn{#k!2syiHMZHd}xLnko58_6^6w(sWmBd>`#)(Bz%Q{_d%X70>n;c zaV~7{Z2|Qo^2`mzgej8{*8~xsS)e}z0hfxDmDXAWxdDYcYP|j`1=V;j(s(YXi^FzG zxCjniJhHMjJM4HsR-48nPbrPpJ>mWXA4^`&WRCB1Q4A*mbN`&2wFz6|gLG5w>8p|F z>Z;rp07i~PR0xRc9;G?}?BpcG5S8`%O2u1d8OH#v#49I`5_B~2@Z*pDlN-?|F?mx1Fnhz z1artEm-(j<&s!5j*m>rTF?1sH0Fr)@G!vNKGZ39oZ-BH3aQ85~HJMbBfjL3eQM527 z%Kba(w+?~dWW6AuS0!go3MF+VJ>p2|@EF!GOlLZ~YeFs1DK0E@z6N8dbLJ&^4DyQ7 zy64sY)mw0O+8I$^2~=6%D|Q`k;z{FftGU!qvYWjdkJ3vR z15|&&`H^s$i1`en+H1M11eDxMa*|>v6E*m4dxAF^E#sG?{=j-uR)X`Fn`l)uK`~&N zru+(V|KDs7Fo~o|fZ>LFGUBK8?gPztUKZy`-0tq)yT=hDyh8J_!U-5*q4p38V(V~( z&^X9@25YikEf5D8F}c~t_cNif0=^HS^5suzYFqon0+QZTJyYO*F4L1gwTnaUc~#t4 zeD8^HRg`cxNA=ZUR3id|JwtiBzc!TdL2A7gD+!S(GG)UKES|0A<%54{Z1hd7_+S}Yg6N^ zylYeO7PM(`%`BUTKf5m_1}#uM@9ydElU#yxMukisqgRQ9 z7Ekg`z>z$`w&6!fn)Td%!R|dqGQJq_hwx+-fe_KjV63Ljjjt?o&w=YMYb0L;`bWh2 zKk7(0VjwNsl+1de&xEo8M=OWA>@7rebd?05ecUZv#VlU$T9=`|H&GIr==^KB7HTiG z?7U8P4>G6IWXQE+w8bCTYGonX^I9lLN5Hk$KUJ!7A#Deh$flU7osJy#c+!h?ceXut zQQ9|o-|B=KbS&FwxtGZT%UtjGYiUx_p^N1`E-b$ZKP%w!yy(+-@E$4C8s8luQc{$$ zX{9PE>C&o5lmX{YFv(U|KMMFBRJbS#yn=kR2G^B^ee}+tr#WjAH21jBN0NS*btY71 z0N-xkfN5Y8WBUFKYykIHV@H{bz%73JMsP<$PG(Yz;S%@$8Ik*hPHo*7`x)_~9`QW} zpTqf&g38i<0}N_bJF!GJEGw7ABeeo!6QPNTGnJ`e(&Kk^W;=$bAVKeE79sDXkf63e z86-|#X-|_=%PJQ#Kf2X2vmcx9G0z!ugaK|k-8$PhzYH&HT-70Z8^UQ%MO-EI64}_HHS#R3= z7aDm~y+#%t5MGVHpEk{d^*x3j1bWEBv`)-F%@XkLa~V<)&Loogkl4?+qR(9cG=?7l zv*&h#cF#i{atW76#KJv%Ptbiw0)@un|4F?B@&Gv-yr0b6KGR6*n-uVVItxb3z)~B> zm?R`+B@-H_*9z(oi3}zf6OzS@-w|1|jq{h-?6qkUL1%eayxMQU;Hr8_*yU=o(4d$T zneAqBsypPuU=oeb5%q!eAbGktf0kZuipJ!rw#A|hU5hRMH}X+7GgakAOrqU!1>w9Wm7M*!s-I{c}T+!i;=ju~= zNv`gcxx4O)ZWN&wd+{`bGhg`^VTPg=8N}xbUQ%lQ& zT}B*ea3jJNVs~0!T%{X-U5z}@C_4hQ#h^R-xF4Zsmh>Q9Bm-Z4hBElW@L*eKAqG@n zET*NcW@%uoZ)=WcSX@KdsX=T=E^;-tjkn0pFV_UGSWlL3zaL=`zfW_&Rk7xWO!e-k zzYY`tWWf(HuP6sxR^nxQ4jc_EoU+%&-?@?8PlC_Y{~eWu^wUfUQxnYY7^{qbO5fv; zAmUGgR*$i>Sg;=pC~XZW&2b9XW2cuH49Z~#{WcKSIv`Gj^k#%Kd`lwTOZrRH(W$yZ z41-a_3k{Hq;jKQiBOR){tylGay7+a!{yn}t3T>j!&dLhz?@$pTJVjcJ$C_LRyDT6e zHnPcPz4o|H91zq@umbDqMU%#Z_DBmnmcW$LeqIzeCYIo$^6k^WlE;JuY$iRg$NR22 z1GIm3t;ls{Up``ww$_aXs?7C2dLvx>u|vR&1j2R3gZ*LpevHP@Bw1joiZ26@^AEiC z4o;O&;Gq^N4(U$n>@o~CQPj`Glbi#THm@gfRXKftsE-IB83r7kK(|`wE2w6|v&7GF zOLdyEv0yt?v`9Zhjlj~yJ%VrRw0Q9(8Kfi4)ZWs?fuv;g(@g9WLzC{a;2<}|ZIWEQ zHpXrS+pKmtwDe294C)VfQPDp8~}{7Bsxmgd&1-GUhk`uFQ|l<}fgZfh4M zB&x&9(Df$8OU6xL%|!jc?{#_s8lq)I^y-hA^@a7*&80@^l!_sgjC%e=d5wAMO9Hj| zD@xp1As>c|&^14ZrQl=hbOHFZRd5G<^BGG%9f2y1iwe^IN$kcXEHpo@wWq_FGBs6u z*BLIg3Mb*8Oogv9F{Cj;&k-S6N5NIX1~m7zqarFa- z|AGbj0}*hANXZ#Y0>4{-qAm{+Gaod){99hgj{Fa?B5m=0k!_#;%5IpQmG{vz7{ z9jg+Vcv}&HitIZ75xwIV?O2LFJ9~}%^I8rMb|rb2Y617*P0S6gl_wl5i-{L_>b-^Cjhl?uP@h%)z)5BIIOC<^?!=$)!mGO?^OCK$AS+BhH)zUj~Tau?v2Y z z<6LDrLJb5R*9rjJw-O;zoO;OnFyRd@*TQBTk8upzwwF)&s6%*~GFr;<-YnDe0_4!X@gU0B&!XSo zti%zg);L0y8ougaJVZH$t@!ht8}3-cSvz;Wn@L#GUACtE#_|Sc-KDKB_^$mcfC?Rn z6a#;e7!24WM?k`eW9-rS#M>%sQ=xvHg%={4_7yRnEIm^yn#P(IpFC`A2&LhOTT7Yb zli*&xcL05w2We6e2GmQ9zn={k2|QlEW48!tecT6GMW&EgKV#voF+?CCvClWM!A<_D zbUd4QSGlkDNZefzc_VMx;~cIA$(Cx7g8PryfFql-QNod4SO*22LV(bBntrjna#LQk z)zraT9KcNhuISZTJSd5{kCX-6jb_k*vY@cC#`9Ttu8i~zk^W4ZW_o-MR=*+=HHCs8 zaw{F6tMpz0bO0L>03Z)cmDcE_ANT{buR1uPVN$*)d9pehudl>%d-+#lqucV8gnwx7 zEX;=qx=s0WSmq7gkA<|Ug!hYp$DeO981#(`kp){7fq`Q5(lCg`XX7j#6vXmuJl(~8 zmBEKAq`4_s^n9Uo+c9KVSx6atNN+W)xY3eiwel`pr+PfWTOcvF&Gd43ge~n zxiHNt)6%B_!V4i7MiM7TX5e31&sRjCAsu}SeibO* zH%NsN+DQ(`iKzVwjMTUuiK{?*H_eeaL*#R^W*mns;BnExco9qN_t#d{xx^fB z$4X&CF@SS{VC%8jUm@X*yVrwmk@T*c55e_ij^*y<+CrlT{}&Bv6$R5wS-Dyk!VovD z^UWOp4})S@1G)ZFo&C^J?VMZmZld()bx}2!5w@1XG<^zsEiwyrR5y`4ZCrH9|6 z5c~>eP?+-kxX7K4U4LM6 zR>u+=xf~qB#32V{CT{7An=$&m4!Q$Fo*z>eTmCWdW8A0SSSc<z9GyCND2Q$4&&r^jffp*FAL%LDyBh5{qm?b$VvQfxn6&IbqW{oLw5L=@9Ay! zD2t4x#_?*cRB%7%uiu=kj1IMFnlt#JC<4Cd_4QO~B-n?>L}gI!d~7VID2PazZs!Xy zB=IRJsk$&fDSi-O{f%Mk>=hx0VPg>@he?Z=`y%{R27_q-`Swo6iKxQKT!YYG98Q>> zMd+0WJNbQDn-X4Jg~hUpOcTki&u)Q)`G2#UYdS|QuqiLi{%Qv3W@F?=l+cultKK6|d_3*qw*mUs`iG~= zAO1T$fY4WvY@R{-E(D{ZvWA~;R_*Q;oIF~q1wH*(KhYeNIBi3L7t z#+naN1&<|(K;aMM|BB#z(IT!NEV|76o#l_5NqHzFr*x%Y(-n(&oVzDNZn$bt-bzgF zp9uoveYM085NT8}Uj{6nK*06N-~0mFe5Y+_%i=fJ>caot4MkOqOqlh^6g78bj>Qsn z`_#vX86|6BVh|v)IUx35IrfDYvosn@YzhEnF7IW+wTUIqAIYxmNLXnT^m)*#ye3I? z4*Tza1Hv11jKBf|-`rEaOX-V}O^r~;YQ8xQ&3%>xCAx9^d-*@D|$W(FNAnW8m9C8m_B^tFP=S8Ys`WnZ-p2Ws?x z(*Ey+3-@o3M&Te35eqMRUh_1*VRlw6iVG@3VwYfzf%~UcLo_1r7W&_bS)$xJmrM(y z@7nM1SF!$0$bXmE!$Q6SP>V{;0eeov2*VEpM!X!3`m06?>WA^gLz z&yrje%HNxO9v?wYih@N}fJ6SL{J@gG{vAM)D#tK>6~G?5oqod??iQog+?^~8CnT&G zytlHo`!Qb7V}HqmIRdPjPG>GShb)309? zG(UyRM6-%~iT)`)-Kz@lF-OhO>$=s?w(;I%-_3>OO8xIJ)?i;j>Z;mCF~}DG6;mvd z!PIXDa5)=Vv(Z2pKmvf$mXDc`KX|iqfZKQPNdwD*pye9K>g~QcLXy%Oqn$!odjvR)rc!@s(OI1fj1NDABEGjcMB7IlA1F-z|6BNZ)lThri_mjTc z4xbm*yc2(Uj^JWv`0Gg{Mjt2+x9%F1UbD6TlheX)e0+;(HW3Rz* z`1vT_Ixn=vtQ=bd>-`OLE`sKV^%l=@2XrLL zh;qbI+{yCet-D2s_-j@mfdM$Wy!xBUbqzWGkchsriVcBmit|WjT231Y#;PxM$5vbt zmB}-J`~H9_?<-7%O3Tt-Ir#Vd-S+;I`K3wIzy#&zarTN9KqsM){sXz*tWk5c+EZjq zY}`2P$fXOODWP6h5EwR>e^k{GW9L|44oWW^2gLhtgVm7od?oz=$LFlpFd+R*vvu7PjLB*T!TL66Z+CR*Z4(856<~2H=0F`Q*M^Bie}G?Wg2o`L zRL<8FhW5rU(Qdh>x;m@2gLt~;Cyq5`l)W;TXeW*z=#TTC1r;J%x$<$J>fW*# z0S@Xj(rW6z4r3RBFUaa7$NgtWty*r~538Z9zSu;`OOq&ySCE)A?t_=ID#26*o!PK` zvqcgaa+n@|a@TDK0`Q0qiXOmbsL~*fX;YsnqQ_%#s+Bip?N$yvdzF_aJbt5T5Vtm(mc((Vsn1moH&WDA zXl{gF^F%xmMgBp_lL>~1qfwcHcFPTYEVh4JUbc0)$76$@>E_%U@dcys2-oZQSz~PX zWHsM4cb*KtZTtwt)})VN-G|2T7TQvlcvdJicm-xu*6ZYZ8RIfXlJpBc`*)KTBJ3|*yU;*xnEx+oKU@wPNfq<9wa=^_oEKd|)EP#CL0~eL6%3LfFv0 zfB^(?CO~Kg9CGzhkz9_Uh(J7tK~0H-4PqrE!1L|)u9y?kkr-g<*t<*tAMquz-C$d( z-5XWKScJVuhW};x(WZ1%J1N|={5OF5VAjz6b(J)8mXJVwwM0|j4{Dk6K=X%V@26a~ zhoORsA3hT_IY@ZpQkGc60!B@Kk7L}fO0M2ScUj5_`C_N#B$)Dl-^bWmc7AMysiwOx zP7PR;0IJMAlx409N)zOci{lr&rtT+uw5L*-BK_w{1W1(12$XF`Kx&uy;e9s;*W5ZW zNcU&-@g#Ps+xfclMW$tszK^OY{rqq~4vfUw2PRELzaR{7lQI+PWfvM7R#|n?eo7>8 zu}ZGMAWcNXNP;qk@Oiy@diUG#Ubw~px2Qi95#T)jC+dsi zg!y1Vw*7peULx`K+aw2p;>iF$c1J)gjxpkBq<64r^&hb->+VaNWawt9B4dgYZXHSY zkTC!Gn-jtEmRh2=C3ulEfU`sya5A6goqsq62f-GP#hj!02oBF^OGV0JCnPqC38d=KkVET8wc(OJvB&oKr$<~*N$G{G$ zl%MR)IlV5w&(j(Zn%eUD*lgFTAUY0);5+q0@vvE{Z^!6fxTgR0I`^30O9i`T4(Ybe zI$aVlD3sX#QMHF(qwXY=S`q}VBkCn?BI5alqt3==`O$^yG(I`fIEm@o zu>PXw{x+n^ZD~Oy{3c_?VZXQX>BYae|I4BDF*83Gg2!_QWB0|0ZY2_dOHW7mOGb06 z!Oi@fmUaj}WD05_|DT6;r&(ZqB|KfMA$`VQ-r?7>etZ9KJyZ4pFKoVzh7&d)fj(JR zSoj-;aP~%jx^X<#<1P4@$LZ4ygX}oJ)&Ogb4OJt>0C%sX)@_(osyUWI`}O_fQLxA9 z91%MU!h7t%&cfjB3qW-T%jy%4S{6~#@4taEsZWm%KmCh*BRi!gJdx{H#l!G)+=a#=ZQ0}ab<%3r=%Rbx zK~+pgVSA+e{*20Hj}j|~pyx}&QR)mkn=O}*js2y`F=19|&`{)x+r-k+#I*%|o9~P3 z%P+e zo`+>3v1VVp2UokApDrV|Iy&-!xa$Mw+d%Kl+j(b);hXrdJsu`CVY#4#<= z!jM;-f#x`Px!g+q-Egx31f&r4gKotev0cpudD0{J{wz&&mCO0=#ii@!Q9(Txh4Cgr&RUll52vd zfS)j`tX2~_{5xZX$JRc6gA4YDMc99LXNhPTb!+e!i5^Rd1jfG{hTZ5VSz0btI}4Fh zjI6rga;DpVZv`EC9t?arEE8uwEM@L%rchY%-oC{?Y4dWW*6cl2s#aLuu@ls;FmV2z z>uTG6JMDaQYI?ad_;WTzDc11pavA5fThfr4Rx{c^pV;%e!jdCgA!vDNX`P=&ZH83& zcf>Ey|Dj(U@;3qZ91gS?xZ3H<7`7E3^*zxmGVD#&2e@kJIq?o2KPrr;3o}PmYoVW> zm}OMDu5N3`j`&gfy45?6w^zX}y``{aj!u3qATV@8CY#-2u3TRN@vYt2@&$lUp=q^+ zj`f3N-g=#BEcUSW1-~8`WfvXDY_HXSb|!-?_M>*v4=k#_BiAY}Q^->-QFThD08;KlMd1I0hh5^3$(7Uib00rOL#H)ED3KYVueOYaHjB%S}Z*m zk>xVjJvv;*Qi@S@QyPv`&zo&{m{sOc^LB;(dP9=Rs|y<%oCl&Q8K=A^YI4pdr%BsT zUn90|iOx`g-(0#=9~~gk+P=<{ZClO~_ksLg_szdR`VpJg7dNZ5>%$)F}N5>h`0aOZvX#Yv{qXj*WcI<0VgxXa79~Aejhy zQugfZqBQ5J-5MkecEq;seb!zXdxLj#{S>TE)5bzr^*D56I8epI&)-Q!N6b4FD#Q7N zv~BnTYFNC`u(MtERy;eym`qilo@v@-G-Y*$yX-Q}w_`fGI(mp|LM8fUceW=(F_{^u zZc$~%`OfeTh#HpX0g2C&9mZ+)NB^Yc^I^N#ONyOLlT!a%d zyKK6x*1Uc&Y6Z7rEHe~7YghWW@nQ3B2uLOsXsFD-V={c3%JV*xrmfDXqsZ#->eBv# z$7NfM^OeO<-hf{+3)vr!kpol6_CD>p$zWy%+m# z{}Z&dD>P794${cf=Se4d(Ca=h-c#{9E^iaDAiF?=$?7x}D@t_VE0OocF{pxVTt8v= zi}c!Yo#&+&GL#AW3~5(H@t+Hdjx)14n^-V?2pciA^6W5u=P2}H z2vz^9mMRz_A2M*j$nQ1nB4>WNvt8v%eNpGgk708S=;%UfsLRv9;wd$LlTY+!*-|i} z<4mmz)mnPv)@{CB+d}JJpIzHWTRtRZmg6se%6(<6kGt$c80W>cK7iY8tWe=5GI{y+ zQ18m_Nt(Eb9Gb4~vTe3Vo4a*$;;W!zcjEmP!`wdk3Z(EE^))0#EVs|O-IS`&4f%n9 z#bSS$&v9s{Meo^GpnXGZc!ODAcG6b#27X6>Z7M=@%=|FyW2g1ZOR3HUN+`Ax!K1kn zqnbP6#-C1fGLYwO<0sBMU2^U9!{5l|+q;PHWp7B`oe%x2zME0Rnxj{YgdRj`eu9@O zBgjO?tDPVDY2Nx&Vr6ahxyiZCzvhb|Zp4d{s_>(n5=X6z-i;k@MPKXrsp*a?XV7PZ zcS)gyJC8Ms3VNj0PNU;0>bB1?n{4BpPno$BtJ&mcjGvc<>?>NHZqAxFNpXOX;7@CHEF>X2k=BqcpG(Bh5Cv^g?B2VbJ2^(0KDy=gC37 z8F<1l4|;76?{3iVO$(~_eZPMkDY-o-Ts+)5s5{#;rrP&-`B{*aFC(f%OG5L!o&F#i z#>40SQ6{{gUSug>u%ND}(QI+t5lDg@xE>N8uUE!TS|x>bnD>VZR5 z7;zMKbm^h5 zJAIxx2pi=Y=L-b+tH!%Vk|TAOKPCgE)spZcI|C~HDT-0_ ztWaClO2ZnzkArI+5BX+^TRPvuEI2*ldA@@~sjnnie__V)}yw(&l6ctioMpU?|POi6LkLqFf;t^%7;h7Nc}Z)Io=6)Ksn#;&HV50Aqv#y*Q8xIa?*DX@|0beA2m-uz3%yM5vs&&LYq zr3g!UKpm?&$~St9O5*w6#B z2@fvB3$;jo;m+C;y2|z)va&?3@j1OktTkP(OfM-ns8xUy8NJ@nn6|%x_+nlG-Q1TN z_yPvypvHvq@o}a54wWZZCR3iwfmBQJw6*%%263++j7f53tbr74^PA@Sgr4R|t>%0B%o+$X*qb zF+WkrY%_rWkyaTA9IZV>pH_vmxZN?C=wx?$5y#wvc|{7uQuk8DD3D3aahBK`FbtCP z2&uH}3N~9HB(Te;cbR>gf{X>c_#(`Ov-N zSM^t6zMy6tUfWM!C_IGbV7b{5b@6=Zw}kQ(nPi$rEY}$W_6G%O+IXh=Bx>KV*|C@? zCw(V5x!=u&;Q`v{6`mCFFmXebga2}eKp}}$9L^+%p=avi@znH1fGgiUr-2rH9 zE$!AhajtTB#dxYyE;2Wrruqo(U}db`#T%6!2HQo))49D1VgYZ%q;S={E;1Xv*$NWL z?VIPmQ_ZHr!n^%pUS3p!dFR#L-=3(+_J(KsPu4u6taX{_oVFI$Uf1XB7KsuHnX}Wg ztg#)&8gtc$fmgxrcOu#O+#ZqBf*lh}1$+mr6Pun6)0G}fn3{%Vt9Q!uhGZt`t`Dh!LROzsII2;^`k*L?^{jxvYw9t6ZA$B}8kMkW~ zW4z~qG3omL{^(%?_JtH* zF0ObTe;43vWvV3;Nh*e4embx34wzcfveu~viX>?~#VhQOO3a5q61k!oZs~<_0h9nEq>{`Zo$Mr1qvQx zyOONjJuLNV^<4qiTDKn#JW+{5i}3w8@Yw#SO!3J65rE^?xUR^VS?la-fw$7SQkmkl zhs`u5;`vG;L6^j7dHu$QmQ=*NWIP&XK{O{wl)ua9jCz@#e(?DY!gclW>$(!1WX4tY zno*t?e)VQDzGCre>9;#4%qf#(omOVexzhvC9lV45|W-ggS%Wyet4a8LxgS@X+d1eIaSr<|ZocKCiv6bLc$^ATn7@jA}+=>V&B zYM-3|RmFZ9Zy*Tgm?uI3yYx39r~5~-u2nng=@+Rles9{S_L57MtK+%4LOwT!ZDm{F z+e2>lE)xHADl?lBnvlg~vQ%voQ)BT)`DweJU|@Xrn}{y~7gNepst0A(IsHnj{pyR$ zZclVSMCRDa7W`R0xhks`B@>O$@<}*hC-ZYQF>tr!Q6K?Qn%aFRmeQ2;F#WS| z5?Afr?X0&*gy&QBkqo8_WI1%D-mv%biHy9;C=we*|u^>lAiKD5QPN2unA& zt85s#(W{TJL2SJ`6dU@UH2*B8ls6ivj21I#e}&5LlhUDs%VVkQdEj%%9cgDtlq_*J zVB9twAm`cMmT0>S4w1o8n#fj&SB5&Vwc7Dn-9=na9WiIiRV)BGjGR#e?YEUk7_1i) zy_oAge}`yes?KAoE`)P8G4mqQt=}ijWQ1eOr~7wGod#kAeWu!rrZyv{H4-N*FrQVh zNh(M&l=XOCCeJUQ4#Q7LLDl!>s;-*e_0Z>XMg@-gK0V#yEs@V`4CU|X7U^JD>Je1n zx8`fi!Fhi_{Yg5maNYq$3DD3`Ew>dG*8{XX*QwAP@4II(ekzs7AMFZNA%R+pB%O9H zsS_QOggUX+H}v3z63`sfL@>+K<#(J|w39K!QdV}FxA^F+7a{CeaWpsM%9 zC@n9$%(dY%*J%t!9p|XT@K!0{)Z2g!84oj31=n3Wpk(riu&~o?>e;I6h6uRl?)=Y{ z=o)M%jVT<5fL?=-jOdW+;Gq5hE0!eY;U56_M@x3&8H_RLifmfFPoNI{e8j@%jXQu^ zLWpH*7=SHW_fXM!s|m2B@IW*Bn7yRk%g$;RX3|7wR@J2cg7$t=93=TyVX@yIF7m$i_M;ogozA+hDSe&1x`d{Ls_{dtIUBKS5meGo&F z$@+1jg|ecBL9dPC;2oj^Wu7^!DP@__;vu)2sE(R~WX~H(d&p@BK6e=I8~}Vz5>g7E zx=uSyy@q<4ObZ7tHFbZ%j43obF53Ws>Zt zX>rBJl`I9>RCyis`XliG^$n#&C`qQVJ>ylepJ?(58RSHbdqbQwKZ`%HW_Y#C6*3N< z6_&YER6`+s{>Jt_fV?_G(_0&jo|SZqw3lO~`}`DWMsj*W5+1SI;xv|^VRUc#!RO9m3tF)xGHDLdq#8B zR)qEKeS2_{H*!6sJ;8VAAgmDAKzq66#XhSUo9jZd0MGwB#5EGeT_BAICVvFzkj%DM zTw)gPCPVQ5=CqTQlfBjRrH)B&5~$l9Jf`dEMdUhm7HR{BPWH++L$6lEqKl+s{f*Uf zML}bmZvWJ6Yi1qwoAB(=2DH&zO|>9>=qEF4os;B7MY_@Bh7EXD%?W;yZZbvJTuwd1 z(?MON8o#&LI#(wsh8X&Qh9(dRF>wVDIYTNu+^X!13S)u_cTL42Y>r+Y?E^{f>nhNG8z4Kz*%8z6;$B}R-c_h!fgsjF#Y=6 zp|+WT!Vp^7a$7awwxFCq4+6Tw(DK{dX8PX%L5L>8`zX%PpX?lD>j3j^> zZ~z|CKjId!^+pX$li)}|k@v;>Y~Oims@CboQm;MWY;qREy!j)}*rx7!^5sGQpN2V( z8zR1#DK_lsD&dc^4c#zD#hdA*TWNGwGMULarrFkf(5Wk`+ACSOdJ9r?yBbc%*T#Qf zc7@&^qMhvucLfjmk%X*M;WemD#Rb`8+XZZGWU(x@r+}e^Qu3t8fmU_XRJ>l zbL%SlT$}`)qc9zc<@$qiq-4CpNmPQMnk~voku=s``7LhUMz`2u%a5a$Xl^-_XcAV@ zk?$HQKoO}#_k-k7Abct^M~z%R&71si3RRlV-!Y1@4mc1C$tc21B)*enfXbZgjpF%K z0Q{gb6CnG%{j3yv+emejS?v0_Mm&iHJu!tQ0Q5Yo8yJXfn{fB}E1*C>0@2$CTMI$O z9)p?AUvt;_rGgfzR6wI<+nr|U9c+a4Ux?W!GkQ>1#E-nu&tYgap+Y0SOOFJ@JTUS` zduI9Oy#n#+sP_23KXC-h1AYH=t6628M@T1SiwwcUg$)q z7}nIg{*-$3$MPs)Z9h5ywuyE2v%KRA=L52nU;7aXRoLOHI&3Jc0mo&xqVGMo;=t3Y zG!T{1Cb~wlo0FQN-TGXl<-DtWa@sKhY{)Yfwtv86=p+t|8~F_D;hA8{$@D2#b{L9( zU^>-pZ`zOf{7iwc1!!o&J+bD{w7+ZP z1vp-XzX0Zn*O;#M-A0vk)U&I~1Z_U8=IL#NjDaeMv66JefGUywqTR*)K2K+c5?(`& zlp>gM75q*czI#GOGQ!-L42nE2(Pj8cv~(5euTpteE_Wl}X)@#I z;U$(ious2|pSdLg-&gUu?qKg|Q=mk|R5YSnLByEBWk~(4EeZ|%{HR7@ghjTOzNq(B ze{8)f!LB`$!|ZxLvX<+Eye_G{?^$UyR6=Dyc=GwF5&4&!Ofhx|BfY{jUD%3nN+6Ko zJ5T~D`fMx+IWTc>mj#z0Lfqu3Mf+CO;(Dt2eEK?{GRe&ulY8PK`;!F89HCTzrm|C_ zIvV5g5s^e6F9{Zx6eHhE8jE2ph+C%d;Cu{cG%O?hmHYK{-?- zhsw?xt6JahxoK`Xi`ehHEQ2m5CuO!YM&h8ochI3@4U&>$`Q+TMn|CHFT)O7AVrRGh z93$Q!L&i`Pi3PeBY_byKL)4fqR0&V-{<4bx?&{cSb4C@UuceL+9mGeVw9gpTX^1$J zw#48RtNXyjWGD`EbsDvX2IqjzE=`>l|Iuk@V%D;7jsB4*3DGNSz=Q&@&C_DpiL9wlQa;R0a``F zFwTYT^(Z^?6|;Xw?ePL)X|#LiuVM})9nis)xv`i1qSzlQkcbNGq8KFC@zc+@y+LR5 z6FVnr4MR8AyY?%VYg5j22$6~|n3)gBs(fk#v=L8e0DssdFuo?}(7pRwy*0;>W!K;a z-jN{s+8}!zTx*W*q?LteyVTQ1eeePAYZ|Z{Rr@+XAohtG1e4P!@?HRRtMV&X`*ULX z>K!e>(GGWh1q?~eb=MW)>D$WCQV|>52pN0bhm}nfZ{ZE7ehS-q53j23EH@0?*wI!a zf)vukWZ2P}JT#a5?12o1W`^*?!+RCi9zu&?0cQ-MSVbp!TQA4mxn2FBhsSlX{08bs znk(I!EPJnm&aHxDBte_hBtF3kM$gwH2Nb;WRl(7dDhHu^H-^#kp9_leTVFQ51!PBsTqT$NGh6;HmDZw2cZJbr@p($qw$~3;K~3UC2X}OgD+Od7%ya&V1%}YowbB zU6+d#+)uz2q`+1i)^BKo6`JMGTDY4*cS0bMdDETN*Jv`_TNMzY{pvtr_0T@)mOJ@aTy1|PS8s1{+_)R}<$g$y!yulD0}=7h^AV zjE>!GuLf*&l6>7~U%yO@ThSy!ocBYI2$A}L*t2@p?6~d86Xy?yp1Tds=l9U{ zr}<%eP$#K%Uwpfj?W+vVAGnPom#P|sPl(z1F4m|DY#Lr=`6yLY6e#~ep(;Q)zvUte zJ4BWOd%A+h<(_Y$>aWMWIFJ~$FU_ol1=S22-%EDSaA*?~$pMOti7gl;*H^fbFOOGa|H%{w}-Z+;;2u?mt6?zqc8 zcPCN)&;-(d`lXggGxVy`uDBB~|6o@j=rmaJy37Sq({==t+KQZw#M(0V2Su#ihN~`1PJ!Y3iSq zy#Zht9ik(42Cm4QFEQq_aJ54f;hgi1do zErjp#v1-1Tj_dakMW8NZmHaM(p>y$|Un?&{X)TwCLur^bC;-SJorwQNFQ(-k zrg|ShwdQ!c9jnqY8&=`GXP-H0uUMUm*H(iGFBeqv=}MHV!;in&Q3$b*F>~(WDTQ* zQ76_(j$99G)yJegz=hfR^E(21MBnBIL1Hxv> z2KjXA2K7Z?46HFdHC~*QD1$pUz}sgm0{zYl*bzmtJ}m_kCFCJavktBr`uJGsxM*2xKD;%Qd}lzzv*V+ z6aWdRO=|s}wn0Tl+OfdkIK#7~Uu$0zAVZdCi>1l$EP_a=evR;mj7U#nrD9v26{qd- zH`Bx3ZirS?wlIcB>u`iG;KC@MzC&=onw>Y)5&49jHX$}HNE72}Xrz{IpC0b{6Ypd_ zHTrXV4urxMIVw7WFbMx2Rqq&|=k`Pmx6Mh?xM^%Bjn&v{jK;QYtFdj{x?|h6ZL_i7 zE9d;5=l4Dz^7+ob_UxH8Yu3zMtAcOgHm4$FiZ<^=Ef7O!#qM6+Bogj_K8-Rv`7Bo^ zaEW=7c)_W=oR6eD$;l}^%@`WGs{Ibj>M>+cMk1Z9Qz68LntnX(AfnGiq7Rl zmy`lYf`Iz+(v5=ib?2FV6PIA)a{0C8s>=T zIDoT#nu*v!vu@B{j?3?jsFefu2rm;PuvQei72a~93W}ftJHGOy_>s6SZzTz#gENJf zsDG#D-jk#i941Kg`fy{&1<^&LRpEb=im5O$3tH$&VTge9`&sJti+>2)ywYyLlCiTl zLYMD8tgM(T0{p)jK0mB4gCuE|<3WKP{NG$S86w6t>-J_JLk(%(kQwo!kh3-DfK~~8 zKz#Uv)}Zt%gt>CP6-5D;K}7Gz1Ls$yzY|6=O{N4Su}TR#D_R}*#wQ^0 z6{(F?$9+XW)$^XwI8GR$wdTAj;UGy}zYw(eJ;yhU5j79BRIUaD_aR?~Z#-H=nYUVa zDp+8~ZMQxlu-sN|Kf`y6`Kmfh;-xYgHdYMdxJ$E=+R)0>Pqpa&#L&K|B{MD`rHkl~ zG)VYkpBt;;%uu(i!}NG!-LrkWv+o7v`P2^8>{s>e#M54SQ;1R)kMo@^ApYDes}8P4 zQo7G<4?B~6JPV9*dNzS#QMMj}(0`N1+7h()7Hc-beBP=$m3$QDM94;U(cg}>t6Xgs zrlr#wBnJ)s%-M!?zkLIfW1q#KLXTc?#CpNusvZ@%(nmS{v6Z%}$O^>?$b0~wnBn8g z9c1@yH6CDw`F~aXzyZCm=u;2$LGKCAZBZi3pq^?or#i{7l8mi6 zJ{oZLBPo=0t2DkvCvInHy6(*(K6$5UjYJ!ZThuD+^SlmMtwm%XQ zD(pliANB?NAMkwl&^#QBD*QfAWM@z!D9gc~yP6qIgWSY5oYWH+g8+O*9ylx;mh=3VUG#MS^ zRI9KP614LM&|o)nFjS_zG0`G~z19 z!$r%?ygxuGZK)s`jf8!by%x!T{$~Y!;0rX`d&~WM^W9Dccks5_BqEja&#)=d$>21bzgyvDv zE1#%KJxhAteox}$<)FnUG#m^LMQVL}$9D|<^QWvSKfj=T3y^r!h6}Ulnn|!G7mlwv zpHiUHt9`9SBxjkLbbfi++Z=@gkl5MMaPw!ti{P^RW3}lGjO$b{HUt8Yj^|J6GwHb1 zncETi$@-4bVrE*bSZCnwk2Kx}mp9DzFY#*A$~loOcFHpAB%fFEO9}!~2RPS!z=2@f zcgJukElNf7UsY4GR9w&heOQs%N|+~i<@kTh=9~E3i|%!-`dQbS%#H&Nt6zlBrQSt0&|XfYyLtO(_Ad4%)y zdMytH27pZ*ndAQvTfi+bGd`WP@v$u^qD$uMj&{*UmXp>|0GrqxTyeUn;pxr?#M!tb z_Md;a=JUASR#0kHH8p|n@&HPk6=<>$rwkiw)Ov9b0iymlVlKPyq*TP(T`k-2SJ)tY z)~t4(aSXs?CTr{7>+x06Yx~xJ*bx-nf4*Qnz?Y_2*AYaRK=2h0+k8rTX%o;}^zs<9 z>OKZkOKY|JWbCrMLSijrrlwN1zi~R>P3$c#4Rn(%Y*hO9U_!YAuE&AB|jA+}%CdB}Y} z*W*`_nH}BmtUrG$rRRb{gpHq*`%U*Vg-GSfNJG(T^NoInP9dT)`hK?%?iOhi3_d{J zNtqv(*^!dC=JW4(3cvg^5tZSP%oTcbLJ>XQb$;41DPMRQ<$pD@(R_5+S=xFA8|EgZ zyol6xK;L7abXswFsh*Fwa=W~e*89utiVNjD+p|AH+`^aS$i;71RkX6`5tjuLlST{C zGrS65Lok{H{7WptK%KjP@vTSLy_kDBrR@x0cVAcQoU_~Juo@ox2Hb>u-2y<-gJ?jB9%o`6cskT(^hF9d_BjjI}J8gd{(MwmRs1++piH27l(-GpWn<7qekU> z-1-xcB$Ura`%1CuFVbtwEr!A#^$N!XbdOg-a)w%sVU-nFDJ+-1HqD_uU`u9^$uE&pRo~5RLJu44!co&UF@sXbr!CXTLsIaA8;QU(Wu5 z&wWO|6O-Y}QTf)o-9B3Fo{+%tg&wjjYOBZ{vNFKBAr%7Os3S*d6Q<}Thp{@0&k|M;GG^x&YOtS`BLWVk#YZl* z6y_i!GN+jBKIst#@liZ!)5X&{Ce%gd`QoZh%%O%2Cwt@QTGF+UKed zN{G==KGFOO%5o-Mr$!r7BRh#5h%OLqA@;qQS6$ii@DCEg@4+7l0#}MVJ1D5fXM)t) zbG$hhIL-b((Ei%%wZN*&htUB_$i3Wew7sclm7}WP4e~LYZm#BfAZl(^S)E0#CB(k$ zeH4HA{EP`h0Uk+Ig3l!EzIH(Aglns!W0!v~LMB*?3W&CFe{q)CHIGSn#~y^QFHtfDs;%zEyjGB zHg9ks(FG=y#QgIgc*ax0{YxEXKk*L>PU8$PmC-*;9nx6kRtXD&-L$p?MS&$~jAGet zcXog_esEDqO=*U-q{K|KjZ#ak-tBxidc(aT>gh<`7D#Zmg?Hg#ISlF6fH} z;r5!@d;J*$svvH>37c^33;DI%{SeSULY`)bD(BO7^hn9F`|H<>iZCug0Fl6DhS7U6 zB{r&M4jBIZ=uzW>`GM!}6YBsmoG@kUfoMi-v}SOP*KTS^kVd~m%1HQgDjaI-<0h0a zRS`00g>@IHd7=SU1A!g>4(pXJ$~ zCc6Fx6XuAF?}5^pdL(@E#Y<_@)oM?v*Yi(gn*~un3mJ^bGP-=KoY?_kvTS_y@#NE_ zHN6}w7UKU^zt&0veog(C7zt~yOn_UEsT%w=ddMV+OZjJ8-{`Dd-pibzgr=l+9AGSj zqkdA3T6KsPu7?2^rrV*fHlL!n>?a-S3v61mQ<0yr<4^Z=;(uUdvku*{@d1vSPd_Oz zh#cjIYDG6E?=0z;E;}5RVDvl|VARq^djn7-czMzg+4$W^WJRHiL3keJT_a+8wV#W2 z#m-GR|3+OpC+%>^OI`ZM6`ns}+&63u5-vXw^vuik>_W+G-RqN-iIYcD?HDbK+W!5Q z6d;-EP9X(E9$+Z;g2;72r7iA7FBOQ)f07u>XnI1KpGd=^tN$i~p!OI#<3C|Who&g5 z>UQ1vA+ZL+Lpk%ionOP{s99GDbKVsuT8%XI0vY(jQ{TXZ#<@pBR+*dXN~s3^M<)+3 zrqb#WlFN=*UAFIR2jHOnCEfWpICxi@JC?2gL7X_ z3Z>A=sL<7~FZ#Q+>`3C=wYqNv=0Y}Wpt2NBg_eURWmreJn9)IieRLB<# z0Y2#L-RP3j)LcyE-hz7gMCB;WB|4?yZYK$HZZPCsuzs5v<#|->Z4B`uRC_pm`=;DkNHk_9KXv#vWOP)7VNnI`+H8&t>L`=kXq3a`Di-@PoPoLTJ4EKz?V23z{V0;5v7IpE0@AlL^@SaK(L;7 z$8ZV%3^x^8%c;=?2jE$Ex1va7#AWpRxJ70PsMqd(=<@ltdSl(IlD1qU`t%*D01OCVxxT4{$=r;wPf1Wcw{ z?R(D?^{lS`CZkQCnE1l%+)Cbrp`EKk4q3d{TgX@ei#0TW;0)PG0YC<%Y89Lg&!HD# zurKI18hDsAhCmDIYUubn!TA3a&%E3p7KFw74_J0FU@nIVuwMp;6_FQH?k3s)@mL=4 zDG%UF&W=F&r)M(nrj2}lhV>WMXKSkSQreW%Z{3@z9h zQP~||5$Sa||9c2@dRS;d4jFOj8X!*?#~+Ec#YqTXYRj^XerDHB61f(WkLxvSjOUEIIb&2t38eyDdQ`ae z7bBDBshdTb-+H9FH{Czl!SOS-+6>UnQ-;#V#>9G4;nVsZZNemsex$75C|kb=-w=2C z0E#$dppEV8`zQmdQxGHz!}cW10Pu5=(DrYp%!D`b|uuu}l%A93hj=t)@7=xHaptI_>#3&iLAR zL?zepvgd~R&fDMLPs$kit=WtU2tv9XrR=xfVe(RCD0m@>C!fN;-$m-ws0@=8{ZZXlAjjS;GCyd=n z9E2q`>JjitC^|f6YOka0f^<@xR#B)9DOU_QjS8vWj zK)er3Y&h(fID!lOE2-#O|2@MM z(P*rv7T~B zr{6X(c4E3Dl&zPZs0%CcH!nsaOO{ro`o@-z=?p=V^Zay*H0yTfE0vhr^anH=Trv}% zT~ueHyL~J`o1mEkC94$#&*GADt$JWVn#+p%b)t&FXkkJ{M$N1JVVa^f`W`ts4GR#{Ib#AX6$d)RegB$4*bwt&q8KzzR4HeUvd(h z-=KOI1D(KOFd_4FITE~HY_FGnXIL^b+HYZdN2_CT`g{gCbyElbs3x=^U=w77z&L5` zRxTbWHm(v{WZ1n;*ZOlHXnOLNg}LAETOd-yAePDmVDxf&e-M~p9}4#_S;fiE%YD{E zTKuQgU;~wZJ^=lp%#T2RB47Od98N{WCL-l1Zln-PbgFmnLX6V843ieY;27bOkbETv zNwc}^f_=kCpT5&@(27)@Zr#L&7qDGo4vH#>TV$gVPRrlAXX||t;p+2_Qo~F1xKQaG zBd};~LQ5wQnmh>(*~M+ZW-M*#r7(?CoT>sPLR3CUwpc;n zTOV_1fXW~N?vS*G1GjE}7QV%`dCo8(Wy2Rn?Wh7CX#y{qE?Pki>@~@XPwz^}Np(i4c(L7VBm? z?vd`Kd4T;Mu3fJME9%0E$Yjim1-lK%p?Gm~WEf8paud?ZH#({sl*6T_(BMA*Lf8I7b z&JVKSdnz@UW)+ZLze|s4dv$oT)txhKx<%pHn`1<)2x(CNJAdc6pl^N;-Q;otOq-A< zrF!S3;hsu;dKnZAZnk}Iw-ZdUG;SYtO`QD$D>7S> zw%FZX0bBDfNsqIaRz1jftrdc`T;WJmDvd5Gy9*4fPRgSqiXUXCt2G^!Z58)0iNA(7n8M!CO-@e7#|82QI$xM#zctMS4P%g~mLF!;| z(eg0r^T}Z&fi#G0wYgC|*|B_lqO1AGaYXsj7^nep<=`=?+ws;0jCmi~p{}<%Nq4E4 znY-8O@0m5%pJUP>x^98nwlAN~D}M`)EgA4BQ^k9Flro4{O;>3V+rN37@GsrRd1qkG zw#^^)gwN!p_L`J5b@1%LV!(R0xrF^)?27s=(n zEJa=SG%B5yE*{5ND_%S+{FTPa&A(WPm8QiQeS?IZ$>3e6_C8JHw7TyJXAm98sR_PL zc+-d89GI`(o>_%~Ip1r_=+^%&^U6GZ_I&P&%|X<+m$v^dkNpVhTX5@0Ym#a36t?e! zUeK|_zQ%eHrY)$s>I0#!2kZ^tZj8DgXD>N^rccb19VC;^aCbf2eb`IgDJX-+ zZSY&)^iyKKcsq~#i=LC|`MO5PDLynFmO~<}srmSvTm!3`*1~CVR_N1Q*Pe?io9#=p z)_Sm9j%wOJs{*4YZEh8(D%6H~oG(A2j^B0_SvaB4)=q6WE!NCjRKQ?ZmaiJSzE!@` zpwYcu=OMQnfp<{>L@4-e!H53x6}5Zp701iMvp^|XmHS6T*z_*oX~;>l@HQpSe6byI za<99uN!RjhwnKY;UP5bGp>1h@dN~0bH`&R57M%4?6+$~~5i(V6f(?^&@#tAWqtQq> zvcP%2Dr{~FrBZjVJ#Az43{JSpRr5+Gf*@;VJpKnx(Fyk4V4jwl>%RP+=SliljecrP z=RG?Yh3VFH^|ME#rmAM}(-hI(crzsuOoi)-0Cgnmt>+&@%Pt3)grU~)(svCvkJlCM zEl^vzdU5nZD0)F>ff6_Bi|z%%e%5&QlT^FGjA8oQGv1N!dXwAzYh(kw*vNdXBmHGi zc6~$L64`mO>dlBGKg0<;xQXiB1Qwg)i4ljv_u9blaKOcr)1>EF%xMkKcd{yL$IDVU zc`&EeiZ-FtIJq|kmSnu;Z>Uj*Ko`K|B_3}gDY6)KwWKyoDiBaWo&iY&_c^oS)f;pRJpXV@1RgIT1f0cU?TXya!t1f?PUEcK2LtJt`_&eqty|jZY=|QB zaTbLColGGToIYOR07Bph+b_nlE3M4@9TTY*Da_btD|w-A`jq&M-SE zDYn8fRMqjWv~j8=Th?fOB`dP6trI0bO@W%GJ%D9$WdK3j> zfx01HhSaJRN9ZD}R9qVDZJx)Oqng#S5rkI{pwV%xgtiKU6VSyZVluVBKnIm7^DGurCxOHT1huDMac z8KwSlng5hZ-!xId%9D%74k=vVC$?A&CK%siM{fK5cz2k@N=>*B@fm9$-8qWOPh{S5fqX)&ZoU z5?68<(8lSIH-%V98Bt(HbvFvNG|rI9;$35l%UECO?V9d0+RWOWY?N2K|Ku9oHu`j1 zcRPO_R>-Wvr?w1Iw%$N=rDf++0YXGu`KoI&E3krL(k)nO-1-iuNN*{!gmQgkTe8=-yDZS zhR<7vo?C2594NMFWobJ92}7fG2rS8(so0BOTo|uRRC(9Lt_b#RIp{n@_bjk_z=tuq z(EL^3CV}(3{PeAV(sS3yXKcf}gd=dOUp|SK*|f1++1e+<%N4JJrLCJ=oz^fQ>H$h5 zt(nS3RFuJOR75&9R5H6zn0MQ7;_3_x;?@S%W9pcV8l9!S&gzQr!+? z`OgibYghj~$CBLxN0YLIq~;au(Cl@lr$bhorop{*sYH5+0v;1wH@nxPYN4BZH^}^P zwxC1bTsj|!{pX!&QasRZhWhx+zQE)83{hm->oeA!Wi-2V^B$7XH*aWY(7JgN1l-EGLR_UnGz#s zzn@tXg5Y|)TvwJeBepL!Nw}zH5O#ZIxcZq z94Xi5b_OCB%2tZ34snvVG|S`P-bk#o(h)IRM7w-gbj@6aX(bT6O*~1(pMDOSIfE zp>f)8C8}U>I2zgn%?T@m`X^T74t6BZ<`>`5Y`}?|Af;SUTYk9E7u8Y~@Fd!hBChQH z^qthKgYPA0o23R9Bvk9{5ufcT>7jR12auQY6b9i+RDF@w%Kzs6I{XJ3aK&;Qq76Kz zs{VbxDP|4_bQYQVZB^M}i+z={lUDJ;;KhZa(o=9_3)dkF*0AS2x0cJ)3!xD?N8>Y4 z!#N2rN1R>tx_qxiTLD))w}j9~OlIu@%{syJBD0S)yXNSV5_Ih~^ z+%c5h@^kdEBSdj02{6sz?Y(TMWc!yHrq!HcI(n^fOU~Q^ykl%EgsNFK_Au~yCv!}~#;e=)! z7L2R_6&b2Trn>sGZK$#g4Ogiwt~B+ameN}@X*l!s43{_*F@*y}&-RIW@ggchJtS`EV>=Y}Mw%u^D>Cod8e`d#I+>ZSb zc#d#ycz>8!?=c(>f(>gyOR3z#Tw3W~V)|j)mr{2yK2ku2fXnTE&_mtTsz0@`GYisb zrYTnyC)7Tkn}i^+F&R;81KHhYa6!U**=-K46Ae&ri|4hlV|$V?9nI$AGtAf9l9!gm zYp(H|X3Y;F?oirOJ>P?_h)W98O3DPLkTv@5>uq6z_pFxMch+B@8GLKFpKYbZ-b9WY zWR$w7I3rzs?=%T&(5RoCpW)e)pCddk=o{6Odg;YsQ*Q#~3i)UH$Hs}O9OqwVyfRH* zOU7T_bcRYR*`5P`zel+STsOz@ZxDMhIFLJN2ryASk-_6$cO_VC&uR4XC{?^QXfF+W zu7lN?L(uJ%>jn&IU^nH0w8yp;X0cAqE-pCf9Os@S8Q%8mPFU6#FC&D%Mq;S!kLSW` zsu@CaNgbq(bEbPmq_5N_-?Tq(ktH`+->qd7OUe46Q9h}UYPEsjs)`%-6MsWatTJi$pFZMQF5Qj&>8a(C zgU5N@tXYF)Dy~QBDpA<{EcL0d5EY{Qac}mkebHCDwj&EtMIQ=NE~*`|A{*xL(L&NS zD$}oPq-Ea+mh%+9l5{=6dn0v(uxHp$Oo#qJhIaBz{+=e;p#8gf;oRq<8njtZE-~S+ zC3KdjbE^D0NcamEb~tBk`t6o5U`i{Q_A;Z~jED?140i}Sj>liKhTP6JBt=Tf{*RD3 z{S{Pt1AI7Y!$=076MYH1YqN?x!I@ZfL*CV2G7`gf-SbU(m0GS>%35TO*^&i03)%E( zI1O8uyf}tr?HeqUFJvXX4^Z~HN0vedt!or|>Qi~avm1G8<(4Ac3Jp@)q;rU`Qnx~p zlyZE2680s*DMeo^V}~6Fdgz72$Q5nhMbg+ovt0!hsIPQtZvUzGxv`RR%=)2%;L#6a!s-f24t{=XPf+zvCqfs=HsoBT9#bax&zT#f+Q}-W+jVx zhV%UT-T*PM<@w<32Zr!(_1vpmS>Bx>|DB5XGmNDtkpBa2s40Ajkb`BW+n_ z$B6z@6zxh55EdR59;TC@Mmsk?r zn@oRtl@$^&L`Pg1jL7)mlod{gf!*i+`5b+9Wjen8r;YVJ6joePa^_Fx!A`!RUw2@= zJ0-O%xJo2p6#C=1UdNRV)h9GO*%jbUkVr`ej>oB}JKF;QQIlCu=L(OsWsi*xOA z3>dwoNEv-b+5DcI$JY1@wD`Dqmz5XDd1r?G*BeE7oF<}@dPiGpUvdWX?12{GcG7x# zF^6=YFrVq8fE7b0l0;F6;y^th*wx=>_Z+FRH9-o&i;WGVGo%gRtxiyitj$I^=I@|) zUWpr?LXaYrdEF^jISygXvgO78GHOq3s);ao|I8N+<}o#0YLHAKnorWU}5qXJ&n1S)hk0=IWo7cIKjH zrZpG&>``=fH?QNmdhS|=l^`LAt13RYse^8oKf%U(>AWkW#j`eZPLX+Iv&rh$=^zPxwe34NAI*E;6VT;3?uu;f}JYD}IvgwRP<=&60QXh0YHBUeHvC!Qk0 zqGh_Bv>s@SgUtrY`fIOHJSW*AnKHOa!(oCb^a#qj1ZUjrO-sWV9U4j-PQxz9$!umb z81-0&s-c`(M+OpiG+rYO{&W`m08_I37$|F`kyOeeRVJSDB+fR-UZ2zC;gXR&*JS0( zwlTHieH!HV`*?(Q^Lr$-4EnQ77^Pmj7I^Hq=_zIFSB?v6DNDP3cKdyQ z$_1entyX(BcJkc9ULTy{og+nI7ET+gK`OJN61XDu=xn(Hh4t4pD+bg4NtF`ctd@7WT)_TTj`SldRW)^HBdDjHPtTY~HRB4iBKmk-2f)P3+(XwI zr?V7>__B*K%ii^;W+yZ5GD=TEhU)P?l@>`h?5*F^J0;*64%_eE06yr@0LE!MdkWeTdHGd@BakKh~!)1sstjkrcn3rTps1xvI-FX0n&$z5y+n@=ow5 z@V+tXSoYma>bU?gNY*h3HaL@TMvm*F8W&0QbNbF$=vm6HV2A}8oa8j83+F}~-NQ-V zxI6Fxc^(Q7V-oel64*!P@uPW*8rO#)5cX4CCJjSx{$njmf$A=WbTtwVPHzt(U_|HQ z>eTl^H8qbERL6va^Q@2CTsC{|6dZQk<1sg*G5=T>xGg~-iFIcgy$KUJHKuC3YKx@O zc(qz1A~8n+LFtY zy2G(gZj=)opg_R{12W9LnZqLhK!}kM%dN6xh2vLqr<@@noF#q`gJMzQOS>G{Wq`{#xa@9o+& z)k?yp)0FzrOZMb;+e!3Pk9FUS=0H>Lh$R^rNGVL~R*e+vnG!U`Vc?=@TvD}|&Pa=< zayEM=6Qio%6P%bkdH{6x@;vqsq<5u1fLi$?|~+y(4XAP6Ek8<)5A0 z73YAINOGbjubFsB>Z5Vl1?Fadn#%-F_*Y4h1#`pabi4nMr972)h9D{X5?NgKN$L~X zT-blN6~*cxKs8vx*-lxgkPr;M@xFW0?&#@oLo)J@)hbBqGmBk~S*3c%TEtDGBi+^LzCkb1lNYbA{@1Y4sIoYT)BKZ=l@}?~-iyOqFE~bB zW$2q&Uz2tHsGWx}HS&8rJavs)Wb{pJ$--pjp}*)mxydo+l$@-YmQ`uao~3}5&hoPK z#>UNSw$@vLQ^P?ZkLIJZ7606E#Q5OmPy6{np^J*=m%+Epx0lBY7_v9c_qnSLmUDJ? z^Ys;Qhf=}lL#1bU&$@g3*XP?VJ)2xp6xvhpXudu;nGevK;bJ}_$2~>UAVnU{_p_ZG zlx7*7Lm&lh$a~Q{q{&7epTnmxY7|{bR?ZU1Vj;ZpU-LZaSLl?VlX(*V!QYZ z(flIUONZG)>H?(ucV&i#^1_LghvJ7Ox#I(~#$S*5k-&p{7*D{#?hvfNyVx%$)v3Cg z+NlD;0N^@4mBb7)VPW*=750#z$O?T_7o`L$Lnnj4>>*NT+=Hb`socF9rf5iOt0NC0!JrR1PYCj*+??tozneG~Y7s zBwDq5K4-kdvwLykC^kzZ*X%}Z(3#B5+l}pXsl9W$z9coBRIV*9%u20|^7X9#ULf!M z$)M$+%lBXM07QV#%V(RtqCXVA!L*Bj3m9n*`V7r{pif+67|g3*#ScytAD_vIL~4Qs zRevrFR$~<{9&kb{V^r+tbW#CegNmyNQ{_xyB6lF^DMjm;X0udFK$WuM+8$ssDmE%dj zJsqc7Nm?W+u{d3&z@>UV??@kYXtp?zmAE%Ol%@2C%QB#jmTOXR-EcXC?6=-!JXHGE zjf5PO$3FKS#$eb7B5{fH6g;f<6`<&yueOnCs){!cnj^{3)m^h~c@EVdcxj*Zs7Ad<5QA(a@qKMcVib$Gt) zeFj{ye2rv{OmXKJIuI`)K8#A+yW}e?i1_2%p=Z(Y{`={U8K-RrrAfv#&Is7y&MHqiOdNLpB!G z>)#TY9#{N&2o)-nD|U3SOWT0~|CQwcu0gakh`Qo;x-y;p>NeS!&$@3Q0wfyhJ#+mT zKoXA3Mh}aV!ncK)6U8z}y}XnRrN1ndu7B_7nqH!;ST|0&yE@qL+-P!}SPs7cc-mIvu0IVCY+ zp$iZ4-);Z&44Al)VZdSvNW%`M&RS9#r*ML@T>m)NNM@eu&Wj?;ClA0f+QRU>E?`_` zLM&7yD1tX!ylcJ`&iYJ!kW(}>NP6O?3M7DiXWs5BUi~Ir7(X>TD_N(N>0uHZA(EOQ zO7P5nzpJ;MtG4%ax|wgeKhKYsn1{hy&b!E0JQ0qZ#s0Pyc(OLIT%Oo;bH*VVTAIf3 zPWzgOo98BrSCQCkhrWiEI?bh%eZ%vtAWG$YHS86M$D>lW<*}f>E{<(U3!eyeA%Syr z2oUU&p;!fqCql4h7%-^y5#ad^*_rPZ8-GJ43Kk|8<^wH90kA8d#PPF@0;Z69g(P94 znDJmQ;x0eI=^hjt(`x1JpHLpyu0}k-(+AW=80caF#Cm~SY;X~urh&hH`YdN8Z&W9k z!*!QM{qc^H6fq!*LVRan@L>4$oqxlW!pZ<8*Q4Zx{gRwFcn5v^FAVlR)kQPJUZVF> z7qkPBg%u!8?E(|Qc7qq=tws{`tWRXX7y}fTY9o(B%h8>^ejk9TxvH&ql2zS8FP7Q2 z+Lv`37SH36D2pk6DDK*-3SiC_iOMC9;qs`GmZrOxH9^;}*cwvHBw_lgBmZ zL3+URZHBwyXr(@G7-f5)W7&-t@S=qE%C($1KotG!y8i6m@$lnS0I9BZ`?fm(^HS`P z`Io;GZ91hzhdkVXHk=tt<)Gk`|M}1D7RUPWiHT zEj5GL8Iz6P>&YG{lA`88Q&6SOuMC$*h8Mba@B$W8@D3U=cW)7NW*3nPvi_gI*tmb#AIr|TxJ!HKI0oOOR9*+Pi zDnxDA0>b}{$C4|{j4DB1H&I^bIIlY?;?ZzPwBbR^WdB!kOQmGvy~k=B60%@733jwr zG*vLNRGvzN_UOh#xKEfKNGnkV0-`h;4-yU4bZbn5TxwwW}${;H;n10I;?>YY;4VFyM2lg4gVJ(eqkcO%szDo_ zE{Q3iLgTsKBFil12s{#k-MOR`V&wh-1PGVb7v-p6zheto$}nGW`Y%J1Q(Eub2T_b% z9p_9#>V9~ZK)DknhE9YjYnsZYV4&OO>PmFsUM*fNFMjp&NP$n^aDZ+zd^!?y934&; z23p(;s{l>Y`niG_6;L87%_%AX__If>w667g@+(;a{c~bN!e6;wAE%48+%;&s(UN&W zu(>i5=kaC9)IQo=^EWJpouK0R>p~hNtINH00mI+^^(wZTNg2lDSa0J4nPL}X%%!QR zR{saK$&7UyS`&UHaGio0pT82HgTfqjCm?(K0{an$KOR5eEues0vU@Ta7Y%SBGXBa@ z_-Z`WB0VH8YmxP$w#g(`cx;b>hxh&czY?qT_cD4Q)+2}`-9B?oxmC~-JSPVD^`{7k3VzY0VPb&iyC?QV1o(Isim1#O;jI3@d+s7dV-pkkcb9v3}fCWtbuE-NuxgDX%|5ZbA} zpbwcc1Dx*%7;6Uus)X^ZjyDmNy;zY+?Sh6@-!Q6h!9Nc64dauPB&nw^+h?fUIj5yT z2EbKf;YMF#q=K5eWa<89-~{e&P@(|LFfkkaT~jG9hgoh$NZmZ@YeS1-!@W zVYIG|gbmdXR1D-^pPZL*;-4#&q-RsP1@RGh;$sT;*TW02Z97!4{lOgu3dPF6V$*%h zRIh_`uB$&4>y2DqGl}%EijIo|p7qLAS+<(bNEJLnR3a;WaPf-ZY9X*;v?}xX$@d=p zlvszO4W9)0>eP;Z3{C$?tW+h5u+THM=9-(5?npgF9Al5~Kmu-2V+uK32dJ9S!?KFC=G3^WDnsu(#N=$yTN0t>$%r#PN8!L6YbO zka6GxcXHUo5l`8T&tXhNfLB< zvOq8Ioqf+DXt|vnP;wMRXohO3P{*1Nzo@s_a1^^rB7}qQg}^eK#18M5$$x`kh)<>K zr@-I3=Xw8b<@hqoBXH2Z$7-Kooa*_=2+lZv{(OaC@_Uw>b&9Zlv4W#u=g&vUlu%#- zIx>ua;Zxej*?^n*_cUKvx71j?V_DO))jJ_c5+6{6i(pjKj+5pm)`7M%1i|2|-y!q6P`3!Q}IIlE0lU zFAR=>3>0XHtEjEMOGn`5c6|w4aXKF+_D!rXXSKY?&prd(C`c{y7-iURoZ$^b^<`gyk*t@keXaC5L>1kqn` z+~k)6lv52Iz+`Jo|4%9JFAWqFbJwB;n{k2K=u{VMN=`@K! zoZ_=sb95G|@paWcA6v9!1qxLC{i9N*Nb>DQp_iAH3mX-o{w|De?_y0Ry{>r|&U83m zK0QD^WA6iD=0;}HC}hS8abI_PWU==Y6YQ&f`1LBZ>3uWXoPhz+fh$MH59oQJz-&w-)k$ck+qsBT%=L zDI4|t8>&C(G4B}|c&Q0wm};>Sr8-W{A?2tg2FtX1+6^{B$sQ7Z+MK9Z*(FOV=ffpk zKG$B-@@`hv9wO47&R8Q1B|;}nHiaN?l-;W^wj^aKqX};oF&@)KK)V>>)f`}^Z$;Ul zq2)#7$;C&6=_*Dw7>sMV;aDgH{KnlkrTW9gA-USIa0Zs9-wa-aX&)+ecteD*)U@$V@B2+r2;b2_vWd?z3N zCoU2^nfxZ_*Wp7x17$dI-0()K1eNnkp;0I})@ikoGD#Rc6oxsfg2+USbOOaU7>d+^ zLPa}SilfsbVN?^B{t1<4)fd+svE#4@1ujC=+AwO*J7qe@>*1DrylDupOs

-*Qd% zasVwz^}Q+0M+5H@9OrrSrNkZ~nL}dOyS=@z`);=%}oSbNHbPDqX_UISa0T z!;B7Xabg(^SrGfT9B5!fC=;?iP)u14C=Sm|E0g0vf$fSI@6J%2h{eT!*=U`LNDn{q zRFU_t?BV3F);{kK#baE?R`0q+1vAVNMWWSy7*Lb^dUD^%{MItG-@pcsb&|~b`RxpK zBUM28g7W5;%%_7}D6oKIaPk%9945;9-R<}U6ME(ZTCO~T8*0KH%EM%mZYz%0pFqOU ztB_OJI5M**nw{tK^r4r*5pPRK5$xVUm!DKy|83EJrtJM(pH$=M4AH6|Tj^f6sI6JP zEm3$Ax4et;G)`>5wf~z1Ak9PH#dE-qMD4jynC0=wxE@653^m!8G+vJ6>kMXw22H#jUw--6qR=rnChv@Cu{E{A`^pdhg)kp@ z>`@CPZJAR!ba^X~lG@T*QgFVP#;XMY5C0xZ9nG8XB*>Jdp>?Dmvu{5~ECo!&P(fz{=$&i+(0c1*P8$g% zR%e&Syfs&JK0THjygiXX_={WpLjb8&%86)pZf8SJ(bCEcDOK(C9`8@;;bZ~}$`!yS zFovQAVz$Xq{eSppmjx5*1w`X~9irR_6@@_qX)B>kmkxBRdtr|gtP@R#;xw)-c64#h zS*4VF^gbD%iw9rM!>Y(!_>e7<*WpmaE7g`t_!U*~K(|h1MoU*O@qi|8a~4P2Eq@bTH8o4HA{YJ1N=_ikw)cWrc;eE0J` z$?Bk0xx|SQLCv7=qw!aj+y)X-G%NBz&z+hk=zx3v~>FSsg3odDLx~H76 z?i_DV+tu=*+KzLB8E}VSEF_@Z@xmpCpn%g?0-n0!o0}!Yz!xPme@6EErxR=UY%;)+x{jlsf@IAw zlUaiW5N|F=fpc~i0ZYi`PRbdO&MynS3^bp(Khu)hvq6GvEaiG>^G{mk!4lNpMjj+|ALNf~ zJ;AFdpv)Sxn{V{gMZvcB2C{>(V{CR=YBv`O7RT(n^LE|*_Iv#?YP03L%&XGT3s6)t zzNyjeKGFJ6_4>wv~K2iy-(;4!tPc#dag(*i+${o`hMkuaMZ6N*cS4d3MFn37wQrmWGV^vP-RkGX1lZwx#D!d9VK1y~X%zt)q zuK!~PF5r!rU-$F6Iu>o!>W4Q$&iI~PY$_f|Qs9gQV+|_oZa9EIoj8%?3y54@A!hW8 zWBlVfq9q3A%`jY}JiRDxce;Q6()k7VA-1=_jxGz}R) z=HNa7WK++L>k27o2`CO7ar+09(2Q69Z!0oCZqdS?Ut{ZVO+Du zQ6X04z(RA+>!%F)lQ{u2#*6kX;VeX=c}#Qh4c0*ZE;W}rERo}I`^~qx5qr?f-H~$M zpPJ7bD)^9O9BOp*wNk>F7{$r`+Uc8ar~c~n6W76T!$9Px!CF9)-U0#FP8ww$It4tv|c}`SMINW`_IjE4IWdDTKPI{4Na< zA#Hc{WHwbEImbtCSBs7rm7f$9D+zS}@xl8_c)juE3ZD_#qs1cAW4{8K;kko+}*FFxB>7xDI!U)_Av-b(A+FTkfV#EEyf_Mrk2oO}a_m}u^{ znsP|+ZxxEUdMd*Y?trStHe*T4{$kUlPf~&YiDygYHc_?g@JTR>mp1uMEi7g`FCU}iIcUq` zQ=RWw)c@^V(wNZtw{ymk3yWWq@wpE=6ma#enjkPg`Zc-Sb|7_HL4eC{XG0l2(J6H) z``+HOHJ*G$>8D%RsBfs-sCqZm_Y&M&IpRztD~)Zt1FT_XF~u^wh(%x8ngu!jBWu8G zotNiTXt6#;bbgg7+9jGOrALuU+}(gH8u_}>zLn!~NIND4r*5&dV@Oo+*G!1q`9W|c zL$j|`SmKazQ(bI|3jo@^{>^%tmFD1Cve%_tR??KBOxohEy!l&^LnrXmv(4b|YsQaC zb@w9W&6NIKP)4L@_2M>K!!r#-{(iFD`5E|W{yVj1hW3K;%{4@l{p3S;hYRB$gvG>C zaJGw*c^3#}2=)T1P(6~BMA=C#l zrf`n2NYFk8^3IJ=Jz$A=C{LqK?izfCE%M+y_0KoBnNGN}n}XXOQ8(o0`-Qz$zPeoM zSIjx9fK3JsjV|}q1kvLW z^Kpc(J<)9Q!pOr&{zMKh53!iMSiXQ2H@iWKe7C&SD!V8XfZ6Tx#F(4|z9hl1Gk=uT z<&Jq%%69C%-Qjyc&q3#X#l3{5VZC$L6(3cJsZn?sx)yEjbeu}>$4-_cllo zU^FtfkviO&Hf_6n(4x;6h1N*WxlN<#DTOrWq-zZij=)Ps>n06mS=o4l$PY=N zVF+rPj7h^e7!ac)uJk$_xe7DdwfHrB1q=1wd?i=ILtI*nt(m7n8 zq28VA>RmvVgaD`U6O@bTs6zJ(u%rpi9ntE>I#i~Ca{+srPHipjl!;R{}m zMhuEVdX=NWGxqU@(CZlg3su*+A(=Pl;sl{LGdU`4sZ36QSbj3oG>ne?^?t{o$FsKA zC<{6m8v|k^1)X>mQ%L5v7O$Q5gXv*7%h;{{nWmzBwgx5t8?GDl``xcm_N{eUaQi~n zP6mkTYo~_HxIduB8TuoPf(Tf2FmaI_*$HNROt^t=i`dV&EfOVL=C@3_2z{9W+f{B> z$3iosajom_1OyubU0O0jqh^G7CvL&F1RlTuFYvG$P|Sex|LS-eJQnVQt|sQ8=w>r; z&iD_VF4qn;64uQN8B#`fSR2mC|jI+g@x+~*I4)-4vQ-v*IB3;ghd{q7W&iNIM zMbgE;8gk^bM^XF;=sS(8yjYQ|JZMIbzE#bJK*9HScC4WhxDrTIw-yjv7^x?8j&`Lx z_JAxMzW6|MZzU@&S%j?l9_|o1KMNaA@Y)FR!0j0>o=U{ ziEkXPQOvLn0AuE{=!(}8#+nt&95zM5w)Y>WDo}D~O4HVP3i2Q4&u|(d)}V&~tL^$A{D28N<;Y9}8-F-Hys3zPlmPl$yv6(PMlEHI|h;suRDR-@lFW949C!dd;m zUAx?`Bww5`*;bvv`4U^1Ioamn&6A0@*9A_mk2pQJur`%tCcztg8XV!|b1wBMfIlmZ z7mJ^Jau$Jn{3yqZXlHs>;QR(i$?xP_Bdb$%g!VH@=TL~S0%Y`g6`FpM<@d8`%^HLrvB3XU|sM8 zt&BdX>3;S`o?lTIo`E(7g-Ut`2emY47eZQHhO+qP{xnb>wFwrwX9-=6oof34N4PM5lBtM+;J?g)8V zF<2-pC?FspSP5}qMIazhd%%YP0tC=<1&;0p1kA-CAuOQmu6w!VC%)-|GkW*(()GUW zb92%DP+h^PGvy4otZFMkV@XYVNS>Ha(1;UV4z)g!SQrCAZ_cT&(8pX--a;8-u0m5W zt+hy zub@3~X4cxFWO@Dw**_mY0CRu}StO(z!(CgIWG8hyxDmA3?$utzck(QWg%>iuf*FZ= z+3iFWh)X>|m3s8k(J22-vFEy~XdFy6KD*##onK&el82IL=_*XDzT61yN<#h>@^1`K zxFRtF*OH5YdH#)h6k60D6KZG7_rgb&i@e*-j^dj_xjKpe#>EQB3d4!ah{TSZscn0+ zj`J;7KO5-zmxBK5jXz5bG5_m+q4vg6B7(mw)4KI*wBmpB05e2o#eDh-cLrWx+9mSx z_W!X4XxIGE`OtqcD3O{q;>P)b28RTzsO?_|5IYtJE(ZYz(KocD?~XBXDOOj=f4|Xp zHU>P7`RXTsjN%TeWQ_6O;Ge{1#E6jB)gLOuBxPZv<1qhP{RgCH?^@8LG(BtGbU)~D z{)2H7x|{hN{B z<#=M&S()o=b21yYfm|hlcLG1h90Ri(eVC52Y)g%=2Ij{u2=4u%YjXDXo0*I6)3v$K z5u)2LDsur~jY!e0xUC8oLy84KHZ zqvj*GqM&itEV0FExbcc$5MyZ@p@qw!#^WMVMk1>Qv*`)%4#|^@fPeypj(`Aa4!kZm zmSGoP7v`t2-_C7`Ey7zPSP^i3_3nt_s)T9g2zG6_>7(T<<>cfSXY24yxT?}OW&iT8&%$Qgg? zrSqVZq^ke|0$?Q2cfA|9K1YrXj$b|$L?Q|*$gg^XUFbo2V;~fIC=)d1B_J32PEHOQy^)ogroSU+=3h7JWtSRywm`w14KwZ! zW#4gWsP9`WwatWkW0UwUgM8XVj~dZD9w0#flHg{pyxd#I(l=1)2Jg)Fhss`ou&I%m zt{PB~$b6Go{ywHa^p@v_}Z^`=8ujppIMqq3%*F&bQv{;%i9%cGu zWe=??msIJ^a5!;7fC3dFSn!XKsWFxr@n@`76IzW{-wiQbFAv{F3A}CTSGI(Mrwa|Q z?^~L6J6}8+Pcl*?8)!7uIfePrJ43fsY_`y#tFaOwI+biOOz5<|_{?lymm(a9Xxwem z6-et(IE>%hm3r~nk5_9gR#~J{D_7}V_D}5J+u>?3XIzG{?lIZpYXLf1O)K$RdB941 zEc4sGKAia|=r!w{zS~eXj@5Flq%Ku*I*&J6Zr3u{YjR4h6>@p8nRpGB3wS8o^K!h_ z_oghxiQL0dca~lGyu55@pf|Z!nU-n;DcHTQGV0AYe;|qGaCi+)U!&ACA2B-(6d(fU z?OD79k1aeb)8<{hPnxyh&$ly!`m;^2c{LhU)8o24?xXP*P14o4uIPZR*&Yg68$blZ zl7u3T3X1T!r7bpn4(nFz7?>=ATT9R8-iB^5wcDI)Y|DDRb;@V?^J;@STaR_u53hD^ z;&SmCEM9<%8nqOfMDLbueum!a6|`V4yEe5nUUHE>UfB7eaQtI}Jh_ZT`unn3M-zb{ zqOo1ZNSjOQLED19)EJZYY zU!UCin|jroTy_S*v7sKDdxg;K1g?7KY^L_u6X_BG1#ijCJvM- z$_Ut*6Z?brx)W4S$@1b(ddmY4c%8ikf5wtzzaOa?V2P*FeknD#5lpx*UCyy=P1I%c z9J>#A#OW5@@JS~urEQn%8f<+KINllenbCbp)xBIS7OF1YCr_Sn7@F19JV~0ovb&oSrLhSd zg}Q8(>%a9Mk~BC#-e0!WALY_)XYy8l|Ixi_x#l4+TEuF1I?7~hr0bXZ%4T=xR;bmT z`TxY7R-be>Ysg=egy2Y~rq!J~s$y%GHii<$Y4v$2GN2s_n_gyWmuFYkVmv-N6UkhS{7Qc0Fw}AN$=Pb(-1_wF?e%ppGvNOYkN=Ahw(7$h z+iO2)FCs3_@_5>*k-qC(%Afb;DkJcYH_YXe}zTVN_8e~ zI$4~ADt4COYenpgzV~V*e(Z?V14UdO0(`A%e+c*27;w(otG>G%VbRY6q|y7Iq5sqt z1?r)+tHdxXf=jFmhIW@RfkL~>`}1tUYyb#Ep_ruv^q_9ix107Ld3e>*f)T8Cs)Y0i z6uZW3Dy~f4U3t6R`6-khXsPYnylYdeT*gqU5b2#RtuE6Qg zjI-|4-U5uaWEu)-5CKt2+?9miu3Y0-mRjd!%y})$pFg6rpc3P1ig;z|w%d;NRK6E8 zH$V50LKuP_;Tsl%eW6ju$YAo3XHTqJBXDB>+q!0Hme6relSnX9y`($nf9~ z!V7-9sD_jVp1cb4u5Luny(AF1RVqz>1CgME;FT6}ds6BOoEk>cKU<#$0D2@)&#TVrGM%O}k4Nd;NDd z!GfEGnin6dvPM;TNjF*MYn=0X3{#GbsTrB%2;th#Szfr2ORi#5;@Brm+gj^OF6Vu+ zCgTlEbuEo>>BEylDwy)iq6|$(1hsMYGVX$jBJ27LhWDSeOnoJ`HYQK}X-}jFvf;_} zMPKO@sHM(YK~I0)CoWa^2_1Q&;j zN~%Z+jr=A$z$fxl+*RVZsS$~@(SuEJeQ45{&ByaG&eCMO@rYH!UT=6R7#&Z7!kImV zQs9Yv^N!r{t|R{+^GZm&#m*LsWtBvylksw>QIi{upi(GU@FDpkFQGo|#%zh?qmOU6 z(-2crk0aI#bq>2JJJ=yW!~0Z9@r_3K2b}}$7wK=-OB-}$W}tA`$;|7U>CNPfVHH^M z^#3q$K9zcjNJ&WVp19W5%s=3Bfqh$M+d+ z0muTWm2Zx4v^CTru#y4LpKhOqBjOu`A+VR!&pO){L%XLHP6I&JSosuDJG+aWaV5^d)es4z$W#6z^^FcZ_ntlY1?EyiZf1(ox&PZ&cppf0O-zcF4 zhfs=qGQ$cQMr@s?~h>unk%UR?BZ;;y$|iOSRBz|CX+U6&l@|{VJ@>+YLlH5{k?`FKFPb@io@fRI-l5;JcdCY z+-&_B`<0_P1B)k3B(NQ8$?a0vJ|CH>$o>f;1W62ytS>-b!po9>Li5gO%~?yOm(H35Y?64m;%#NG)z=@ zfmaK|e%IVveNin(i-p?8j_Q3s-1%WVk;UzX590J2Pk_DaaMk&F2(Qd=F4@0_aIh~* z==);T2vR_(gNti5!O1MKZv$RzpJ?TV+xxznK4$DR$932mVaOY*5jvKhN&(xo2|1ZI z{Agme2{dIzLRu0oo%O~8H}BE60cnQp0P_zEWo8y(xYssII5AsNYtOS_Wb-~S7G9; z30*I4{^&n3)8?tyoDe`Jsrc05S)jnHOlkQPlK+b9_JXfzj0R=@+PK4Eb8G1;NKe%i zOgK`kF%5Q7RgWqMg3%ThU;{msWCOukjX`M^4bGQGM6)*0PZ!vSDOMhws#d1b!Bk+G zW>Ly%QUgbjF*0)+t;mC?JLx^?-P!xR=jJ{Uz6Js!fSgcv27n)r_VOS=1mwU#{Qtj3 zNCpCe000U9SA&rN0RzZLjx1 zC(Q-a{2R}F>s#&J>6G7TqX2Zy=Eu=diq$f+FdGUyUJ5QFCnrL($MXF`X{t_E(E|My z(4YH_SRcR3Xj^eZM%1l%T1s4c$F9svlE#y0Z1(3&XIFV=xKdo8#CR!3+K7%MCyFxn z+p&NmGSkR+u{wcL=dpaLQZupWn3?`&Q2s{OntEOZ0e!m{2ag zRc=q)jg`&o8BTx(jJ`f4Zdf@G$e^H(Q35-=>>EP_?5wUA4}QW~K_VLxjO5=}J^_gi zb$KXB0DHFh?LO`Z0E7&@M|nFjRP=clf%|vllvOD)Ux$NcD!jIXRCYvNkAqKm)!GBkdL&KTQ=&|qrRpQ{?`?cMC{2=3imC;oH)j^R21qD@zeN5}g z<~fzlU_>gNj(}*jQfp&v9c_fk>1-|{A|kxFvNGz>_hE)F*K?3pyW{?F1uuF6OhBF_ z>iw{E(m4FNua`((o%>|`phxqqar-#%9(!{j$6M8NGtM64{oCav(2X~nA=D z{qwVRJ&p!ESVTlZc5L4ZKC`XnON{DrINQDF+d4#?%(mZ$uK8X>e{x~@eHA#U;Y^N9 zYaKK*xfy)cs3?KMlE-YaTv^CIvlG#k%I0Y5=ybc^?VlpGeK)KjPmb9Bz0W!&2GDP( zCu1EwPtwxyHFC*~=W(iFc{yDD@3I%Vj{R5S$_WWq0{pg{*0fZe$WN)%8uz^;5r+|T z+gC1*Cf~0)u1?q09O}4Sx4*Z0ygqMJ8BAtp^Ci>M(+OOw8=Ld>o;umfK;pxYE}u(k zu$O+z_%dGCzQv{8q#Hg>Pw!-=vvJ{=Y%;UO3j#xh9dWGQpE`%+8i~}=U8_AlUP3~H zw|j$DmX?Hk0Ns6s_c8-jQQB^~>mDfv0m_#H>H->p0EYn)gh&*mfK>Q_#GFA%NDwH9 zlvqe`oPq%{k|YfT1_b)!;FHFy+bhS#b!Ldk;I4OB*Xy&h^W`MbDd+v5_8R)=v|3!gqhJo1j+j_>WS`7)4{1YKR-O8}oPma52nAf%E5~<5AK~JMd&GrARx6q>cx0Z)aNk}45Rd8nXI#?Gy?n5S5 zn&Bvn&3DenSWHb)1{CI?yEF{$CtA0Q-6USjaA=x z34~6J&!b-)Hm^FY)?*^DK$FR~*fm%-o7*V|zu7^gG2d4Y%yU*QR47mtVjEqy6C@^w z0?o#;S^6@vDy(MbCo@YJ0{wZ;l#4#5`Jlq?HO1+Eh+-u}irX+o$3<3VL+5qV0Qc!h z8759FMEV9sa>+s9!-DXyAWHJeR}>gKynGF6gl0)$Tc+zV! znTkjx3WLj;{N7|yIJAM-?q4|*!MV_@CR2WmFj zOb4-kWsVG;3`rP=l6DjdMKj&eDN1h$ZLTk3*1p|lhY&rZs|`eglmwam>TipTDbjED zEDk37wbC}7Sv}(iO4g8{FE>jX6R?9eo-CDmR@?L87QMlE+>S_?jq@dv!GApvo05C5 zAOIyCf@F=&!{MgC=!HVkvI98aL9J0xb+lvc^WhR_laX#Go|l7>iRqVKVWMI+(0X7j4Q5LHW)MRsgJ;7AF@i-EN zpZ?Z`nW1B{2YG6Iy$i#hKz%l(tWXZm3Hft^(EDPY(!pVd6KibltvGe?IVgI~lXH_> z%LU)o7NXYK9|oMpC6K&vf@0bm)oy%z6l@FVd6%Ixe0P+G*z1H zBbMc_zCGsf%*OzFmP&E!MDwF?2PO#1iILYy!gtpjaZ4^*hrIoWlKkB5+Rw1i=;u@# z`T<3fD7uefD=R_907VHhZ86wDr?MPgDepV!5s$S~4yPWQZ#-VSA|D>nn{7V`4v3ne z)(nRuS5>%1kh#X+4DpvVRe|lN>4>bzr9Z^=eu4ptaB!pcn&SQbQq@X3r@Z=W4+P1P ze5X-rRcnla-uDR@7QaU8&Bb#+FxweZ|LEw+@pM)Sm0B*ZPlv5sVZ?M6TOPYZVQKhh z&`)^%LTW5#^LQ(|_Po5jL`M?=dE`cNr?st4H>zCYzM`Tc6F5t2>+02Cb@mf&ZEXNe z631e9y~J1{k%a`N(QGbH<`d`0E*&TBf2!WFDr#*y-KybniKIU4>pGyf!uX{iogp*f zo{-Z`Xz1kX3WsbG`nZ%z%JOGL>{H+2uV`D^0BL{lTnh?{sEv&DdRpZf{NTf5&WhJ+ zTbzDU-)1u-60BO?jx?W}!a@&+?`)p}9y}he0!YT;js`JFnRy3~`(O9^B)6&OtwdSn zT6x>UU0&|kPB{W=MW%)xV;ATBT$KG-<+MsFPoY#X%X5v=yiWVWAxt#b^X2&ei8X|v zMq9lBo>H(S5p|N>k0;TcpvT__OBZawRBRVBCI|jmj~V>68P_;yLlhE17p}Zs&(*3m zc;e#X{snNz*o$*-ODPu` zT*^NJ?R!RUI4xXB@s;@;jim+GMe@Ze zbZPwAz0N6y^7m&}f@U{gSGO}f6lRQ!blN?&Jz;IdpIe;2N%?y0E})i`nF(P<@62<- zy)v-}QFqwJcIQzq6Wb+BPTAw=&cIB7;^loLTYLYrXb^%D5yi0>#N?V_ozCyqKF{X_ zuz3y+bdA%AHah!Y~i6`yJ0b)-{av08_l0W6bb8tvy?CC^Zw^JYY< zG6JSOZE>gM{M3~6TXQ^Dj!X;{<(l!c`J=TClb$F1J$axI`wo9^zM{}QT_7^2OlCK= zJr)y0HT6c*IFGAy;7@8z8F!Vt&D=-qA8FS!EhvnQguYtPkvT14Hgy_ulHXBg-2vE*|9TgfRocWo)#G3MsPQ+ zv(@Hs&|SyIqVk60en%+gB+%nEJ;FXLKvR>#w*GQ|(`wh7bpOM+kj6&ax6yq4Eo=n# ze5qQg2ue`U`Ox|5Po+;@LR#InJjQom{Zq1(rmOWON z56XPv7dIqVF(MZckx1w56VC0`Gu1ktsVgpzJ7zPvFYA>~37AgTm`KprR69a*#1DpMa+(Jp1y7dOK3E2? zbp7C@1+R8+TtMsPR5)9as%chVg>~84X2C@`i`8LT553m&@v!cNY`Lz1u1e8Mz9+UP zOXf{l*2FvNKQ|`HvAoo9r|3bhz4YM_Jj8~}iS8##o5KZOgk23cyXaXI@Kd;Sk3oPb zF-w#sFhSe##R|Yz%dHvCxVJHc%~&u4ynJQrEzu<3q^W8eFN$i~V_-kf?z$;eBnEE? zOy-+^AIWTYzuc}ppi_s#RpLUS{Ecn0P+?uEwhiryb@kkT2l0>yT-cAXBxR$cGC9wW zQ1XN1ar<{b3&L2(@m zXMU7AwU!W5TE9_EBt3lg&SiX)d*}(Kh2Gk<+a0IGl_w2BS7CnGYlG6F>awTLN z-|X)fI-isC4~92)Zi45^nQxcpcDQU+YW;i`K7+&IrPYefktP!#=NGxa!*xnQhR@_s zz~nB+9;%@*FrMpiabn5IIldOpFjY|^Dv{H^X8W!%LB6tF@nl&#T=i+D*-z7S^^9;I zgpi|5#m{2Ju-ww&!g_nrq9iD2dh`5I@^at@k84H<_k-Rt6lw!+y_YMhe09h!O&;oh zIismZ~KMoFNMV7Gn4MJ#6}W5Pd#J2&hp6`igw<^Zlhx zuUD3XD98py7P6Z^&--?_|8>IQ49aYkXwY3ALa}zT4L37vxA9eyd@`95@${mW0~`Qk*p}trR_WMijPWsMOmqy-p~K`_R>z@2x<`BxX79k~z&l?`Tim1TOfz8+ zRiu;74j5wrfPoWe!sKk!dD!$uAfUes{3oEpb`^yr++uA}r3b14qEruNkN#u@8yf}R zyV|Ze+MH%0G=zNKl6{eO1 zI0wi5@UK5SM4wgq)Jra$n*aNKc0J7sV z%ID&~8fxOS@N5eM{Y;_Sd^?A1mMS)6?rAHviI0e@S6pvU-up7AbCGbo7auLOp)Ceu z*c@FmX^i4@PbMIlRIPg<;%3Zf&YZRhCJ>(LWXn| zL}aUp=}v5cI|$OI6(*qSY;2X|YWjz92rZg2#f*0Ujz>WXv`mENn}x_lD~j|fLyO5w zH~msnH>-kmif;Ejqu1`lE*qF544KG-(4B0MOhWj>h?2-t3+ZWD8kDbvEHaC)fsWI# z0Y5qUHO7eSeNeLGf0|ZEA$EqLFE4gG6+x55M(j2sDjoG!8Gd>d-5-As6yRTv@vP@K z5{EV6`}tNtTVWE`jaXpZD4W4(Qe9oW%kX%;y0%sT%;EdtZb~*^EwmE*Xct7yeJ8FN zc=~0r)<}Z(IIEdBcM{=lbI7@W@~?Ohnj{i^u;D?DN&hTh$O7HmYT^|b*KO`Sq;2iqtKBd_R4f^prh zQfqu)q@5i5C*Vdaq3tI=A4eCwaezCGRAXW~Tc`=dNfKo>ceP*5L$4)QIFD(Fh(2~} z!2eV3Ycs!NeZL2-W}7cYMyK)4pxgVA>bYr^9i$NAc&agR14!n`Wcl7JBKscQ{tRhR z33b5n^GxNlnO`SmFN0;R8Z(n01jm9d^Szfg(MGz0;zN_86XcN$Olov zVHa^|hG{5ioY;&kA9k_gvPm;-;?YRTHsvkq112URBjY%cdH(#fVV~RDW$&AvcH&V| z0tCbvi{s(#=_zQLis>P3StO>ZfqT*A#XsA7oy#UHc7w+-KJjRV#2yp9X019JcOlUn zOPB_9rqH=fmMdFkW@>7^k$V1d^9;Y^d-Q4~7w6|F*>v#C(P+w*6H%mh%0fRL+w64I z_f=gwer1ZArz60*Y34QY0yn#K?_AiX3)ka*g&z}|X=@_p^YVy;KCd8fjmkpX z`qO;pHXcvwf_yDx*vch(q^p&$D`5wwW z2nYb82Ak;UZ+I}o`rosogN`PC0MeJ+`wKE(_xD`cN$HG~7ckK6o0VsNb#m16xt<~S zYE-Xn3X~##Tjyt^^4(EJlUe@L1+ZLJ2`t*X(n>w<#^{2MIF z1?wL0L-J*p%kQA)O&S%Iu~J=Xm1_^iA)w>!nXH$e*`HHXN+d|OrO?>`M8O#!8kJDiX+;J#?JhA+3dW#5nz=J)^weqJVtvST2&~+fA*ju*?%4f zp~i?E@FdJ!U-3~Pq5AnC9jkB?B;I+Y9v z(C$d0eUCip4Ymv~J!wH>oo;>F$V5&)$F)?XTdN47SZ>mZYZYZ@q&-SY(~oCgYWfiD)1tp+5-ma`%pJrTIzBn zSWiM^GAGfDD@W4~*Xr#*9f%G)FBoZuJcauMmm7ju=G&VZwc8Vbsv>ahRU zNqqx5N5K%MYO*M}e$We*!$wg6`ft^xTQlNL&Yy4ZLnJ91DJ8v5Gs~(N)#$>eZ(n7@vrB5K>*lY86mUNgqsM&xWzY*~K$A^-wA^u($f z`wGpHe6L?&;BtB1SGVTR-xi84BSy14PLEOWx-p}M^I)1PMt+VTtH>_J5-I0bybd30 zb)$MaIcHXupZ_F8U%a|;sOWpO6R93+q5cy#f*xfpgmnERI`V*~!L+*qUl4U&VM%COmb7GbkwQQZOl2@B5@YwBKfb7_vzb*-!ABJ+N%pOi*qs z*#P}-E#QFl?1~Z2NebJ(VKQ#YYl8(VcTsZ0bDMF-*E_{98D|cf@~Za_Zmw_5kAro& zCzKr!JtUXg;;P|q$BExu){;B-_+`Wd2&bDKU)Dd)xm+G*K1n0bA(G7~qVZ{-E=^RW zwFyP|`T76YUJB}nNVqH;SK0tg7OoM)Ly(~VVlB_xJ`a(p3m|VZh(it>`ll?MXer~GbFHV-b-z22`AH=HEX6kF6WORzQA zJw8R8+yEr!1c1>G3`a&rLPA0Y%gp3e*w5SA;UlUhRgldxO~Y}Nmh=|>MSjZb{iy$Z zi*44A^h&Q{Uh+OEbzm$~Kc3uH#md?k-tt;JrTx#{f1L7$@2vvQj4EFS*>Di=EY?xP zMItb8EE4;IUbGk#s@22pk;x*cVO7iB|A~T%I{bkQkI!3-zS-dtb(FW=?IjjC@Kc6O z&$$UW%UkR9`h2?6l~xx$unQTtd&SbI&k{;!F5G3H?Fstg3qLo}MIUAL(#p zGS{U6EK3-M=jd$G=o%eoYi5*;L``J{=5*!dV|*}^N}2rM7C~m-46AT48s_?`z=FOb z1;=lHb>yWAxF|nfeOjRh%;@#7>Ti}r@#Di$6sOtos5hCX-bX`9CW(n{N*8i+*M0&$ zOaTHvH=FH20imHI0Pi#d9obs5cf!61{OR*uqxV)wY?E(ki2+SK4(Y|7ot%i``oy5F z)a3D{6CR}(7})&qha($9-`s$3xmN3nU8D+2tLoQhZbQD6TK~avr)tG?D_0v44^i3N z1=2qcT6xJEghH5Of{w2j9h*;eaM)(u^jKNND8_Wdt z29IyjQet53$*W?~59VMrPOsTKp}N}oz%_TPgGRlcUq$7&SRCGCbYvvda#VP@KDYTF z0vehcqtRHK?Jmp{`Ro9v_&PwwIUu-Xt*=i&Mz+!p`q9&1GKeMI@v9~0@!rl^z*pdI z1lKPE*Kys>t8wm!&`((PM*GxPxNe>iY?b5b(-pN~ADKEmuc<5wKC3t5ep(&h*CX^l zN8Dwk=Y=pCJj2L+#7O)pD5M3Fp}GATV-A;u1pHN@ zZI3v^6k8!34L8FRKbpz{sBT!ljMfkD+PaSi;fTbXuQwq%Z5O9IFQKupdVYO;lK)P) z<-7)CYj=Ae2`U9&w@UqB^(J4!Ksg-Qa{!0G6g$CAx1Jk`8i)c2mRu?us0F521)U1o z^^$m}tFr1Ijzq*B#=)A|Ycg(7)WdDQVvOwdx-42YaTyY@^`TQxwL2u%r9bufC=!b^ol5Vg{WFux^FEP6)!yC?(}RJC_=}tz!j;`%01O=+9Xt+r zCWoulYP0e)-z{*ki0VxqHSMOU0S51U2fRw)v@W99(lWu>~*{3a%B4A z^)mKYX@S*Zs~%QK&kXbh`yJEen&m-qUQO4&L5Ra=(K8Y$;?%z+Uk*1zR#K00AtDk? z*S7BcdG9g<)t$ZaBU)!ysJDu)WwjesIU*O>MF`1Qf9NU5wJaUg=eaQpUk9{4v)PpQ`!fvzH+ZBAY)4ZI)ITL+LtgkJWzv5Ch*mqgzgo=9OPU=1 z$mJ0xXjn2S@`~Q}J(P@o`2eP%pa6&s?nWU{b zYPZE_X0CU8xz*2;NTr^hpTl9ZN^59fevg`z7VqYFdp>n|z1ZsO>pM6w2v3(tr3s#S zfBbFe>hhM5fEPweZJP}pOCKhPyJax z1MsMrpn61TS-sF}*I-y@Yhm+T8a&^)k$aK+X%7mi&}TsnV&LpW3KX}q2rD_NbvydT zC#376fOM6oYQVIWrF1;o zfW(iHc!N@zWIW#D-h6(lI(608FHu2DolmpK{2-N(!5GJ*iIns6bLwD4Wo75Rfsi~t zztEnsMkzf#zs)v>*Vor*%xnZ49B#MkO+ZLdug5zh7X<;q-q{%}tGTHOaN*#~Fbl|q z!Q7YJZMp z3n6(VK0igQVO=kWJiALy<4aaf)d{Dovc#I7z4l;0LA?FrG>SkH*S3q3m(K0CL~302 z=OsG6JFRKBd?%DfEys!BFVrN5RVoehrVBeaXDox$zyjUaf&c)P<|f67&AfQ}W3@9Q zgAn1Sh0Aw>E+7}G8-Yth<1jvLJAhH~RJC-=qxer%YfLoEXIgbyU$;5bM^3Ls{Wy}_ zf0RhUQumS0p=>DIsl2JY@S{Hzbw0_bEq26}O~Zl0s#G2X^sTI*C&NY815#_I9{ymx zzubHujwb=2sRrwY#zsTaBz|>u3=}b#sp)CVBm^Qd+3fHuG&HoSMv`YwFE68dhXYXu z2Zu;>|3(pb0`pPRMnn3Vl}{)Li*sLh?@@P>ApS(bVva3y zR_Pio(1K?fa~_%s34zM;Bk~M`3vXxSb+Up0+l7rN=;i;f-B>pMDX=m==XBobIJ`L)XVwVMKknTm~s}d0XQZoN}PXmRX`yj z!6=P3Jjw6oecYPt&kJBbNnt?DyhMR2DLs7*=VkDv(Gb{Uw(4vqyn&ARBEeoAl($dYrN1w+VdVAO-;g z;v0_nX^mHPPMv%Wk`vb)zn0H^@Er9JBI~80Z64F002Wz(lZ4erjk4DCKM>5TS1T*{N(^2-!39(w% zoNkC==&4ru+&}`5Z25z|U0ly8?OuxcGt@v6ZwYcl=#91&NGOs`%#BiO=KfD*_^N)~#6H~vaVP6nib zA!L)B}kvV#h;1ZLkqY zHX9IM7mo13h9m*Jg9g|cZ(6F9oFSWF>BOhMAwkpc@(DsR8d#oW#NQ(sh4FYYk&Y{F z_T4${1fWadX}dMC=!Vin=URcdcIu8XkMmH zhM7alDuD?%2#_u(sf!8bB7y;R$ zI6h+x0}JYO?ys6cl4L!G42Bu{uR0)y1zkeDe4z$6x`-z7bh?%O=844SS0(8PJ}^4l zwe5DpHb3Hg5)n5mZf69l3`0UI#*Y24!LSU~9M`M30V;F*+ob&WGprJSTPTR%l*0xM z={z)B?N;ZaTU5q$AFg;E{NL-Ash z=?#3-lZ#Kafq?{U^3lJiV-Hc84h7O(i8H`ne&9kqnU* zC8zYd+#66G{5ptnuq~i}(b3Uyb|(TapK{Ro?@|yh#%B_fga&-pgDFZ)P+|-aYiW1l zfkG&=&g9}848ZQ_LkfR#pQ`eZzq#`IL@Z?1>0$9lXkzOIq_6RB+}WeM8;Qwgmk{m# z`94*Hnx0=7giBc$r=K3D>LYfPwtx~3i>BD#TPX9~6hq!2Fl71rEa&mwOR-OGTE=T* z#YF$_)NOzMifk<_8^InKFfzRU0KA)zt-iP51z_;cZWz_4?t>>TG_`1SxWpR|4a`q; z4JbzDGeCv!TP-f+^AJIhq#q?yC{0XE)B?gnzcIq~7PuMd=;Ct6XJ$lCah3(Iudi|I zX$)L-fxXQ};u1t-Aj(X_>6)hgpoNxG+`)IM&f2VitWScEzJ&n(7aqR(ESTx1ny zJw5%YQP6~I$Ev7R<_h}H7#Fy~4X{sz&zhS_APw>cClFFGfJu z5I{+8pI^HkLc>c1=I0ZzgB8%p=JEQ--^C1Mrl(`bcK0TzkMLGhR6vrn_4MGW3O`D# zs-oFg&r~Qz?o*fxiMiVj3WhIaLK>B)Y>LoLj#a{L=Td zIK+Dfm4msAj*?j9(QEoQq_F({{n-Zot?!a&B-{NFIG^gR`*SnNZ}OKhDSF|(H9=x!UuYa$rWB> zqwW)A(&rj$@VVdaCMPEY!c`CPs-dF8JyTO6GSNjL6cC0*YmuiFV~npa@9+(G@by*; zZz(YyY43TwjK=!Cs%Ead(h_2O(a)JBmoVULPRqlIwyUe0{M6CW?J@n;7LJ#3)~3Z? zuZ2;~V55M%5tS$+x;8Kmj`@@`YW^RbiV*zaddA1}n+IovWY6eS;7B_&{Cgf`Ezv)m z|MX`C&v9NdWuesv5!&hJ7qv&{@DD~Z(oP}c;!@E5%ih>sU8~P#BYDIC{XhlnAx=iU z;eAFpcb)5pA)(f;2wvGa$xcw>zk`J6``ASQi&&qUvc6nx;Nai@U`N?#MS(w=6ADZV zG~hCwi8^2@EsTr?)m=|!a>mBQ4Gav17Unz?+7W(sA&(pb65&n^lnG&xP>LCozyvsZu{Xeqa0;rCr zjn>625Zs;M7M$Sj?(XjH?(P=c-2=hhA;I0<-5u`a|L(bUsxDPXu{WEU?%CZv?^^5W z{UOQlsNX9(UmjBB`4K+Vzu1nAY(e;mbYmO6yF|hznMY-*_V-i3AVMl&)U0p$MgKBs ztO@WbnG?Sz;AwYSdCjk=*j{B1Pd4D4V8|CvXBJw~u3*BAab*Zy<)CH5e&ENVoXjBq zMkO#g<(derSql)6=DYk2R~9dNTunJ)UL}-a4D4S7VLT5RZml2A>rR9-Nk-DmvcBdE zAO|hc58W|N0iDU;{~-MXkderuCRB?+pnC zyj2Ep*KMb&af541N5t8Qrux2hL{u|>8p6|dlU+GZx z=yx_AuKnmb{nF~Siem7*ZsB*mE^Ef$vc5AVc<0S2ms3AWE7~wj7%dzEh|RLEM#bTv zAN#;&yYVu95XyKi*fIrmuq$f+JuJG9@-lGOnf|DmybSdu;0hM!apa%2&oVH)JjaYR z#GeBh49)5y*b6v_Ka?YojlK6vNVjrYL;Z4wcrhX#ZC*V^mZ^W4^z|FI69W{juF$eI=CFf*N`kH`V6l-=G2) zYxRUulvPw99YAjje-w6f;ElHfOBh?u#sL^v3rovL@|ZI-65g+fPQuL;A0TJ~^3r!+ zjZIB8H8lsFwXf@8x2m?B9d@`GRzWEFafI2F5gX$KyuIc+>*m~O*%m~40l}k^l6gub zHrxb+nRsX(_*IoL^MXez@08~p@K*NBK~R+XD0mkK+0f>x;81@ z!197;cwnR=~ovY+W(*hdcH=EhoWLsZCMmzMGp3rmCpOrmI29g8+b*gxg z)Lc*&N9}SpzbOy}d-(%f(XmPd>qk2=K8NI*U2xcE1xwB`XIb~>-(m9%zSA9i%4qV)ToFFaC*hRb%VAaRmI)md zBMBGgZ$=ZkHLwyeT@|&*=jTdmPRB73qI5-7Nw8^yD?ZJKU3#RQw3Igl4QRPsv!;SF zynrW%Uxi3%lO8%SnWRW9`(TFhHd-!daaGy;j$2@ zo;(|O_hHwj*yi`451dy(g{fuVT)ma90RR4b0tzR~2sNre`Y)rGvT_!kaK`8f*UOFdjSYH|YxlRF0C2-8 zUdlm0{WMP{`x-dI9jLgD;Q^RrVq?F$^pRu1j}3qY^yCYLktBp45pm_juOi0!yzXjU z`n?G;kOFxrjMwDmUaf{Tt#(E0Z|EH9k*-k!r6E|it0>$JC!J1j|BfKc@ooeKJ?Sfz zHnk^pCKi&Hd=l251smd_H`fqn+ANv4a7Yl4DRL=1M{TB}Vmk#?cJ@&td+5a+T|Ha1sd*oj_?Em*jmSJG! zUp0m9wt}wwnN4+7jc=$uNA`D$KUmf<6*n6S9jJo)HBN5EUDDKAeN<*kCOa%avxf7%!73J6FskR|4z@KdLGuGH%$aB{BfHI&enifJRbg zCfSmL;<;UdnN>om0s(Cm3^*s{QhQ@XhVG=A8)`<-6Re~q48W6MQrxXBAR*5-0Z}g(q>WpqPf|kP0l*2_3$ajTbHk3`xSVFQnXb`zdb#L4~1U7i!^?O*Yf7x0M{Ehoxn;#-G9Ip*Y18;JdYFS7$DE`aF${ z&Kk51b?MmXPTsjQoo8+%(*Y;4A)nfY=QjT$yeH1^q9=oM^Gp*k`Qd(9x$#c;rkR`} z+{pJZnl`Lb-reZdu5Dzn-hodivuu5l-LDr;5B$Br^LMX9eDwX4&}%Mul{S_D&|^A9 zcuFK?c_RqM0$6HG21|JX+JRIo^cbF&6EIP5S_NcGOj2qMbgMZmkzy?Qc=Aq(1QHjl zwZkiXvtev~vxcZsgUd_rFsI2(F1?6P?n95u*RBz_1~PSZZ7*e+mz`SnsM#;L!n=xz zD3m)IEXzn?-_3rU;Fymlb=A$NxiYEEs;2a>$V+WEL_pDS%jk$%kfh5(Oev?{JML_$ zUOcQ+z|9CltPwsk66E)UZ#~ASq)9Vs{us57KDOtuV0&gyNHJW+E}y_I?RIQSFAa*V zZ|c*c;p22ZqixP)UtXT@Xe^$BD(WjHT`v8;MqOP+_HHzSz0KuxQ}a8w_U;`kgzE|R zn9v7brgl^|Q|+nhCfmTq6oihZQ9w>>w;%BguS(`QA)zg!49~Fh*-uzT1a?yM^6_|~ z=hw8v`Y8t8^@n+5N_}H88g}3RFav^08{TR&)7qVlP08V)$wP-p zF$b}Wn97bFIcjQ575#~htL^T>{ve2`R^Tb$FmGBr2+G(+I}#m=G9Rf)8FBd%(o)ur zt&GgdNUk+|=h$2>BK#7s&mfWYQyX&93NMNqD^M46eF(SmN+^YNm6oTvSlJrtPRNiE zfBfpb6+MPeLxtb)eB&l%Ed5=W3C~1^K8Z@d5sKPsGRQqy-22v29kM-~l%+2hn)8Ei zVJPb^Bs=AL+D@%+1P$I4GuapYW;f`s_H=&+Zrb=)ZH8<*;$Gn;r-T&q+6YM@#=~a<_r?{G2M9SpcsJXAb>Sl-$c*u%DQ?V-g|NVD+1gbU ziVyS*D)KnD^7tlZY}#L~&DxayQgl33h=G{B3+rKoV@fo>S|-e1+L(q_n(_$*=X;Xz zM?CA_HLDfyy{`_6xtH~BCV1HHjLz!h8>n5ybvah0{%WF`ek69LSzXQeCiD5z=cH$7 zzKgl7-Qyb5&W^*Kg!BYn_|P6*L_KV*1(!1KuU zSURI+TcTglQq+Wspy)Etz4X7miGRqdn1qDuROXR8!Tr%65EKp_%<@aVg?BaGdDO}h z(~8gkj|bF93EgHnDX4|d+Ny+`MR>rf?XI4#x-^xm7AD=ClH<55bG1q(swkyLMLDWGsXSo=uW`jhb2z=X0Eqw)1o)~^z>e5&}L;lrC$ zA~^U0=90z*yS87_s->}tJ&v?5LgwI(WJ8zqA|L6Rn6W{7EwS)2AuYsT#55I2re>ux z=#bO3{S95a*vm_K;ax7CxZDDL@8->nYn^XH|9=-@5bc4o5rUTLo!m z1@V0dzZfJRi<=A@Y>Eto4U%@Ay#HOgWsjfkB4|((b9yqojC;W28Q(nPn4Wg2auG6$ zlAGGt%*9~r1)q+fBo?dj{#5ftWWJqB4e#Dui!Wr5w$(ZsO^z;=yOGl8XL67-;_=J1 zkS`W!CrPwjyWDX^9+2W()(Eyi_<3Z!d(Qin!_$;GFck0nWyth0rTs*gPo=Kt5|HdCFYF1T?fMd&PbFn^}CZHCPj_@L#&Ver5y6xC#w8iE<0ZL}9E z!j(m3Zdy}RW+(Q0jhJT?iAeYx(Z8JeUH_ulx8T8m`;`dWox*6D2uf}uLFbC1q$5Hi z=xQ-r+$dQ_B@o6+Rg4#fNIRE~nhNgUkTW&DY$8)?mj*ZV=VYbkfq?j)ptP3uy9iY7 z73yLBgFfekD`D7dq_|)I5<;AWVEkQw`W}~3n)O${(J~1rMa^eC6r`v}X|bDXgDeg0 zc6W>>d`{m~g(PoZZYL8h^RwvvRmgeL_@orrkK56a*)%4ns3%L5Ja?G#+p?!U=Cn%& zOO&k%dx_?-!2;{kN|T1L(NQJ`8q^MOiSaSBIZ|-PomY|__E2FrhxSpV|FAclREGw> z{cT6^-F2^Nvz0{H2~BK=lyupa-j3A`-C?lW5+opZdLiM^5#km$xESA*O(I|la!f=G zglbog%B{|jV7}c@H40kO(-W0yAKzsE~+iTwO#^~h8=HLqw?Px>>wt)d`3 zpT4>Mb*NKJFq0U=FT7n|U6TwgjON+u#Ax~k2h&T6HqovW1-WK@+<#zhT9KK3=W0@N zXCLpJcI#*2r`&&BmO{)gm3kL4BRO5W3mGFU$=%KZ8j^M1jyUb^tXO_g@QMrf7zkozBg zB_viCjfu$byX647LwS#1M#Ssu3aU-x#O8Og;LSG2$ZWQm;ZV(+XpiS%e_w-p{Lf|! z%iRY!Ne$IcKC=dO&CZKsOhW9W4}H9aW-z$6M?4PvdMkwJo?#EN5vnA=K`blgZVsjO zENkKw@lSp$Lr|ZQ_sL&>q3~pyAaJ7=n!e6pe)rlP`sVVJU&KYHr>Q?*>y6{v9Ht*9 zB&M*X#Qtp*J7FjR-{R7YWGLJJFdp&(RB)z;Z~KnhXzj>H_dy1m(Rsoyu2s^o)sP=Uwc{$3geb7+nKU=$O22>vzgZU$j zur=vrz~q9nkwh@y>x!->&MEGZWwkm3el``)TN51YY(C=u&_K%aOl04GCKy?jjk$a2 zC&plm=@CsZ@IoU@hR9NW)IyNKHP)9|np3=k+XTm^9MRtu6&;J3B6vXi2Q=Tl{9tQ!fAITI)=j5<5A_b^rDtO2WL@n4Owe>=0YfJZ$KUuD z9hh~X2}qr^nM3&$ycWn)T2@%cK+@Q~ z?TJ%Im!*N2H5gjaUoVnRZP$b1VFx4mH2mY^DZjxcH_1BOeQu7u%i6}wR1gJOIT>v( z8NHs8KSGX=Xgr4e#C$I{v3xnF=KmZGdb0Tu{)HW3*BZh4U<8cP=A!A)HelfDNc~1j z8xMi$32Qwopz}Z!wBmHfh6&deGm~s6)#&P4y3ZaD+M1{vGI&?tRse=`9voZkfMZrH zu)%4LCHsMn;txp#9m2OcBdkX`vo_=UZQqTyq%{UzB`Kj@P3&B^Fu#H{HXtM38#?Oy zK}*AO-!GSG3Z*Fp7@0u0NSdGFscmqYvSWW>+vjBHY&ym4$VTIX|F^)Qrp)i33WrAR z><2$vuVRHfH0RPNn{>mEfE;rmKxc&`U>sl>RQRUy#I>QBiP0>p`P?D<3 z^7Afpx0kROCg%B?R7`p&^ak|=p^=Xo6oDvPREz%hx`)ko!~69FGRM})hAw((M@1?B zCzK~<9L#DPSpP6KJKD2V^dzk29wZ@JDc|%ftbhjg@z3~s+_#S1kGJ1jO9YKbnp>>M zQFr;Ao(cc&DKZAwy9nbnt3c#ER+33A4Lwc-6TUeAdI8SCcSB>(A=c^dFt^tNFGdUC%Yh6CdI`g=BmmBVU> zLJRDQjiBP@csZ9nTUbYuJ{0>90TTsz13f{^+Hag)q}t)LFS;Cl+oA6x4w*P72c%*G zyiBjtx!j~opf`4AQ+xaV{TAqqdp1Oh)lOnViZBHV)}}$h1DJ_&sUkHf>U3Q1?OU+`9cKr z3WAT^chH%X{|A5z!PxI{hUd;WIWKt3>0+`}{c+O&;{<~L+lO;A{4L@tr^nkkj4f|^ z>&)!jv^G9!o5ObZ#&~&*IK972D0Vb(&m{fe9sk3Vkq%&K>-pe^fvF5!HOG4=k%KdKLO0zu#JTIC%;P{f#kfRH3+} z&Zn4gb8OGwn^R7fzed2lu`pPUwAsW=R<>F3`|H-)<6{pk`Q0KZw-5n}C6-|iX^s0)W4Q!;__D+d6_laR>mU+8 z6WlxNoR&8F2iEF{vsmQot4x^|jK<9b|9_3Le{|&f`5{BXR->o)Z6}~BaVojYCm;se z&e5N=DWd?sh$3wo?3 zKipf>3*~_TVKa2}&-+UxBySqeNI6QF43v+e{_&dk;3fa7oWP8k*>ziYu>1YG;edhy z;g4KDoW3cIL0<&{>460*EC1L={}+V_gsMMWEVhc{C5D=Gn(#sVr~opGC0y@Y20=qW9R$8*Ky>h9h(nc)1g#~oy*a1&I${1 zzpd2y995nZe&%l&A2hnL*7}PJ1E_GAFta+`Ncx!bhJwM2%~@!=GXT#`nC*9vg$;DP z>!~*<12H$96#WyBcP2a0^jv}q;Y`(j)u>Qg?ztPe4V;Q&obL+Y?#ckSl_cgOJjlRS8_T%|a3YIU3l;0B9mon<6 zhZwt6D=^mrLpP&<5R#?EF6zZLa%xw%sC7#6NWgLQyRM!~zE@E24CD1v%dGaZ>Um=@}I_LGKP;VflR`mx zGNd5p!AxqgRmD-5C<^`cN|-s_?qoZ#*&mjQlzutT#0K5G`DgEPnHxGEo)2#(&zyj* z*oR^VR)r>&jajBP=lSJ+b-hRbbM=wW=YzJupHu?na5AY~xE_ow5=}hu$2EGl|DQ!W zhv&oZdUvWAbjAh=6lU&EVL`(alzgnhsnXcgsdOLve-?#?Cp)|dbxVY|vz8lRz|Z)+ zPkh{4o>KAzf}qUQU*O1bxB-D04PwgWD5M@ckLDsKGbQkPT=g+XO9STwqQ-w!8q|D@7+?T?`&`>1Pa#o@BsZg%-7P$Y{)VT6Qgs;mF} z`BS^Y{rc{BHtoCK$J2G;6M{mSJTbxNc7GU>WCBATvgE1czNrir+MpjHPNNIA&qu`* z0ZXvo)5!I1sa&GwAZF;pv9(4`@HRJYJGQ;u2GgTWJ0je!zc9TkfyPvyw%v!WzW5|@ z(u8hDNzPxh9HZwfhqdJaN8S*wqwv~k`}~Gax%DoespdG z0?y-7@r1s`MYXu*kg%}AN}uPO{llwP@8=ttTwZ*POrVqQ-`d?Sv$C@R;>vfGnWtc= z82M0M&u9QtDv?Vsvz#b`g~#LZaeMf=Ed+>>$O`_Utx}8GKb(#)-u2PAkT>0t-Wawn zz&S)UM$B?hHE@2lSTA6mj_r%e>gtz4lFMeb`22y|g?f~}7aId;5IJ4;`xSa1dp|3w zQ>k(&+#cvEP36*?y+^4L$rg6K4rY={Cz(wdephQOW93WdaHrdhQ^P;taeoL5x5{O8 z!XKMXbp*(aB~v{)?Js1%W_9_z>b`kS0gVH%HksnI_U-Hu+R0u3$IOl)pXz02EUgdP`{$CHICSlaLDN?_m{i+S+O;gJRJ zN&48nlno#?=ZP+Y?&k7(5f>mL5c2tw@bJIkTy6L{-_3=1QswxxH=S?fo4jHmNY9p@ z&bc*y5bp0w$>J|#YI&2?3N03}Xi7bhfebe4BfP_xANK@EgBBe`Zm1`Muo@ z4!N?P&R4M6m3sxxSZ}|1d{O^-`|Z+4Y(Khvk)9VGNb`f`-!0X<-G`p9o7Xm=J9E;0q@QuzB3RC_a z^?tm+rg%6a0^^G4NQ+XbEa2s1Hk&|mKtRZ_iO0cV>2SaIT#F{de~lH?YiuqjhqQnk zO(e&ky0rtN@j}ctUp4v(I3PcUw!S0O;=fAN8f)Abk0yU9^a1;aZ(cl`i^2|D@5gm8n7N;v*LLqK8hKJ#5x^_?Ub;I-J!H54$}E z7_IpUcl*uB=@eReRCsK3dGQ&PNCkUvNXzEzzP#;M?u6X3SLFejae)b z-C#Pt(rl+kItj!a4o8#3zMsh|JXC2k5Y=jv!1G^azh2>(%%HL3{jlGfD_8n_yOpcR zHsmjiIR3`nfZYU9ocfm+RImX-GW#U%St)d7p&~M)Sh~ym-Sh#4ovcDpYP22Ri!?lSB6CqBzJgBqQ7W`@% z-ii>`xmPuvFlTd{K{~4PO!Q372_n~h)=T(XB3gW0_^Q+5SoopWdB-G%yS#wKnn#0O zJkI%`M!x!HaknJv z^FD0nlqp4DcvE$N|6r zO}GuN-cIAbtX>rb=NHH~Xn!PptxWepZO_1M{-_L3V>*dBX*J)6l57ouL$bxb1V)Ck zr|f@kocAuw=8Ib!&F08(9Ch!W$AYH_|EQhCNLQA0p1ZCG z05;WV{*IglW)10dCK2L50RH4CU#*WFl(-E3r_a1*x`FUW)BFKn-^7e49HBt~26_v3ixa=WioZM$9VNr~u^NhT~ z-=W?mD2&k233!GVYjm`LdFbug^?U_+850&ZLolMR+59CO%B2!Ij9YWNYtZ2cZSi-e z0TxW^-uyeTAwf6@0|VDD=EL^3xS!m)Z-U|!%U(53!=~d|4%>~}`EfbYS-+iM-ckYt z@@YjoGkKvNOkvz5Y!B<-AMtrP7Cabp$2XwG)vGkB`xfS%mi*-dRuVc1=<67}PUl)_ z0A{=!gbn;<{`u)aVdh7fp+I9PaI?7Ni3NOzVvfj{185+;~OMf@p_6-yj$Qha5WR-n{(F z1b~3y#K}Rv06r6BjqR-kLe*dO#ADXKY5b)5ltY^*j`=GIrB+}Ay~cSyQekgw zHVn@tpJQSo+K+TarJbd6m)Z${Yr^aCz@!>Zf>CCwao{U|v~}H3ejKVVLPpbJTpw6i zLK__ZXLgz1)+S?Rdo7sD$mTS1uBGf@lTq!V3L?B6F@xywxpwk;v_U6-CFa~R8hfep zcvE&pmTAcS{=jBwx77Y+jpNs7I-g?6NGiuSa3*|_BA6E3@DU5s#2jNN>fU`)I5JMM z-y1F!Y6sbs7L7XRmhdpYjOa*xoy@25h_`%4)>O1!U7vg}@lxy62cS;?zF3oumIy8` zv+3Wde4%ODOjaw^8tqnK0*CpwlrIzj2C1JLtQT=BDZ=cy6$`5)^jE9FG$xA}YaCO7 zJ2u1^z$J2^PY(l>%p>Nq!!JV7w znd%v8CKNUrp9@2D#B_w+UsVtx#Gyy5o_{Qdhs!!=F`Ozf`uJ8$=+S1Wj+oIo{I~Hl zENF%xHX6!8nGPZJFmj!Qi*EK|tPc`(!Qb@1(jlPQ3+_GS^D9e(R_xeCk|~bUkufK) z)+=3UJ(PIKRgwn&zjHP`X;Ceu7@30T&p)I~QK^a#7N-_)a36YiO)Jw8oXt+&(APk- z6T@w)23Pjp#kguUyJL=A(X8MTToyeqlw~8nTt0A2)ab{PMPVo&NXQAF?js=PvdE2l zj-skJEhJr1>$R21AV?uw@n08rcard#O&AXmIaXBD_Nc+sIzpY4v4fKwp9mMfJv-j^ z<#)qUZBz>c9XJu7JSYppVbn~%|nZeSF69O7;Tj>6}KsdcPmYtgw{Jz|)T9$pi=>-otT~ZR=kF z#Jt4sDpu(pRD}fx1G)(Q6iwTE3p%{^wCV~EwCQN)@h~^&e4j)?!qNpBd)iE88r6oh zTD*7q>X=nt%Y84_G{FYeF15*$(^-1|Dc z9`EL8#nF|eEiFjC^5-qsCr&YoIXO8YD~A6A)sxSAIp;J)RvA#PF%#g>axpPcIJ;D&03;M(ZC|I&C^JT{S8LD zTmbH+Umt?H4r3NFo^-FAHXY>OB>k-gY>n?5!=>!~e&{jckH{;3)W;yeIH7)&VBq2> z7q~lD+z2-ZMvV>+uzeE6tDz+V zi$Yd-{{w1tRn3|PQBo{(l?`DId`(vC`6V{7CfX@T6wIuRq`75lD_#QsQLl28x)awqzR1uh}MTs zcgISx3dG5Ht4Bo9{4dyKNan#P5-5wfWA5Ab1IY@DeFQuqU?h|?fY~h z-$||TCWw=tf)q#OW=c~`u)&V^pu{T+gCT&KK2N@8)1yo#2*>kQ`X#5G{zIyV5jn`= z2@iP{pgd3YLD$Qqb_|Fd5RT@x;3LC*S15pB<3UrEs^K;qfSI9OhEh4_<>d|Tmgq{J z-;|d|_9LRZX_p&7<|9uhMIs)lOO8uN%0nI__TlU!&&OvQZ{zj86SjF-DoNMrKpKR>*21q1CDghhIxd zF7JR=M6Qz?mdUOID;=`DE-HU5wrUOrHLhmg*C>v}3`AWlS4bb#fo1tO5JG7OXfA1# z<4Vh`Q&k6kaP^?!#~|Ub`@Gx{3x&d2cGJQi&i0iX#66hDLFt{tY zx)z~i1UHl_GV*PHgCTSmT%G$F^$FI9H^wyh#VPoxhkUmmlDT!;*oH<*nz9_h4~Ybp ztcG1+)0n(~_~+t1RMCqTu{072iy>L*nh-n{ zlYw9)%fLzuO&D2eiU&o=4(v#o{|mT$cF=sRH7PiH5}HyIy)lKSwY2Rw*?@8`R({VU zdEGS{iTC6Q;ROE`e91r8VTUOFBovl$4BdM?Q4ruU@*8*E!}TsoT#`<62(@B}XCDtb zE#XG$D)g&`Ml{8jBt;dIe16+DUs+n~3`8esZ4WK8$a%Bu29S zuKjKK0RcY=J9xq6E!cWa7udz=k~Da?o-n^@Pp-W{_a_yJ4?o{PPFvOM%Gr6!fN!iL z-Qp#E7mCS9tuiC}#t(BX_{HJ5cLzG`PilfRu-R#$&I`3o}jk{IvJ+y5@qiVNw z(B*;orKvW%*=ZdNnVny@Qmx_S863A$bz;ZqIDRMc$uhUFGz3mc)n>)(2%>l@ zDfF@Hs9`i}5SmBZG`7Sdf3up)*!Q;XD4o7?r|ELF6^j&d-mRC&Bt$FuVJb_mbF@qO+U8zAEbqXL z(kn6$23UmU^kY`9VdXDVbS`>GDbG(yuYJxHV0U{}TRCz(=uf7bTf{rapZ$8+w+D0IQ!<6z>>J&V|?^g%mFU?jHQa9TUuBjbe^ z)&{#gJ>;BP6TwhL-QG{$pxy}!Bxn4oD*0>VecL8s&UUlK!3ZE;|00Kaw4+2uu&`e` zUZ}?1A-RaYB7OjFh@@I`VwvnE;T>9-C!(f=jTH13reg6b;C%MbZi!^;mjV)g4&~qJa5v(oMnAR<+*_T{&r!<}`Yyz}csyYuet^`5--%TuEaAdqz5Z=5o9v@v zBrbq0YO}^$PC>y#lX-4eau#Ef-_8b#pM$*kLhha-hPObX2IuW6^mzPsXYfmcejfV1 z{K9(vW7)NkSHd<$HgZDCK}*&8uRmcRlu*GjC-dT&5I-sF3nS#biA%mZS)6gv5wf0t=gEu=kBk6Tjk&h210*1W_!j ztD#(jx-OMp9{H`XI-Six{gL3czT5~x;>`c9+lt(O3q%Ij5a7E`FzwF|&H=zJ%}NpR z1!O3TgZ<9@@mcF=@fWTd6xPoLxX;c4n0jwJN= z_JVf7)Ea_32i;yP;jE6tv-nd?!zIctCr=AsFa{GAb#`_pC83_99_MAtKx-rjP1;Y@x1$=>_Z(r&74Y3jU>I#rD6<))t_$;t6N*h@luEyf6n<^9cexf42!%@i z!)^93RX42M+@m}&2}v(frWYJ)AinwT>f8nP{5MFbzYZeKo6*2Fs-xAs0Kuq`r&$~C zk{^-YJRwY$IdSOS80qyvn}gY`iFTw-dArBzGp@6JF{UuO55)Unn2X#Y_>2@1QXB@3 z)D|QnHWEy-3?84|zXkND6-<(yMLd`sMKLcgfLt`bh(9u1NIKyjv9~>H@zp4nyO>Nk z+Rbf^ep&lg0EH{eUzeT)C%Dzu z7US5ru&(Em6Uzxzv!EYdgoOV(QfDW zGAahdJI15{sM>C??_bEN9J9ROw-paxmz!~R-O2n)M`H?8aQ(Gf%RGnxM;30FC&by< z)@Ghu5F|>Dd+dodj^%UL-9A*x(n5po*VFye@1iQ8{GZd4NreJ)aLsZ9pTRO5LF-|K zy-8)HAXxa$ud8l_J3S>?8@sOx5+L?|`!SzLOWdiv%aL#PcH$Fcm z#oVB|w8T2skKM6A7+%LC``^z$98(stukPJp(dyIc1 zynpPo|NE1lYeJ}S-u8D|rj&?Px%old!gGf!C$`*hSkkmTCywk;*l?1AHh_x*Gchx% zR&T{%j_++;*0jK+aWGt3}q`QPA5MkLLA$@nfkXqF~r!!{%9ocPK?bL)p;m&_&Q2pSjEUY2@zn{m8NvI2GOH4sP*5p+w`cnqy;6eOx z$4;En{}@^VN_k$@1fH~pbjrWi<#!-C_?kCujwUjF?7XuHpaMTkH~$J&to1RxWzRWf zl^!5h*;_k@!_cv0#IA7XA^gx1Scee^C-yWkM-?zY-BKZjQ&m?F(BTM%`I;YsJ~57u zm%)YjPL#RXX`^Oqb-4g;ZQS}4_Pgu%;%n#T3Q&}bi;V@gmPpCY&i)w?76$#kSfyb! zUAqF5#&Wqm9D#`E?$Gm@eA?a~yeTR!2JVc#P8X_~ z4)WN3;@jC>ZnpzCIV1~yZ|^rihZPhOl7Jt;N}`Zk7#f?MbqeMv?k^CDaz0-paTf-7 zXkiJ3?KtV8;^HHK#)Q=fY_&nFUe|-yJFv@m3`p6ZfCyX8zaM+I++c=iBpAQs?^P7C zkV>azoUAV$Et`ZPc+lV6>zzSB;k;a`4=N_^rhyCTTw(##V69fieE_6?b9*}o0i>m^BZ1ZhS-+%iFay2(M zBYyoFS?v!3aBdd?ArS7%7e4PNedkk2Ik`o}gp7=gFPQYL?8h! zpC3MqApI!$p&sR@pTLyb)C1sSPB|gFIK#jn%iG6@MqBjV75Tr@5L`eD; zsI6C3(F(zi^ieENwF&?r8e+-G9G*X@k+6WYBE;O-Nt__T{K2 zj+b=LRjbuC`D8BUmQTv)&}y+K&K~TO_vUlh836Vu82VrVn|}agc3>+ML{=y$C~^vl zLh@-6^I-r_L0n<)ln`{sXTJqflZXYLc`_W0aj{_xh8C0`f`^X&8#uK&Qp3QnFbQ04 z7hBg;VU#@1M^k`iF$i&cIJu}?Ta*1b{`ar242jmLf*r6g$oq>+F!G}CV;w|Q8;OLr zfP+JQG#mW%6bqwm6*&Y+&|m$XZ)4#M2hOGu7OZga?kpD338%=a^e4LQ$AIa=$ZDh0?4}N%bgoTNDxV7cK?FK}(0|G#C!afEQzN4{` z%}q>9Y;5Q)4Tyn@f03X~gI+NT-U;0Zxy3Kyz9AJ1r$8pS-@8^5vVs^8M-Q>7eIS*n+uGPy8o0 zpwzhOCV`{SGVTN~mseIYt8^@+V)fy7rzb&SEr}~KLMZ?NW?s@=U~HJo;+UPEFaGW1 z=19y$SV1YxS&+^Z>7oeWt&oxHosSh&L6IrhLIpXJ;UE?JAAAGC2L}}*cqWd z2Lom!*E3?_zkGSECMN?vxVQjE1t1slc~HR_;Xs1V5CA_T3VU{^u9`$Hv$Lpdh#ilwuEBj3gUjxGvJH%IS-t`(Hx`W@QGTPy>?p9=ZG9Mm zG6mmmZ*HFE=Gz_k6-0s|iaoeVv5_Ic)#c^+D@4t!^}2H$m|gNPrbnqV0QAYhf$0sB z>`^4Fyk?n%V<~`QLosTL=kojDUfi}UW31NQvg@fAR_J@Hp)ZYQ6fET_aCnp!hv~}0r z`q)AgxmIzj-mp6FToS-^TzWXEsoBQ;*i??OdoH6ykqm4^`KE>H?VwL8rsrEiV{dK z4sg(R^ZR^%G_2W3)ANNrC&uk>DTvu(j+pnCwcFi@u*M{-;MaiQy3gZ!(sN)PlQyU` z`!191F$Xf+^R7#E;26!@n7DefG7Jdw31LjOE^H3^>rT}7vyk7NpC7N7m)ke&tjfcH zG?g@fQe~5(txpZOLChF6`k*?mhj97cuKI@{qo14n_c&N(~*II5ox%goMH21K89ub zm+u)WfqbJPu%j2$V)H#AiVH>9DWxshW!q}H9wPR1YGs_7l6*ctVNqU=3ga{{n)Hg1 z5`-uDKDihFlno7luK&%SrzaOwrY80U8uD?&D-Or}M)_y?dq(1ybD+zgu7?S=B&xj3 zr*zFTR?KpJ*ha>%@X|TIK>qj?= zcj@R+sp5-%!<2-ALZ2TbJB*g!%_s$4m{ld{`p3hnolh_h(U%35!YC+@eXhXPWD@_I zgG@VJ&RbLKCh*UK#xFd$dR5!^m?@FKYFi{C2(z0uuLFv!uDbUQj~XvdNNPQfpFy^l z7e?qAGGS&5v#hAf7=AG@@xs{PA^V%)jTl9g@Q97Ht_M=4|A-@-pr&M6Xgf!kc?XVdTMwC`{C0OmmNkjBNO0+>FUl)qDGbfgz6Dd%TV1nA=VMS19 z_wU>(9h|4i>co2LcOd61OerF1gcq&bkrqBPh8~Zq&+uoDtLF+sBxG%ImAY3FfBS!! zdJCXBnyzabcXxujLvV*+A-KD{JHg!(+}+*X-QC^Y2@u@*C-?KeRo_&dO2Oge%yjqk zbnm^_wK^f+SD|pY#q5?l|JXdrUqX{AwtXbNh`5W87&Gc&tkU|OZ0nw(&ii4&lH!oe zQI%(!>wl+1IjYGp!=9Dn#x`28^f%m6dCSQG$?18Vr!^y?g5tRYcbp|{Xw2$Gvh>4T zM*;UURF-~oActuFh0;>#m161suxgeoK?cFzb0YZh)Q``Pw9g@^%?BOe9h?4U@S$ea z7n`6~B_;mltC$$90aR1eI*(yAYnis)C)rWbZa3l$>@+uE@RR5zLN-o?mDYX57q>HW}U!A{4G`s{_8-ZhNo` zjVE?Z%ij+d$zhYS&Cu}3Z}i3J>NtEtNQrU6ygcl)e-R2bQwMbp!k|<1bT1)xYBUd~ z2c8-UWX@Ia#oAixMymM#D6oBprI6x(fn@cW@lLM{@RdHZ~UsV5Z_s7dQq)8p1y6B5TSD|zlwB1jmb&$jECH_p}W3?X;`)(s; zYeeegfcsqt#CG1}sRS^4^16|b!?Y$pAEDQbvnen6VHYWpZj5=ZnkB_?6)~$GFFEzW)`y?EX(Qp`@%?@`b za^XI>ZP0{41h==p!{crhIHRYe=WC)be_@t9{@9;KSGkQZq(S=I!e-#CYx&(*)5ZFW9P zWvaUs$QjPawU|ak8Y@-dC9SL@QMw`@S|X{I3S(ODmG6t}6`G^4@`PZn7yGySH;%m& z=#k|tf7wqT97UA>W@$+f$ErN_G})8ZSoX!QfR35iRt%{Ouw#Bo0UP}>ldS!%Z15?y zPi9EL?JrH+%y6mi$Fmu>v679mBy&+Ib4YvcLvJsH1a`dW=IMuk@<8Gs?;f0(us9(f zK_~(8EK=!&`ff(X5U|K%wc{qaI*3n`Q3#X^q(=IsU+3ALo)H89C@&Vymwds5~>_G|fMJos*ktTqUhNlF!7E z^4h}s#Q`p$0ehvj;Ep7#hcfEmCc!dsOYC>VCVt%oBHDDDVM9mCpb%Xa3ZCPgpC)>j zG(g#5-%Ls{cTAsQkXVThrNi(=6(}aE#>p>%p*WFD6Ea|);tQm5A0ieZoc^m@%+`Yu zb&%2k>DA27sR1D>wG*uxKX@Q|Ar{Fc?Z#R)F9E=j@Y0PM;+$pI$XaV51a`0F`+nMa zu8VAW=JW0!rw==ug9Z3);IhYrfAxn)Kj+H>Mfsbgo9xLnDh7@lGAvODMxEQB%d8}8 z#H=49$u!jwCMs*=*!z7$47j(g=**%zLY{{}^lZtTnu)kA+>8VCh?WTFI0xyD+R;1*n$xquPsCDy{=kjE)Hw*0F=KpFd1Fc%;&r6DW70isgyJ?{<7@>*7!xV|+DIj!qT_ zQ6e9Nej6Ph%-usKc&>vUZhbG0#guhIlYMX4E?T1PS4Kto8BT} zfsh;N(JcdJ-nWBND0Bcl0T&ezZ^9bh$VzpXDzh>e)xqwe;+>N3{me*UAMIF~7gwH4 z7Y;Gw&;QX789l7X>F0jIGBfZH85qiLF!sE|S_bQ94owfM*?ITF>D8zwVSCrhNQ_JJ)6dC1H{lt8# zvNPzkm%q-SBV#w@AL&U8-9)-%abBDc6ssS^+D@!>>P`y=jZj%%SI997OscxGFOQKQ z^={yWiazV93+UTKcu0g9J*6@q${E`lwr|UJcmJF?IVVH4jEvk1(rL_Fr+z1iZGY8r$NnqFs zlZ{^8ZX?cRwXo5QF{UstAuzPD2)75<1ezB}o-o#F@D-`$x7FCx+p9!f~`}2nk9a$&NF` zLFt=|b8sv+e}YU0-`$Kz=M_5nZ%?jczpN2SvKV$kaLOXt3$H0kH4lUqnjnOpYF$%P zT=@Rd0f>!t|{1@40+c| z%y(N4P2gku7^B)VPrpzkPI906zi~Q7%A-ez{Qk-p4Zp9hT>g26U&Fd@h_Fn|BH(<|%GYhHtW*X>o&2g7puzPE~68QNfOJmfFETFf}c1l`DHVDOxM` z&N7&tw#@KXTAbw!-h-slA()UG^&whiP8|eVG?g-8w~~04$CA1q+g?qUT8%fe=U#&=`;g06h-E%*sJ_*ToQR<<=qNH2yLPk>Obsc{Gy&Rz)*Hxd8 zJDAQ>G3C#^AR{(CpueQe--~b6_^Qkv|>G~YW1c|R){rz*+qb`=`lZS z9}n0NDyvJ=#&;^r(k!-Gs?noInSW z5*?r>qU*l*O6nOi$D*TS|8>>UYX~y4={-0R56z^ROG62 zAv3!8j$11QZ4HUKl?pG6-j793#@TGvAT>C9tRt+TQF>tE1!ef=OJL-Lw=~+Zka21B z5OeN?-`0u$$jbLk5p&tN{TzfxaoZ0-kbyA|k;FP2 zp~F@>az{3)NFsMH4PCAO0-yY}W6`m}%y-Nh#~)y}Zt1pA(o7BXt*N09P_q^lQog3% zTD(bs5YWj?@$jFlMnX%KI9F`2{16v|;v?7$($-iD0@-Et0aGRsM0H?`HKJHI4h-Y6 zui=b)hgfOtN-7HTSIG(xRVNE*96ud(VV$vsyYi9Y; z(hz8ieSR>(dG7STR%Xej4<8N-AX)NUDEZ=d?I`EVlI_XmX6*d;MLww$3?>FGd^FK( zEoiAgpfnyz=`EKW%$_8SzY+3Y804#vz$?`C{Rf8+#vbnuvLOzNBz33@grXA;s}`KeV|ns4f8>?sM>r+1EY0{z(zv1x zZ0MFeXeop1ch5$J?Sakv>waWBv+l|-8yFx)~Q(8;yQSPC-*3xFk&78cB@AZxub+B+Q)GkmeWIGJ#~;Bw1%7 z#Wbia!OxB~SMy&grBxkf5SkueZUf85p;HA~VBav`=JCMMh7!iZnrjv)5Du)RvX>|~A#h)~m3kTS#_7durl3X?9E`N>uN|y<*-e!H&0k*{vRM67 zojTOr1>7^-31&8udZ$tmlD#uddtbgZVRVO4-Xii?Rxc+20S89NZ!#|s!d+g2!TAbF zePR4XyMBKw#Y!!l5oo?c9OpRZDNPgkJdtGY4GHU9PjQKdqA*ZI86C7%5-Yr9$$}-~ zA5kU#=7VIC9&Y~LLQP&+>q>A+>M^%3=9rNYX|BtMxWb9doo((ai$V7-G0qT+A$q)r zPU29Qs~n}e{7_(bkBv*T9cxKxmy`wOh1XiI-UFy+(?a(82U9S3}rr)c3p&5Z}(6W zn=u96wa(62fm#zrE`0ya&%feIH{>KjMjDr5;6`F5WQR<7jcfuJUsBlg6kq8&lDs%# z@%gdrkfN^cgo+4~KZKRh`#zt|7G*#Juy!J49I~r*rLrJ^i~I&n-a5IvSIXOx#SSHyRQTkX7DtVw($=Sw1N^~q;J{s+`T9&v zV!=;|7N75TJ~VN&E*j5F<5aWX6#zFg;&9Z!EK*#YP`66vfP`pklvPsCbPy8Go_8!4 z;xlBz$Nu?h`^bZZyPe;s>A{t3+s4gAn_OfU7`sKBR0Vszy0$Aq>)2ZwbYapbWHhaw z>+6@fe6IPhUNYgf@bY9Kj+$Jc5K!DnsjEC^9N$7)sAN`p6Eb{h)TU(h&WqB+&-;3( z{Bi^WwZX-=+>bNWQ?)b$xL`pdcbDIcm5&q`674wW@jv{^BjSS~b5A%Nv8eBuaR034 zg(6hD(=E>xlkaj<&d@556yRWv*qK#&NlgyU)-`MEiZVaiLGV&5fA0<-G7b9h6Zcmp zr0MrFZK%D;RCmoR3R3gKt1g;)wjCEGR#=0SyxuzcS+9gd5OgF|GSKzQ;>(D>7qnyk zG{O!D)067`hBg5o>7-EJWd7Dx`p=d#Qzdhi%DU@C6>;5u+3o8mjgfryd>sz_TaTqcB3$JcRP7&2;l@)yk z&b|pkLH)_4F2RO>B`sW@6pUfzxD^(}lBZgdxlSM#BK*o}sAWU}e{wEbuBjgbzf zUenk^)8Ik+ZI*jUEay3}JuLL_L`1`=AoP;F?%#pH^t|1)NspmTj&Bf~ec@?l;F*;q99)RrHqI z?Mlq3KPPr9oRY5LUZ4eTBVn}ceh{l2Vg7TZFel4*UQOS_)3LcBNzvbvwSJYFDQ01D zw}CD9gqdc_S7Fo22E|o&-}AjJ-Vx~=SKDlhWn&&IF3W|>gD)rfyDzuE;^OJ^*ywP` z;wM4Xd2AS|cA?T{$Z*#NELqO-jSPb)^-^es#G(gKi?#6;SLtSl@J1j?Gjn`K890ac z&yL^dZl zW=tjj9!6NFXT~Kep+0JUSj@QANvfb|ssWGi^jYr;-L8;mfa50^Qc5AONVf-vdJkr) zO+&XAfak|w(E=66VNpTf0?HU*5B&wbnZ5%uAgzXGCl4~kh37A#jED3P3iMbi>-_Pk zaUXyW?e_0@o^t#q70cy~+ zQl(5nOX1(llGavMew0n&)6E`#7KiffCj0aN#qw#bn;By;v~rsS5k2YVD?C3&X=LgU z#90vukb6@Tk96G$Q(K&lWm(xz&>+UP25@bk+BBCxOo=zQ_L1ZrWJt4(Oz*JzmX~f%RV&Z4Y)Qe}v{|5S-Lwr(gv@^(&tdx{o0Llv9ryIL zlV>>TF(sai!05IMQr zY+qEdNH3&K5SEUT7}F<1{kmVhJ6^mz(0LW3C>I+{?y-eAr?sz z?$jbuT2pexCqK&n;NmpOix~5!1BSCRdqct;=?gE?%uQ5ul7NQxOGA-O&8@TRvHK(d z&n(RN5wX7Qt)i5OIANa#0aOG%>wA_L6|DALfB`i|kB_>@P1#Ec#;4Xfrr3aiC2l)Y zR=3xK+4y5uy*Ae59Q*jcdKgpL=bL9en&F0}zEMXf)^rHqqv=soQ%m`3zJjBnlA#n|@3G=aTvF1@R9Y@&CL|mK9DI=o#s= z{$y+NJl(y7|HSy--+O&O)oW%~!@q{7JPhz7NX--g$8xRC(D`G=EbdPeZ#Q5#0;efH z2~-(Dr$5SN0!(SnKLw*)P9KU%<9Y1RARq~jEGgQpcYpd$4=ojd-)Xd&egQ5pmDzwb z#absR>kl^t@MG)U>M}dUB$O!z@Pqry2KHp;CCw;QU1S>fzak-77)kCT9^&;8Q_H4* zg+SbPb!&6)lK^Zsy(6k#x$@)#r~~?E4~ar;29yCQ%KFx7GQYgFV{y!L0M}br$@cty z9h&W8_onzW@84fi$;AsU;k9%6RIT>DyuAEbZ%$55h6mm#PNU@faW` zZob@fsnRaI(2VJ1qpt%O5%tFv8mzD5*~dE5?T&@7dOzaprv+Y-crWaM5c|K>!RuA` zr)7C1;BHi3#B(-R7;ZmZTAbwpWCrb;H(kQ%>wctt0d|w#ceB_!&a;5zVoA%cv-K#A zZgJLeoWYExhz+3iS2l089VK7^36098HOu$=WmmpwzT1oTt1cif{9zDEumoi7kqCG& zk|&vm@c~>l|8a&>6yMDV`?5BxiiEo7I79P>^Sq2%x($c#3Q(E@j?6c6?+GZWS;pz( zi*z^JUAL{OJE!;`wI!})I93k?KP}ExF8~3-U(s-erj-50CC&37bXhLoDw;N3)`68n zGXP`rdD=D0a2UF5zuF?U5O~~hvg>+2D$e$Ku_(@L0-AxoaY3;(iDS*2j8g|_3If0~ z3izZe$E^qww{ax*LL|5UmX@z)EGMN=1L1Vx7>`1GhM!L z+G^950?I7)>j88*h@8PuJ-|jUj4bM_uqB|ct{wQ(yd9;WD6#GP=?w_02|Z47mC=sc zPm9u%fIn281Fp>Fx)&PAJI$9CL~?FDwVhY7PTMrC9?gmq={Sy3gjW-KT?=)*0{iFG zEeWbjW#Ubslx3Rts>3Lne@Q5H`VuHA02;a#Ab_k`U3ES(cD(@o8qIsjm629$r_%BO zB+|dfo8%D)`taR&o|P6WTD1W=`eYp!4PqIK3x>Y^YhI4v@kjC`|~ghOaiC%V7<2Q`~A#@Anj3x)09DfNbcEQIdDqz z>rcC(M2f8cL$PrspsmJxAX|I>H}+r<+HyyMA(180I?mYc$H3M)CrKy7DWHcdpMzHo zAFgfR3t7c?R+7I3G}W8)Af}pM&4RqX^V9XN`At8vpfmhtxZgh|V#8)DaB@9X4ux9V zKbLj9da1I#6syH4|M`Xb0OLYKvu_Rp3b&RGsf{;Irb&SmV9u&U#Hq|Wabi4Z#ThFUk zTeJbl(?2wC`;0Gn17j1#V4Y?=kgU%i99o!1JwpN6L>K6+q66k=?xPenJ@0#=8jJWzvFJfKAda zpWFWsg0l0Ol-{zZZIk38(S$7VMfQ%l=-8wh9d^Cbt0ws6Hu>b<<%JW zsAdAc=-u^WAVW9Z_9KDpnrc~oqM9zQ%s;2{`bm!0oj~M_T~!t&m89ks!*F1bbhkJH z<2e(!GvsqT7&RS_#^|bpI;uNvej}wxOG0T4?$Gnsl*3)6_~lq3R8b?S@RKn*XXV7b z+P3V5X#Uu;??*gR@(-Mt#Z=Pz4a)yzsi0;$QERnOv^L`dj(iX`LiUa37Nx&5XZV>D{?5n?IMWs#+;!#Q)>! zVIY~ftKmtH7H6C;$(ZI6B`O_h;4*!@-A)H0@xaVs1}m`7Z0yD{tIm+O`dK|~d0six ze9g%HvO%pjN0)L@H_m9ZpLm5Njfx=7FmJphPUJmMGx5Hzlz2^KRmn#e=qF}+uu^NZ ztJB&ybv+)=gso|w``cjy)d7o5f}Tf}h)tQ<42#3znn9Jng zooaA2tBfi410J{jiRS7r%5Yetzf9e4EU)2%_p}Q$C`hJh^a;P>Jr!a4tOvoOKRF>?Tj5H>rgDSFmX{y@ zt2u!5pB?yx{x7=>{iTm=L0ON5O*en+=DfOF_b(=KQ%R2yux3-lQ?>~PYo92>B^n3!|8{PcW!dGKG zlwKzJ@s1&u%eIuDU+vMb;4<0M=p5!3o-+ZZ(nrlr5W%ZN(0SblPYess@?}uqKoB5H zSQ&ab-g`7qBilkqR*ouL?V)N|jt1Uw+2~=LYvjt2nCG4<>*>lCDV}J&C;6E=OtOtQ zf^C_VQM~**~XcS6BBdN)c3SlFh^AC>&>?zAF)!hyv?X zR~K}v-|SpQgu~H?$&K`L6+v!u4RA!qaJ+TXH243V85p%0gwhm?!9~O)Wumt~Wct^| z*f^7_6`zmi<5F@GObVUVC}1j7%Mq1Gi>45zpYE+OzQ=5^1kJ0F0^b7-EA0|>kErgB z9vB5?ip%f6r^3Y3AZmObH(j4uo*i_o6ABj7#MIS`VYotn5?fdbx?}S7siuAuChNwL zO8zVm&P-$4Ip>^Q%>?GZ^@N)>C<@P7hYIenMy0*q3sIr zUSwRT!8+`#BCZkr+BM+S+MbK!=F;c9g~})~PwSA1$}l#IQtO~W)K!F1i^a|DoL7pH zwlML-r^9;u)Vu!uVZHc4KB~qGn9%9hRj~y~joh#KZXe5!in>f-o{`o&SIIX7n zA17dOKCpbJcJ8s}4#$fmJ9yqh*t#4t=yqDGew)X}ByduecZkIYH(L(brW6S*9^$n- z*YLUqv&rVGEqMLzrpsmLqwc4clRW{}Vv&YMWO&V*2;34|7iw1g zr#(D+)#@%ayOJSK+LbbNTm$o%75JCes3e>h*t>{>4pl0nhKpG;*o5LEy6(=i!uTy; zaO89v~q>=T9l;sbc1~(;Z48^9~b18nlHQVAD&gkTEgYOw9;7BX5k;s01=>&rGV~a%a`>5z|xbJ@yk2KIgPp zB;4Kc7`_ykv)ZaBl&-fL%UO0gZj&-0B4Sf*t|6r&zFC+afI{QT>r;;%t606AO57qz z{Q4gwJja?=smU{x7}GfSv|}SCJIMb6g={Sk1O90yE)$l$nx;L_UVffP2shDooFcYF zjw^s&EKxzf)h3eRRX$k0Db|Lzl9`;xUt>g~={p?x;<6toxb@HZMk_Tjb*GsYEq!ed z&69Nqd-bk~+7Wjj2(dR{nY)j# zq3}+AE6X+Ok*H2oMY^~zTJ$YxnrGVX;oQpT6k!@i5nHPy^uO!Q%`hz;IcXr1F(o`( zZ)REvU|N%Ku~3Mj+9yokCNB65svg3j)4)lrRb>|Lh^8T%$~zcG|5#KT&b9&J1-9(* za-i5!b#yY&HC@U07vmD(6S`&C3^7g`!JWW>B(6g-_aFE`ArsQqPEiNfTu&{nugEfy z=$i!{{aFL+ZmBuyK^fGV;Lc3cSKYELrf=6Fvqm125Yq{kyD(fQFT2vRvy>=_2P6)z zP}KR;Y$8FBliO3AZIh3-s2PV^x5d3xd0xPZw=ADKOk;1$q|Nk(#%V5`M8`Pdf4%zi zWYH>++30%_N4Zn8fYSOcz7j_?B~=t&;u`%%PD_Ofik_rEvqLu?n4G2pt(Fn*^0~>T zn^1uy^@cHztO&(IMND}})RAg6*!UZGW?939gsGNgopByXe(}TH%^!K}>tH{GSvDE4 zfhBK8CmWg6H4#59LCCbALH%Y>KTWMtI`l54CNQ%iw3AOFPuKc+}23K5B~u?9hdttGZ$}bKkK= zB3PN|=?8?fHlK&i)E)S-OnF{*NkG0H5=>!D9fu4lw%c@i)asNMOo4&uQ&U+lmt8e| z%GqFI&-4N`#vK{%bf)oAnL79rZNR4E=6yY~Qth*d#Y$WtAe5a-@W2LlH3tC%q=DuCr%e7IZSw!Hl^L>#ZH|Y{&W@lU*LSBx z!*~g~iqBM;qOBXPm6eeT+;3zcJ-5fcg=Fl4zKilxoZ$a4E5EX^umBcntgNhnaHgBd zCrr=C=&clTJNH5vKxf`QE0(fxait)=IBWyj8fyR(sHLf?si((z=nV*y&;gB2eZ7VZ zA*lcg5gk@|zzy(Ac5Z(D%&s+np|z9{fCkbNe_26^XEC;-00^dHBnuU9dEtPbVBK8B zkPS2(Ug<0kL<8~b>gsa&v-SMX&L;<<=l#_c&~YrTucx;`fCR+V*4B`lh!VJ_vux%Pke zOc{5xX@puUdeRW>x6dT{V~2fD0fsMw*DH4nt?jr$)+QK?alcEBX;v4_q?h;YTf%`_0gB{dh<%~=jjc;_({HI z)&Vv3kw{dPPP?WC;x~iC4vl)#>aMlz`T5ONck9oO>XX(p;30OkSdQ(G=i?)g?Zwg| z9*qrX5-M1Wf#$XAWOoKa9^7{GMToAugVL2<43NEIm)8#gc4Hin$yN8Fp^_Q#lp<59BRH+iCXz|-VuMAns zMu?zj{|=c;%O>(zM#d>P_FXRXn8cmf9Ffom{9WS!M(uW0ZZp}Feha_Zd;%bq@&}Wy z?)DZwE-pzVJpop$DFN`8yak{_hTk%K2)}&Enb*0ZNoy!l2vW z;K^AMYG5EEBO-nR!I#f$^lkuwFmZF^=;~S>6f_73rCLQa4qp)x5WdWnygn`?)ay4-(?3pK_7@ z&&8^fzrPRwDXG4{0n~3YGBU-ohyg2*kTSvF>DGTpN$J&*`=j5#Ve6h?5fUzyDv96! zLD{ce1Td2ethraaySbQ{-8WvzjFH%aVBh$hIXRK7*$oG%0Q)((_d!t&jn&%PTd|6; zFV)=wL4O);H#Kn$kW@80xUzm)HpT@32Sh2sTUmGZ__;)G!sz&j-5!M~4F<1C0T4k6 zXbFoY5h0dFX18DMuWhn%^ejUiF#J+dl2_ zPENO58XBkOkz*5cbCtot>9n-8T*o8aOfA7{A-K4w8<1Fa`n|$(a#tA{8)IXVFjkI^ zd*e)xt?)lP74lC`U|a?juetT~KA)ZnJs&UgFJ!ehyv{gF2lrQ2S_ycZ{g4?n8{8f) zxUY|ZfM$AXYHvmixs^5_wK<%2s!ZL*>3nf{H^ZIl&&-wfN2zS@qnpFzbTYDhgM*4d zMR;*>g>r}NlJpYW)m84@-PrLY3PLV>5Xn$-gmJb5n>^>D0`jiU4^M0+-5^ba+J%J% zpz3`;)ohKDoZdeOmr*L3NRW|#-@qcr2_qTsVsBF%8EMyZ(3h{>t=-p z$>1Qxj3LBYSeQJHmrT=(xW0Z5fS1!B)$ZFz>A+7!B@_g3W^r+7){QPUgy;I|p0BYT z1e3}5!y_a1S(`(V!M(izCMzX4H)X=j*|~tEn}~uOIG)b+@5Upm`os zV7vLU*cTkGu%pkRtD6-SWfY{ZN_Sn}N2$;Os5Qx{=@+O-3}~`UVDJaj zQdfatuUe@IbQw7}w-{eFyVVkD@!>&*`Fhi2aW>L%rxkuz5un%9>*A9@px0{3i1GV{ zKDn{ncCy+gw6bh)99gRG2`1dD437$wEHyf!rv0S_3Nh#HYI8O8n#?<(ES z?-$z0W&9(i&`9{su8$pF&viBG!hI|ef{q6;a&pKG>MI77M*#-yfFI5M^89aEd{oq> zYCS>VQ8bwzzI$hwF>Qao^l9k5Rab*4igJ^do-V^r&)bgLp;sx};q#77ooPtS%nXZl za(OAK9n{#!o?Qz>o`q^LD^M}BqlJWec?MO(UW|rsHta|kk`t^^1Es%maz;l+_LfIw zby_H)2ELH|J76h%`d(W*vM?lJPr&?ea!V8l!<<0P;pUJewqr<4u6K`z;nE=hY2?JT z9u*Ebf;4WZ;Qh%g_!c@QCStmBtM)W$s{^?-3Yb|B;@@;ZsT6_>=q;L+0uW>PX-bZP zRm+wGsL3*y!K{T{V}NfaJf!4A55eu}8Jduw9Q+Pmg6T*}Wf`o}NdZ{l9d{Y@Lq*|0 z(~e60F%Z8xfUuP`Oq$}^V5T%BEHB+`fxshX-h&LuK5fSN3oftD2#XwzVyy^*XKHGy zAO}KAnQ__(>Z97DrTV_q0v3Hx00hR*6wj_XczHt)$I(|?*E*vnyr{GQO&J*jgNO|s z0;BcMgAvq~g0-@e#Ec%9*|J235gyN#8UwN<<#Z&m)Ym=t(0jB7T3Ek-GZRTfO)rWh zY~TKMZzi8c5lR9e;O#>WV?`%J1k<#MND;KJw{@d$G|9?^`(KCCspEJY&U1 z1zs;wvp$HGxbb;9Z&tRsI!&gs#FIMMi1B$|-TcdJ*!$_ETRE>{?|=+SOibd{f?iR{q;=*%B^!n_mj3tgc5XcGF_L%}weISL@Z^eJW?l z9wf+W_8G%I^var}N!}Glwo33!6rp_3b9Z{x4cObI;aqK;#ssV`zE>Q1jMe za;kJ3yKTMRo%X{*sJ-r!1&zvP^6=kd)F!?F71ksqLVj2*!<{f?jCQGeliQo| zX|W+XbNH&Fnh0g;<4JNQCSt*27JtW?iU+nmMV6?h1C3NvDBM@qU}q=;2mr;Z^^UDC z0PA^zI}l)Yz^w&RO9Z@AKPtTo<%fedg6-6}_Jk_8A>{4H$Hr!J8_}mSxWga5OIzL^ zg_N1c6mxES^$#4J0vt{l*Ltkq2B{$T3(`Be83FmM;uoJ=K3CT*vyzB4Dpm$`BC6jN zgA<|w$kin(9)82L&)YBvxN&rMucJ5u>{~z7b_#f91Bosx}(9kjKq3ji(pZGtzA>y ziDGojO~M7^tK8b^f&f#L3nfR^Mc7NA@`zhNA<#h){ysn=Nn52thBN#_7ZyFfcw}cu zgjynM_eU3YX6Pjwv|78vHd3NDH4?jij~`XGHDn-LTcxwDRK~Y*)lbnU1lZI;zbs@Z z70A17<$vc3*1Q5Rxa_w#7geDfHu&fB5T{-YPaecXR|Pw*qwLUcoPIrtbS8 zT|#J(Ty{V|K8$Rr&H1wPvrQ81irC|qNJ-Ws%9yySeVlQ#}W)-3Kf%m6;e1lC+p z0g(bMOfp-S>5V_oL#ir2J9#vU&tpiIkf+*8vYJtD@8V6PfKQWz|A2my zBAj*uKJ2`9qh%xNe8I@U4#n?CrS?#5>y4HlgT}{S!^lVH{YhePQ86$od>AqGo11*T z2BB?YGy0syg)J-?)xx1JgXLC)vN7$k1G4@aOtDxnu%fc7Faxo!gjvw><73FoxE#oQ zwzPjL@0cAaE(z2HVGH@CW!0Y$4B_mDH!Cr7@0>!o#`p^}=FUVX_CZ zxmIX>n_|kD6sD5^HyvZm_tuvrbG%KuE73(n3}I^=0hk z`l+ldKjY(%{ORt%RMwvjj;Ko%EJKPB$D4leEl`LdKO z+_`-XQWS=0D?7~}F~tnlA>^N_DJVISQcO9XiYz+F9fA2STs+DiY5AkOPz&Kc#5r$| z%hDeu_zn_1je`M3w$yfr>!&41#^Rbs&8`G%!*i~Wfuv-dyaeP5vWyj1ZUK_EOo6^$ zt0QKSuvM%V;0v9cK?p$$fx4t6C%*$g;F-LF0IA~a?TvlpX9Foc1B0m=eIA?b zE*~eS8dopyOcx!3Wv#DgJ!RhC-Tig+x#9G=OG~Y+lM;m@k;c!cj?!+af+WtPa&%lx zF`7wqdUDdr!#__W1u;7@spCH@N<#bXk-%fIXXkh#RYyJs8y#Kj^(V*0$%)@ISh&Lb zaM*4Hh7LAr{NSLNb7LyI6;#vB<7443?p|c$Os8{ZBmBtAdn81}{0A!GiKf zc?AUn!#zbqy3#aR%*Wv~jQ{p!_t^<#n35}Vqw#HU6lsTK_=1wl0&zOl*4FaqG(QHOtIz6D**9IN6W*Q{MrLUZI$c&a-+;>4iwxqX1`Iq9<{be!+R?toEV%6) z>%AWt`b1jh*j5uJ@4>+pLdU~6KiBftE6~_L^Zw=Hv|Lb+Wv~!Ha>!=009#dnAPie# z2X+mGh&&oALz@g2kHQ=VVK>744B#!DpyiM zw2{ycY!&rnTEj|svMS`CMtt&>WjeN>DiuWV>$H7zW@{@gy!S|sjz(?_M?yl{-qPhi zIHwRwb&yDeeYdefilBA5QbRNd?T|Y~VC8dU$`W;7x7xgogasN{Oq2C?UkN ztbR4m-qkf*S_8yOueGJJk%zavrHgQB4vq5B$|45hnN98dYi9Y+A7K*#qjv4nzr^o7 zvyQ(s6*wK$axi_W1g@FQHzr8DHL8G^t4x3bg)Bg1=zp&XQ>N4uLWGc23Jnl_8sGGve(VZc%t4DfOJzgLLk+MoP2vH4Tu^QkF1bK$&%Y9$|JA+xt9|+3>)StTm;dMG2i4qx=Wcez=a)~t{naPxcOnQV zXek_;a6O13xqhxF3@IGxZ&8SV1QaxRX<_j&zdMW(2zhagObj8R+hQ_GAxIIF0tk6| z57ZjJ_s{fKQx_MPD~{xg%@Lj})`>||*Q<4J*W}gXtVj3lYQ;jy$S>@c8(a;Vjh4Z| zyn+CG!0C8u9k)ii)sch*F%1A1cl&e#kjsB5dtlVP0)-MEft*Ke=a)d`0W_hTpZ?>Y z_2leqJ$3abAXoj$>GvnK?L9diKG#La2Kx3lHy|40O?Spwc309+iK zQQMvy5t;u^K9N>s;+zS@6&viJSeB5fe-edy+bXra!At3>@$}^DR z)CTkaMvNkS`pS0*u#Iuq%yT#l+^@De-3YL-vCT|P%}h*^4JLrgDkx&?*pK49qm#?z zAwXw6>+v^S_Jsk6H`<*Z_d2edg?jD)GX%(pdksese8BDe)6&-(ibWIh-_d;nD(QxX z1|T5S_xy%70wk9KHxO_UV*I=E>aIFLAK?7ssa<}2&@0UdTv9Pc(BHy>#15k*67eaHTW!q{3fV&kG z0uADUz1_&vl!TNPg#W+hob5+L-{<{#roP4u>>%TT03nC_(L^fnMUxrabgZoBE4BNJ zWhydKQWgu;3-@|L;aChYum;ky0 zOpT3sJRc_Od7Ks^3%;QUIV_YY(9qC)z(H_WAQ=O+ml6j?LC{|>zC7Pl4Ep(Kfe`6G zHT3>aq)t?y>$bNzhDQdML%==2-35Xaz6Y`5RUasXKoJtK|Lvjdem+VEQ1!zD1N3@b zZvctDByqz-Cb%&G=s6hC+=y_z#axkBV7NIgRjkekG_sHxSp>sj&d|R;UUB|BI{*qS z47R)8yUsHK$P8eaaG=BM<#q=wR2N_1FazS^u{o@k*qE6~SRDP7&;pjYz16WbFMztC zHNZs#VDMS4_CId>5(y!hE&)Nh*Ru5jiFnOs+ep>B6{Bciek@INI+{3LuHIg^>n6a& zgd|b_M}PVT>;?+J+=0R>V5MISc>`1&01S4U(Krh7-rRb|b-z-3lRMXc6b>pzbLLQD zp1GGdBnNJY)z#G)&;Hx(DjnVf;}$M8Ogz}`$*Lo>C!kVjM~xz*4ls3CtB0!(MY&}) z+8g-wW?n0~4gatAF(k?RFE`0Hp4(4rBmyz5Ki(k2zH@Fm&!5aX6ynxv0-ZiGB%8s7 z%TbDVt?1=NdQoQ3A2Tx(!g#!&<#{Qz(*OB5!8&bjjrMjoF9)oF1b?0_WV&uy=oNI_ zFKK0aUUFl}BVwj6Tu<>{6%-fOgOJ=x5&x^JvQ{MeVQfs!A6tz#jCkLxbc6 zKD`bQIXAuyPMj@T@pS=cEUJQn0x|L7CjnD8w_`lJj=wXx{lLP7*_y}whP+7_gNBVZ z`Sx!gJd?KPpGFvNAQs7K9gt)oDN+V&3$6r`H7x_p3fg zu_Xb_YYP}G4!5a1)(-PRFpgs#NUQR^j08peZxt{tzyx7Neo>YGi3Ed?aEcP^u8>N; z1R#6guH541jRJm5RvRr21+IUQ&D$Nc6mVOcfYg|o*$2H17m?Sszam|&h}+#)z>mmm ztHb@ocSCQo!yL-M@i0CvPS^MSXA1!WkoU3^_|bvVqAVUwxY_0HNu)t6h=UU&ehvg( zIjmm};^kUsGAx0_fzH3%J0_vI(3>_x&MSVa&hh#e5LZmJl#Z+SQY5#Pjdw`+v+jCb zX1m^mSj{YcJgZOM(We1S8iB(EJ7yvw2&Xf-;CE}XOL)TljeV0xwKqpCcpq>B`vki) zA>Y7&^dT_Rt5nLdELQ<)Q{#P$7&o(JOu1?$+^Mn|5Um(6mjS^Ipd_tRWT+zg18mT# zh-6rr0h1l`Y)c9cl3?)|Dx8D8!Ejv3_3Sz8ioCZY|3F5qCWKAda@+s{;niCF1W7g3 zZLyN2YM`vr(bSX@8^5ZQ>8E(I_w6Jn;<6wkBT_T?5&FC2-rzw_bvRIU$w8;pXj!-& zSubWcH96UMi8oVgB#w3cidMAx=l8;INHEFC%D;U74I0s0(N={ynE#Kkua2s!d)K8K zq`MoWK_sQSJEgn3LAskwN_UAg(kTtnp>(ID3JP*3-}gIb+%xXD_xdM8*n6!t*PQSB zyia%%2Av6(4Bsxf9ZhC8RZFF)2j-&kCB$mtnGzN)*>|o&ElIy=Xb_;p{8p%iUhv~& zk=wc01j(FQDAgKm9U|GDSDAu<^#uIbVyk6LF+F0bz1PB#Vu7_7pRkMcj(P;fKnJDP znQ`^il@Mc~&gL?58IfDOZ*V$VqChl%x`ZgCfsK&a=Lj3BM%t(>st?f9k~@mp*HAUJ zY^X`~aWwGW3kBR=0wZ zs!*zZ8XM<)mmo*X$ynKiE!$_jHOX z{mo08tyt%rZp3bk7R&g`eycDYqEVI{Fbo0`3>KX<17~v}-$usV`6TtGDW>J;i(fhE ze#=W&wW|xA+w{AuQ+U42)a#$qn$9$+#BA_eSUirzY`=!ZYD`FbQKGMb9Mo?sN3Ne@T zbc=M|tb1>Q>5hMj>?~?KOsuE|dEMM2!WiaGrLlyq(2FrZ-+4hURB7D1f}Tld5*w@P zcWPcetzr2jEb;F{n;3o}9ZzV~?pAMVsjZD+S;P!ok!rJK@*0;tGYxu>BU+gGH5n;s zd>?|~Y!;?^0ogq!B!OOi%+v@VY`ta@&3Lj~A+s}v$ja?8y^3w4{ww(CnU3y1%k-Y=gc%2c9%SzPiCnB*SqLLnpX z)|ScakD_7J?S1%2UI5Cf=aR}$8G#2T6#m7{0f%|_*Qqx}rPC5+MS+Obgj$0=h@q7Z zBA9>r_$oEkGY6r|Xi}6AW%R{QtQBN_Ne%36GYl$wwpT|M4I{m$uX2agiilTVRce1d zt#C(eYz^&_UioL1VZXt|jpZwOQOr*D5R3x+3v8xwx{k(IMa_(zAwN)xaKY`Zx@OZG zQorD4RtbEKsxN_uYX6a%+it10$Sz~MQJDcU9%T)<_Kw20U+G_H(DP@7xWitp&qdj* za?);2*n5<5=wR`2-W6{Tv+CAE@s7pcyLfaoB$D#GRg>VlN>B(V!nV=ZC{OXZQwx&k-V3-p&$Xpa=jfW4rXiJOl{T2@!}S^FEVYpfx9qi={c|8@ySce(U$3?~ zQ#hwn@IAV_FH1_HYhTB(>$W)wTX3?}=G~L2l)3!*Y5HAy{4`gYk?DP*6slSwY|(X2 zP3kdHmnoc7^J~uNEhAoi@BH`##YNgP{~hfgc+e*AiuHS!b&mE^fQBDwD}T3Q-i`My3)m zn342Q%18O7sr$v?MWSGuic`b=(oI*tUrXRWMSJ*MXl-rZL6RLd-mB3PGYEtyA)gDp zx7t;l#>oZ7!EptggM*!fye$r^F#VBj$G?A&7)~P&zcMR25uf5IL0kwCFb;?UqJuUf zD4Z2h`O59WiNf}wtPP%(v#cTZnGnWQ0={IBr&39u7LI+-2gOj%>_fSRATN3F%NW91 zbeD^b`icCN9ma^(KHtGo`i#;%Zuxd|F;COG@3^EXk1g0|AV?&I=a-E=TZ0tT7lsr1 zn|)PUrSF*?GF93awT9sEt*pBhRmBgA<_inmkJhOT^YtaTi zZ+BXzGSl1 z>Z6L3iq5h&pv%K8f!K+@>ZHtDQM+hX)~yUvBrGBS8VqA5vmZ-Eoui@di0)cyhES&3 zgwN4gl2eL}|z`q@SSEW@bBO|lBWx-EF1B-u(4Q@*a?!GRKurTbZ`AU6JvdCZ4 z?TQ@|GOUA&Tz6MjbNO#O4E+2N#kru68lc3%*{!-W9mZPh<^nTQCfmq~dsWSg&jiNd zDw2|t9PY2Zc>EY5!ow?Jh<|r&ncOH?72wBvL|D+{2`o_VayFn0inFLzY$?JbVpuSX zybqz2>32hd6I&of(i$TjHTGPKwkBV1+Y8;6E^~Y_)RuLKDTVowD*Szw6C>yLj?0HF zNVSKs3*m9(>m;sn>A(=IGt8Yt6^I{S9rIUaf^1)>$_gR@4@POZv-DeM2Ivn5K^DwX z%q{J^m*QUKJyB0GGxAF>olq(ds9+PjQ{^I$DBx(Ur76@G>)jJ!m{_imOJzyb6W=6Y zkE!vQTG%eq#QeOlE2ezzz>$hrvK4_-ZEdB^GN3AEq0+9P-=^jX#>pBvuYHQ~RwNn^ z1czBD+G?4CHIif;{?y{FlR>LP9=CN}-Bj#u$WWY4>Mu5DOQSu$d(F4JjYJj$Ng9~_ zQb(x?XOa=@*Xi`^RFPB^zh7d~Ck5>Q#zx4{@NnZI9-*jF+?wb-s{!TROFjcXYxSg1 z;jpnDs@h}@+Sf??y;?iy@RIK*zkGSk!%>kR85bWbSD~VH0drj1k7H?vsrrdbQCTe@ zi}aR_o*rR1=2Y~(&ST56k@=2uAP!VKN_>oTVnO)Rz{#oX#MRZ+*~J9wR;LF|@wuhr z>pOvk3o;O0>1ND^bPw|45x==p4EE#_u%O~2K56gXDT2E-Yab?Gk?2i-Kj zOfz$xQ3Ij6E^|Lf7YVBVTla>gYU{Z*5w;GyJ`&U?zXm~rjsG(M8L+oN^6!7g8-q09 z|9nXAiXhHWPTi}-iW<$+kXU{zMvqViOfzjpF)?I(IlDwkDN)Q2aZPN;uxf3!B7G_O zslsL{nWmYXszQ&M?Z>4@?Oy^Hx&9X&V^e`2m)AGP$72J()L(2^^Y=bJMLq&>XBmvf zS!$m{0=_ldo?jTxq&Gc0K7wscyVZec*VCp-wWuqt30MRJ|K0WI=*z4uPAV$0@l1Ah z0|URRbhfu0fF1)F47$xWvss+xUt1mDgJHBo?}P+Ew1CLy_GIxT71j2ljxiaJ%`?K4 zTW}5B44x4-GC{9^+gTaQry0O$@;X_FxoYb*2uLIa$O$Qz#VDvK$?@>;2np{-X_72- zo?dEJUWR@Kc$Q|ND75p2#$RKQAWHVyiBvG1t6W41WpG7Gut=GcnQ_5i%oZ?J!U643_43Zr_Hkd{1#bI^VPK!QZj>kRy* z9afDOn_=2$K`GQyipGav;97tE{C6p#`+)7<=UugOMI!TE0Nt4!0e;8b{d1_6(tB-2 zsv;1<5FQmJmd2o*tJ`Gd=yoj*wF3-6YYp4E>Z8|=_HJYGUb^h9HXT>PJ7UZ71^#Yc z-!1U3TZ|{VLh=D1p>c=DD&RALIfvV?@7)OKCk(WcQ)vuI1XGB=H@kdqR4WJv0U8FN z%&gvLa4T7SqX(4J9<6JYF53g3AAmiB`o6HRaCCH}RwDh3$sxreNr4GaqH?YF2jaX3 zz{LV)q~2=kz=8SQ@mv|;(q$#qf)>9mnBKG#0>PD(S53#05*6(OO9zb8a^Hsi1nVWp zfkPFK81(KeszH}qVghHZOhnp@8o_NS~zM1Zne zuIu)_v9h@aKFy5AY2r2luvIyS=N`zq z3SIBrMEdOeL&F6;|E`zF{DMHFHn=D%Rk5(JE_paO-~A1^6(`=^-32<8Re-z#&+@qy zpp3+Dxse9W#RGo=ZVr?lo-ye3VUVAFd$xK5uxN}IFE;B<2N5X(Omwuc+ZTSTlyK4j zgK!qL;vv9WbcuesHu?Vb1>8Z+VzVrhAi=$X~!&Okp;4T1*9lwH^Z9N!B~BnK2+~ z{JYBiMZ$=ajV%#jxWKxPu@+`T5z!)^5UqTo(6^<*$^_Lz z18^|-jnD8ia$Djvrd|Y)Nbt(D>eLy6dn&{ekG2lJteQLUm{$>^6RUgzNpKKMj02*4 ze)sY*rGor?WfR6EIGTfVo+|Prumw>LU2}-04Z9$TM`2}!g@yTwKLh}X6}(@tmLSen ztPiY$cek?$rxmjHoSZn=IB8L6LCj9e3wG zcZyK43uD}jwKaXg&iHHjC45zHoMrWJ~=NL z)|11vvY7@7)}4)hbBnY>0RRYFs4&qe>e)-Syf{-vM5l1LHsbO&9I>0=GKE~Wf*Vqb zD9_S8VFajs#lc7d>_jro!*U6~YclABYKFu8G6L3cM}*(;UGO_FCx!elJy-*$FvqKU z!Q7KLBjJ@1ttnrEA$b+1-Y#W&Yc_3$@uaTwT5=4SjfaCn;3qZlX z99c>e(#sV%C8H0{MQlm+C0-g9>+{c6)0u-!q{0hNBYs7NGS=?UzIY%s3@kLu)nE%j zlRl`Uv=zffySqf?tke;NHdu7(Px?xJ)Z;bmIpCFPwk?DcOzv^0ckBPrblDByc?AiF zGfAH5d|?T#h(ZAKdY>$C@-(x%l~8}~Ran6-h9)}rc`k^tpb9Pjgh&|!U1;GjcIQRG z*iX#RY^@b9ic)0?7qAtriQyEC3`GsR_(^C`3RT5p6>)|N31LK77>f61p(LvZh0r0i zr6r!wkR>XqMTv&6I=}>t%0HietGYBhN6EY(Cg+TTj4Vd+xgpU!lTx`)Au*f)O9>=% zqSd%&4g3buy?G*4wDSxBNM+JR*9`{IskqhjAT6fMzJP3`DC?>w6m{?f#pswDVIb^o zWyz3|)K7^pTAXMD%1=9RQSeGS8H&ERhFmom6mJw&G|QhruhY)8nKGLuoezD9YqgK; zd-|ab+@U?%a2;|6nQZlI5UvsO;y*VnTr*Z~5I7dR6;a@%7Du|^IJyKQl4i!tV%k81 zZu8_~K1{MPs;+ekw20K-Z|=T}S?dldF;HqUi^hChl`5nqlOzV5Q>dOS^)9upasU*5 zjQ4;S<1#M3-W>eNtnN*lzW^z}W|aVV{8y~GuxAxvp?sq-o7mll+bQ7R(`P#}aO zxCrA!F$^RLW=vS=31%XR_$U~XT{{-+y7iRuMOepwt+m8mf9P}fS6yel zrSh907w>F%F^<`E)OT^R}x*)$CQV$=-0NlzRXvkWfb3 zMMmv#7hvNzdg!8r#$62Ukxg-zW9P`;%vvT!lc6Pzjy%K_DfvUh-Vi}hZD&?2};_#mX4{y03y0c=1YT+ z_eQP)CgTD{4WC7=Z>4P1sq;V3yY|fq9}b%a_1OOB_y9ApzXsJTThxZdTnO;5R9o`K zG^MgBWn}ch6d@r?tfW~K%Aqp)w;5W>o<<`kX&2uOuSpoR1gg-`gFaW6Ss4dwY$(veV@#97rDN9>ww28D#<7x=N$7yO}v*9qjB z8LUz~JiGRw0+(WwQr!|May1Ee1etyzEskMiBNb$;e}cBmN>`+*OV;z$hA!K46_g`R z<6-(wbtoF+HF_HR3l5_tPtbdIhmo0rQO)T3=xBCsqekZom?BMObF0|Kg7&WJZ6`kK zP%d&KAr@R7?9iSaRIDeBjA)t{a2c0EC=*M@4Hbi@btX`0i*8)C#P!4$UP%wTdW-UX zH;67;BLrqc-GW&jA$5)_m9Ur76r+$LLEh}Hmi~M)uOb9H1`;HHS9p5-sv2QQ1QAO6 zqfr9(WH2|G9+Z5BW2w7X+MY3x$b4bUqb9dTVMIo@Y$G3%>VUL_!mjQT#13X_XA z`N;<^{8RW{K$^VH1&BMmFHRWC^RGE=ZAk_O2QA_-jFf@d#couBquaqGv_<{Pwq}QK ztz?X)5eY7(mdkbPC?DVqy)HIjzk?2X&5!09jajE|=*n<4t{l#W@Rc3zQDjy)lH|1W z&pF!2OJrWP4btP;I`dm#meAi+CI0*SH?((ccP$A-{GVRk%f{0zGMxpCQhYi#r935o zD5=UCWz6V)A!@-+@j>1kvOL>iLNe#tl7!RGWUOyF)W$js*gewVz21frO_t2Ab;MO} zR}xJ|QI*b_6lY{rfRB`=w$U=TVjo>lIEM+<$;_g?(*f!$yr`dxM;GKaZ zih`+*N5HOeJ>{;CSuHHH@Po z(A%yP5YRQ38sj%aH=Z%SMNkH}cx9Ih`f(t40)i#=^{OZiK@5XYqZozK!UnRIQr_8vg>LD3_`puRKSp z7HpMjJ26obdY;z3=x_puVBhRswB&0TdD_m1ZMx&EevlItPGe;`LU5V*_=qWal@^+x zOPwux)&(=}QgSxFTW;>v!UKbNqqxzZnu4_d z#iV%JcN^|-^=m6i=Yf>{#9(@;%$8G{J7_yjPEJWhYG`bwfviG`unz#UDW~M$dD(7{ zTW4LiE$_*}t6$2?{QlA7`&xDsA~C&s=sv~Gw7R61vYV4(2s~Q0HAH*=st_NKhGkz| zP4u|BAnAFRe=axaSDZ+UZ)S?ZR#8g}r-G9J4ek54wt`XFPNz;VIV@HrX+i3lV{W=E0r9{O~fX)yqFP?xbX7=%f-i zHpPrXm{k2Q!Vu7RzG3BV`LVhVXMBgQLc=nrBXX+^J=z207_ox4u9k%|Ff-9tEZj$U z)+y1jubU7|K0F&u5xy#-L>W8^Om=c1Sq+V#bm|i*bqTCm4}p_h6Bk&bL0{haV)-O(oXSlf1U|UzNhtQ^h?zoXI3A1Z5OWi z=elU`>oDAAAL5Y(Ox~ssQOF_61=Sa8r7)|G>}8>Ej2eq4KiWio-N4d zVk^AH@?LY50b5EsAigOBknRw06>lGLw5v4%SZ4r^OfB zq*JtSN0Ru&eg_0hK#LO=6!ZsxC1|4_9)E472f~SA!eK$Sw^>tDrI}HI@@53MjC8v0 zmJ@MX6*I^S{S6q3-oCmLh9LiqyvnPpvOS@IyFLXmN}?K#k=FUX2jJXRdqYi4ZT9!! zo-Ka~puv$=fdDoJOz)BaNzhsV5uFy;E-hi!=c_arXrmjIKZLtC1i33WEMZA?p%ZaW2FyAnB`rB11#un*cB+pFC%r?MQVv`}n!}I*U#=npA z{MC_tX@~&z$?9QmZ_liFi{;IO%f|@R`D&eybzO(mHFd;-UTAjL0Pfe*Gr&w~vSt9e zg*c`>9yU9DA+QS~@P-8d!z6qaQxGLsmK!pW^;aa>8)+OwD1yYjFIk)=9>;Uzyr!n6 zB)kryO2y~u>gpg;W()|Xi}V1EZVB)Rw}+W1fSjo}z#`OL?Cmiby@QI15 zLt_C9o!i7Q_@3|^7?F(~FMKpM&h`O~WwiGuNcs5Md;6kNdc#XKO*ggc5RHh-0;DVI z4v$&y7mKN(#Gw{t1GuEmZ0V9Fuv|I@*Uii?~3ImuF2x3aB7JcI4+ z*CL6icK5@b0i!M-wbh95*oy{cSGojNq#Xc(XLDO$zpS4EF!YBX+N$*qt6bkhCu(r3 zT>*yLw&sRfoZGUhbI_m@6n+SYX8=I`4GF*7qmUeHnm3&CnZFQ2w}3;;8i0Qxlt`hJ z7-bScD`3!WX1-g$ri%CY`-j#>Fgg+0ddg@23swoGoUr#*Rj9nq)6~S|c#C52{GbL0 z*pu)5_5S?a9H2eE`+dx?&#sC9j+tB`UoLy`8eS!NA#PH6!y2P*KO#Hq(Goy!mBFgr zdl0_tgB>TTAAI(Ub9>!;w}Z-d06fn$Il}A!y>NFR0#z?9K_9S!@%RM1&SZ-jV1(J& zlxyUsfDsvxALzP__~xmGf^Ur^e<*6ISnwuH%FxjJWBlt_JpE=Hw!w8vr!C0#-!ZAeFvOdlFX!;%+k#( zraz1fMVhEFlVEC^KeJkia30H{4yBij8?8LIVF%9FOVuA0R`vT}YBeFl5}$AuDe`+p z;>Fcj(SW(Rd4*zjsKPS9Dh+*`CS)ZEU-(RBah^?zq7R?8aJ98cj4w+OZ%*-L)JHtpdNi44MJ~Pv%G)Fg6hCZ3-9zTZ&`go3Tu~GnaX# z*H}0@|6ab#{ZUB^gG&CuAQ%^YxXuEzitTJHIT4@B9`=cf;!~10wHn zxNUtdl+h;1*p$*}^YNmSP}fxSWG6rs9+uED3618yvU$VhAAS~@><8=u#?Yiin+Q40 z;E-YWdd*L!2}@o3LK8iH!1QtNw1#JCmPpxf#7p)q)9k5sR4H2rz) zhR)e0k_(TA?x1}nmCs{6)Gkbp^#+qL#T-!QRGU}<3Nj#GqdHvR+1bQ*YH(q?z~$&2 z*S3iy~H@1x=-y7@WQExE`aojfDP$jWrh7eI!+{+myU(HOWg9WI)Pg%~}YI zgneVBZbLD)14&slm0&3LREYXsIbma1-^;R7a8`BC>dvkx@hd7TCmM*wM3?60$rGFn zCR2mr&!U2fh5$Y3CW63-(y>r3jUFKW5=Gd$BhCI-p9zj3;W?5TW^u*r_b}A6`A_V@ z24b@7}qwx{%)E0V7D( zH~1=i28dH1q?CvAexw!R{&NjRpIjP7VQZ>|qz#gEu9GoEf0vA>OF)F-x{Sy+qy!+k zZ;L1s-iLljFDOviwO8bt`{SX*l=op&X56%X)5GMe-4>Q_1-UPv8UHk3aBD18b~73l ziLtluOl%gXzBu^A(aLD|eoui#y>0z#Nk80Q>J)pJRarT+{rpXtN!c5PZelGh< z?A57^{N@BhLN><`rb#iE$2QeqyV%}%(vz8u8Lq7O(L7h&B7^F<+4>mK<!W>uRl_L-Q#oJDQ%$RX*SAat9vJT9Npt{ zg^iX(jq{o2h1{>!=A>ZTs2q|{8z5(iFv zw9I#hrZkBc8;f4p4pM%npSsO%xy4mG@Qf;jEec#Od34NPlS-a^Z#UyL@CHKyB(W+M z7a>wSA|xIn#vYga)1R43Eh5rIC}6XpW|R6 zWGequO=u0tmp>Rj_%yKhCKV;7R&xK(eR3^R>Su%WHNCxZwhlLZa6`o4=u+Mgh_wgY zqXfnN5JHJ-yka)0Dol4_K543-soSN)yp_ap@vi;O{%T+xHu}=8GtT5!y82c8I%E*c zpuSYXqDtHR3gc8PDFzyc91JMtLQI}z3`@W&0I9X<@D4iN@7-{pKPc8MI(Mm3?QMlD zb5j2ehbOCRnSA=VrVpXzXD`gGBI{-^W+FzZJXKkQqDB*kk@tblWjdI?uB#kLc`5Vnd;as{i6YedmUnsAQOIJs&f)re zJcAX7d_!HYaJ6ws6v$z;crl+{(~4_YY~malbdtuRSdLnweH~iTYpUfOxU4;z~e3NyFaB!x~_Q$onV!hzQ-|VV?8ig>- z52&u1fLXetR}p&&c{c39HXCk^%deJEcZ|r#8N6utw}ea_*8%12bz7#Q#c{;txpb7R zbW+M$5WnG$?=Of&)ipGb;Wf@0z4;Z@A&PYFKCWWEesd5}l&kYL+ z^EUD>%Y4f&H-8MerU!FPLNuTNkgzMrCapXZTjYR61~YNaW|yYQgV}a(fG(7oWJgN! z93608E)Gg3AZU4q8Zh+*I!tf%av&kK;GHc#Swn|n;M!5mvOpW0S&bim4 zUqGRe7e>YE38X$Onp=hbSY^N0WIBAfn*2fR^=~oiSsam1M{ivdyOxndDWeN-^~zkd zwadRz&o?*2111G?f9=WIT32c5?C1zEDkxMsPJdgiX*WeP&PRTN39QN2TRKrP8B z{h3%NPd&ULM2}_iS5twpjiZW^5%lk*y6~SQfiFn;F!Q1gw!X<$m6|F6rx{O)aiF7Y zrr(*=@mzD7D7XhgYvz$}KSd3Vh8T?~xe}@ErPo!%#O;_Cmu%$g-d_u@5tM(O+0O0f zRa`@MNTu#x^7Y+ahh<28jffH1h83vy$#BwN^WOp^>M!ZRA%!$d(6t@aNK2>Y zO*8OR(i5(d&P{3b3qJ)6#}6k`BeMAQ{j9liBfT`y{z%tj3x63MMKZ~2;bp2)Fc+mZ zCxJCMRqB4{=EhZpx)|G+Iyo_+ASWkwR{bObj-2p!uk7*fk1O7HjVYY!sU|;pXYHzg zG^u{nX*m!>#{Jk9pC^6wp?vR)HLraiSS=HO4BTh@bB6w_-vY}JqLnGdX28P0k zu?1`*EI(duT)_nA{oHQ_j`303xHaA8TvGW5zT_kJ7NLKr-EMT@3J6G?;_gtOdb|E- zfBxKAV?zEXt!vJi0cBTZ@dwp4a69YUcbn#7tT-kja+&xh0oBKPK_&xeVE@&r|J#}W z&))}hFsOfNVGzsJR>yfBDYYRKEv+Aco1SmwnRsEKm|B0DT{r~W^Znuo`tI@D5>8$$ zOcs+3Ms$Ejj7qCe)l45(lbJw+T4xF>l$lr#p?dBXwaShOg_brXgR)SGQ4=m&J1)rW zU7B}Cle^cKF*$d))b5*i!&$E{dieRDyuCq7_Sbl0V*{P|?wiuV{yNAJe`Y+ry^tp& zT=~8&@eNR#TC%;)zrML1r2&m@V*IvEx1Z;G9^0J@V-beK@#k(mPaqIv(!Yn_-rb#V zvQ{xR-gMg^4+J^GD~*odTAy=fSrHxtDW;b}KMHWdLI}yu;o)=5-8lvbL_oy|Jb99M zYp7^xOTjM=--BZH*$DKt(;5dkF~AW(=ezO+7(Tm<8&W|44ejp7vT-Jh-Zf~D@e%tS zR~s!s=fJm{YtKgYm;Lq_|C!c`IgUXVN=;Kict`T3t>yCp`c<+@=9G69e^*NOm@Z!;%>I7%*Il zJ$shb9UnF|HkK=9Ujy`X;ffZvjN0-v*^g^=n=}q1|J6e1@re=v3Ryw2iYHfZ_fQ49t3D+QTf?)9)gx&Z% zpl+2rTmu4vQI8OY8r)lE;lL*_HMd`^25h^dT@ArEZ+NNA#HEMTYJ51JU8%RWwg3QP z@);Oc%#?u%2RSaTCU8px9%V;hMF8BUKoBk1@u?ZWH8L{Knn!A4@L=!_8_FXC07Bx@ z4?ODH2(Ll!Q&w7f#2yL2nWA{*ERG^TlVJx%DnNZ4m->Nk(hSWHZfIy|KwAK;!beaY zgNoPwr-ooNfHd)Ms>^RDu;C8D1gra z+xW0j&AjDs32>sxahl-%3b-G{159ba!J&*CsAa`VLaES+xb}cGy+#_~ra8|P_5%pO z)D{qC2B^KUGB>*Y3EHRt01}<&Cs9}(oeA(AFMuDVuNX@10gp7DTZ$hbAZy1O_yy7Z zg*JVGQgfF<*XQ+|4DQuHI`hhip)eh=ZR1-c05vglXP*w0H{f$DUcFpaV8NR}A04%u zwRGV6Lfc~olzBFbQo`&1s0m6*VOqfuX}Ka z&2kw zFe7gh2mlJlf8W<*Hiyj~*y|BCzyRXmy~sNw@SDDbD!F`O@5RjaV(_r8 zI&#EP7s_Cotz3>~sKQB`bo&O;WCgW6wQ5lVK4k?znE%k_QRgA_8OD@72lEuFkAUE` z^d(JD+z-b!LzNTeFH*)zw||>FVbp>6Iug|Whv8``p=qQ#(mDHZ776zVy4{WS7JC9% z^+fR9B|>0A$rcT8a+BIhnwxo6XmeA0M^D8}?|Jk)rN>+3c5sn9=;-m-YK-H@o-Ox5 zcMP;XZ(MgI7p9&AvxJ1!4hX^H9)=aA$3Zp#a4VtP&oey-rpsYd=x?J?7rdKOgvuYp zJ&TS{O8!|7oRII(Up{~#k7~c9$ZD4_x3Cf%c;{QV3l%aC7q%YmQTb*TKLKnHn30Wh zzY>N2qE)F%9%;9JO=ly;4u)N@JiUMmxf=WfT@9`3lk3R>le}L4&-ke1-&+Rt5&?|> z>}1~AhkhZ!o(oEh{f4cNGaB#Sy-T&a{4?_TbBYS=$Iw*jgYDCc<&P8r#MOr#RN=YR z!Lu+oWuF#Ivy+96*1?i1YIS(EiI~%A(hs8nJ35jS9c@B^6tU+|%}9O9>$FDLg7*?^ zX`f~Ic`Bj&ga|$s@J=!gz(hvgqRqr`3O=AMuJ#dtf_D}v9Fr<BeIOH&` zfme!tjI5j$p4m1K=#O*3Y3kH&3B21+*CC_~#n%-{4IB{=VGeSW2&D_?}N#QKWs) zTi)2SEZ4`?!H!2EDM@}_xNgi=W7@Ej4l^q9pk=rHabNffpBnP)TkIj@|I^ySf-+bQ zdo7{;RxXuC|?HFs-NC1)3+P( zl~XaYF)!DqgMmAM&;dAxB9u;8sX2mgq>895bV!$SxemjzZ^#xs&H704l>XJcttSFp zT+r@a=%;}}mG@#sq4t2nLo+(+Dz!yLv}Q#{nI%@0ULX6EViwdq5k^ww!qXNUD3Rl) zDK)1VUUC=bN^TjqMsOHe|Kum(f>L9bLk{aqHmvB9Ro98KYJwG#<@F6lBuo`s7&)f- zW`O4F_TR_@YND@1GYk~cI3`a3tq}(GxVu73zGej;uPrf@$!l1GQj8S&-<(rR&gn0F zn8W90BlhGIQ^qaaNdDb!)sdY6(Ulw6k(`Fby6?-^^;ltYGh`5e4wS`9E&PBRs*t8A}NwyJ+j>EI5%}do+qOT#uf)Tw6@`#%_@Jc0gPrr^lA_hjj(WTIycP0X-`^_rhE8S znnPQ&@6Q(ooOwmQs;h%gnd|Q(Bh)See%7Mz!`bn5-!C0V|GRw3V*M6$;HhIs;1#4= zslIJ}wO{YRBb7RxNf4L=Y>QwhD+eGJoh6N^r6NNVj{#aZ^I%`rthrRt-^EW{i|w|* zLyglJ1!UGb>h%U?Z6Z#B!gH-oG}s_icfMnK&qOs)X6=R~1~7lo_q4j^$o=#qkFf+( zEYQ?l)09|NosL`|+0(tux8wIbn-_PKDs}jv;nl3*ldep7st}bFqw9_!3WwH&gVTR> z|KddFNc*5B({FVsGM1>KL@4K;okd;xs4{mj_GKz*rurzjOI!P|(hq=qZBYP$1Z^_L zNqiW#Oz9!IXf5?($x&qTCOWrvBx9RHLouionO{s=DFIOtoF2h>GQ{QRlWT~(=j!Jl z9O46VvrKtMtIBL>#kA=#=gIRme-_?8tJp#?D_()PyStfS@Ealq4oT@Ga;XpF z0OEg~dJ{M>I6}uz;jr4MHMQa?Q2rya8Y?;qbJ)x}m{}R}-hc7Lagz~0tN^zt+KlZ9 zF%r{IHX{bnucW3o6lc|Q+@RX*uWTOuQkwj6Gkrxi?c!I|v&t$+&|>^TdMfIr?2<;! zbwRgBKYcr*Xg@ME;|b_4rY9z7sXv&HU?KpeLo-~_P_N4GXqvJFafJ>S(Fu~`9sF3l zOOBnVI|&G)n=qNY<;$q>aKeOvSBBKobbsy9s2|BAv<)jx$hcck_YA5g0A{3zdT zEuqvT=)9XjMKrThjG{(^K;Hup0}=lDOb+LwrS&_Om8%AYExNHz8W@G7|72y(t(o`UH?Yr3Cm5Ilj4ogK52-@G*asH_9VYERiFCbNa{Ap%cD2zPs38`HRQm}F;AB#=llVXb0YH!ycgHc;XIg6S2}nj%sa z-H^R78S{d}@=Z%{G`>ODjthh`t7dy$f2=!Ut3bxuZ z=J}Gxl06HT%O>E107&0hN(8`VT8W69E9Rp!*)2AY?792N(hqZbhQr3Rg(yHZs_7)-jo(>Bv(LcEQu7nkK&W%dYN@`9>hl_!zwQS8G5b-xvr1Qr8;0n%PsD8_!WJ>v2 z#i>^~k>8ODhfV`4A+d^ieh^BQNXR*0!#>qHYq`A%$f$`KYY0S^Bw(vb*v9pDa}w3o z#z!`IKvB1JajB;+f8cXi;b{DLH*axE&@q_yEV`qO#8#qabu3`IS5SPQ-I=(X%MxF1VxyRNEXk^8$(|{ zwO~3<5(r2sMqgoKV)ik(M0+K=7{344Z3O?(H7h+mAzsYI0`zJ!6Fv{gQ?aN9aV_G; zRoWkzp&_7xW|2+T6MZZMT9-apSwfw$3Y#==+I9LbbIl>S5f2bf%ltlD9E(~)Cn}3_ z@>$qzj-}!gRT#qLQcFAxlV<<4{*)a@w9K(XdK+CRkuxN!dzC*=V6d;336ZVBod=EE zeCzD}dGL|!7r9CX0Xn*}ClAPcgJ5|OOP^hz=bgnt^ z+==a?p`(Auf6;@mm^1o=YEQIV=%M!?>xd`2Z@hamMUa7^GV~rF&Kd~f<^7-KFJtZE zw1!mNq1|)yQ*FhPZrgH4&z2vF;*DRmI_lzVHs461xuRAcB)rcL&5I7e|1gozrvgVS z=ggK767H&giO28?tB$eri3KL)7oywbDoJ>L~t1Fo!~gp3|2>~f}0zg~Xa6s8wM9rrpHTTT1ooh1g? z8a*B`P*8XOKY1Y97e`181(5R{MFAp*W2XlZKOsIOl+4R>?P#gabb>V*j zMWNVPhrW6lx$Xho5cLl&5NuW`ljxH5l}=O>)|1DiT@jfEOiwg44zd1@rNWgg6Ys;U zWoVKHOf^jwQAylRwS@+bI%s-kui_QLcY+`Do|bbrC#R=1a(8!p`40cS85C+_0n@Ls zo?kZ!#z5``AQWu7lSLhdK+wz=#pHlYs7{aL>yPg~)DL0D_5S(p51M!|;!As0)6EdK z3}GucbX^U{f%;q=mGu@t1mOR40!qTxv!<`S$|}n=5~Q_sfWv@rK(=s61WYq+|GbQ2 z>o`InahL(EAAk@pKvdhaGZTf+RtN|mqr*+3nfLkbdej5(2vvq3z?vr8G6Q5qjRTPh zZn-nrd?ENavoX5Nhl_WoycX|(eU%|ARRxfr&40cD6c1no;ar53<2p?AXxuQ)TvH2d zAIgEl79g$oPcH*C-mg@;kRF3AFj?pT>Ve%}ZOAv54=qd2@kBt5)&u&Re%2-sMHRud z4h97Px-w_11Li)Za1b!&J>VR0C0aU0Z2-k${XZ)@#oAt0AckmY8#|KjKdQc&U`fP z-6?$o;^KZ@Y(9ZWuQNasMv*nlYGufMX>n&FNt}E@*jePTZJy_nFUa~DSb*H#d=dHM zaYCcNr~v3z`oLI7BHLjF)cbzJMAmB{ZmXz6bPpu(Anqs}^n&~1555(SiV&8YzFk#W zEqaC75b@9^Few5tb^V=7fLnlLjQtbb!q|%t5ZPuVD-ZsO@_&Gn0d$sCRjKDhC7alX z3Xy=j`Ure|Oa-N^OTLfAV4FdMbvmk4BOrAfH3jSsFB?2NV1cjv6;K(K{J9z=05wET zS^*?90RXRggxsH{>vG_|bB}MpCBZB}#6-Yo3NR}2Ub`>9^;XK|y8>f>eeZQoz=@a` z#1KTYCkIQTD0oF2Ji+f`h-4NyyPkj~`ApmR=Gc}O_?&3=z3WD~;)PyhZwMjz%N~gByvjmXLtrG|D8#DrbNQVNDocIv z>l!=J&hG7vIqO>EcjkgTG3i91UWLpuZ~>L?o;qKXqp%vkcj{m|;p&g%|6g@|cR1DI z`~NAcNGdB^LT0kco+0}ndnKD9d+%f?nvw&w&vpI! zqwDJEyx(u{=Xvhue(w7zA3VBDBuP7glv8 zr_1EEpxMVOL?1zwZq*;_vP25YofE_D^7oftjhgCTZ~@!8nC~j!sFk3l7uHp|5J>O_ zZd@*LiTi}}G+*+@Ext>al`vLgvDi{035=wYo)XDo&X7h!4=RS~+odiVx7R79pCB-F z@mpAQ^WSk?ez3nzSs7XT@`Q?HbG8U2Ou#+wI{nn4<<}W7gR5YSFnAyaEK=zWuvDaO zB~G3Lmqv$|RIikNk!6^L8iCEBiKi9-h}7A7OcXz+?xTf&beyA59)`BPOZ&ysBkYO{ z4r0Av30l|q7FXzpuU(zw3$6O?kb+b?p|Y@yoRLBGEf2X5$&jZYP?`Rh#eO{!W@x%V zz2|$QizSf0D0Z5XvLuI5rPAyY@~i7-c(~aMOgk-$x0sp!c!5{r#}P)4E{Uj*(QbC! z7bhpl!5GdW9e_(sRlP`g-`<{i_a#DYd#g;$YEY1vEYen85!i6=S(;LC>Psq`s9gJy zsTn%`MFXKns?vQPh#2btAft04mgatY1571VV#K0{!zrF%93vt6NT1uTTY4G7hZZ5{ zWqt=CP~}2}k#1omQ^1ghil9ts;WNtwf->^=?l6E-AMkTjL=PTbemm2D^5dr}dud(P zmJnW4H8po`!{?1Xy*|}m_GhmwQPz2sf>_C^y~2<_eKEAoD2)o|S519dlj2^=$*LRF zPWgvq1i@4Al1Jl$z_jmNYMCXeOUq)d!tVM0$bE~T2^~|y!y0KzIU9rUcLRrw;T7A_ z1msC|ynaK+6mvK7!Qb*e|0kdvf9kc23gJmvSGwp>-VG~sLZTjB+aHukYJ1M~4fp#N z32t4?K;nB$=+8Emt?qT-x>do{lYRxPH~YZFuj&KktXx!sK$Um5?ZZxn-YXv8Zz?{K zdA2~`@xb|N+fBxEs=e0HXJwL^$=9WzvhQ2H-vxrHKA9dX*Nt4pH2bt)Xx!a{$RQbi z)Fc#+2-tXag-#nK`I?moQ{~`s8qSdy;d~ZUTT%AR3}NM~gPp-}q|8U5t$#UmCe=QodTnDaNPpy$++p zU8haS4F~{ObWfYK%bxiCc+1h9JfNedLU?@^YQ=R4V8>Xk*=alGyInP+@`FEn`OfXe ztqSfrPHZ|oG$vNWN_W#*P1varzgg6Lw?dG9tx$k0b7gbVGF`J_fL9u8MWEeDiuY0i zQi*$fD@00^Bxs$D&0m|hvGsaj*{YlQ)DLF4$m=F3lZ#DtHJPzjlKVs^T|{J#f-^=s zT>+Ei@^w(MqQI1;DB6_#Ep8&9C<|ve7?F$VW_gQ(>c*dTKpQ3BMS<{=Ah%rrCFP!e z_y{M5iz;WRQVYmK@~P`Na2u3Nl6DSjv<@c=U#<5A$Iuh;-&8kZPcM2adh4D#FCMZN z#7IUAGpc=DL=^0@XHn@PKa&u&cSWvNTJz}&rwJ`ugbCA@cv@82w8pN)6uH+P?|`o* zcNLNe^Cu)Wjkk;XX?7F|TUg_Ji+A{*BkoqgWVbt&IOu}sF1&c#(RS^OEMseoKH7%Q&`d>VG8yoh`x=wmTnVk=7GZD!u$ zc?Lu*#iF?cwSrh~P~VSg3EJ#)4pl{)gS&S@w~|#b-XMJ_yR6{XS|qQE{Wau=+b=XJ zDZ7ryQkD65tMJ=!I2$uxAhYrA8ZX1jBYCi*BCimX+?kq!)lGmh%Uu%a64zNW>0+S$=jFS zJq1{$g&fzMoY?>U&XFuFD%CbYP3Hz1f3|J#DkM^f9v|xjj^y<#C0^7}GbmQJB9&q+ zRLE5IequY1eqlIBX!GEtoe4zAbty?uw+D%P=@rbZu2k1XJ-KuFWf~IvaSANR^otnU zcwcO%>D(m}KweH&D9on6x?6tpLO}<=T)OYhH~ zx2_m=k$$|X65!Z&jFiA-uP9J{dZPPyKJd9IFA}aQ)koJDYsuE1J}IUQa7-9= z&2*=IrnOAsM@j#PosiC00CM2fZf2zCfI)b$1dK3CbAGPx2R8I&+Q>OD<6S zTFMu>aJW@3?~$@y=RkD62bX-CyeUv1U#MNq;He^c`S(XygjvsbKaKW(S6Tji(T}&( z?97_fZ;p-V*Co15=bQFbT5&YgtiKoWYu23id?l< zoqq9srt%|Ce7Em8g&O0WcY(5vs$2*M(P2Y_F%_#NVY|c}^PoqeTZQlTud<}{bDL@k zs`zX)g196kLipD-=~!=lL!1g)58I}D?^=7XnaGMuJ!HB6@*$dW;C_vc)e+AdD%8Ub z69(Z6=R=W7q9Gghg5JX{=X6=M+ zMz|*SWI^heexanJaxf$LQza2Zyx2|TxIj)h>y%@~X;lpVf!5KPBfIGhg0Zh0RQT+x zx4wV#iOuxM5X^|&v%Sz_*_(7}KA6?qBm5(~ORa5T#Ejj7muIva(3F{Sv#9Camt%Zv zxxSzN6z`Dakz#tAnS~Vo=VA>~Tw0#X@qY=#8_FJAoUpnIJZQoJeyF+5my=yFey1Z=hh)+|0V0y~g zHo0^Ao|#n?v#=x9f}Z5f`4uy!$#;`=Gj+w!4Dytp{vJu7lV(||P1duQSYMIb4T^HE z_KG%tp!k}QQx7wl3VQUf$2bi_Q*cflopYIn>}9<}$)m3_cM}5bf@v0KGh>szdjcqK z6}n=-jEK+w6-lFhrgn}VyTJC6mKJ@V4vuuDin?eNvq?xHJ-JfLdiJ%g1_V>>rRytc zce^in=BFAz#XkI+`z5nU4(-ZWVKK{ureSw)IyuS4QjqC2P`7ZUewB`^s$xf&5zn?j z7NLwQmGZcxcC+m?_gGo8Me~L!?0OBDO>g*t;LrI#67N}>H{+9H{r$m5yRz--Tcrr{ zRD8Mz-!x)0N~RT+-mqKk{va^*a^fKE$w`YkHdodOCu*OowKpD;_R8_dte8I*OKx=8 zTqXWGnro~s^C$r@`Q44avJBb!=WIDvi%fZ%-;5Lb%vIhVLTo&tSSCp;ksrc;&ACfmjm!!_O3133 zY$IM~zZ5EL(vwNDr$-yAv=x%m;JJ*DM2tpX$sC`Rs*xw*!_nTUVRq9?>sfQ>UG1z}wS#&m+HBT)}=jM?tpb7sV(V5%+Q@J?=Hh523~r#?7cUI^oyT?!hO?>Is^nOSAu? zkAv!TO{MnlksQwpO#;3rL0hHgu#Qa?h=)$~?3-Ocg`k@Vgs$g!G1(mUK{>Wj;Lrsn zgHHkB!bPcJw;ZTFW9rq*gS`yGR2R_1NQ=`&wwuIKbSlxMA({SL7xczl-xw})&*z1s zc##B`y?5Y1>^B7EGXAhO>w^&H6!sJ>Y=0?}OtJ(#Tz+oHj8WN}_q!hC;*+Y{ zmQ+SKGc)K~aj&VaWmDep6@6zqZpa(Dhi`R9@Mz$u?*;eu+Z2wm%)OcM@9=usN+sQ>neN5oI7{+BF&4GoLJ^_i16EGgMSpRtVbCeMThp1pEo(HuRIy?ij5FPW)ihd+^@ zp#9`TI=AVE zOO$*cuh1k*hdDbNV;B5L|8g#W48hBxdUDReY@w44Z?|~GWj*@3URs@&#JSRddRoFo zi!c80?j;d?C>{R3%EgarD6H@d;?{gfrvPGe-*Z|48MXIi< zhnw@G!qtn;x98|}ipdJ!q330O^4Ybz{xqaA+w-T{BXU0#opbE>`tf}#n@(80I0k1k zB!^PiIdp~wo2hbH<;#;GER!CjhMx1J?;uey#k;YFgtvQpL*C(S&%cWoU@AovTE@*? z9brVlA9@C_HmaoT%A!-vVyi9P>2F9-HdiBOq?=N(hsaA_$p20J5M<2FR z3or>g;&B$sc^cI1I37^`oN*!LE=^%Ne5yn*D%X6olREm3{kOAh=i)u_m#rZtz5x`( zFHHKLOJ;t)k{EMCjU?Mj9tAsC79blX&%J}TVAV#BUT>Q6pNLw2_P-_u zY^uI1Cg#+LJIjRNmx-|}`0Fu%Km@?m{@M^A23JaG%(rlJOLU9379`>478bH8m*VT| z1+}K$9xxC=Rac*j=kNDXj{oob7Xa;tpodEB-`o6uE{(A^fQKWf`St79hp4!c2NV?P z>B4TMb-y2ihSz+tZl%7RH7d@@CgRD_G1!=Ed0TCm$bhYhy@f>{4hJ7!73g#UE_9@E z8cYBIBhhlaQIs_&hPkur*Fn2cbc|Nc=x8HUI&CJ3K~*1cQO#>_cC`GZx_|v3Cg*ri z`&jDF*(p?TZDmjZTm=@IRe(GgGN`KBe=h$*s#XmR7r=qu!MqlZz~MRIarH+7tZAA* zA~ZDl&X?TycoiUy7*?}6K`O0T_z)c7UV`1QOJDLlt1U>LbcGWEY2{?A@>5?l)GYKK zfqz2vqqaa$Qvw|$FCZ{Mn@n97gnmkUk|M~tfVcV$#H0G?Fn2rw1lkKCzo|fwV=_{R zgN81x;BGL8`q$rF_#`I0sNL%6-VtgEy!>X%Pe$y_TT|6VfbP}eA=!ujTgsOb6El>r zN>@v72n;Qd%AytZ1cHuq&;qc$-d@8&Agp?ZE;Z4L?hJJce{0&zldpIGu{c^_4Ubuv>4Y+rjGDa0<-7rkWcUF2~*w$+sx)dleBUNKFR^ffLOF1-G zX&LRITe;c`ctQZUm8qmnY1zyk~00Dgbsb9`%3x7~BAyEByZW?yVZQ*|V!*W+I@zvzGvqo7~sigT2#coHdF`iL1On!Sp!c_s6Takc*FPjo!`C!O+be-awa zl1{{9(vBThBpN!7TX7!rd!*SB zZUElS&pGoU!uYP}^m5w_ZinCXGesmbmHD5l@+H=tOb!9c=Y^-hS+K-~WLsOmxyr=F z#T6Q`3)O!wV7&E{SC9Snx znyqUwyxH@)tas+RP*tDgM^?tiW>MPleSX^VwZSVjfdxh`sdhZc!PAcIA$oy5X%rcwesCwMT)*HP zlgxH-b{rUq?kyT=lU8BO*D5 zO=BKQqH;CHt-eAjqFyz5YIn(jN?~Q0uR`s zj!^eQoqcwaCqDx;{%pwY`L6??s2AdHz^>)@A6tQJC$Mg(ZpzWjvvE=(5E-m%mgSBs zh4OKEk(3A1A#}8ZfMvCB?H}d|xp?s)UWMyLDT?WS(dNDCECZ^85s=_1SNkT;~a~`FNS;Z-dhnc<{pUG~YJQP<`i|Ou;BE4PB zu;emP`yDSjJ%9E$1<`inXV~tFi#a&oT4TO)M3>XP{DP1Qj+I74jk54j9@y;s_15{% z-t@m4*5AEJA_JDmzrAoPo|sf~(hj*jov$<576e!5>x<-}U~zJC+LF!5XmVT$r@Hz4 zRNUhV0)Z?w5PZ>eiUr?Q7KFe4?t}k!)BnBf|89|gA439-qwnqQz4W15Df)3vj);2G&bTqhSC5aYCln$W;6)O zS)jQI&`e{}16Rx|sE`hE&6bU-V#v*#^MN+}o_eF}Ogw8G8JE!_ZVyPeDWUjG#tM~i z6#R}3`tRkBm!&_#*_v4+H5{ zazd~HpZB!2ft&z3`#HnfT3X{!W1cCUO>5xsIo1bIbYy8Vod8TQ0PkD)78^E>8@p)8 z^Z?Y}u%&ZYIM6=cm~E^jjgu{PnE_llso(yakUKud&dq6{fC|!Wbq7o%3reEBsHV?swiHV4LyWEKv!3sLsWJtg#xBB2{RP0Q5(LwK}X~Ttdp6pSc^3 zK-1w2#f&?Ee(qz9;C=GY_Uojhz#hOcy{is_K(=RMB`k>yu5Vx#nxLY z)Ma%1^Nh^n(UFlSv)_!I%g`NYgJ10gH`CbNW_}Pf76U6@2xzIYM<4JOLTjjm~xuaPiP&Wn}qtYA1f#4RMGmvDzLd-@>o ztdO6o0_KM6B$y#(h5oED*r^_HN=?tFyfQ&5ghMyC|3G&XxUlnK|;2t0dt9)jM5 z_-Jvl4&^9f=BE8M@m5sgVe9Hpfkv0Mk;f6tPfutX&kirGyott7U}s07c*S>z4;;@H$JEG>)Pe-Pn&xBZYMf#jg#GOdW! zV%QtKD0R9~hHQ(xE9*0>_b^HL`P{&EJh~?P7NA|MK_ZbtG7w7nZT$N_bXc_)6tYUu zVfxxlsL=QEB&Q`3%SJ>5MLM|(r0D_IDD#bK?b2FtpK(g9TCpq^N^SZR~) z$pq#1P=G}j=iq)Cny(ThF4KvB!|E@#+IcF;4~;k%p;U6s_0fxFM)9Qpw*MxYum?sv zz_#ZzhB3;^ZSC)cMY1}OW-FQ1moNKdPv+o(ALpFsm3znr8bKA5v!L$0Cg8-Ymp2)i znPsC+@Z;?wqFeu5|NA7PsMC|ZmXTOkwlzeMj2NG`LWce(lw=0*!hY z{^qIUjWs7n6k;CLa_?x!4j<0^3W0juWOH;IC^U2+z9va5SpcFFX(3Zy}>kE-p- z^hzJk+*dQ53IC-xHsc`)z4(Z%9HExx-*suB>mt=3Iw1L;1n@8DICum8&^2YXhE~|M z@9(qChgObQ8dgE{73?hk_%W1{-%kC54ag%m^3?R`<*Tk0YD~-DqGWNKNPWo3du#0$ zj)KpOUzBp~iuD(1f;j>wrAFg`!30y9_(85qozSG943AGwiPhGYa8*{JijO^Nl<%Jv4 zFAUVGT}pYWFk85}1PN01rMHpSPf}{OG!c(1(hMy-PFgcskXdM=Vo8vBopYU-_-Ts( z#aGaU#=l4Q5cZv#MPy7hWcFIrbnZIq84lZloO6IR+g@osy3XX=+yODf_-%HcRQ`Ww zTZVx5k;r(+YCD|6s#2}$HFxRypdX|Ua5|`O8dKPVewx`_6AsAM28R_O4xZb;>&%fd-|(hElfj1&wKSP8v#)?ctT%?8Q%~?`~YtJ_nH0 zl^68=s>q1i;d&~TS>Ql0~~R)UtXzvOCVWhCbl9 zVoWS|ETand)yU#3TJ0u(Ik@s2rP2#Ke?_|JT8A<9>qFj{l`po)@c@pq+@L<{#v+1* z+e#ELKjwVa?6js*8-NjXQn-U3IzZ}2V)Y^ND5zawqO^eWx7}D_ZpwNzyO#IiMp2n9 zax4(LP_B@zfRu$_e0Y6S?W=a3b4}g8eE-6fmL=e`h(x|iK;osK5MFr?BhK+R>6{{j zIsd%MIDnHg&KrO&;ocu??ZZo(p`NPYJTmJgy- zTv@hroQUObF@}%gUruYy^Y3QEX8&%I)BUgd^C0dV#(Wa-iW%!)My!lWhN;p>pe~90 z=K}7qLJ$b+ie)Tw;9UWmaTtySL)pfFM~R6Ry^A*Qo#uQ5(z?e}XQy<>r{Cm=Xp6r+ zp@0*6X9zGi@^f+`!5#(r@^@GKm* z=#>@F3CWjfrvChhUdqbOE-8m(4JdIPpk_4X0&&de_x3%g(OBV7Lt&sG|IN_7X?|%C zOIz(vg&dZjeF1ojeo)Yd99M(j#lOUo-Dn*0_wQnBzgy9$`ChYPd<$nl(8y9 zu>`Z~K;Xz{XC@lXYmY40Znqq*Zn|uK(Erid*?GEc@24g)G?AD8$98v_)!Ld4L&XG{ zvypsN+qtHCL#qAl>7RgFQ$zLj^k7t80Yb)Y(*AsFYbz&*F}DvgLY;S*dJqWOG#6uz z$EV-?v}1Nbu6zz;a$zHd?7FxIouG*s55*QK!DkDIC_B)WUk85x&+Y00C_Pp8Lb~a( z*l|&)<;RE7wjerjkKG)@O0bXyEhQoDw`Wjtfn!;X+c&Yj(8x&drKkxYhzZ)wB*QUc zd2LNU1_`>;fMvSHz_2*~=gbXgi(r9nO4}2!5C`UHK!sDkBI34VKwn7%scQ6C`I^VBFYJ!va8Z8fL9=48& zYN7tFmNJ9vhu8{H78?SV12YV`O28Dl=XGc>Mp+^NEY*@F6u+^jAM*WXLus7wwXt62aTwGMdh51$*Pm~|ktkW*_%+l$oU3?X(-*Od+yDYaSH;{LB3ORvJvcs5 z$#r#lZ!Eq%Az&k|8ueHjt?eUE7=K-rlSnTe6QyidTb*#J^M1aEH=Mmz`|Ee+v=V`r zjf!`aBw|;2(>pMyDHX5_h0xVbLX<+)j+BhdO=LsRkYql7Zfq8QH`K$Nsq#Vkr^teS z582uZ#Se4LObnu9neFr+-MG3hK|xdU-DK@k`aNU5b|ZSX<6xN1DIvlEJYPqDWMdb! z*{zwgF4{UUDEEw3+~4@^YMdz4*6Vo;wHQ9uOlGpjuHB`&Qc^efvL%B)tX`!{ha6X< zZu{pr)D3h@{EkO@r)zw?>JRGd4>q{9K*fuqq3t5w2Hk}G!3Mw289_%UlRy9uYr&Aq zt=ze0x|*W(InipPW_RPg^GTML-|lk7#9W25!F<#5N*d&FM14uqcD7K&RosIF@?J`c zEtdDI`1sP*p`rGm=! zl2+VzIWN^l*8x8D=S%TBQ>sQ^2<~=-G;*L{l$1&_55h6MGMTj5N5Req@B<6i<&WpW zG4=PH?#Bg`w1wB#IiA?Tu}3T0O{q`W6;tV#KovjRaSt9uc0Ra;4BwtgNJvih9&@#a zAH8DcT1ktHD9u9XT5WcMXT`VapVI2;6-StDYS`?oeuST)hgFD8f($?2ap7I`+Q14E zBi|kIzgLaCESlfhM@plNkE`Ie0NhQfIObZ9XdgJc`^W6)eL2EU7AY@53oK9ov#gp*voN literal 81307 zcmXtf18`-{^LC7lHn#0#W7|$P*2cE&WMkaeHa6DAwr$(?clZ5&zp1)4Rf98qrh9t& zK2M);MR^H?Z#dsTKtK?rBt?}$K)~&RKOz_~V9Ny*rW*(-pP`hf&`)>0^9?`Ac{jYF zo2#s+H(nkWnT3YYprYaj1 ztP3*$m4p#-JOix^+k}Z_DtE`-->Z*R?NwWG*UcwcDj)t&<$agW6Ts9_XNf!&@`Ow~ z2N(I6rISYG4MxR;@ek^HOrS(RRq^klVmx%RXW}b-bp4(h#0anwGp2Fr%T{QWS)4A| z-?mGaj*#F>K^OMaKKe$_A%T+s#FJOYURn!i;(mLkK)gqVKJ=g-Tjb4fehPe~71>FL01hKVBu6GkBgZ7ip%lnV zte1Lm82)vM?MMp%1$NG6x@K*8m0xCDa~1LP#%0*n4-n*0{OcJJ3m2Cfx)vn#{bu&= z0}!bm_5!vF<}1xAf!%)P&f`|y$~N38eY`vXLkY89j1*p_P znGJmP0%Z`~x&aSC+NzNa8b1*0&7s3iGcN2e?6Guu&iBGN3U7q0NkOA-?hVWFed>$% zJV*xGjG)8G{|InZm!sZ8TsW)jVJN6aZL{H%V0Irh|gc7oZ zh;v{?Zw5$b`H28Quh!mU{G;r1hl-;_o8gk{dQN7_M$wSm$pcvq0DU>Oq22T{*u!(6 zs>;?l3NPbIL8sS{>u29mw3h%Mmz9+4sBXA6Fs5FOD90t4kweyl`67qK78cX-xH&{j zj!`~E34PlmIDK}fuby+$RKx`*v7TL=EN>zY1~Q$Pe%aZ_vGHU6H6RfM5Q;U4nWOn7 znJ|}U&cVd0tfX1sLVj{P&_nve-CitvUe?X-Yvz>#JM-*V&2;nho&3$sn#=w9Ir8c< z`M9TINy!%y58tyigV! zE%nH#9Sj$%lL9R~#500Ws0)zrEh3yaRU6l&s|zd`QkqmS;!7T?kemx+V)!jrED!_vq4 zluy=?$EDM!`_oI=OQ$wRbyjxz{Q7QwVQBtBr!lAxUF{!D)Ov*` z1zPKY{_-{f^#E`dQH@a*G}*?8n8xztloD0i#2uP1IB?6KG-E{(F|NX4tCQ%dbXIn> z`eyh3lK_dQBhdSNIO!y+!^I+#T=lL^{PZL$Q}WrcsjEjlBefWU4 z_DbEP+Hfg;_J9H3KCjj$%fgaExGp9CBp)8OqXOlv$p95Z>p>DYd&h>eg-?NV!5sMs z{BvtjZZsN=T$4VnuQK%@M%~GIr?T}Fa?TbA>bl@U3^PFd@Quid1r~p2_oxq1m=Z3uLJg>V{MCbK0(iLax7~ zdJKCvM3b3wWyX*^n>HHmnDid1#=xo~JIi$QbH__ZdN{7uF}c6TgoEprb40_bSNm)~ zY2FXGd$e_L6*x;-+3sz=B zCA19@!awh`Ut9IQZ%H;!R$sAe)Y*D%2ePg1L&Rpwoh_uc?T>jO5y;&+EX~`D-W?g4 z1y`=MA%!#>zDme#Rmc2HNO7mG8R-#C#92Km_C8)LF>?XSQAFsiehn_%_YFV;h7Q}3JTV7tAkQZ6{LT0NGKos;Nn`cjRyW~dCh z$8Um^8cL-|M9ad>3(L?=UKZS-DJON&J3qST%))O4rz++x{$H%~QNxF7o}&#n$2Jvu{OT6dq3?tcpmcqtIo>I`!KgJ z0RSjrK=@*P9y^w~neA?Mj=Mm`)b0!Vlu`RW&jbX2(vH!!EtFk9Dia#4%z3;(yVtVQ=exSnnGL&C6VF=>Qt zkQvd>92%}WOBEz%dHZ=`U-=hrv0oR)UzUm5M>itvZsvMhMba;Ger9&t4Pq+!$nCM; zJgb|k=(3nYEnZ@%dJAPp5GsNecPF2C!p<1vz7~=c9d*eT)n|I=}v)QP6 zVTn$aGG{gpueoy6PUvgcvrN3f^d@(G-ugBy^|FW)6;i_`9NnntTvE9O5JQu^0UmQ^cVo*hoQyG|oy26OR zHB*3Z*h>{AG^k*Z&d-`N>6j#^Cn8mvLG#_uaiI|;xm9oeC3O~LBj7@(--BtCnoTI} z|6FTad-g_1`nW08$HHpDZ}YhVHTdnxeWkeHI`31DEFMJEm!vQlyCdWukXBaKbY6uc z4?jH}n{$j=6(!RWpPFdyeVd#mcjJZh$%%tbd*74j6<9TRF9Ve@9YDBndyP+$Qt+SP z_s;FnS!D-4M=)^YaWq0%cjASxC6EzekR)${gztD>A^TP&aCZ(g#;F_FKbT+y*HO9A zzbICOTR2BFk!v6Z>En~On-4HvqzIWo;K*Ug?9dwKO-ECx2exnK%${Sgu`KHjdk2_} zaN;MEJ9w^lAcJReeMa2EWm?h>F`dsY!MPYrRW*u}{q8<$8`MnYGYG-NTS>La;I}#a zsWEA(TAshJ;!{ia-aI`PI!yA&~}d{Uj6@UsUy?2jJi=~g^tPumblIdCUow> z@kbgUgUTp;{rUnFaj+j>nI$3WVRcd-;xX&_B}Mk8iRDiN6KYMoKB_HKZXJ^5a%*+i zgB8 zvE!0?j&hPH%7}&whson)(G&3>rhg8((tl(?l89P@!M) zR1TG=@h@_hhww&SUa^_lpRSYVfm`70Cgp;$>$$AfKEt1gvj|>XvMx=w$xjz1jX%Fv z98G=Q;%;V?s#OVm@6_2c*svIs+;P@E%kPCrP}VaM`IDMpo^zO2aW2AsPSk;ua(`cY z*-vb)+~NVAqV&P@AthHD6`wgNqHL2W9uHyVdwj|5AZoWFpQW3>^0eEHJaO8p2uhQh zkm&*TUjWCJcEi76E^TB9n-(!1q_&#)Jpnx4BaZtnEeAR%F!?Z(-AeH;WrG+V46{0q zVutovwWF90A?qYsJbaZv_Vb$@WT~Kgtb~NmU64B1Tr|I!~_50 zFSwtox#b72X+=}aVoP4jnoBr)T z@M9BTn=3jiu`E23v+3$QkyJ$Br-(yZ?OL!HK-SilxWa3dO(k zv?l|FBaSz_EvlY1tRllQr~U}%c9VI2HdCK1Lr!yUKdk)YJ}Mg(Md-~suWv?WCRp9o z=1%@3VX=-eW|(O%B}Bmcz29s44QpqZoKntgtCr;_-M3o;sycW>DhnKsbLXh7YrPm( z_UHAq{_DrRk>hE(cG4+5v_WK?+O^_T^uSa*A=e({w9(TEuW*R0OBNV>DF~VxRNRzp zJ{uuR{G6!IKZXpHaj1*+J}L=MCIGh$W9n5F0v>!G3uggJ2`^jXF@XrZ~S08e@ZK%0n${;I~s0;lPT=<4q>u^)YY^3H-QGK}oysx?Y5_#iM;N1G( zq2-9JPH~);l+vm*$bpXtJ1wgEqNMs7+uZOM*Bk=H`?_*HIilNOFn;d(CdX>c;qMnm^SQ=Z zgq*nHGgMD_6iD#5*tB>&UYZ7^VtkPN%*cEO>2_IYlf>GQfTd7^@cp=5QSK>f<_nPT zMY?G2*a)NVy~ugcq<+iK(@?+}ZKT(R_&h1ocTb<^K9tb0h$r0*;J>bTM*qq}n9#*L zm1WAq$ZbN1Hk4FP}Z;%I>2D%Rt;1cg0c2@_=-Hw((KzwZ7~~6Hx)zd45Gr z?7xF30&cg^3haG9AreX=P<4!Xehd_g6>jF>bBG|ixL!ALn(NFThm-_=d7MLFXmKtS#@bIGK;y-ejRs4~#F%@*1w__`=|(tCo66*?>?Ugf&*p7{zPL4-8pa_~3~ zL#6h_F%fWDY=$o7wrsx~raF_8lcxt8Mo?cLsbMf5hxEhPz3b_9#LKNtO_SxvM@PS9 z{js;uJ!U5rkHQl0^=?>haWE1Q5#h|~?d_eNoi$fdck59Ai^Sm7<~G??&uHF!=w6@p z1%VkYMc(pzJ22L}x@J^DQ=3f7;a+^s!PRp=oq-)7?F;c~y*wLTx$868f75+S@xFh_ z)NBrm(YoCkJ(d%0E-Nk7`0MV_pwaGXR5}_iJ0mPU-Y|mi@wpSqt6w;cMKv`wO-v5zOvZ%6y7#6!So7THldoJuUBLtu zA5W7s-dFFGpxGl1dN`l}kxkF4pz+oTG8pLz-% zX60zgd@ApQv@qeO{vNWxP z!@+K}TCl!tLEg&@&1cz@;DcVQG#%xj)mJd%7$U|C36#4CN{PlZ<=aU>f*1%ME{EN=5X>_llAEC(7cgl*wK{!d*Y*0iYxsI zv9K^+WOw#Fz@c5Gs?#D#!Y}iQfSbBQB==Ul-^RuU9|dK$)<}XHsZ_I`!Z3@m>m9{5 z5BnUC$Mt+Ni-(ObgViD-F3$dFGV5?6<4$R#%?16dKqA)lczWk#uB0yvDTl*OZzO>n zJ*M4o;G29B08rqvQLWovt<_i#w9SZ+YOt{s#vD!ulB4)rP=?2#UrGkZ8EWD-Qc;@9 z`5H4{0#^eSeVLRwUCyd!al(+%rV1`W!WNyGxXqVs?yp7f&cbu3msq=3E|>2`t{vwR zE1F2h4{{echg||bYE!+Z0~xlknLWPtIVY%DXt&%WKn~+dA>XihD!u)E;cQ8Iw%c!X zd9P&F3*NyeS_xb&Icqy`zW_SsUeQ?9`#_uBtxYUaJl*Yi|Agh~L`d6}Oa=#w{|{ya zw-&3p)UgilJKI@dSN%z!kL_<6=Lf{;dphNoX(awVkAO-x{gC>h@gNEL+C}5p_((Ie zqy2`fz3l_bt*)gnBx39zGiH~Me9(olP+-79B0NI)(~?Saz4b}3q;F!)Z6$?KTMK2H z>bE!U(ZnpzP$IR8UZ*!~M}2MWi;S6y${aqA>-WiET<=uIb!x!T3S{{WEx=>9gEn zv5M!~gC8$DvJNyf!Ju z_twOFurOWRlo9LOqxv+Kz+{`Ktp_@uF!fqyUU$K(YM?X-rwc7Y7alUC#S@5p?)zl= zSkfspwcT5hsYUlQg8zyr)kx<^Wcw50;i8iA90JU{E0W4ERTe# zDTVRm!~}v1BB1joBNzFWANPT^Mj7&V}6)bG* zl}b$(jpC3HNQ22DnH1?4@UzXWsGIweagka&n8*l(9JsMGCd<_Nk{m-qu1dS0Ha3N9 zF0;LT*w}vIQhxIpEd>rQvv$zAjVvOwSUqrqt0BwF=s zexEj9g<<_j4Eo_8R_wK1eqa2uiDG@B2riZ*A0Hn{iL`Km>2YFdW0#v9+Be>}`%<#9 zQR6}tKg;r$iEHdfpP!%EtQIA4I9<=NmdNE{QR%fBN)rVnxiX7KD0&(zwXKYrYLDkS zNL?c6_PhVi*;`@dEsekY$Ve2Ta1-k<&dfZLO~hVRXlE;n&m-PI!U(hT_oB8&k;Sr+ z5i+<>?l1HyOaElzRoL?I35|WjwMI$JP%9SeOS0maozk6du#;Y98J^ku3>uOq0=)A? z7Ve|THNPPdAYdQhx7#!qVkXfh;&K%-#_nCBLS)R#Rx)bh16n9UT1Xd)P9rws{!mT$ zCZ8Nl5^_0&iuHN275$&n2~@;j&|!+D7H&jN9?^$<2)z_~UB0A?;>fztS*gq;7Mq`#LV%lE;S(HV-?KZ zDwoY`fT1cV)L^yHP(LdeTdH#{{RXk)Ew@Q!;d(BoB;aU#?z&uZ-)w*P*n!EYpN^$& zy(qa=2}*GB8ofqW+2;0%TUSz(!691c@?yEjh<&tB$!y_A6Ny-rVU?8@+|rgz!F2#L zrQc=@dr}bK4=j=%TkT(xT|wEwoG*1Q8Lp)lj4-agU>cZRHDAqD^y%{pCvl#;bcnNF zVxpoD1CdqQ%@kSDhgzfHLS(IDKAOmz39F6E{1&QZy{$NyLod z@+5iz-{XPTTv^zwy~{B**Avh&XLC7;d#Vq&c|fZ{XRxwVlWFgB3s8u$Es?i$+P~o7 zn1KbCGvd~`v%1(w^#qF3G3b7{$q-GW=;mQ1`qoxpPOg6J?PPf=PZ}F%cR9=XAl*p4 zwYyn}_zmIY8-E6a? zaoBq6-L5u)-e*yP@L{O?emz{Rg6PM#4h(W^BG35vc%fV5n;iseu__JCit*$9@k*U( zXCmC~GDMhtXS@MuQRu!t1f_F2r<3M1D`!!Xi3Gc*Q5XuZ{fuRAMZ{54At7~(vs;rG zeLsbhe(|G(t*l0u$EL+||HR^n;I8&1x)=foulDQyf6)!hLDt)CzU&D~ zFt_tzBl>0;WWapG{R8Zqji>q0GE*^uLJB_!&@F$P6<3g!CfM8Eb$%7(K)%c^_r2Z* zm77t>DDYwY ze7>8SD)a_TGnc_l0ft_y-V22WA6hO}YDMwiBQkBXwA{{lZfBFuzU?8wYD=^hnjEoD z;4amu^!*iARWYZ+eRr(Wmn7H>x20k}KgV0==Y#oIgv0-WG=f4tsL~_}RF3r&I*L8Y zJ|#mqS2(e2x><=7E7TI{bU&Be=Yc38?no^Y3!g_t!MnuZy0BY2UTt79DYi)7_&zKd_hp%*&uGN|)IxEfC^yh)w)Dt7=TRqUa3;TT;19NB zw@H3el>|LR<-;V%(6^6^aUWG^s(3@u`G4XlzwYZ>?|~t)?FMwgL*X{3(A5` zEvR)U7-v;14y$>b-#}JFrt#J8Za$R@+H@aG52x-*k+(?X;KRbKOT}f@GHMZz{d0>o zm+Ox#B64u_@Vm0DH86pxRu&4HAn1pn7B=!II_@TmyyE(~^(?BnN>j_q<9?G4k2f{B z#tPlDC1rro(@Ya<*L+<8`7Q$H^mC){M&%CE8Nq5-q`+q(7z($QxuW1G{uh^XW;iEW zcPTcFwb!yg0bTwcih!;#^*_zP9E9hv#eyxzA32@@WKDZ3JIyQp2R7qQqzon9Z6~^w@hv1lo)~ zBZI#=n+!&+kFYUnpb^N;NhI#wGnEh*{aA`;=8|t(bg@jwq%$VNoif!kK1YC)(6%}Z z712BJOPG8FUjXkkQ@}psTFiFfk3L!|P8P+P)87Vjjc-_Af zcs!h{GT0azqF`W%8@W<%398>s3A{yp&F>hTrA$bzwG-1VmuSrNN+@J%5+GNcw6Zw} zKqiWP!-Pk;=5MpwNT1fkOK>o+IQ!ibm0atRCOee*x0yU0=ex9(_|X+qwrq?66Oz)1 zQ`oK#UzYyYjc27B$cCS>f>tpLf4n)N=5sV{Pz;$EGo63C3zl^ViS}6oOHfJ)0iTIZ znYZ$I-JVx|3Y1)=-lvH8>0+`RE>rWMonGp>xL!sX`#9tFX_|n|-WDggBO;~vt?0FU zs6xW;=dqvScWcpf9tVlf|8(Q)>{Uy>gFg1_!QC3_>H>#y zH)`wa3!wL(Z}!qOf;1=vD69Y>lmsKZ;`0JkT8+KJUw4U#Xi$;R(9mPIx$k|2S3wBy zozG1LA?r+V*%JDy6gn#YrR1(RsmR{P%TgL>d=AHi3@Ux|1(bbD16lb+yK6-3z8uj# z;64;gn{5|Ma<$#k7WW;*4BzpLin>=*0(#rybXy0KuYBYR?Uh) zF~Jkg(J!4mybrpAr@<^1spFo$p)ZrGPvJG1KF$~cKACiO3+uV`x|8$Dv`XhnTXn!j zY3b%LNKb~Y*Ito2SVS&ZAdOxbQ#lb#X*U|Qyb>P0h;>=zk7g1}2mI(cR|~Z@0NaSg zA&aL9r}bEdAAi*rQY*rdM<3jagI@Mg5{+9gnqRF>tU7;b(KEh&Dwe9 zA|nu?#PGvTDYr;#6bn8btK2x|cd>6WWU zyCGlqqoCL++03L1NRaL={W)-xBAt6o#t4t=BR@Sx^nTEF*SDh*`L5T+n;Hc_#x-EF z7S0{(d86SU4`L2=2D(TCsv5f26*y(KKWm^M)BYvQH7*w%1{!G@7;e9s!9kwK ztaNkX7uDVe1p3LI?~cGy0)+mx=g!E3>s4IcGVC)PFlINp;tWfF#xG9_4xhSo2E2drtyh)?$QfmXazxuVpY}Sm`6>wm)B8Z4 z+W&3<832&%hJQJd|27N+Bmju^gZ$es;N}7Q1c5j+2nfk64A1Ibyy)p5Wp)IGXUF4Y zsKPbFn~V@K;WG#SSy5oHhR$!VkH?S_EoP#hDxdFM0z9O|#h577TfF^m zP$(xt%pf4$@y$sZ%3rwB(X}|e@W!X{=2VK>`jRcackUb(L~>vtzc`ZJl>z(NB8DRB zr6^}`uuFEhZLchbz!x?(3Rl;@lV(lBz->UOl1R)8X<4$v(&e0SZw3nLzoN0bz@#DJ zCEX9~toYIN{pnTCP&h7t0S^SEatLLrgP5EAF}R|x>-$?5O@3|Rzc~*Y3)g03+&r&u zp2mRD2kFNeoj;wM^GTR@D}xM$w3}&{7Z{iaM9)sd>Sa1LwZ2BVPGbXPARyKQG)HFr zDvqc~@Ccos?<}MuK|H()^AuJCv+8>So!5_HGV1Duunpydz6Z6W&|7{Nt&=gSoTH32 zzMhuv6Emn4Ay#r#g#T0;)lZ{ZBLO&p(;`NQAQc#{dg%;`{-%` zR#jfB&*gd_k26~+?c&Dgbw0uU++e|>SFfQq?_ zp-RD{d^52_TLBpU6o)IsGJz474v7FWp#i(7fLIj;rKg%Dp|)Y2jyZk$``2&FDQohC zjEkRZ&sXOt{Ve+}^KMh#kC#s$x8`P%XYo{!Er9}?fxgyo^XDyox9ZIk?RdwtSUL*=Cn3LYVp8%opYe)>P9hTKoTnm$fjq~5 z+-?i8HA#tT0^_o8Rhld9l^^C2Stp2HA(rZls$|!7RSF3~H^Hu$PGH>ryOhGd<^+S+mUcdLIy_edd@9T=I6bcRxC3f&c)U*3MuBv{t3bR zUSnQP9s4mVXnVV79qUg!-sU2_nYg=TcPTJxE#JGckTJ4PX>@-)<8(M44*-xx&(sFRa>*`D#3^mH74Mhc2hmoDEAwMq>K;JE-Ie%qYE9Gr-^Y;tmPSy@@p(b4E= zXsaI}Uz-~3(s1#%sWuY4-jYW9hv=1?&&>n2CHSrql1A|7OJMk2b3f0laLp3+pzuYG$?EcOH5~^PP%5gOd~D7-tVkq2pP* zuz$iK6w!-nOA`!S?Pd2ln=nxfbwn~f+isubN$_DYpZD$ND1>RhOkRij9SR&g^bvXB zvDd40wVzvd;yGY28yj6<{I+D|$#u4+?c>+R)vkVUEH0!!jpYUuGAE*m@3_7`T@38w zTI*FrLWe-Fby#7>P=Z@whs|cz(5zO`?tmq!a>hj66ID7~%~8Y-*#-OYZsTzKe*6t$ z$l}?Fw6A-z*6p&+!=Z`4=1H*g2WkPYi{H^_n^28DrkgwQTAkVLM(DNvJ%6e6m~3Lt zLjDtJPjQh!&#sEeu@vTN@`CDo!duTDmrMX#T3UK2maq$mEbWf~S`k()=8ARyy5FqS zo6&V(;o#8F(ZRcLnvP>(Vqzx8fR@N-X*JvGs;Z(}4F5(Z_4f7_kHH&3PG6dvqot-M zt{R&4DA&k*Y`+E_pyh4xpv0~b2QbRPJC84&)t>#ijTpUX3hM}BKxQu_gU;l!Raa9g z<5i!s4NDueQ_-+X`upj&_xKU-(A-Korp;cd)oh79*~^Z0&sS)(e4x<_by_*qx=M`4 zDB!fR+scx+pje7HLCNFL(`C069vPm^W%_oqS8@^wUzc-K+X=~c-+ri5(X}WiiN!4C3rR#dq;I*l2`w^(|#W7@DmRI z&nv2<&0dVphv(A|{hCwe>^R2YyK=Qrr+*OSZN0-q*o@ zYI_vTW-`-;6kc}dkokv}ACBkFkJ`?fj{dq!r9(%o#GcpVO*eB`l~h+xa_oP@JNqVU zW`hj$kSJ+!ftoaMs+94E0Z0-X(!`>_AjwHS z^n#^cu8GZ3G7rX5M1DX)LaM5%IqsX-ZnQlBdDW4T5g_pzNR!23mkp$k3k&xvHS6Oj zyBVbNgxX!sT=xbM1O&PQ1Hn?$fm~JCoX6LvkC@m%Z?EtyPIn*fbQcmv+LWWV;;57J z*Q1z|=}$FPuWY2&oCNkiemSbEaNC*q4NY-)%XT@Dlskr1ofy0?_^_8|Fp}T`_NnFI zhP0>VPvOq~>WM*4%-y}b6r3D(4Iy&A!9+8mW+rLW^$I#fA)pEXceO+e}F$M^lF&Pa;wK^RM z7yif)78XWCL{tPkK0dBB+l4g-$qmm51wn-b2Y2tS*BXU|hf7tkG6q8!zYCON+$ITL z&?{y@waYs#m~!fkAHvpvT!>jt6I$ITLxo5<;x%cfOm=uZq}C4m-|Xvjyd%#d@Omg# zdNs4X%IsLx@wW=va2qZ%Z6@QSk{(W46F59EKl|KV(pz!hd=dDvA%Z26QnarbM$gsG zDOyYZ+Go;f?dxgNa+;YPGp^7bE;2X0OD$mxyk+`guhPWMuqQm&DP+#gaV50pvu;L* zZuPq0eJv_*Bb6Wh&1JhSO%oL#bgA=a7Tz#%MHHVee}SUH)6uVRBPreR0^X^baC(Rb^?&nU2GtY+SP?e1f5_N+7p4YoyY-<; zWh__5He3s8o48+Yax(?|vXz2|oyn|$2_27@8*e>9(6I!3BnY)lO{VGqB2G@M7$AWf zQz@4ke|vlT+?JGtUO<6;t*fA*K&MutM^8>JrUlNaJr34{`hv5aOiU0RM{6yaoDN}L zfQm%`NelxwF>lXhA*rkTLEK!gD10u*prSliD;SsFArC`=ht|{xphZLXio%M&&ret~ z%-@K*XKiI1xo`%_6mvGT(~<*|oRudb=|NWd0}qS4gnF5A<*N19619NB1KEBjJ$nQ( z6GmFbr}&5PDYhGf4zC{ssAo8+Ppi1uf;q0OW@$UJW!;VtiItU=0|eR(D#LdVv#zJW zeD$ThE298ssh0Xmv)?6XsD3rM*XWA{`^GSsEd|q|*kFvea8r0!?%+l3tkGl4yZW78iIs(SD2WcS-B> zrq`gIl3Tz-2hR!wPDMKrfU~fOsvzhNd^ zM_P;_`+O&Z-Uh%van2!a?+^Gcs_wNSem?qOXZD(w@6;BA86hKk0M@V`5nA5|Vv_!( z#gD-ZDd~FQ!^;oz9QWSblI~=0MsNn95XS5h@KOzP&c+QX)Zf8H2)ye{;br8 zQTfvS%X8dP+}qj47ERyxm)M3jm5u5S`HKvv-7x`+NZ!WcxoRg&52ydSW7G6ONzanf z0Cln2kFey$hCsW#9+m7{)JKm{FA?Sd(Y8%IXR>FxUi`&yZ9)~YVGVC`dd~C#v9E$ z_4T)Su{ZE3s4#{l-Uks=jxBBPj|i55DK!ZCoyLge8);f3WTCbzPJfibp}f#ByUF00 zX`(g#;-e~c2#SQKi>K^~bf7EOdPhFFQcl%i^*b#+mP&x<&Md6pUwe}%OzMfTsik|&i$6!J{ zZuDKZ6DSMv*WZzoZ?9Y&^{Ru0_8ymGD7UEgz-$rG93|Qye-{rAE>~7o);71R7%l5W zcfesl?RJ!?AR2BU3Y>+7#kuv1ENb77l$C$a+&CB*3{6}?o!339oGF4&CfdQ6Qe!k^ z12W1R43a3fbGJbk6;*2$BMchdcOG$1@*U9rYV)V+?}_wQWGh7~l!I%e?;x5EzDOQt0+@u9bpoIj|8gaIq`#shJh1V@77psT+RVJnFPS#pZG1;$z?RjUSFDl*aZFf@go!gH}p^lYXpYHVx`Vx zS-|Vj8ji*^HNoCH-hz04XQ!u7y>2@yyBP{5n?x9)LP}f<R{VUYzgojfb2!P+qLR^1BB3Ja(_|4B9L81tH z#Jw|RdE)|&AdrD|bElRYCo_-NdY3)`Ezv-!`C|S3kAF_{f;;vOba+`B?jxR=s8j_& z|7SWtUz93ZN)Sz7TTAQo_*hU;STlxDptGgr`E;S8aD~Ws;&?bS6VH^AmKHA0sR6^$ z#pMHZ2*vbZKksV%IKHkFnV475JFQ-io`Vo>J~3B1^ycLm#&d;9#q2LQBl7~~JLF0l zI=X6a{)G~t-?@07l=GLRCr0@aIn=#@>wP1ppj`eA*Xq4}=_BOnoVJ)E$!$y_B%K~B z_I*uxS%6)1BGmE%Gsji@6+F=I(g!o~KpWsa3M)Xz67>rEM>rLG|!x4FKW%B*4Tav$^zbotT_*{Clh%a`D?dd7e~rl5w2Lt? z@wSNgY<~$zm~|8Vo?BR4+$-JBIsl7GL8sFaCsj$tD`=c)6oyVN@kP|)HcoNcDd77K zlNUY+C?x)Pdjc}vTCI*Iw4%%jQiarZkS$hG23(*YUl_B;BM6 zHpYD9&k3iS)gxJWl+2=gv309n*1thvI?b9OvHYnu>868kM~NJm5C68_Y;BP5w^IqH z<%dd8squs3JSws)j8r9U5_Hv`Bm^Yz*+HDW!i!gBzyISGInizxODUh-SW>M&EVoAP z4EL%TyUnk+Fx@_31vAiQe{tH;)L(A0&Sc(!K<|&34L|9tG+bHR{bfiDyj34Ep0dQa zvt9lO!oum(>_j*5l$aeMg9Zd{3Z@zdg#fdm(v!{1%<5@6TbY@d{AG<>HL;R|h&O)Q zibw;Q%an)Ov!(yX)jLMl)qP>#Q5)Nh8r!yQG`4LvwvEQNZ8Wyi*k)tv*}ebIhv$7i zWQ;S;$=O+1d+mjJ&FeR7LW6_DBO-{hV`d}IgE?lh%&id)RwIPFX8DFzuOX_j=d1G& zO(|k8f3szxX7rY1#ao8=hTx`8Y!0F#EM7{pGMHo}n@4&HqH$j?TL*m=o>M}h{aYRU zkYX>e^jQbDnv~oN{chRl7+yB(Xi`)s6PbyzcX$w+5kMJPy)olkS#J_mE%-WNAY91h zhC#^VuBNK0r3RVEv^ACwiqttk(~gFT8H&Zud}Rux{s7Z`5WJJqKV6L_Lqh%~%9rL<4* zfWvEMd09Q`HYAR;3i8mrM!f?*0a+Q<`-3ws(Vg;R_hjTZs`=u@>$HD%{zmj59Y`RAK;v?f;NqHcJP zED5$xp6*mj@7Yk7yR0T{Qit|v4}YBCz#URj*NAAyNJZ&wOOkia*#!%D?&#e1;LRY0 zU4aPyOu^Rty@^9ay5ll%cs;4lQ!ULmJZB5hMz9_&!Rsi%XrK9KU~`@uVvws8Gy>t} zsJsU!L^zwTo}F>*$YF?iP5AHA?`|EOc~qg%$KKCA*7z8_g#0%KL&zRQrN)|ye!1gu ztw#cV5JYhuejkp>=W=Yfe%`FdTFZuJbxy*5&_2c;6x&;@zsQi`2(F>U;(=~B;_Q9= zKtz)CSc<0+RaZUklT*m6YF=c_r%nBlu259J(oogbz*W7T?C&Iu%2- z3bsAcD1B@50*65xCjrXoEmcHv!q)W`=J)Zb*j^sF9OUor0I0;o_@P3P;%j$pm3-gj zAEniHl^A`Mr=FA+=IViwCrjet(6eH?J%LQ$DfDk9gLGnKrzcigtmfUHkyD+*vK~#) z9a>Pp=tYLim9Y-By_!+T8IyQ4TXqzUu6=BduFJ)FJgsg0N1g8+@4{y z#`{Z{aA3VWvyzUbbxS|o?La(Y1SZYC=V%$lJm>jNGzZ)cJ20y@BN0`J;D`=dxcwbS zFrC*@%y^4STEFfM&|}|i+S0a(0NWu@vn4sTaVV{^yLtISz|7nr9Ey-PK3yo8_?;Su zTD8>Qx2&>q0%BlrV1VHpWbs}kCVjWMPGobEM6pOQ*?irNuK@i@*}jzky%n%K)RX3ayd!+xDP!EN%ZQ9UxqYepfw3z8+?W8Q*z;py9OKm?zL1LB{iIPvc z09TIDO!Pwi9nMKa0a@_=*B6pOmN1g?xKh+pA@=c=^b)t?skPYGri;Iw_eFk?aX8u2 zZAZ_iuY*_gE4R;lzFlo*IY;|UAPOucQd^ZujA?EPQ#wt9J9Nkyqs2pXU)u-uAHJWG zrrY%_+MbtZI8kQ&*t#p&gfcPo;Vrf6P^8`jVyK>vf6+}&a~r#ENZmLy2lMGu5v5=O zM*-_N6?&rFs4^F$%6TwMpRQd#!bOIy0go)_N6Gjd>fL}gR0VpCRI;(a&q$2qfxU%HMSNJ zpQkj%w7MXd1``$pBv(*14Qeb1Z(dxs=tMBez^zl z5P&^J$j$?&^G}P1m1>v`iO?|0jxOV|Gm?>yRzOMTl$IhraOv8l0`Zj6RA-5g1(Uft z!8%CRa0lxR1j7kCH3_kRll@Y4Kv=Y?A0SjC#efT=#Y!}HLox#K6n+!x`S%HY{eX&s zDT;77v$)Mw?Ne#G2pkvQK5P?EnAC zZ%VRODX$`z3aPViW2ZRMW96ifQShPS0scG6p!aq^X{esVc=<9$vO+&vEw8N<6BVwjP_&Bys*=6>k3^OOc+Er>!21SK zR573ymtIKuCo6OJl5BE;M7GQ}cNw_L5Jjtf@sz!9S`)fB1pa5S`_D1= z|Lvh~m|J%uw|)22hog-^mwu-J5)L+8-7ao3es#~(pMMOMufoVH#+#o`k($WcYAQBo3pkX{rtS`_WX9R3fvBRsk{wEy_bpNj9*JHB8(C&X+CF> z{0NT-5uE0_OIJhH%|!9eTuzrpS>Sn23H=SREzXU+Bt(J21ub@~ln($GNqwv=FoNPO;+%4AH zubbWA!*UP@OdYP)_diX=_9VAxV$FfRs7+EncU)K6LyZUjxbkJS7%?U9=zhEHw|mih zd3p?3AnC&-%JKD9PkyQ3CP*HqDbMQZDx%Dq>g>6so&{P0_?=8HI}7sLn;CpU@}z!| zbuCFKDhQ}37>O{VpsFnBS0WDzScSB>b4_==6Sxby#8>8NSRlh_2+>(z=IK^glYbq(q4j5U^&~FDSX8iK5r`YyJ z`@2mn!Xx%`wYy+_k?u|pm!Wra3_?>?KQOO)u|qgh`cyK93Nbx&3Xo%ikf=xwDZeqtBis>8T`)_E~LC!Nqu5jrJLugMe&_OsKSLAS>14M13*EOd$MYPjQ_aO;Q#2Jm z@&r(EHe*ZQu5OsO-ipVZATm?-Cf7Lp;?_6GzBIk!*BJE{`|{~dB(}fiMlT-{?8}J; zj3r;W)ln5WR?>VMERZ2ceHo~G_HSo|-IyGM5Ur|ToRwzouC*yC$?ECMPZL*Py6X7F zhO!J=qVJubncRmqCe{%#Z8g!hxg8oiio$(7epH=__u^$`O=L?Nh1%#aN{cG`Uv6!n zJM1q^9gCPEMg}&WeE5FZfqv_q8$0!|>YrkHyll*y@flA&H9u3dEPDQ&+EVMP$Uu^l+%B1w<@!5( z{}H#=DJ3fORqY?RjLWFl!u>xNe9!j%vlCjJO{HQ17B8|h-$^+w=5D=QDC&xu;EQt~ z?J?P&2ElxnLqp}YgWgc80w>{(Tr2(d+?jbj*VwPeTSRlZ(gTFkTrtGrGk~Dkz4ssf zL;Q^q5%u9VVsGbYE!ojOswV>HZ{JBK~U7f z#6lPXvV1n~J`6j!*?}l7VGG-7s77v80R*FeRn&e&tky$VGIivliP4A{KgBCQ))Vwj z$*i|bdHcbiRQ+!-MmpwJG*8(t%qwuT&%N8)Zk%+Wmwj>B?c_3UzkvI3mUEkeCqrFs zX&Aux3#P;)&5LWu>+O4&Q4gB%RlFLuaTzl1`|!uR*^Q7Hx5g<|=+w73omB?za|{x) z-RmW3{U3i*SwYfK(vDlL0!*m;U#%`V)10`9aN83JACB@b8Zv2KDD$E{B&^8_36Wzf z8&%?BlWEkbs5l7*&nww2N>?CV{0s)*_u=T(Ka13@^nQkNw3>&dR{g@^XpfP_5A!_?(xg6D#)0+3~#a4 zp9sx06?S)sn-6RKLS(IH`b1JA@zh(-&+8r7ZRXMge_PmC6;2Ck3C}yUP7n$9(fj?3 zcbgAG{6F?`r2^T-$#l9mruGQK2u==-QM-M5q1Yk$FY`ubnKO`sJT<=WC;AiV zHdcFO1@b#<${kPNz2>z3jbmWr4&VM z9gTs^2Q{6OgE342f=0EeYSR_hPF>mw1v26H)u%^(?@gaq16mBJn>py76ei0AP%;w- zR#y~hZ{!#wRY`Dbxl&4>P}UPwYz$#*mNe9q4Znu$nMsWz_~Gj0t6^!Ybox#np;Pt+ zi64p~cRa|9CAkm!Tyi(~5%UoezWvM590@_+k^8Ur&x}lKqMu?E6X6OAccDqXV_d3# zYglBMqM^%RMP7TrX?Sq3#;BI#o zm~2eGQ>8+1gIrNm7Z&Qwj)U4gu|w`0E9t$>Q7_XPbF`eXW9>n@pSzRJ>-R1!9JrKZ z`DNACCgsy-$E{ws*}qN02JLp`Fq2eZ26m)A5*+nfJBRvLFe3M@C`G~|+2;ru@10pH zC*hVG5?VXBR*o&K;(W<~aR7*yvyoq7bL^x?zV)1(&XuYp^)w1=NIATP0!d#DsA^f@ z{L>QB$fV1y3U z|MkGx98r*t??krOt}pF`IhZpz14s6YURqt0BLNQ~vaHM7w=b)pAnxlOd@iWRsV2wm zy(#A+!dA$+!V8A|ePC=-OF>gXB-Ge+OI2}JTgz}hEaD?O9&lY&T$$=+e*2KzAnpn0 zw@&hj^lvx|Dk3ksYnTQdo(t)dp^J|Xh9E-x5K{Z#{b z2P^LUMn69@;Ymqx5boZJ8#5*S=se|lD1uwrzj~XS>v{HJ1Wbv2P@OqiQJGB7%wJcu zCbM{k#wU^Uqr)IIQQeM(H$PKM*cfMk?L?iqr7dZ``v;S)ZRIe;7{L-mh)7TsEX#2T z=UKsQ>vyF{>g>!mNA~lp(U&2zxsLxme=t%84BSUsM=)aC_rf&qFt|6to8 zMmf(2KEn=R4;^je7XF4F?2%T;7r=Rx%3MH0Y($Q6%+X#2 z(P@^<9BnNL`xi(7S+eX7{6+_U-=C{n!^#2*eP~`9>BP}dPSA@r(gr7cQ`K^GYMK=_ zmdb5Vw52O*UmnGal556h9#D_$whetAlCHDIO?|_3g@iM$>DF7tfBp|xG?R~OP7^On z0NNg-byA_PsJSsA*S%vu(j_i`iOz@4r?fIZA}Jk?n{QNHF_84(e5t^M6IX`mMshdl zbhg?51&0VK@`;8`1uurSo;OfEHJ%MD0Of-*hQIslFNI?Qe0)^->dTQV?Sza3@;5b6 zN#P&ZkzPqpVW1YaEQ<0RPVl74iC#RC|96`}MKL?WO*F%4*xe9Q4&~%9A)sT5E2k^E zcj*`u$gNiD&DngCN7oT5c)|HI0~Vs|#cJHXD1DVn>Go8jB~!j)!23<#+W<7$RcMRO z1;?^Pbd$pzOYQ@X@;7K)U_gzXl>rHo$KtZr^P(LP5&T9|NjB@r^K)E@)&*S>ZXsy@ zOnoBleDVNhY%z@>MogTL1$vC0%8ZCB-O`-1tn~Y_5<3gq9vwNc?EmdO1wm-M5HX(A zW4yZGE91HuzA?=i(T6PZMl=dBPBpdJpJ91j;orVe)pMgC6b5=%8Gt6>i)je{ToKVq zO1YKqe*V1y5}ET?MTOsLkKhYs`JO3l=a0_46cEUd*~k!0@8(JSp!pL}!DrO~jWGCV zS#t(XyD@dwBqEl>S7IH;aGWvuT=to%V+a;MXB_?4vQKququWEAUowx^-_FgAhLS7O zVFp=dI-Vk~?qM0dG985DdxoAex6`_>1RY*byK<0{ekDe))Oj6f_q5ii70hzILR2!E zp+q)ra{Y||Z|6ou!RT)^ zZBthX5CuDAB~EcxSUcp56I)OwwuhF54+o47)4+ivfIjYS5%HQZhHOTiBe~;xO7Y9e z=xki~RMJr1k;zr%m-MZgq58m+a^+D#3K zrbfXD*->5hIC};zv~d|gANC(gpSZEx&Syubo5glUiYp3S#zw=a#f4`PPMq(Gx%q>P zXh@{O5XiI1yU_p5tP19e2r|RD;FLc2A(vQX`KE&c4YB@Ypfh3o>lA};{fEtRuFL^e zJ>%;8M!=E6qPijo*0(EO2QMuXF$0bnt1HVT?c4*=VOs|O1;bsT7JK-l+uedykX=3bv6L)%J zT5ERv-r5))8kztx!b$rp;lQus-yR%CY6t({dZWNmU9H<mg*Y-jb4|kUwR4j4< zYt7@HxIt3Knso4;*CR{E;~=^<5&y6O(b`Mre%97|_?qSriF`_#Xhrj>_2j-AkBpjW z!#y(d#!O*U#IV}dSIt;^?N81fk2l)B0#QL(b$XgI#A}>{UwuBvR#NV-Dl68#U zGE8EuS>H4DpOb?yf%sjdW`3t&9sx)`#&A+MkfcEPO;rYnVmN*UA~XNOOArT{VJ#i4_MPETEoQ{g_^thHG9C97N&n&~zHGI!ez%eWy_FP@BvOO9%C{{Lr2a^OizN z+Atz7NCC;^ZbxE;1ipv$=eZCE#BA%&mGc4y&@YOMpBM-re~)pqPepkBb7{tO7w|y} z%L(ow0+^aQ>Uuzdpd8Q*Wz2#Kz)}Np`g;2vDM>bM9^P*yD*j6x5hh4$o!80Vs^n$( z$G`mQ-fuh)5Z@{6wzRSDN(lIVzL>rXFRV?>Zr)e?+UkCNy1Dq}&VEOvhb@EZ`QD^Htr|mJI z@Hp$%tFl4&k@qq45qFXOGt}(T?6Lq;b4`=Av6^Bx#G9P%J;)k>1=Xw98Lls;a62U>Csn+pFjMPWs_Vp;Rc*;d((tM07Zn^*YV} z2}SHDMRB`7rn{J58io&W)?RiGtl}k(XY({>g`U9!`h9$aIBVTr{kyn=dfb21>AnJ} zns5=J`!SPA8D=Wtt?u{R5&mPqs-M&2g*+=zZ!8IWFs)T?yrVP-OD>(hur~ZSbm8gs zKE71LWtKu=X`9Xe7>Y;`o83;q&l+Z}!SsX9?)v_$#i)e7+w(I|yUei7$rSY`hx6Op zDu9>2An+o0D3Zk|@6qU?+S^3*%%m8Ef=@eE|43 zvT00%D=Qiz;FIaBYqbXbzv%VGlBwNp4`q>^)U~uepO11N5%Ht=@@N$EAy^jH*VhLI z_E!PhFu)IT*c&R5&l#W(%NLE5OJyJg5^;qnqrj>18mpBIrV0&a-!22a#fM?lY$GlO z2Sa0XIDB5-G?Xd^`bGqKX{nRuVjJo@x_xecd0KCIs)!&+?4w{F5gk4|@A`c7zKhGW zIbWnOsWa$RqdR@>kKJoMMh09zo-cbzY{@+myb$nt=zhe{G;0Xwh2FTVef|2W9i$Wt zgU)`*>-y?x*Yn^?)NH?2JN#Om6NUqL>zf_+#NJyA3ig23icDOKf@2WeeZ&B6K+AHK zGJum((7(oks&fJ8!Tcf9=rro~hZAYF%f_P#lzV`G+R4Eojl&^dMG_U2N<|3!W}i|s zo+*J*xc#OmvVp_n@7OQ;&t2gf-7e%=zX*@<4=&H^mx5tI3Rj{!WF)lHR|XSV3mx1hV6i>&J%xvc@n1BplY&^`3Zqm_i=P7%@5hRY8>|T>9ZR z{q`ZA-nEqwFwCjhE_;4*4^2sQ_hAmw2cuCc#a&{A!#J*&me9y$^Mr#+P=JK$wmVw@ z^Ibf-j*iY?4J+dkv;E7~xW*A8pl5CLwngio8~;6&#lK z)3d@qHGWX$Q2mfP(9LwXUBF-)>wM zzneYKc#U-hfq6I`&FF^0tI=pVF8kSba^Z_SQ{6R8pZ6$Nh^@YlC+@|(i%*^NdPSVw zo+%7j{iq4cIJ)R~Of>DlcBV(kjHI{?yJeF)laNj8@Y)yj@o^f$p-I89@Yob|e9 z(|Bga$<(wos9{JK^aT(4_AM_`Y2a-<`~BHJbacQDU&9 zXY%ziXBbE;SdN}YBU!HHHd^>-G1wRfa{W6%9so)ZjBppqKEsOf{Z}b_D=EDKgMk8Qv;SzJl z_jtP6(bd%jKy}OQE)^+^PQ*}kiHWEx=oP4F%FAaG!hFb0e0dmTwXZeWZF6PH%9sku~16kd^LF?BV1%oI6J-elJ22-jW$lr+dss&Gs6|lTT}~ zIrUOWS}9zb#t=}!f#@bIne@#B4o}P4YxGU0Y=ly(SLzhZHM2Uc_$h!~j`&a7)=K_$ z`KV_nzvOcVvx(Xi=Kj$1v;C$gclyD*nr}#S(iQX6Z2_G(W%4$&JyVisdfQn+5-!pl zZH*#5IiO*y^EFDN!2wb>YI3ANKeh|Bz0(v zZM4WEL*qukVS@|6!ore3(maGKYH4AIg-{HdI}XbNV1s5A*i6RDU7qYPq?(j%H`6)% z{m=Hp^McC9{)vRSfRiaykVu%%^C1f7dJyo!^nTH5vJ|!Tp2-#Lgskq@I`{&tIxG58 z@Uo2mf!8LsuN`uMY(mWVyivSL!aYNaV>cWh`{=#dP#_AW~{>^KEuE;Ugo` zkNDgFcyAo)6e@%Va!N17FXQpA=K)1JW~8z6*-sm*D;-?C8VXgoO)ASI4W>P7HO?3fOe&`AX)Z-vWO z$XC8eIMYzeV~ zZ^6=N54bm+jwIqyRh<*jU`IG;j&<#7etAh+d6 zVrBS>HU*juz*sVZkd=nBc}=$-X=B==_s7ZVO} zz*T~o7&s~=O9XXrhkuhLySV6fAPAO@FqttjqyMzm>cD0zTNtX|Xdfq%O<#yqvsKYG zn~wo+ga`~&`ayYDyXn+|FdnpOC}uiap&SYKEndmeha=vipU-etd%18-V9;!6WL56B8T10wdyUCH4;1WQpv^x%scq_$COaoy{UoPw5CR>N~ylC zF2}|~H04&6Q1I#z565|17)s`E3!-@Y=NpsbFgCyT5a-8_cTbId%+K+BpENw~Fq-O;P#5x?>EOF|3s$l}qGCjonV>ih}X0 z^?G7nO0Dg}i=i}R63O6K%Z(FJ)-~BI0$5>q<2J@=m@j(>e9`{HaNd#9NsnDGWK>=K3N&H=z> zvGYiZ(?_V&(33sX@gz2+Apup$-)L5s(X5$9^8)F|#M+Tht}Y>&hMgsy#zM6sXAChx zOitT`s?q9`vUqoJ&?t2D*d1dt)`RcltDJt=-w(TWGCVv9(>$RQ6ryaunGr>lfdxhf z)*Cm59tRAm8g}u>-QY$N^kvI2n^Cvdbx)EgFe;VO-0;eAn3~dCrvEy0`{<~oz*Mk2 z*HB3s6&fT^*-3)eX#&7-hS84vO!O|cPaNo)5N`-^nRUp*NG?ouS>JkD^NYUN=wPq6 z5x_R^=i*V9%S&QWDZxlA5Uh|jH>YqZ;u3@*KvrF0CIuyuic1>Sm=-=!X$3pQSSH~L zmTAHvTxb?-O_oeeXcO}t%ONj8J4v|mofR;?s4>WK^Cplyiw(n<(B+>G^LoD7k3(K{ zb1H0Voxwy1hlCj2p_^eshGc*dAAn3X8)4L0Wx-8;_5Iu-o=`d}5jxpL^q`BL*B-!5 zP=XBR+o9l&5FaR1TrZiN0ma$Tyt6*JKh9%@S{HENk1?;Wyxnr{1P|B9} zCw>sfA@QSr*NVeA$xaCn0`ouXf0nWo2qube-HKs(Jf1CJJpL|VsOfvN54|+tD@?^x z4<>6XyQ^y(=#<|BUrM-y83H0`%!f4kevC-8T4w}lmn{N}-CD2aP{eRFuPR?~3sog8 zv1+FnhHs^GcJ-M$t8BJwje^yls`}N=XkU$yQR93>-BcpdCz4a1wF5ExR*6j7 zWQwtKcwjNZ;opaoElFKM-$WQgdHJXeWjXl}pi=xF3HbS7eFZg)O_foRNm+FApFZE@ z6+BEbrv&Y#PT{RBRdrfCT84Czxr}L$?4%8|8hlX4>z~&Fpsh34sKWA%ts=sq5$4BitTo zio9*yHLLGL{^w@KBnr@^3HuK&x3$-OJ0p|@)xo?T2wy}LjFp(NmE+y@BXSMXT?^yB zQIUExy}qG>;}! zW)$58O`LSg2_t?_HIDZaR4||iVU1oE%VEii;}dNXRl}Y73Tk5O9sRc(dZSo%B(9V zm3bp35zX*r*`5}nKbaZp@~kYpzf^gSwf3F8gL$fAN9Nn_{%k5oSvP8YwguKRw-_}m zE$Rb5=x+*0Z{lyE0?N)TLd*Mry%qqp5~BTP$r4aSfufGFgCb3i*NjIrVub~=;nx|s zG@b^Cl32infUWqm+lBb@sicinhW0m36=gZjNJCsgt_0Z+ef`Qs#KoriLTFN6XRrWa z6A>noBsL%$Jlx6@eD@=S!6J)M$z?oqRK@N^mFc=)@Sa@!C#|UF6P8(_Oj^K{6peir z_25)8{u-axllo#l7VoquNvUcX96VFJyM-VX@r#BX2HRpvBT~6aGl@z0tqY14WVHKS>p87)sr&M{;V+;_$sCJZVg0F86+C)lB8`k7 zhyfp-ilZsEAQg7I!x{hVvOc`>-?)~ITn^wAP85e9SQJh>(QfH+_PVN;m>e;+llQ%mN-_ zoE*}a^rO`ILw%wm+V76QCR}C?y;*k79bVv+f_ABa1+OCH@z_l;>^f;PYC#358|!lV z`mg~R|GTzab2HCV>tbD0;!o}--mwh=tM+#H&R&T;6Fw4JgnJ0oaM;LZmR6qy3A&Z7 zn%RATBnuBYy64rCMgYoV91H6y89B3?cze%|jH6fc(+(80=V7xcv-~gw-a|ojMw)3R z^EDz-vx{Y+^e-_HaBYas*0;PtL_E4R78`s0`8nH*bOoo2dH{o&XvP&oA!d#uvc=nJ z;?Z#k-}K-lMTkA&K4XRLeS*x2ZHuO%y1Niuu|uz`^sUzpa%eh==+4NX6WG`fo+oR4 z`0kKKf!$R_`Rpd|a5+Z6ePC6ke68ze7dEmF+&nU0#7kH*E#xS(36T_9YQ!w5BV{6i zh}4uM6SL%&8dKbZD_2a=DUP7#7AMOz7}?m+;XKc1{-spU>-)ju6qsZNYyr-T$H|1~ zZ=;h$!5S%cL4ji+u)9;XU}i!oEzW!%=aq0f97%Z|+lj`k*)S}G**ujxpb6ocrqOEW zfU_Qcd2YGnYrF)K^+2knmxN(n-RvTFNfY}>%JNw8wH|OeJp?Igo$twDK+l%SxlHZ^ z4#%`xf1=WvSSI+#di~`!1|vx*?1Q*7geHsLHhv8}g99_K*aOUI&!9j{fK@E*^hWkT9-Yqb(J zJC%uIaQ67Cp`uk}ysg1cF1f(dOZzIXEJ=w;L@0P^1(Y<*y=Z1pP24f*Z4_RP3gc%W z2_d0Lf~tpVFb4Ua8CBTK5Cq_jEA@J^1%urU8O9hckiG$tYEmr1T_PStz5%}1hl>t? zQ9Wgr50{w`!Vz^^jEv}t9k`#Y&8BA-0-v8%@s-2pyRNy9ij9!^3VL8)Q=pT*uM<uP=5;?7J5Z!+<|_q&evtwv?9G)t-0L|mgS8z6|hkGbSIz;vh)gbtroJG#mve?hQ{yc-CQl3r&;FRk1tn}|$3a{!|`(1}B zj^H;jIu)kwbl6@MI-Aw8D+@046}(uSM;a>*s9rWK;>_*10u?9Hr`H*<#}qCui3EBJ z(!t49z`WsvHjYzyt|6p2t&f_AY~0M6?TfJeGba z@7LI~jj|%6nuq=3X9G_k&|VoKy?RUHKlyc5;MahtP#k+%)q%)ny@Df(UYX---#{nq z?gDSARxnDTqNJ7C?)2#p0`C3m%Hdm3Lh$sv_#*)p;HJtXF-e0!VdAGrQ229I0c-)# z>Bf0E$r>}j0t^ie^FQU2s@&}u1~@F-sge*}N22rT_Ka3pb%r?)$C4|gf6+0JtOf!A z$mEYxd%j(`=6FgA0d5&FK_P*{=})n zHo@&42@~x`0Hw_Xm4~P(&ftz?Fzg{L47BId6E}Im?=;Mjek2>Q1%_;`?95{UL^{Ll z97*Qz{I&Sk2W?hvH(-dgx7u$veGYGKoDC_8I)L!M?%*YI2)QN%fC<0|Npyms;Gus> zQo!cY1-S;BxrIowHIIXmB`FjT6i&wyOaP-KirFQz8iu%})4dGkyh%zyV%*%ozJ0Zb zC_CJ|sc|}7$2o$XasLP|O&D(Jj`%s|>V)x!Y41>H2Rma|#w3rfq7Yl%={KpULq&ZPSN3w0bN)D;3_)p{Lv*1!8R0o`0KPhWoJV;u*qE zU^Lu_<8~m&wgu-XTYwV}{&fQqv!)y6#}|-y@g6w@NJZ*(K8#OP+cE5O+vu-<7)xF3MCZB=rtul=>#@_1Q`?a0`obBBS{?!j^bJPRG2@<4?e;GYFF;(wwqU|9Hn ze{%86@@6etR>voc@|#pxZi`&laT1#T-t$3 ziI!i1Z&yy?%Cw~cHJgzr{CCwS@B|8GDI)UcPX>BG!ARdGyVHVInt~nPIxHT!|RYFE<0{clN)awsMJ422WmdmnW{yWd3or8UY zz&5V`A#=WrXO**xz<#;1VZC2-%k{nIvt=p>8ui}C zBms|)uXTIB0+nJu>t%BIcxh-RfVEsK3qS`A4h_+1)Qfz8ELLg=o42*KjS}=nVKZ0a zX-4=$USD0s5%_=doSd9IKR*W*MFDvvC`rs_Q*hAG&`?lF^E05GU0o(JiZYoTjC6EB z_|7E-R*D4Sy~>P$POqDXk+H6=?HK@_>-G2~8$7+dSYG!}M&7Tq*lhtZB6jQL?*#~! zfh*V7*Vx^wlNs!>Ec$>v1Bgi4?aq|p{#gImT&wCpy_vK$WiA@sPW7cJQlSYZ-w&@S z+>MnH79n9_0Npj6OG-il_)#vJv#!I z0wUP9o1JND!aizfFyeJqKtK{3s4uX(nhvnB$d)_Y=pzj!B}cQk-9)Y%`Zfj!i>j)s zT3Xc3zd+0YVJkkLH+608x08AD0_mlX_tz$s{lzM+f3g{M|6CtAJ8=Q5w4=Q}1K8P4 zf2biy2&oYKtY|3WQ^yt_&FUfw){Exje>v zr{^ObF>${F*v)RD_3?Txpl~7=iHeG@=cVccLN0`pk&zL=Rz! zr8~X6qLh5cZO6_R&J=T4|A=PMjOjhpI%$;ru;zQsw9mP(0kKxKja26gO+OJKMG0z3Zu29(O$R;R};p!ehr0e~E!umBEg zIEEm%>W?3U<@QeTfp`4Q$4J!)Sl}6_M^PBno919>fqB8W$jEiTZfJqTFaW{C#Dv{; z{r6rTqT){gT?S|t;m{Z~>bYgQI^5HqZ%+;jB=yO-P0h{C?d{(T1~_MVmxtJN;wSL- z0fC=sjzL6texNmv3^@#rDKI>i!n8N7n7Jl3B`)>x+xy!aa34d`jps(aSi)mX2dPQ- z5%75=c)})ccKRW+M*vE3hO+gSU`Gmcm@kINv$sGIAVAtmrwqFduiXZL78SjJxL7lk z{lF2S30WmLV+?o#G?W|I+G-puYt|n)vk_mrkf}bN+^4Qb`mjN|gl* z^8@t2(*=^+IU^0lp2H;-L%cXVb&YifQKhV(?w=pL9eN5yUf*ahclC&*BuJc3VYHxF z!((_nZb)+4f3hP`l!wLX|H8pfNEPh`0ZLq?t3=O4#KxMf%lxT9&N|PxFgG{1v?M|_ z2(3;9DHbmTP+&nzm)=DzpCoP zkS$NmGveoA<{o1ach?<|9JH?r5j!^dQ^DDgQ(=gKEGjG80pZ8{lAiBZ-1Mwr^nF+{ zi)2`syzF|+4%?@$=>%)7bWrI`bw@F)ejZ$V*wJiOCQ1ChpyRf|nnn*r-H$Hd)}S*) z%WKw0=G$5QL%}0h4;wl%0S0_I>SfsImAY5B4ruARX6^;hTP^O0zk13>i}k7k!%Hf-UfcAdc38i>5FJ*$Un;V zj5#w=G1~RBJ)E(`L?r}sc;WW_e1CM?^8WSB{N`>al)$#9cwfj9c<-N5u8MMo|E&=- zz4+mzBqzqgGP;oI+F~9*7X%cL_TGo`A^SFNl5zP~RHSMGst=>XN~UDlnDMl3#lK2{ z(Xn9sIgXBuw9U(du(+rA`XdMp3B);{N7VUGO)TFFMfxMvj5+9H!XotbZ_77d(kHpZ zS^1*7Uc;Tl{QRI#7+a*~kEgv@PK2dW(2b9WH9PO1Z{J}TSqg5ydK_>Ev?USw-3;N_ zX+IrKF4Kg*N6t^(TYJ@oEa|CGO+nO;ks|26)%)FiJKv^dOZ5re%>@2t>B2h{#2RHY z;*f%mU?I!X7b;3>?Ea?QLT-&z@1xYXx7kr5R7addHT*_d0b@=a16sDZUwS^z_e*-1 z9di2Z?6&YBoqd`wD{V4i->=d7*tQj+zI(125^d-gU8AaQfBYY&t~ol7CSJ#GoHVv= z+jf(tv27cTZJSLSJB@AIw(az8zI*?;?>T##q{;j4&dzUU=a~mX1yn)>3StaU8(d7N z-*}*R?o`@L zTZ7|ri`y->K$1CXRYK;oOfo{j!|Gx*RD4Zy5dsg#w( zVGwhNYOng*}6dik4Oi8Qw-=LebX$)m69}sb>6JQ!=}E@UX&# zNwus0?%o@FFs~ZB4NR6&q5WMLKH#jmNvL?s?(0v=iTSI1Pj)tF6_hL{gR|go_PYgy zD_CLZ4!XQArMbSfLI*wIlrl^?`+ev@^c4z1@|uMS+CLwl0vr|#I+uUoCTk>;xnA%k zrr~uFlpoo3JVSw*Qh@~q488t*{mvg~s|@26i4vmyz=)S#KGL80^Vmq>+=5E6C^JK2 z^|uh2x}={7Y?)-I{=nq2BG`9Ei;j>JUlfp6ihWR*%ZpfA^POmGgeL(~j$)qKf?Yb9)5XYZu3LPFUlWZBILq?Hq6=C@S^o zUSVB3sj=UbC^EH>Na(ngO%fh=IV#IgADvMUZtR9x!6mhg=Nk7oC|JIx8lXdLaa4rH z{&n0Br_8xh>z1f$f5_0R$FUJf{4+C7A|P(tan%D~>1Cm;e3PObYAqpI)nk0%`%fi< z?y*+|5(_&7>hA6@Q<=pDbh77}Vb{WhxP&(~x7%%^qJT8*9iz`teyFzJ$MfN26s7}0 zv+9`DIn>$?)Za!V65Oap_o1CXRUIe3zA!iii-Gw+3owmNpCc9!v<9SSahxwmvgTMb zM;78Ek8qRbU@O)bBItK8KQ04P)M$=C?OK^I%cyj_ht>V$Snt)`F(j@BJXAovy2hJq z*WpfSmaoevUUeku{vIJ5-juIuKMq72(pXib<2)GUj(u(4W{m}T+~?WTII81!+bK@f ztwr9_4~Pmxc!5xTIh_c0P#!9XMbI~j%sl0n9dx@t$h6x4hB|Ua5^tB=gYkG+8`oFF zJUY`Zqga0RfuDwCrIF=UXvc%3Q`>)aj(f=9saCPCDI1lZ%=$_Yl862})?LSjlgqgY zGLt^~adkm46DY`JZF&h+6lx%!y}v68zB`BXshx^PpKtNBD~ToMRA^jYG`L>W2TOjC zLA|s6m<|%f-2ZD3UWl)MbEQ;ypr1^m)=kmDBS5Ab0mWQsqS@jHzP`p#(2SB za|_^ovR)2z{al4P<|RtejWTeInsF@&@#Ny1nWg8lFD^yJwKdyFE0xHm<8NoDm%&X( zGJC#Fb>6MobvCd$52Vktf(Dy&Rb8em&U>9T2OPS97wG$(5J3M z?^({RoSO6p>_d3uw$SeJe9Qh%qbEjL3V(gKW|JBPyq&!b0;54-k#}IX+uwvThO-pB z;lA4?0I{}Hq&5K-2EAo#anaT=6VW@Mz7NI0E(JNs_j*=`fP|AFeK67&%=cpWvqh1& za9W{;XQ9WHf!fEx0z3n6c%OQnraclJH*{7hS$Ia2K_e>VYaN$)KboOv41p5c_9*%{ zuDTLj>2QiB*!6^oSRDy zy_!3ly?NiJA<7FO$MF_IIigV58yIFwvVo9n%$z!2lDC)>^G_!Pv)W}PMw!qy#W#hXSj_aiZzuK;Ys!C0wAGP^-~~2r zvK_4TePoePQ46eO;~b)PjkeafXD~Sry7I2)^Fb)F73D~tn&BfIwXgdFW&C^IvT&~Z zKnkPhx$DoOlTDRJpdjFPu2f}>M}{Af$M?>XsA|*P{-l7twsiVu5~M^|sxtkx+rgsu z2YKmB!L^8ShB04?YGQpBYt#nYw2^$x9JH-);-a%Y`@={k4Yw`LQmTz- ziiCvbD3h%W3>uZ;cJaAln;Sk4boXX3?R#Hg&qD~2*rG%*0wNOqoy1#($w5d^_lGR_zWb=7Saz&cyc#`eUj&*S>Az?pg3Y2KE^ly6oW|(F z8zTYtZ|YHaoOW+%WKmDK$r7}TBD&V3AGD_DTUfheDbD)D&`aNyPfLXYRQSyDDP1rg zbT+Rfz^S^ODx=npbmBlW?6xug;HfQgp-s~<@3gYGUTD-Y`PW!_@xt9%Pt6n)*kWMP zw8W|pZaLt4>nSy75+9=y!#cQfKz&v`I2C6;}V5#`jI(C5tLmf}K z11V1pcQ)TC8&b4!`rxE-v}rv@)sk~I@t`gkQPas#=XPB(&8qv4kIk$_OO)k@T0`%FnvwNP$7XTF zN~I4eih_u;sY&onJh*=Mm60v~*DOK_>iO_6QNjO$F7!yhHCG9Ykw-oEu1A)B9bTXDkZu=kkD%VC5MnC|MRqiR zdQtbTXkc>D;hfIb$%)wK!w2+NW6eD%P+=SZD{%0(t_^~-5tj}@I};1l}A zBhkC75Q0(PKZu*xmO+6X4<%w*$ptq|13o*DUijgkPXPA^uasSr>&A`TCnVIOw&txf zos*-dMJbpwLtrc-@(w|1qH`1)TWYm`IK+9mz9&HDV_PRBoZME@zrR1yFw#ihb~&Wb z>i3aLADtKBx{}rl9En_r^GazGdvA&lyn_6Y7fycVLE?3g<(|r<{vLgmhc$=I_%B>g zatJ)?zk{z#kEJn9=XT!MfoEA@hLWI3cM6CXT{>3S8_KjA>oKnN;7STI5I3_s9}qsq ztyVQf-;96SD(N70hi4GCu{~y45B3&%f`1Gte@Fh>4`lI)4N=gky24pSzPg}a5LrwrK7%P zCh~04_)EtuPW(jJ76)DKCrycy+MhDKDc&)$RB@zlb~TY>SU8hIOH1gURe)1topyrn zjA3H)HqykuvF3g`IY3bwpbPhK0<#6rCt_k-Q_0>vZ%m$93F2aG*mkY#+au)=vKa0{ zF;$@rjEczYMYQE^!`Novcu=pQt=q=S0=GPhd5iX3 zXP%s2n&<;zOh=|{!oX(5mJ@`Y)z zkl1;(mK!bVC)5!iL$81*qB#!Isdn%sRXOEx{x4yg?IgN~V-s(N`0aFViyxulT3%0qA2#b#f6tqbHHcq=_&=e)7Bl#Da8iPCGz z3oR|`OaA=j{A+#K|mfXTf^%Y%Ck4@*p6Q?Hf73=0E?_99(Y z^v^!EBF6XP!!(Uu_W>pDaP@9IvZ6eZB{^FXQE`j8tquGB1FqWyWF#n@Md8{uFk6=Q z$hAgc!do==kCi0v3Mkd>aIJe36_#PUWb|)P7{@E~#oMF?N4J+XGC!^e*joC5b!0KZrtVkiX}RpL!w@HgHN0 zAO+sRyvdj<7m!lSj;*)&Xh-q)<5eRpVapM-fHqORaHEHtZv6~9YwuBuXD%OBN0C;& zhy>T323NuIu2OA4xf@)+-};G!W7b(d@)fM`-RGcGy>Ee@a0QdGEGM8*g@+%rKxAVE zw(=nI)|sg@MihE{V$%X|%p~IqZKs*g-0Ee9u$mErUBxk`hR*iHMwqjspzY5*xCOEJ zwbH~NC#Hn>Ny^vBykkS|)-ErRfzTavZ8+Ou}LkBmP!~QHsl0P74mD5oqY~U>!jK4xH!BV-{Lf(Fp zXm9u+ZuACmHS&O~Z88zzN}r=8EeYE>azEF!d5y<5CO+1M@~x1PovRb;H~$s$cTdEjNFYa{sA#wKgX)+apg zX))Hdo;37ECUZvPWk#Y{|A^b+%XlMtXBfrp7aq&1#iYx&TSQ19`4NMUW@Sz1(f`{WP`)YSr;p>J6O=32XpoS z64VflRsRq$Tg@m9kd4H}&T;7c$?L`_+C9z}_jl*KQasvpfe#Atv5TP$x^G8^Du{x0 z=7GgZX#6!Pz@~WWpBdOTbg@ZA>|i$HZyHD$*K?!X{YUm}4D!y$`7|XFJ&|z|Pv6}Z}+KzQB_ewbO zAU)a6uT>9pktATvKR({+uPa9#hUf%Ir;!AZa>ILiF$D-Rs-}t2H}vPywTpHrk(R!{ z>J1vK^khW3gJ7{YZXsOceiS+2VycKq@No=lj&Yjiu`LvaL|#!++Qz85EO4R-PoPUU z_VEY=6?mJBFd-n<P&z&MrQRwP!OR@@5o%xa7o=;3&EV6t4~Icy?hxogKMHjgOE( z-w{r4FS0IvHF2+9{GBQN!{}M_EjTFlB{XK5a#kK1Wb!CLqj#V zvfqJ&Am2OOqLhL>Qe|3)=69Lf`xE{4svJ#<^T*b&XJCXY`P4Yot7Q)q{R^0J3Y?EGB>>GKP@?`GLW_7gkGTJ>$OhP+z z+;8x7)q#mfdvcEaK9cApixmQ}iE4ITYdrPIn5@vqQ;XqwS|vJJgHLGH)%&*|McE+Y zhiB5N)$kcEGEq!q54Qws2XmeYaY5l^W2W_Y%@bk@Al=N`2x!W?=RTEYx$9&Z{HQZWrtV6z54Umk@R`T)niLQ+ zZ%bHW2QyBpaVV~HOy8&LwfbcVj1PWKZ##^gYXXZ+ZB_FS7jJe}!A86rlIRZ}Ndj$L01(WUX=xoR*4zc;(Mr!V1 zjys5Yf5dNzaAE0;QmnBh6ksB{ZBD#3b)U#2Wxe13pP-jpHJS!?22ILg(oO`WfI0Urv7A%6;VJ? z1YI-ejFq`3G!9ymjyt^kd=V}jLmoXi_;t1d2|NrBJ^$g@6(4aT!%>#s?7{eB_G8uz zQydeweO^}9`)`*zos8|fqF2j9%>X1cu^H>3UyPG(a_9`v^=)lg%H|4bf4hP;ErAWl zG9IULvD|;T1dgG4FQBWdtL-i8QlmpmZtLWsCWY&fA?yKB0kdSImgKFkx5Qj^=Vv2M zqkRVlrgoN7d@xBB0j%1O)oOVcy+Z1;E$?i^q{qJ(7wn4Yc3%0zXWXVwAz1aWkJ@qG zn|>VH-JdiSR(AT0f&JZJgH3I-Wv<(18)Kmw|0h+LNHKjQz z^UY9_RWWUH4Kv*fdsgwqtu;g34~oE}TmK_#+vEfXoS%rPaJ*>Pc!cF-V&u%lKM7s+ zXiRXM&2ff#ayDj?aZ^=o()wn)P?GfiNjk|<;m@f3Jt0(WzzSe_Dxcga|67E*Z;x3T z+nNmQfR(@0w~1orUGdyBZ*{2lpS;NjPa7Rq?|M@EO?s_D8913_3HaRQei28ioX3YW zVp(tMG(fFUnNDf>=w%};3e8lpBw&p5b$+;^&LWpL)y!3v^cuLV=Ussh&?EX(nxq;b7CQ9u{w7b za;Y>3NR{r{*<}*WJrYyfCx<0*w|C-W6eo3^TL>E& z{N&!`s&;I=(%$xrKqa=U4UJKN0)JT-GtoU!$LZI3gm>o33+;iwgVCYMtd~|bo_dJ- z1z!#f#N0C#4isdoM%WB+iyaXHtRa8{1Pri>`2R=!=r{A|=-B8@+s%xVfAuT7`!rdu zyz9L`KPDZ_{@pdJ;y{7;<9c8M=^mZQk4z4Sk;BWc`@LQbw{Q0sgeJxyAnw&3uM6j~ zmp-cU8By9)siWefFhF4GNP4r=W#a2*jJ#Yb+$RBuK_>(UIEQrR?Y*HX)R+1s09kC`ajZ9tfI3F8IDa+}AMvlvo-&=7_}-*#Fd#7Vz$3AkW?T>f z1f-(-luNZ3^}nzGyP*BJ&-S*6O_j;={m_97E&Kd5&2JfR9k0ELlCqsij)j07Cg9Od z;YVkOPyenR0u6+$(9+TZyZev;N9a@`(d_5&M%InaCkMd6!NJ$}BY~EIj&9ElAV6qs z;35$U0PKtG^mOXaH`dEoC}QvSxO1VtrlaNF=}ewDjQ6u6=OMnFk(2UpVtu{N zY(lW}wc@?v-A^_VFh8i!sM_!c1*ZVyQ;jyOfGE2^27h&a3jv!2`2w56dMS=r^kl9q zkwPA4S5eIRmtwAfYKh!2u=$_PVuDI0wPk4xXn!>kWZ~f8erwj1%#s%?=5_!YWI%wn z?^PfczEW?&YS0q|u#ENru8>3&)M3chmmZ=m2TN~?zOQXrOgJ{LFsWF!T zErXEDehUz?0Akl{E(gQa(c_Kw*P(dQOb(k93X2>jgC4*z~QhS8UZ$qwd>8N)0p`+UulwMidD))%aU#N4L906IOdw2k5zs` zeW}!GHEloI-JJ!v7C@>Hc-}#OETQ1T(X`RUT1yC}$yk~LU_-$aalZqQNx;CsT+fya z+F@?#wHoOFE#yKZU^;O$S2hdKhAO_&s+TvLPm?TY0^AG0ciC(tX&A55=hfBy5%495 zqqTzK-1js$Kl*I2$4f#?Ow;{3`AiOKOO6_V5fJ-#);rsT~toTg_J(|U3IufJ zMT?{q`vCJv(C0-hPbdI`R$X73C)*qFws^eUyj-jzoZV=3iv?haa^Oa)$cZ%KuR3-I zLXP$R>@wTR-j+k?{T=l8>M#Tm-xHt|9l^y;?Sf}09LAGMO05Zc+(0;?6yUR(jsYCB zYL~~eWpI=af&JSpWP`;@9bukotVEaXo?xW|pwYgg#(vV^fGoFvFZZ-&6In`m^QVxa zl!`e~dZQJ@bC~o$qHx&ZE{sugvI!^bDTtCg!CtN%(z8;byp41K(+O1yz)Aq?w!zZoy}N0hnNpCO~1z^{fbe+d}2) zoqf;l;iUDr+5+9o*BaB=!o3JpZ?aj1O5M$5iGmH7`^?eXJ`?RSPlEjwdwU2l0S~2x zwOXXEeE=?_JqCXnU<^^VCYFg=zH@U>We|lpLKi#0PNP7?L>N@FwIxe-#!VbgV+O~a z^mOAw3hY)!%RpZTB+0!hFHN|Jf&Z2)Ep(E*NGA4&?KNr7kWpECORO>L$9rAERLy6~ zV~th8$0R7uEjCE8|1STv4wR5~uNbI41C%brtg2;9lLIYS7GiQ)|{1z2b%|h9fBc^?t4$ z=M{@XlPz{VlX3x4Eb0I(h=9K*cNhN65>gc+-2qa}wLGWO@85=m{t+-%+GFUM!yx73 zREmd$EJ~StQau|%L2B%6!cobiHscC0;Fe%B;RJp(i36JcB*wJng;S<|z+T8E5ds?e z_7MF&J12!Kq+Xs@qY^@kx}j7mz9$U*ziwSDgq?D@sQ{{+c2jkVEcT8b5je9HEm@uF z$yR1l8ela;451A(@-`|Q$VIJM62$xEE04}#(dt*-RBL3yz9t=I#jC^V4#_?xJC7BS)eOA7vv$Ikv& ze~M!8`V(s%PoCOD1t6>BsUE2;?~Iev#QfP&i-0kUCb^`|r?UKjwfsBps>`YGhG5a^lj!N0eZ3 zTzZ>pA07)cjjCJo90;Nbi0Gx}mA2VQXs)?P#l^IWauKH}ARS6k#U5^N(Kx5es-Z~V z9fDA7b_RD+ZPx#?|4Ep0?d!Xh3C6EYP5S%afC`tS+?~Ws2r1dlDXk4v-9_Qd?w?Ss zW=$yQYu&CO6`a7Z95Yiai$;}C79A@dmc|DiLav^>m1iwPcD57Z4kFgu5O%{P`0gW> z1vse$;VL_axC61^{^@U!rrdr6_R z;D`pVTy=ox`Kk_IXt#SNlMin|$vpH87>vVw5W@f0crpPjmT3uo1!)u}g!ybQuIycJ ziZM%)$*u;?Mb)y%X<|&?u(#O&PcO2ss~@)T7I7Puw19-6PCy&=3o(bn0<>NtGBdp+ znX`y0v3Y{21Taz7dD`7|Q?2z^geA6BW6uW#X~(r5t#+np5dW6~XENBe_TVv_>fwK55w((MHgzFwu;^SW zRZYE!a}ZQuyYop(37@(WDYhuaDvJLQ%xI%j-RcCOu71`iO`J7|Utv>Ec_pDcCx%^U z?nL2}{P?L=tv{%cvSG@?BE+`ofIv1qAoT#%{D{A7IHV{vDV3!$XpBJbbrerlLtrPn zk+ZuXz0rw_gsX_NRu4*bz?=)@O|UK5k@FAU<$U1%OcvTdWRNP%}CX*Gqi3Dwj}V+iSM9-PSX*jWC|T~Uxi7u`^}bu^om zb1^XD0)+30#l=(-I;%Vf&H#s**`N+h5Il9>M$au>`CA^XMy-<5&3zt3>?x9dM<*#8U)^zP-N(&|d|+CSdoFUmMrX;Q4|RFH>}}>`jR$ zFe967+e@RSXR%zMrxZ1CepwGr3s3~Mb6=#Wvnmg!U=Ibn)^?o zcp&`CZGJNK4!uJ_LdJg|q=Gc)Ztdz4!bzT$g{d}zy3Aey^WE;Lg+fqvhj)sZkkL=U z+c3wV4XXB_IvFiVAF;$9V-BBtT(%oYQDO)Q3bKb(I?!M$5UXc1d(XNSZSv@}52$-u zc$)2E5Pt@o3I@#)jpcT7)-ZHfuc^t8Ay3hM9P+;b9*IlgClTOW-b@q~h{0V#Y2Tpz z<5*T@tY@S$lgPtc7fNat)KBZ!Zos0ErW)*~E}Uo6(h^Dxrie{ciEKc_eu}&xQLNSK z_e70F^?Gm0R6S0#7iJP3x=%!-f%xbA(Gc``dpdNs>?QP8xy(77P8$C++_32Z=f!l z4IKBUA4)c}EN*Wi^HNF%-GZ1T%Rp01XZ>PRE`oPR3JSlCwQM(^@(?)G;yS7xCxDH5O{D2Xo6CcZa?@LxAA@~AVF60fD_ zql+cO7a&aBxCxRd!9j#Qi06;zBhLpGjV~?9*r`V$Ma4!V#cH(`{{hy&<#!77fEgu_LkNh3MgU(*A>d*@{V6~H z{mf4J^q{n7ob!lgj-+ayeDSae2o&yrdOdb@IvuVKnBsvDxzgD!?ngtD zbK3a={yj)OKAIDo&DY|1!w)Fu>d)REBgsgTl96RlAYH9(=~-DztE*a?n%q1r0s_AF z_SFCc_;kVS?1pE3?0aYZ^`X=vYZ z-;o20n~8~ui>tX_O??%3zENlZ1^(*a5-%E%smmYrIG5%5Ft#wu#;zA~;5bA*F80N1 z*;+{x4vfSYaR{N{t8%;tYCovjfv`OUyRb?h)DW}GIQOszjXWg1&gi4o*@EWbI(Vv; zu9EhvmRn6@rq9FjqaVY~^?T_=cCHzSkJ@M=0z|*}&~&lvSEt)%Lf?XWW^}Z7Vj{(V^c5E3z;W$#w&WRk9(WQb1lMvon%XXm6}Y>;#^Ic>J(?np z#$lhSL>asQjPZ7XIo$6lA0xEBk)RjtaA}0)jKesrB{Vd%;(Y01{m&7DQ0>E3cU8yD zh|t+Uuvk(I&OP(#rq5&c!+EaH*+%!R=ViMaKi}Fg1OQfap($dGuDsr#j`EUd$QfU? zKOev-Dk93Q&+wn@;Ckb+rI)lE%4huKXU&ZVQs(ynBtKhQQ!_J!AGv6qd~O$6 zKz!}jua8ep{WhzO-xh#sY;Ez_=GGT+B4E3=SI>0?!@^1JQ_ywbY)9PZDNcWdT1+P&s!^Dp!ByMdu)`(>?np5!I~(IAGMt6A&d~56@;eHUiS$5 z4`~tL6*!0Z@uxF)^i(Q8X?S$VZiifq8lBgq#B4GrusJM!yO&rFiG3@cm{@qzR|^jV zBReI<7qF_N*!HNbRJ~Wf;ZRjg+_s4WsrhKVbY;4jEp;r z(aokch0g$E)78i8rA~)8wqwB74kc zvxvy6R?2;PDt1pHwnx@8F#*siz(}#id^(}1$oRT#p;9L_DavC`qG zakJWB_hvub&~UkSKL|oDhYv;Z8rSaaYbzjB)GLpQi3zwC3Ko1FgJtOhic$aZV!fhV zpu=G|ByBrrF0&|v`%t^iw1TSzRLwJPyg55Ea&`WXMsWYmZmPi>vynt=ON*gg*pKLg zKm%TGc`m1e@&YsjYifGhU^Jz|-jjLKtl4DLuSU>La|wM*ir=0Hx|Y;O*Y4A7q&COrs@m!R%i)i+ z0~wNw-H0U|q4b>r_RE)DR1*SRQ)AJVWWX!RAm~0sNd}ofGOFi#ml8d2rbHh13Dm*VutZnrPc({Z z*lt1qk5$kcQd&14rEFlq%1^OpIbyF#kT4C^Z|V(=HMf)ZL|ZWW1I)lU9-k%k?Qfl) z(C=~w=1eQ<4}dI9nuGs1uk{aLs+UnyH@jb>ek;JrTetl6tdPTNt6A}gw~wUJut7Er z*@wX7eq5}3(nmo*WG1q^*C828FslW^8??g0G-KF&i$ z>9-7*@vCMYgFpNZ_^AG^9oCXZv>Ky92Gi!U9sa@FjZQy5C}eGunv5+L>8n#!MHDRy z7}U>~m?g2(Tf0?D-011XKD}z&bGw%|t7@lgMsI{vq%eln#v5!y`LAj!dccIXUx=)A z4u<3wOHC~)F||Sm#D-+429O_1Yi(y(Cnw5#uq4>hFxg`xa7r7ywC)Z$7 zg1M(u5V(tQG?Stq8u#Gd1n1Fdb0zZ8-=?o7(9BnV&(8wz$L`7r{ep6}$}h8T1A@{& zqQ2j93h7hb(8S_a%)w|ipf|FZn(aw{r`~Wn4+Na|;%>wtMCwfC(~)^^6{+Zc(gyDJp zx)D=Mz$qw&7a0oUBJ)IA{0$prL{cU8M_o}k83B0&5r2i1Xftv`biSf{-gc!))PyiX z^a}ys(=er07m>UzZTlrMbsbeY|7eje zCC7Iu3s}yXKk-l80R^%BI&dtfg3>ZcD)~crCFzco26Q`NU{MZk6af8cXl&IfPMwKY zce^#e&fg*$<`~S3ZEban8lzy>{yz2>e#$bU72ybX>QX0J!B|Dz_`xgDEWgJbW2e$l zsawI}4b=4ez!}Bol;Lo-CESxJb0sAJwIb4rl0b$P`PDikJ=aele~jpyj|GZ~_O)LqiL6g5iF`4W6WKl-(N5^B zjWBOs*^HT-Ts}_RG>A863j(!3Jf-O%rU8B^og)%53X8cQK}%2KC!1V1H>-pxVWO5? zWTQ>4#rn(UQpAf)_3+;s4C3Tp`Db=3NC1Qo6tqVV>|um5Cg|iXRt5R73^zqYM0|e` z`oSop^xT3*2TCoDzaFd)peQwxGa%2;knUs_fIBr-SeAks$=lDD$N_6{XHrLWSUIk; zhQ7#^T?Pi#XhwtR@$76$(ftO9;@b61y;nBtB_SqM8v%CPwUq;!y#{k$yaXw+e!KV# zqwj7-D2U5zWMYZkg-t>b_$SV@Ex~09v~oc$ziX2<&yjhR6R2}R#gnMO@@x*$!)W=18=1TeidAji5=qTxI3n-Cbt zC~XiE6I0}uHv}UgQaa2YZ7HQvqJ^+96&Yca2PvXvXoxCjejq2vRs0uwHwwGMUL8Kt zfb0#&gK6bWsgsGDTlrTJSXO8WI|5Z%VUfOGt1Etqh)N6zCJPbC)Y@8GBPrU}PPK8y zY1cp!9*&-#-4YWyU)g$vWJNu5l7quF9%>ao`(CoVJ02Tb&li5z61UZWMnYQ zRlkBLT>k~2$VgN1iX!I4T1E_yk>Y)@`}xupw*iTfaP1yWzS?U$fq`x5tS&_wi3XxF z4J8X(3Z_TixAPjS?%dqb8p@&bQ>r8^hvShW!4$uYJ1Jp(OOu5B^*5EQwn|NFqeUex;hlUskYAg z)LX9KhoH9j`_&2)K5+t@mv%Lg>HUdy$4nUuryIWX#ha9*gv9AH5tS?iv2Keaqy$>< zFOU<)c1!&$VPU7ms!1SIT}n=SBH;YYI=rIK5ZFayHsCTcJ%B@DZgH^!)v*BsGc}?m zBxH1RV+tL_E>j(zHWhYb&h{k^@O3l_J3x;tF`ozTcyS zz`_F96)-h>I@qsyL%otm7Qg3;2#bw!?7Sm}xtyeMR4&u+CK4qF*qrzTR2 zwPsVJcW7!NT&>a*pJ|Pb#wYN8C~YnY3tMln;v^nzlaIL; ze7L|W4xowvJDM$m=UDd7=Ax~xb^sOW0%#0@!7i@)R+JF*XAr`X=A;k01ss7qX$Tqa z6Hqt*)KVXZeeOd+s~hX;+_BA|XoA0ASEef~>Em7IvJN*+gHQl?7||y-_J4f^zIdPTwUo$Ybu0SSoy{f-LM2*$=f|EhM&ovYj9$1s47=~f*MzXE1Iz&ri-0U!ZD zBFz6kKAnI5|8b;|s=LICv_&(*fS`w~&I6``LFNok#I6sDN=*Vs5`i8-L=FKKK|J#w z?td2qBC0Hjjt>?Xcu-23kQWFFK@EzYq}vbn@GsrV6mZYFTwkl^?4)zra7Y`!*ton{ z);aXL^xAOh09Xj0779NaXvk%fhDKH%fUu5SZl{HD|L2?i$gp2(b*AHh2{|5*^V4Zn zC$J=+wCj9##SlmXz5^8X0Bu96+UwzLcxY&7WaQ=jakCeSZsLg^bI#RgT6kPyjGH^Rcd;>w2%j(( zuxTGc>#t?oVgk?&Y*y--FW+`!1p$MWPy}qSLwH#tLZ3Tj;2r4#u8Q@1?vr1yf#qL( zR+jZ9()H(aKd?0z3NRdwXUKCUuTK6{Nk~XQ@xtL>&X!IB+zEb^(Vs{H%|Kd8AS8T- zTMsqh*zE$qC0Wp)*AZOO2G|@dP^(h(_uU1_19+F+^$vMi87na|ut4YZ{BCIY`Pk{i zYYLpT>0}OUCZEg6+)VN4Kx}Tcx$+8d39?C4IT;x$4VD<2^MQb~G$~pSMZTER!B}{7 zbnv!t-~ZAUWW>s=A?#nzo2s;$5Dnd~clzt=>r-_-kNdysy$|{$=)NV~YPmaBbbsY6eZm(z-Q}@r%?iiYTkyuMLOV_uuX2exW$BXlJ!XL}SMlY4Ib(3-2?hX8`u5yfQ!O2d zVSO@Z5hlS2G+>_abqjW#Si7go@BqM|g5$8jxdNsylC$5A`(ZTSXkOc5y)N5RvA_P? zWS!(QIuH_kzf%Q_k`%MJ92Rxl_<_610Ipx_chPdVB*c!+VX+02Lpr|~2QG4LHN?$X z-DD5Nc!2Zq3}j}ZDA7gtA0SNtb!iXaM*&eEVmqscCPIXON6^!PmR-0_l9n4eG)T)J z>$vFUQcdBqo&OJjkfY~L_FALG_2s{CNAe_~L|d*0aLdv(JG{la%9xoQ++}1et*y0> zjDRVk^SC4vNV{luI%F8l2Z(q^v|{mwIJX{zK*7JcEb4^DIo%y8j}n_>mk9OIOys8x z$b$^ULBgT?&&Qu39_{@BY_R~6#X7`Hg%&5nJU8;h^**`&UYf80(-qc<2pzYkzqaL3 zNl^lI7)k&=4Gr9vZ!sC&Ncl%c=7=>04EzBi>~S_`fpI{SLx}X#81fYc=vLts6^Ctj!OFVJ_-L5YTg-_4ix!M6HkvBIf>F(vUs`p&~ zSDQk&cbq%1eHkJS+b@7DLtNVhaMW0csuqANvgW=Urp&!kYMul7-RpnZY1DR-uWD?# zPZU2*_P5Xgly7oA?fzum=qHK8P(XIR?Q(5$*}ihtv9Ga@*GBnspRguvc|o zb=~uU9y?{lIcx~?H{HHOnUrmsvkb9m8;1EB5fP96cs^+Im7}ROKXjl8 z#>z0u622Vq+u|kYSsp>;e~AUZN!(arS_lY}9%PtKbVD+pw7&@3m?B*TG-)uXA7Y7k z5Ow4GDAP%0Pg+oL@Lb$BFMEh0mGOl~QY0!UJz9w=rh@m|ce~UWzvvs~R~=h8AH%0> zU!z8Fe?w44z%qh0`8x7RXX5f`0jr!ObG$)9xA+nX(;=c9_;3ZOl8bmc1518VGGL1P zbw|TNECTZo4YQ0nJ_WuBaS_pkC4P|0kP`WK3cw-=+D}-C{_v-ev zsVlDwsfcH-!5ka#mlJx+3jf*etC=%XS%HT&u#VeaYXw4EvMtsZiR4@(TDPHoqkPWg zwWDAyn>wY;mE9EZF~!G6?;SDXZr*Tp%r@GDQcQYS<0u^rnTRvT=a3+*{bqVv+S)&Q zGn5pUKr|3-~PqPJ$MApk1TL5U$lo5_;>oeGK5YPRN7oO|Bvd@JFj z)vgrd2Avl%a?HR7$`dLzF&`?lj_^j-lb+f|T0;0ES{EY!zS#)8KKYWxtRFi~G|_)f zR!L;BBj>B1X~V=G%u(MpNLCXqH=Fh&UQju*`eEtFx%v2e?zR8w@&N-Ns>A{USELXL zZF2Slzi10&7v5j_>5*v`;E)8=41r&{JK<9}oU&BV-kbEXcS?W6Ise(GA?N2;lHGnE zzza89%1CVrsn<~KQN;a1lohtQbHQyw_`FWubxt-4Ptqhfn@|P0d@9x#nLVCfYt(e@ z5mZNJ((d>QKi~@UJ8`pIlbtgh6aUt-y>c7}us#)(*EL+`RsE?=^U5Rp3kfinjt(3cnZ!TyqiG6!s@wpTwoHDW zOJmgWTkZ;ST>{Ca`6AU?((7Q>p%=G)%*vEdcG%I^3h9-vZ5HrkCBD3%q!0sFD$Fm! z`xr_nj2C`(&w-nTRokhQNZJ+6b()n5?Ke$PIJ;|4HP8AaRBL!M0ddQ$L;$VPTu*A7 ze0-j7m~YYcWu0-E_K=AjvaND{fX6@vh^7PY2`!1$GPZ0bBvM%_k;l% zHMCUiCZxVAc370snuUb3hbdlTNxg(F$mABZ6v z7S2|#I>=`(m8qZa60F-S$Dd~wCsXg)#SZ@+c&0w>Po7vGO;K+`FZ3QYKC7yEq*01d zwzx^!ZT`w@-R|)I4M`HlQi*LPhUmsrY)r#R>UdB@_?VF5rOfZSNE|3w%=IRwChUHl z#UXZKKR-`4Ep0QbFfHRM2n(BU4Xu!pD?ct-X$OHLr?O&`i0kZ{f6dj#%zL-n%P8cZ z4eE}5mqNh8Ws*JGADax(nt);=m?{B-y-=x79d6il7Gj0xyzUKoJVpA8=~2gwMr}~{ zg1~X_Yz=M-<*r%3`9-WwkJ0`CS|pxRN6P%yH_jNS(x_*@*Lk&>Ch+0e(YtKCoWc1W z+*W5MMw)$?1uPch&w{BP_X|H@Z+x@(T25rmCZkV-cFM`!In9 zKT;z~kr=H+8qz5L&hK)+T&Nje`>7DDhBjD?YViwu9Aj6W!xWI1BTgFkzf?`qr}Kmy(Fb!lW0O|j<*sl&TR5~iFeYBp(r!ti~}&IuM|SLH?ulVm2<|s zVY@P)i`!dRTa$2fTU2`)GeT37rQN;yBu9(P&E)(%@*?*$eWO`JwnPL^RQNshewLnf z^?Jv;{d-i*23E2T?5YzFHkAPhSbLC_W@l*%^p#`8J{(tq$S9_tTq)WG2+ zrM;)DV=ukt0T-RJ3;<%j38C!y1 zoPB>$MCqU91T|@pp;f#HXxb%x4L^|OG2S4P}sQZp}FVSbHG8mfQFag<{1M?Ase zZYQ_DE?Y_HEcmg3%S{eE=5pLbc1e!?VX?^B8d`r6bGnj*IH*jKv$RUQ9)RgK`J3L{ zqXnk~o%RLEGmfJxF6+V{Ty)P0Wyb31=D+t@F+#1xJaR=;6%|e4HWe5sgQ+QZ6CtwF zL4KNkIH?lT1qodffe8wsx(bvVNhjwYCA3nctYA&Oq@~6uCW;8@^x(!0gWS#JJ9&gn z^D$=`L~7`CXUNJ8>ttlylq#yI;&dkR@QA;`zI<;HTtKSE^K1>6mJwxtt@mT1ac0@q zniL$WDuj7qr4+_ZjwF+dFf8D(9MV5)Po9uV0o=`s-5>@A2CQfUdbO?s56XqGqWt{N zkr=*L?$F@2KW*_w#$gmNSuyR~pDPvEj(@B@)jo@jL%w*nXXtykzS4B1sHT?BSPIS# z&XIuucxFF+7nwFa$7N_Dly`FP1M*k%&yH6BrLWR&C3!*InGAA3i{fc^2=Fe#=o-|~ zf(b4K8vV-3$nqR!Oz zR7&`RQYTtbGNL-PTvB4ggHtH~iuD?~Gdemt*kx0g^P8^!&(90`SKn9Q15Ul1ZMLrz zuiog3#WiLnB=lV8i@*{a*H>cFKz|3vOFOg{hxGh;Tx>U=hY#XJ?@z9EnB=S_?=vI8 zG9ep5(e{C(LiP^TSu&)u&z;g=gfC!j$=o}@4`cJawq9ZSix3cg;NG;v8ZVUgXZG)} z9g_^DZ?D|$xP~9!?=4QIIek=F?s}YX`Y!v3bJzHaZ9LsVA$572<4p50>Sx=MCfcZ@ z)sUu_R)!Kif?RXL(WnStf43>2E7k`8&M-5{n8WuHCO43D-Xk7eWt%Ayr{W>*cPudM z@57)M7JYEgu_$tj&X6Uiko4^p5A529yG_VqPHA`9^_^FpCmWKoN)9pfy)^~YoNp4d z0UMCO;&*_Y>r@=1^+Ns=VEq3gicM#E6sojp8ws#9_e((ne&7704|XN&GVBz5UTq8y z(c>_VWOD*Q*MCPL|L>ppL^=B(>eYId|K_rEp&Ay+ z(46HnN%YHYttN{h$Fl&?VoXgx$i zpb`S=C1ZDYcMXkcz=+B;xaTlxyF_~qG&05jHR|+IzkUSpk=OP}qRKsRu};4MS_`o< z$SE+5TqxJJ2I<329cM#8Sq40tExkO`fNQnt$0m6ZcOyX>ZfR9&C?ld#Kwk)=K)`$w-n~0__Aj zKm>tis`IUqXOw2490sW%b}eU<|L?DQ_qQMh+u^mu-ymfaBzNvy=9w?Gc&nRbx7j zb=>Fd6c3;|0x(lNA=f52<RC&<# z#>N8Kmo4C59L$y8`>p^LQHd%GG+}xoW3IoyKW`N1R;mnJnVD?aA!on^E|o z-PJpTyIAP_@__ei5I+iSmWbEv$=Q_Xg75jZCwvDu%-CNwdM-B-k?`Ahxa~P+3x7V4 z1KgZW8?os}03byQ4-$f@{5v3nx_baiAOP?D&(4}kt0u6=Zn9s3UA(`$m||jLk`6hW+zH=}bB>h}(P4Zs-ZxCBXrF$K8=c^QC%A1&u2=A%d)T{?mSUXYxf# z>90S7-x{IY*UXH%dHo>Z)&5<#=mqOJH_&4h1)f=B5QgfmryoFeaDsc?iFj-HyIx<} zFzMG)4#ca!`J$FcEvvuh3s;K=?njoR6#}3T1n9ApL7v0|ztkIse5z6pa8D2hjVd*c9SnU}S+eu@@LYw?DWLB8ZTQi>cXY9iMLWgVJLo z4g8#fGOux8?7@i2cIzo}*<>>y%Y$dU4)v5_{PLweZy8rW5w0S?KyhMdQyJN#2!^u3 z$8l#YC6p3I5Jrjg^UFNn-3)693Xv_aWd)+re3>tgmo7lSpf5id$xci6k4%G=71fmu z^l6?-q-&4&*ey1+Upe!--aylw;FZbt73aVS=!IEA^%#T`?SEtPu2qg7A3#yW=Lc){^`GSjpw)HOZjg7`$K|FYMP)jTv?qC2u z(P`uZ{CnSd$ON%oo$xiRh0x+nVsHs&CWWxO!q7Ki8_!c!YSCAG*@*$l5234(X+7^J zinBs&3l_RAXO$IJ2ZWs4+-EJoG-5}+x3_nQwGOC!o!gk43*H@l1KH0TiS6zOK}m<3 z^X^CAVqN4!!~pruPG?Pxm7&XvP*FUW53D2GId&&%Vp~c_Eu8!m1^}a9y>EUuxxXK1 zQbzms0&U{*@KZ80OYTMi92&(4vr^u8GtnLaVI&|+Z_1knUK1AB`UVBT{{8v=7yg9{ z;55pgMTev*`i0&4NdzErQ)+#2-2LM9F=Mt3AsnhCU^Rhn$X91ZQ3KY2pwMG(CWwk4;+_V5mgq4}e~1Q#1hwtTo`)(3(lo zEhQ}C5feuReZr*2O!0s>@jfy;{{mo~=!F)5Du)!qMxbhCd{g9q_QjZJ4TW(UPl6MM zv?rF)(cXd)+2={qh7#63lX>82?DDOJRq2W}c+NIogvh?oTDbM2or^ zkS^f#qxMr+RN7r{SsS&O4X@rxi=#1WX=@9Ckx)7gJuz;Q2AezN1}6{ceukLt3X3KO zMhP+*!n4i!NwJ+2(aFnw1^Z5dmk~9Le}?T98FIt~B4CNYr*PXNzpLa$yJ4% z>N}VPJ@@9B$%;WIx}jw1MNFV`DMqKMEKy^Ke@#e+l5FTizdU&RtxU5JlmjBjx$0LS z8e|U0l&`12=xlA@QjU;bG1oBxqrVibH#&f9qm}kny5EE06OfP3SDQtZr%F&p+3j&@ zn)ddB<$1OgU_nAeG)nXAqw-6Psr?WpD%TZE-iUQOKF!avUcY6ZY6pG{s0q?+8pg}X zR=?+63+wAQvz(4AguIjFqEb4cc{ns-fW0k7TO{CGNIiHKLsqL5ochsHqo$}wV9+ROEy30J8c3!ygCUATZ3!6{oG3-|IJJrBfv~V}F7-xj`JlQ5#c5sGOl3h2MN$^eQ;GHt%38i77rv+3IT`9MXQ|*k!3JtrXwX z$i5=YTZo9Pmt|`O8O(Ph#p{DEM}KWCmN2%2;G8N`}{UdhDqbOj>FsSl|1#u z`N##rz=ZV$CH~-ZODN~39w!7x@4kz<6UhVuBF4BGgXL8N51OvW9AW{9z~urRgx1?NyjJIvCA&~=IP ziE7RT5{wE;#@G=ZkIz)G(pfntB-Q$Nkv(lJNL$}R4nl>HbAkzJ2S3^daoFZ^nIpD# zHeypN#wuzqo4l;X@`^x8Ryv^1ZD~}_Y>-!-ja17P{{2fmH`&)$52`7JlC~IQ@BSd$ zO1qy-=B^VU@6Dk`M7gqWq2AuGAe4_*w4TTQ5l`vu9UWZ)X?bf8_1hktU*`hDNc#Bjxb8Iow{)q zLL*u&b7--zc)&E5Twe~G;VK+JqlUQuWmON|-*EPI+hD2j#>d2@0Rmtyky|2ai%!aJ%x)z>vZx%h%DuHZZncZV)M zlF0ipd!f_S(!=OuIqx6{`q2de@6XL9;SFMtgC=3jT=^h_ZHY8_itS3Gf2f0JDHTwA z^I(&jqf1{$)}MT2K9mWo0k5VV&zkW>FGw(jgusc38=nqN@c>&f#vk7ea&M!9+i%Th zYkeYu0aYlv)b2yl{c8yQTNL-zf8b@Mejx5d01p|&5>ZM)ku98lw7Ud1K~q>(!~-w; zpp2Zk{Wm51EXXn=@G6bZ2Utn^|2pML5Wv;pw3iGjxCn6V`1oU6S2E$FQ* zqA`utz}wmlk99b8gd+mu?X=V{ADbbejkP#&jrMC@($h+T<6zFwn+;y8zLQLjWUH&C zP!5aF3>1O^LO=3I%y6l(g}O78lL`A67G?O^XA6yn8C$oNx(9l_r>w5p2WzUJ$~XmA z$%OJ1+6uh81S^*!alc{8S*77d$8m{|gbH6$OEGPHY>6=vK`>Q8%!-gWVnDV3*;<3_ z(dFU}A-Q&jP%0Ft4Dq9uuFz8|ATTD=h;^!m_yX{sWEsw^n2 zEtxri|CG6~EXtY`UOu7W;mf))n_CRH0tV$;vKF|>_6D^kfuN{iT4N8dtN9CDRmcwX zHD)sTC@!3W5r0SHp>S%V9n!-)1F=(MBag9;lWWwMb$b~lU0O!BSXL7q_5l2!bIUO4 za0P?Cb_MXW!TabEw$|EC(ZIt5{XTs;JPF61_mHBFfe!`>>y^_0d}Flm6)K$DyAb%v zlF`WnzRUD;Hila$?*?_yF~d_S^B}yGDJ)39b1ZTqdaY*|GVId0W8MZUZMms0^3B3w zK<#|47&-A7O%$99F)h9aFR{VTvfBMTt>h8;|2(WzGAA8Ie*SSCPEB9Y-Tg)5+XZ?q z7c~h9Q`lv|tbo(V>~Pf~qdwPyL48ydj!?R3dW(cfO1lQDwlh{ii=kw#uWysap521* zQNnx1H{l)3#Kzg)XQf%`=SaIHYD1o5i191YxJgRNaufY@N~-p!(ON-=o}b65xr?A_ z;mX*oGX&lDe_ppY_YjSRg%}bImzBMRl?x0Ev|uSz)*L2z$J5Z*Ns8ES8g)4soG>MW zw5XG?*z0b@$;YS5U7%p9nNm9vlmAcn98J$;gQNVZ)XC(rJOuojlm)6tdBv$KyE6M6)3XISp(L83?5H=#ga zqJ5j4GlHK`-AeyVNfJ^HS^xKl5)RX{|IuNQmBZ0Zy42ytLhay*RM~UC0COKp-ZCxfchQ#d{vIG-HFHcJaFA-_c z%-KOp#&ZQYGj0Qw$5*gF>bfa{82z-SleLQ|>0ZE6fIAU_Xd`P$1kq2{2&e|xZ4M-z=iOs^F* zCBB;-Ju;~{8Ow4CBfU#htZP6%Ur>Oh)sKt-HMqEN`A^x|1OMG&>+Q)}H-}H)b{)|v z;C$z=w*SQ@vR!b{YqBRIj*&nKF3?qoeg;QPLrraN9u6370OuD^fUn;H94Rd=(y`=a z6Pgef8{8@`M#lZFO&^v#Lyocg}0U@`zA%olVI>*ERqeBh*+8XEaW*haEc39x67pibBf+DYfW%o-I1gw( z7Z=WL?B6`rq%|!kYHDc_G>d!UkC94W*c31hU{k%JKZYBf!^xs#x7UlQEB29KmLAYo z3?pUt5gwfsy9$TO+J6(cs{iz|HeTeuwhvC#jH)vV;hb*Y7ed5}{dk~??cWY=&^PeY$i(q99f zPm>m0xnAFG^fRs!nj9|lEER-~6G%}4Hh}M=M|*SsM&aEnv-6oeLt058Q6w0ME2uPxoMF^ynl!(f&z?M?YA3{~olZ4s$ zK>wJLo&8<8!=lEsYt5Q_GIuq*y>r#=q{0GcTfQne_LC(|DQ$ix3 zzGroGauVRsxhbJwiVMKFJ-|!=%)Na8<>hrK0HEypxhP=4VToR9czfRfP7@|zfFFHh zv!sy3hL?o5w6Tc>d^$!n@UK09gd_lK3R_RUkp1@T{ff&lfUeBUeHfqJg`H)BoWDZ~ z`17NNDKTm7`Y=7fp8O)PrsGJ>%4!d|mY6x;02Uc(_2HVp_5K=DPv^E&vau-}3nzQwfle-x&cJ9X z<=+MZ5p7~m_?~cJb|=8zBAu)SP;VHN0A3PXMq?Raw8eVL*jyU$Dc{bQ#Wh?_@N_z_ zg1gLZa{xtG;iThY5&(`KCo3r8R)0@wX6OFma~O*V3PyBONpJpYJE{%|k@+1DS+EAw zEpWvTO#utxKt<6U7!gS4GIbZg3Fczn|2Hmev^8T zAo#?r*dGTnVh_+LgYsyM4214b?4|)5ty#c5eHfvM@3=c32hiN%QUk+e#yJJ3qeCgm zRZPyFa#?`>1*B{7m8|DWhsPLE_@2TbU6hsFEC0d<>{~!PgR&YVp|$(nd#e%V)&t}{ zi%Oskz$m~0P2&&FKVJjj+|#kM|Dd`gdj97Z_(H!`=(=t6A!uqEae&~+kpL4yJ6swE zz?^-%P;wX>8F>ME>ib#cGvLFv@&xf)rJlRJYIeK_zco$o40KBL?+VE56QyOGG1b)6 z974ns!dwE3y3MVvNMiMDVcn%XnMmBH65NiPjjal}#R05t;rm%*D% zqB8G*-E3<*d{>Hiu-!_%{;R0BE&Ubc6LmIDY88m zDU={eWNJoHBvkW1$3m=TW^Dvxo?8NyveCOm$_4aQC~oEDNBY=rFOJ@DN0FTRm3<$4 z)&KEQ@q7);pfu_PqXF8h$G;C8+HfDEIl8XEYa;W==TPi6=NM*G4`PXwk=uMqQ4%Kk znrB2Vhf0Z-1UsX+{2EMbFvu%@yhVIn4TRvwW~TProOha1*ZS|vPWSisiFmAHfO{ZV z*)8W*X^9b>{v@XHHed^PwNf;c1PSQl zXPEo}_DR!74FaSTIWJuIC!B1mJwdTt1-qKAFhE4Ph|nNFuq2BaVM}<8 z-~dc3UWw=+&_@WG`_@NazBH!^rz#p@qWz8!^X19IkQP1RG0L_yH#6Zqlp4oZUbTS9 zOTM1CR!!HG^Vsf!&S9m6>sJ>b(l!)MgccVZ0bcwboYsJ}2aFxAs^ZxT>ygzBLR=CM zS}Bdo_Vh=h7`ks5*Spd2oCoz2Sjt%`xvpbaHMVDm6rPUS;$b1bJHcoqa?d;s%;L#Z zH)aimL15X)Os@+38=>M2fW8alZ#PYUQTcd>R+)&A1*=jSpKHFn^w{FWDr9lh@Oc>- z{B;;X{+_Z(W0wCYVJMy={>{h*Fq9znu%M7V(Vql^w*l9Z9K?e^fUZorCHU-I36Qe$ zP~qqc)CiwoAw&B}31XHs?}>D-tnYxn|Lz_Cd19ZSJKHF}NE@quZGeZSU`fOzh|H~k zm2`M3I##MNIUUxRI+G&{o&j5O)ahe2>q~ZR08~E*URYX_5`p=AvHkEL<(SMy;__tN z^6*-j!j36Vm8ed^IbzQHk{45(oSuhA8%^nLu!^vt)THIuHCfD=uHLb9~s)BL>b}GHOM}jYWvI^*N+C;hwTu&;~;ESKmjG*(wuW!A` z^>uf+m%S&Zq@^GSMk&k)j6TSoox&Z_?u_+qQj)|12(MWf7{Z4Z(k+Vq9#m@yzfLq7 z>o@5>=xO}gBQ2{L-vI`1<7pf$KBuON+1qYJkF?cQKZR#eBRf8B^(_|6FD3X!${sep z_MuJhc|+@}N=d?`Sh@21HlmSF+#OWY5s&A+2W;vxKeCoo7~e3wDL^p7fnI;^v}k`g zXMDPmml3_f0Tp~fe@-((T-oAoU~^CzJzDvmkM!j$6vsnMX@yKf*anp>euqr$4XK~e z+<`i-8dR_3UzTaWlwxOCDhU5H@P_&HE_ShaDRwfi7VGN~t(mZ4DmX-Vpb^K4GDXsO zEJ6C65bo=8S$VyjeTufRapOH zDd=p-0so)-#x8m5@_=V3wWp`es7>%80-WA&N0W-vMZM^wl*yA$9Be6I<}mpVG`3V4 z=WTbyf@bsU!`rP^Y+@8~eGNvF*hBr{d1=7PIfT+*ahuOA2atrGJ)-e(i zvd?#C`Xk2gZ?ObPJwPkgFCpyzKpG7c9XkxfwVXR?6R}q0{3(2yk=eVvCHB8r%ZG;p z<+ArN(}3F7JtFexr!nDNsdrE>O;{T2mD1ra}lM`ouh3wO+~F+Wo1o84+@>&)y-;&I_$xFriZUK6~J7u?38mX>L(?q^pwp{9bSzn;rs-2Da+o) zxab30$w=8TL#OPfeY3VAm-G|k6SuNb`h{c!hYyUhf4zF2?`ijB?-*#t(=4RTXoKwm zE+{1QE3DoZ-XD+7_}S~X)yOq!3Y6ti=cgGv}LpJqhIHA z``uqRHszb59Rzq^MysJ-lB!hpG8Q)r{R}izC;wVJwz08+*fz_ROItUf^{gJl$3;wz!}8N$vJIG;Fd$U*ua7H zZ&mEdh(osvOKeDe!weGnn5hfk+6iIOlC<>C!i`lT<4&HFlJdSg+-A~#h&GhR6Ywkje5!ps6t10c?e@d#-w1y`8L!43@# znb~YuX?`hm`<2OSE7i-55ot61WueFD4ZoeF=LhpL+_CtsO|UDi2<|zE^t2^lTJXcv zH|J*~v#&!&M@QSOZe~^(9ls2cOJ2>bdQ0*ddUTKk5dox==|1Di-bk+0CP zOp@v|)b6d-k9|K_wYmznZ$bWeL>51GP4NTs!mC?I&YsH2t z{c{-|?SpWI*SOfx7o?jKifvmB8-@WO*%uik(0@&@*PIr$;R6KKeiH zsYknStaqPknx4~hR9QPZPOh28DFXvw*y>;={a_W|eII`C%kI!&%AKu?mwtOgB zF=gJ{-MyJX>QygR$l8tq50j{!53f84sph%H)dyStvF`maJu)1?5FUHp5m6N)_rhp> zw@kz{7#8MPEQ%?H+lNh}&Q3DM!MWV_;%_+#ZQqJ^f~;>j)n!@7IJ_-Ze&*LQcm?{{Q;y|I6i~>=e)>^tWqRk`p6^hY$`+r%DPs{49FE%9qo|EFbamJM8Z8tj0G6xC*`2sM#5Gf6qK*~U0ykg`SiG6BBU2{4SKdx-|NgE$F8WH-%lQFT|+1bA8^OwH+!@~{+CZ^+bUe`{kRK07{XfE1SrI=sgC zHNc+%{}zb=y;?T$U%-xHjz>iu<9FG-`f&TlAE*dLG_%sd=?;`AzT>GDM0JdyRhlbR zXVETc^g0Dq)6C2x_;r2o1!0fP%+2evS=WJ8%Gl!ppv7ygHb_ZJgZ0ar^9{&7KLEEc zY!4tj8tWwYIj#e~;t%KJ9Kd4P8d3?O+Nr`{m1zLqK|s6%J%(|C49dkiu=`oxfeOuy*Rs-P&=6ZkG?;A zILxrl*|?cVEdnHY-26{+v?r4s%5@gR%tk5#i)YE4NeF2MjXb8+At+T+MS&r3$J4b&*0d!9 zxM=-ufSiW>1^_pILJ5o&R8(}jrYZdIdX+Oc!a)0Jb_QDYW&j$I3A-e~ECYCyFZL{A1-Uzd1fu~eo*2}78Q1r;Q;#`g* zUfIOpaTv$M(#U6VHLB+_+C0%HSKDMCz;(cV1!Tdh8Qd9!z}kfdU||>q65`79zoKgxZD3E$mM(c2VhATD}Z%b;qwicWN+X%IH{(mwA@`D z%y~UcUIJ=C)1m`Y0A3R(S}HG`bL@ANTHx=O4sbCxAC8lekJ3n<0>PFAjgwAz3?i2m6# zf!3_<=gipf#feFRK7Z_QuL@+NuT&?gui?g=Kq-TZ9M`Z2aHU~0rWJbqT*`Xu@Orns z$zzqe5pdzMVfCh22%IzCGWw(H;mRQTKA|m&XZD*(nv6qoo!-9{K4CkhaMOtB_z)5C z83R~tm&&b?%4a2Z;We}mo8awm4GJZK<-+_6Ufxyi347~(?{mnY zkMd1>r1<}QCrW^$3-f>+2~eg*5jF3f78mm?B48mMin@c6`1fdGfw0$%_LP8Z^|f3r zt!gnucL_W)iCkR0?d=~jd!Vc~veSUo8*mmvP@(MJEs*pvfguS9m6QT%QeULB^)R68 zllZeeisGZ`0rw_pjp;>z60Rr%1~e8xzAtt=lBAgo-k;W;m*!o7yo+;o(vMzQ+iGL- z&We&;xDU=MLi0e*uhhk{-()t3O8&Wn7{@wBot*ol!*Q4wP%N4CwL^GG0CyM>1#Zn( zn*Enp>)W8~mKW4ln5uoy4w`1912$QtOUwSd#H7-Z_NNT==kgia^yL8^x)oJS)x)a; z9i_*wTJ?YhI>3w3kLL7%dS5aN+257j*3V@TrXa<3$E>zg+X-MY7@V(+m6V@8dm1-# zGSy&pNh3YGpu|=Trn*o7U=ORh{bFsPJ*lX745Ur-{V{d^_?V(rWde^iCbBN7Dy*ib ztWI5RpqJOy5XO$WrgVQ8*NX(yr39-NLE*uO)8xG7`L8R*)MAmjxeOF;$T_eC(Yu}J%QQoNZ|I%kEtlAY!6ViSU0bX( zC*UzM*amiJiOFfGd$H9A(wVvgEOe}}WuECL?785Z!)l4wCeSU@Oxoh!Y9{Rx=PX~$ zA}QCb3A85m1B{lcECkcH#$(cNUZF!8$MrFh>t+jr?T)HIK^Hi7r zYP<-oiWVPxZLWd|fOav}dIowrFNE|^5F5$dZkor;0gusrKE`5i62wgdz8jN>b@RpK z>M=g^6LBSWdJfB)VI+!H&0d)z%`|i~2eT+`Dw$8?SYlTYW?jdS(s3PP0VfX>n;Su0 z>3>!Q+z!~pM&$Z1%9)f&gw*K~(Y7H|go(IL<^u^E8f^_}F%Q0{1`rrJM$UJKIy5p0 z3v%eSKs074kk~Rw`6t}u<10Z*~!CL(U zyH??+MC1Y5XomdyJkSu#phdP)zRa=O^Md`R#WYA_&|$5j6#Rg}^LA6P6q)o0wpzq?CHOdzS$E#g)S)^VSwOGEz2% zBsqq%egY2)=g`CWXN-Kvl48`^1V%Ba+g)X))vdIZ1d}L`$gK`%xcLq4!(@4A2T)7= zT09DXSEBr$SbDbGE4H<6TRq@-iO#SjAtE)kX{qS5GtXG#;;!|R3_sn#m~s(ic2X5 zKc&V-Lm|0&!1#-mpt{wFgVRXb-YYQ+aG}ZIrVRZ(cr&h})eV4ips3~lJyQF*`-{jH zTb_PB0=(ls5&V+&Cfnq5-%&&(+o2euenh4unZv=XVDda{dRgimop<_Xo80UVpWe{z z$ar=#_wP`N_XhKzBF`?akj9t9m#{Wrws|$6c65AB%Vm?e4p1K07wxWmk+)qf5ionK z^1bx?umIM7o`Fl2RRV+oSpZB2s@RBX2HxOG3kr}d^WU0QJ6E{z=k#EQ#p)Qrm#t%^ zF48Ary3wiRaFS&{6uSz| zmCdDbQPeew`ug>(^bC^;Wv@%Bm@c~Jc;^9|>Y#|6RHHT8nSgrzheV}&vkC|Rd!zX8 z&ZSdBq_Q6ujygNzaorgkl^ac>1Kz-DHDjR5SuLKT*3|6ecT_Q~Gb`@p9itr!DUN`D zhHT;o>wAgDu z5{bx9WBFQ11zEIPE$I)4N~~IO+O9}KA{pXS{q*Up5mrCh%|ZDk}uKZ8}eRrwcIL<1*3Vn$t_l z9Z#!iK@Cq{Ow9#!W$q+s;}T(AWg5-CL8095Jj(Ex#~8G^8nZ`Y204G9F&X=@3W2>@=CAeEp8Q%ITc*(C?txRz=2ugj zUTVN{(omxoo=OV9J6Ttrv3N}xw#Lh6@kM%{!f}`T^y}br3tX6QV7xi`Q6PTI1`|SV z)=Hd0KDwEFxo>(#Sg1{3<@Uq-h^7hzBCt+lhHR-lEOq0VTvvt z4yLxc{4gs;6g_Jl(Wa)bg}yPoRoeq+RVnhtB_*@m*`=JThW*^DccZR98~3)WY*i_h zHI2ictQzN;MzSXxUEAZc3 zpZs=DH>81*3h5G$l~6@1P2UEzZn}Y#hvdk_W`EX0dOc|S6W8R7iDQK=597|Ezbcbt6sH+Nsg3kuMbzEJT|@P%-HxOuK>kwpJ&f=%vHPSA|_TQLiZ8A{8Yi zSjQGZU)|v^fkMXim5OlD14sg4oU)^w=|=uS*&}4jw^!yARfhm=RY3FN=nFK#T4RH6qkxu zBHRTk3{Xr-G<70q-*k1*Y5))H;RY7z1A+VCSB*B9NE)2%gQcFyK*Z7McOQm|ZCYv? zgX3$F-HDwRLx*8YIAG^FOZ|PXpp1HuE=IHd2EnIY&={sT(aT|f+XHOHFHI1=jZgFF*|TRfDvwV8?4)o06}o8Oo7lKSy==+iDAd1fXG8$>9{5Y~ zW;h?X9|t8RKhxdCZuQntG)JACORVsqRP+MKQy=$KB;n^jluRDh(s4L8#ONh9eMH?)Vc3SV|)~;6dKT?L!qKff4>O2hpAlsxwhljz-#x@LI zjIONsv;p~gwJbVdjI_#Q;!UB2MGmJ(qJRDaW9Z(3I|E|!82w{$UeI{=n%K!_Xd6*- zsc9k4e)R8)2}+PrfK>+vff)WLbl`uqqrj%^Kk)@m1fsk1lQ!+Ua#KP17wE7M*72&* zJi`Sa-l-$mU`W71^vfR*h~U`&@Q?oYkNIyNl7>?G=iRKWy<%vI?cuGM7z|{15hUy0 z!Zg|4e1~)q!SqQK1!x%GDM+Ij<|RNNgp}HnxLT1A<0K7YUhGg9gaQew0BjgoKzRBw z)wtViJ+-t)+C2I0q_y*i$ZhwPb#~`M_p0}wi~9yZtyrx#`hNb-*voPVATwDLRL>2a zdjUJ)VNd+1s%g0b1UsKx9&mig61w>RTKmedtfKErR6@a^kyN@v1PN*BeCd$xPLY-n zk(QS3PU%Ka0Y&LUKNR-x1 zSx?*5&lZ=IPXWk-G*tq>Cy%wPxB6b&wYijg!qv)ceZa)o%)j&D6QLH$`wb9odv2B$ zTms?b6s))m&Ps`s&VN%pI|212T|^+r7|Q^$hOHx6$j4J<8t4S`j0LGkVJl#6E1=Gi zBe~`3>iV6n*|u&8P#4eNy*xni83k0YHNAW4yx#cp9Ufr&wti%71k1R-{wsjF0+I<= zb!^Ix-~-|BF4yZl+;8*Dz8Hr41qMzC+{&UPsa;JEQ^``vtV_Qj($z|Z55)6SR9Q2& z)qV6CGZo%xP#A&ce@1t2ePR0i)?lj1wZ!%(K%xUBw{}PyUS;q z^@gw~VOq93f^-}xOG?6*Tt&ApwyN*-mU^dw#?}+geKKYrir|8;db!7c5F+izp!Qkc zK~G?qVprF=QCzaoO2?A|gd|~&uu~Wv@9YbI9d5#)+r-8_2g@YKAn;9v!3HBF7Znoe zrNl=jQKtb1^$_5pk@>M~ENcy~-W{b`_r(YU@BPkPRL|ork14AH59+4fwu?`40dfRB z_mHM)vBB^q%|MEIoNJNpQK$B%U+97*3D1xQx)In-I=@j8Iy2oE>9!)UDXm2B@FU@O zULt7qdT;_&dT<*8Fe`|DyRw@Cb4z|H3N*+u14Feon%RT?7!(b^LYa4Jh82w#E3kpd zvQ7O?K&4o0`N9~i>yVr$K+kYiUwpAwAf#=@{bBbj3F;G6uz<5#X!j>F@P717)o1VK>8j<~^81q*)lh_I z?X<^g8o>S;MjgGjHN1=G+H>G=R+x2mEz#sqV>}5Pj8J4@>9q*_}DhF z^dqUF+1$EW*E}f?faa9m7fQtzra);8ai# zp$C9KNE5z`-H%t`U^x7c^q8%AE9TGBg$xekFE?#*MF(?Uj3x6p2mz``?lyztV2*lk z`nRqh0(CNmwQhoHZxMJc?_)(cSA$Q%qOcKzrq&-AYpuWqLfgF!4kX2&V7Q&v^WM+F zgeD*?_5Tj!;ER@%Ij_;IHw6R<;62D(-tfuh_E7kvd8cmjtW=-*usgjJS%>P%vFH4o zrO$T7kz-Lp%Ms)-lP>Bu~xDsMh?OwhPNyo4nrC|jtiNk^fQ1ux=vZ$SVL{e z9FbYM^=R}xjO}}F;iWjms8661G8peeyenyJ1lF*A~n`cC1+sno$I>I zx_VgBbS~(#{e=q;KaHge{m(oGwYb<0Zg*_G4OXvm+ukQExAiJLP9?vopDs|@IP<$- z9?Q~+dB{`bK?b7vx?@j zkAaNCupRi0wH@fBTM(dSt27*ZM4yFOZ-0?P`@_S~Amb#C{EBt!%~36g@DVy&;$qT! z_0}EKx>NP@hOr9#5oCN!R_EBCoS~jmjb=iD=KpQxQ`C*uh9)IW7eZ$i-&yN#?}SUF zgc9e-N~25S{t+#?Vd4%Z76)&sdGp?ws>we(Dv>ivt>oX5MMY2J#y@!0E?uMh;--Qe zc?;|1H#{YQj)lK-UY$8#X5L>JBxpL_5M0ZAeSm&WprPgeFrv>KYc?9s&R@DKDIK!C5h3sWqJs2K_Ui2^v*Qt^?Js_f zboe#0Jv1u)Jc-RLJd(>Z=bn>!34|6$a=Y(@ZNP5~+RuBhFU#~gNYD8jt=h9g3xqd zBx2X-FyDKlbXOfeEO-4`6-Cx2*JImYwQiz0yckXlj^AViCn5Iw`zqiVht%{%-} zBpUvKgLL5Du;XC2AVA+l#fZLi!w26@Np;B}_=S$`uYkt4`o5P(Tg5Hs3@=5MyEect zLVJYr8smO^4d*G@%!^@#rE)gCriUWBH>$ZiBOh8%sao=*+QpM6Md@hzmRA$RC0MU z^g7n8Wrq#5-wezOu)M6%QDKehbtJ)8z!lA=c1G6ZjVZ75GDL@GO~uwfy|@*D8P)_s zX9@8~iIXZD~MLcV?4tvO~ z7L~jH+D^I0{xI4+NXE46XQI@NDw#StIAo=oly|#?&?dA$#OdL9iqFf}dbVnGCH_!9 z{&?lX^9!aTLR0on*)#kp64KOY)=`&QNskocS{F2RQ6ipckUq9_Pd5vhHmi8{L7dHl zc*8`r>KXPZ+YPlk)Y?w7$>_@G^PcZ-;%G`2Xm=fR@sg@i#eMPFcg|+Cs}-wEa9bpk zvCo~c{iXEMh%BtcPxLeQaHiEx$QWz3{6KI1QC6wRQd5u}wO!UL+J~h&Sk^iPud`Po!s%tR2SQHUWDZdXM-U&6rL zhei$D9x-Q$TK8}Fj+Gt%?)h48z~nX5ENvZh5cJC;u*=Z~6{jFIC$Pf2rG2BfAC)rS zQ`VmX^INR-!9`&1Ir5o<17j459p*(i5B@B!vfykDnO%4%Zs*F}ql~NO88q0B7g+qU zTl=$0fjqdAwSFdmiv#~a7_Y^6nr`vYI~6zgzkKcJXjV~=@0%^+B`J5EroXREC5ovC z(WHz+8(|s(D1|mJFM$k--S_2TJ)@nb(aIB;J#W z$sEhwTGOSLCw#l;NX}G6U?aAf;Z$w7P1(UvrS3mWy1Hj=F&Gq{7=bqrM`2`oM6H|@ z>&zMVtAhBk{^e4vl3XRR{NPF;B4#+oI8#1%G$#KZA4#RmbguA_s9|;|CnTfxmG!zz zol%gM|~XGIE+Y&cdDkE_w1+O8pa};Iu4(U~lys%=D7>wtQ!3dERV@ zpl_5sF8pYeUj^?FNHGjk_TI3=tV-pY?GUbYir!_fpYuaj12Fe^rH1I4jBF7v zX^+^#mche#PH9rV6PzmX^?*eoaSx~si9czCN?-Zz>nJlGrE16z?&vdh<{Th{8AtQm zQl6df`SN-u-rb}(LtE~@?x?9WbXGM!d{>oPUz<$uL+pZalWMqn+2dNX80GwCXjm>r zV6tko{u-}R%E3VaW=9|{MP+BDt5_Ab9saq6)-Qep;F*Q+D0tu}vU@0;>*X9Chy~ObLZdtl!?GC<^%7 zDiss@fW}2JX`R>bRlv@h1Pn24y4j|H(oG4`()y!?vluG1Y_|8tyIl*hbdkup@2+yU zcCll!;Ltw3e)4hh1ePLz-5AxL20GS%|=yXqc$I*p9`HC2r z0SIX-J@T)FOR+s+3Qxv}rO$Gv*BgSE?-)fl50Z#@#~LsA1^<%v&QT;G##7_TpYxuP zF~O0Y4DMklji=8$uwPKQK2jxfk47@{U9wpT8{;*lghx`??9E$M96=r>Ziz%QdLsON zOo@V4|FuR{uy;%j6XP|k^)xl5t3SvPU+|8X#B%vekUxvtf7DGD`!#<_AlhSb^<2Ixq>E@8)Ge)VdT@0fIIS?&E+sb@(#pRl{Jwd^|5%aM zN;v@^*G=YB*Cg$psbbi<0QPA_{GScyC(F#atDdCt{(pG$7)rWo+@z36otPE+kKFgx?YXRmOuZb34*mTnS+=9a%$9jg#~&lI zs;iPFLOu_MsdRA=@_Z%ZW{$vAqA)&;c8}wkYlo1nNA(m8T&5r zs!+7+n8+L5!lO`2Gvh4nXqP_Ib(T}a+ zKOeC>mvOKamJ_{<)Wy$1utY@T&lwYWr7S(a{Lm)*lA||@wwva0J${yWugY7W*B>5F zstEdR1fJTTI}K?e=U}i<+;!ywd5;>kH~%@nQx@^S(U9tC&y#6e!53>1)klr>(>2G;0q{KF)d~Ti(R&(RF(I`-e^R6 zFO;PRt@B%CX^}A|8Zl1c)#es^8_kR|plDD|~~?ZlqPx-^$SyC^kO z&Ph2(7lA!UGx!Rli{F z2bh(TRY{vm)j7{8Qm;oV`Xl@ZzjK5NGCSaB>0LmDgEiW^d2-_||-$>7pYjpkHJ(pup)HwF!vRH0Ry*teLgu0+I*;8eYOJjPo zD{$$G7MZxMY)(|#Pu0BL)SDl~x#&$k@*J{UkQobD5DgJ!hz$x<$UYk>3d+_HnKSXs zDf}r|Cli-Fx8v!`J&H4cMXx$s65vyZ^HhxfS)*1H?FG$>5z}af#s<+aN6_IrH>odY zSX4%*h_fDiUdpH580vKBCAv?4+ozIB)SFzqq>D<;G1bEm{#AQ5K6fcK?!N3rHXjmY z5Ew;ui^@L}ONO62J6M3a-$(YR!@ z5r~_CPoViMP2h#LM?$r8zlS1IUr0*giH){};IW4yZ~EW;b+_H!xfLT+l(Fl4>>I!O(~7h5;XCJV^Ah^~!vBnU!Ob*SR$EWzL*?s0!%gs})K#l;5lU5qBh6Y*kw-&J=rvav! zk`cxV(JCrWR-ic_``P(9^pPk5W0iM8Q#4O6HYg^mPk{NBe>#xs$Ec#Ap~IQ}3|%*%L<9V3;59jh76S!xw$kJ+j0Ld&?*Uu#?N3KrtlQ(Ow~T6$yG^t@=o}8!X%ddV|HmHINqvyH+Y{N zfQr*@W&)7Qw^mg^(*%z^C}VWLdtd+_-9X(_BitSouq~H9(DO@h)=UK)Gl3 zBXOSC0pQq*p(UuQ(kXg-4CnVo67TIVc3=J?>W?HnF@`cRi1Zlg$ez9guhv)jrVMNB zS|3ELIB99kfK3<~@B*-YTs%CWQq_X_vO)m-(QF(8jFf7m#sX*?RfzzM$)Z;10j}U` zuh;6u?g<(OhU@m!XdI{ITuUQUoX!%z?F5HZavXHtz@e5*<#VCq=Whbvzx-Sno9kxa zc>n>qlz$BPps90B@QeV6z+K&LP{f3a(d$?DRA=U*bp*@} z+AxJKd*ox#>N(oo-Q84nm?>72Tj*L24M^)GLB9$3kS6GtR2QJDMdWliD~!|o`hZV> zvD$9^Ncw%=m)0Drob}>k@4)u7oBeFhcqjF{z$D{7R+AD$ankGo)(ysmldn&O>Ur$KZi%hW|(!g07l-#gi~Bmu2RMvlw4jki8>8e z>Ukg#nP*l_tP=tZIa2S&2ot;B9Cu6tYr?Jxx}5|$DSyBj5;rM;@H=%r-7paI1)2d6 zvn#KtQrA`Dk&$hEBO06&$&UhNDkLddM=Obm_t9j#=ae*hK`o8Vu+7t(&e0*n3bfF# zPGww^AFqbX3t0HCFL#E${~& z6^=Awbjl>=Cx~on+9}?JrG=8HWw#+UdN@) z&t8vJ7&z!!9u+t}lls0!U57K^uB50Kc^t^|Qs56&v39Wmbjj!`exbwX4(PsOr=m^j z@WeG(KAsy7u1*mj!@Xof$JC0f^_V;x$9~{zkNnwxh@}Tjo;W+RHr9b>YZbZ}dp&3qQazi$hA4`e| zsep~-E@v_i!GPc=k_o-Ahr_KweLbB2#D_z#S;(qaG=txDDvo4;Qc~kL0=n9bdMq|c zz6A5mCao&dkObxA|IB2VeuGZD{48MWDeCDurOY5Cqz`cUKD%nq!$*&lZMeW_(~3Mv zRSRbEi_m(Ka6)!{TjXdST+?dvezTzkNy)|KZgvn&lhdIkKBsSfr?+Z)B=i)Ym1Au*reIcQmF40wp(?3{FKMgU;GO z)!0Xq>CZvqI)>2&;(5C~KP?cB9|!Kzdyau0uAPdJGizX(5z%u}(+Cs1XoI=me<6U9HOYi5F zD((1;%*@Q7Oh0ZPfRY}pI3K98c(S#%we9ckgRB}l&GrJ>B^+yZ64b-6EH|%#Pro5> zGLZsoWhL0fu^FDew9Sa(7{q53YU>7aenePs@E)Iwd6|A|7Y+jm>4j{@!h2Ix2^eOV z$KB@BH0$l-7?CVmlkPTmaQidC05SoH@k1GhVBZE0OYhY_@`8O(^RpVX{ed5W?2F{) z&6}rS5*WV@RtYA$U%I;J=;?VZ2ih5n;ilX`gY)lIhGpW8Kp_+ef(~E+#JCY5*p9@m@nr}CLZXo6i3 z<8L5bUoJktdv&=vKhx$jJ<$Pj3gCZRgvvY~_nfV^csJ~zH%++5%7!}@!OfTEBu42s^z5$~M20UdH zka2+w3e1Vu?0tPo56qxqT1HwUuCn;|?_ZE=jCDc+VoPLfeJ4*JnC-dg6#s(TKGKbg zOVAqAiIw@~?p$vZqM|+~yEYB6+(X&)fmOd}vU>H9goLD6HZgy&_cGvbQ7uUo6vQK= zq0}SlbJ&D9*HqT7?Sj;F)<25~Fq9S&gs%RUv>A;C2*_2n zt0B_4&SpzsZPV7c?do@zi!iAahscsY84IRsD8tN)$)*?ssyw%)gwnQT(LL)} zL;OHAHrBO*ndABWCh{#$rN7JWeAyMLs17}WjE&UaWH(;C%w|gC_YcR)V`g^XYXpuhz!ec`aBZ7Shea_t-1n@{ z&0EBilp-d&A~&LUbXo}Z)2`>`h<11MoZscP9zn>pu@ysBS5PXlZnp!=)ZH5g9mM5FaG!SO@?rM2lze_9F@1e$w1f{l2>upbjyjlI7;x*4LPt6f zZcXG1PKy*pOm>G8o9M22LHo^#<5Gsz$yegD9QwE8n9xB31T7$sqw3x=SVum`F=$SH zeos2ZXJdDlgyD#c+kV!>j-?5dU7(rS=Csr4d2E{-B1W!5BY^caY9m>kA%O<12+ScVY|ku(*gH*a|gSt z0dNa$ddg)9ZZchOYLf>NA`=FsY^gK%(^FGZLDlqI^~F~OEv>}(yR{ZkeY+ZA^`kvy z-bGky+7Q|A3%Tzt%=(@c%l`2Ujv(Q7=2uqDQk7A@!;`%A z+n5`u?Q0>1d^CnuZg{9}M$kHMa_?tP|a4#G_Gk-HYD!xGk+JZ^6Galoe5Yt*XlKWx%{(A4kb$R0&d@AHfV%q z((z*3y8j04G`coX=qnMTPUwtSK*PBDn+> zqc8+eju^Yco==QuGO*12(t~D0v;<{zT=w8sA;U_ZEC8o053xqQ=DH1R&1<$G975OE z$;c#hK$Aj~O?lR;M?*(v9bpb^%`$2iOqUEymh>4X3({C)?a;5A$Sfyj=P__|24Y4Co7N$jEd>3EcF*^i{L#T&pwJ;7UIkuhQC#p#_4seyGV;LsGBON zA&ommsnTh2eN|5~F+4mx%NnKrFNY+Q)2Yw2XOd9z`SL&W$1$}AB3R1T^OA$(1z^)x zDa2}M!VSM}Jjlw8$py#j;t$Xpu4SbSWPW*~moGmDRX`s-JB4BrcK?m&Vp(ep*|K*v z{I2-t1Z-i2*GhK9^Vf&~`$N+51e^;xeh~HS+pHLr)UEi6q6w z+V$}E9jpKr)2=<2j)fU!+ykOql#>j)*Pk~$@&DmB$%p?C7e6jQksxzLu^M{)58WBc z#XzU{hoNc!3UOF6IjB)|f*SRpin@ev3UfzeQum6fHq2-MUJ@RS5` zS01bk&dM`$YRkfhoF4**kSIuY%F9pT3~DBwacniXL|-h)5G%6 zFxaGF7pj%t2hYc=ZHHav%(ZSh`YRi-=7GKZ5=d0mYk z&U6KICooQ-hA%ogWD`$#BrXb@*`_0a6plW?L;pSbc%>)N17x6GFe`$S3&_Yc%tqqW zQBb1j8Dez4g8K z-3A9;p$Q}i3D-(X@y6Vtlf={A7RXymGz~6?Gew`-&$roy27($4cB@U)zn8ndHpv%o zJ7W!;dDaPVC;&J_1N+DK@4roJks`@Ba#a--^xO9xp=oP3jTh|T75c4UuRj6PAN-Hu z1&YF& z`l1gTcEhat~fxcMTO8ZD+ z@tS(r5gt%3av!Wo$Ht_k2?9myauB}snqEB014w5N>-M8^3U5$6|KjV6hR(6b{A%Lc zj~^<3Q-plFW*Qw5f31zN3UP2CnD^=&-1J`+EL6dPxT3-#L#VY^sROn?wH+kW+r`Bt zZH^zB+yXsA4|2JY5w%5sa6a2`f_zMJ*|eZOj$IvNw7ID}jt=>h$y^y&#W zqm6@?4}s`;m8rJVYCfb8ZHeo#G`Pf8hMp71@O~s}ThJ)?|Exinj#J{br_Q%vmBH``JGG z@}k4CHEg5=lhg>E*g;xR0$ExKf*7E!ZCj>VkC&$<`^#TDx_D~;*o+t%BX%)lyUj(c zqxU^CZ{I1)4g<|B$)w|Ck0dd?bqM-M?*o%#0BOx`1dkadcj_rqpa#3rl@lr4RIvSs_#vD=(&jg8}% zmp5+152a%})%up0<C4i`W@TUuVyRZlz|Z3k44K?Ndq z6kS-JvtNdBZf#{FM9Nf>))Eb$FEhwbjH3I#s>ias>h|-KHZvlW?MKmRfPbSq?~ zBFQbWUVH*v;@5_PsS)~Z-uqud9>BtnKw=tj3#)o16l*1Gg(&OZ2`5FW!T{4tN{sT@ z!*Bh#h&SFB^!^|qkKjd! zEj;}77?T|Q8byy+ho>mXUdnE$W4vKNK`L^qM71DYHva181+sMU!K?AA?EC1YbMaeL zMyjTKnSGqapeML0nYZtjyB}{ck{9QEb8ZdYNJCab{yl{6_kQ}1soze1KU)65n)W7V z_IvHTDUQpykZ~yf{rk{!AU}c$>lNC@s6Y#R{6Znkh8R8b1`C;L?iHGcPCj?Yt^VKe P3ltd%Me%Ym!+`$*U3_9i diff --git a/doc/4-instrument/wavetable.png b/doc/4-instrument/wavetable.png new file mode 100644 index 0000000000000000000000000000000000000000..7c862d9533164dff078254684bd823c923494ad1 GIT binary patch literal 60602 zcmYhi1yEhj?+1D*#oeLB-6`&Vf#UA&6pFiRi@Uo!#ogWA-QC^gUB18fpZA!#oSEIt zZcehvW4qw7sl5vs*XVb)@~Zu=o3A$u>Os1GiX7%?DXpMP3i0Sc>##a2C#QG-~9< zv_Em?dxX_Y-}B*w)wc_n54@V1(prXAwO8I2JulAP+x|S~a9D3#H2ID%>{R&gygl%` zdY|9CrC|55lH6?7-Y&(g>Bq)c#%QC9q_l(B0tm>*Rq5%`9>PJ2Rv_HJF;9xv!Z>_4 z35|(2(R3#>1@dN|W_)wAtiMP94;T&D9^L%}ecWK2`bS#3+ruk9=rGIcvR$?9r? zq0uV|>_ns;Z#J{hf&mdHpC0Xeed{;BgWAJeMchaT4rrM?<{ognnTsvYr zN;`TxmWYn*-8E8gJhU=!9sY2r|0bECN+r&&3Ewbtm#AUuq*zl)#VsWNWE;SN#rcgB zuMVun3GU$egS?F|1n#rhCpUT~8e9QRf=QFKeP*n|Chlk4_J0d)n(aF5`s{|iGEhFb z#%>jrd|)DeQfx*bLXn#8eh4PVUR*^+TYa_xaHGA$Zqz)^ z#+vlRyO#0miXQi~%_ehE^?KIO0m{YWa@T7*kU7RsA?h@uvb}|4f{wwf;WvWx--&yV zhn^|&6s1psN-O(0Rqpz@1XZ@zsWdfdFLh7PwA-z)-6R$|9eJ*@B#-PLN0o)u9(&b& z4yIo3Z%RuEct&4+W{48oYK4#kv~>yauONa@f-y&ijYaspE31lGOG>_iW(MN^S&chk zQ+>B1^L&(PUhV-CnEd(a=q;2&3%z!e+n6NUP})(+N_+Hn3BTRz z8IqHNP@D}1W@xcID|*DLQs&S-gsZ*iTi1MqPBQ~&Go-{=D8K>VDAst)Zhhl$W53`i zX*=7TmE_YG`iFhLkGPJAh2M5qdU=y4cH5r%Ql9MfFj-ZgLTr9C^Q%BvEhdD6Skb-plOyG)?=-9MvYd_ts_Y< zX~s!-To~TrqNMV`)T^hpC+O9s$wB+t$9stzya;!KEn=g@Z^DE;8ySF%4KMY3bhJ8H z4}zK6fHaxq>%76&c$8p9NMGy4Z_LcgYD}{L3BVV5wT%mh%nQzoBUkCn2GzY5?*rZo z*VPH_iJMjHgU5~qN8Jy}5l<3a=|P87LAl{xXO;PnSCa1Evt`?tCMW#}=A6UB8*F38 zvX{p@FY>YpL(|=l%o>`S@_NAZfm%jzN&+jT!jii9{2xBL+tbDI?vXN-Xe~??moKhW z*@~%h6{5zXi~3YhMam_*GgY>0=GVX1j^jB-S|+C|RWM}c9BQ#3S&CKDyQmTOc6Y+Q zZKARar+*);YRM8>QsrI0U~%0I^xQa;yPs3o9=d$d-mn@Ol?QisFgxn47s}3Uwfsf@ z>=xUMtEq;rkCKGDxmGx!`A>ej+b4!BggMs8`DGuWCbPU+3)!H*8-CWN_G0D?K_zR$ zeLVi`ECBJWoU3j9F&q=&X>#u;T;cK>S1tDZ10EkDY!By%biI{^?4e%q#>Fa^jSK&~ zOp;==lDK|Y#J_L0Fe`1k0Z^mRQ(A6_0m z)OHcc2hKyWOu)lgXaAl2#p{St-e@(ewmeF#Q^Xz)gNJQ#pp(Y#bQ+x$kS$(kkx7%p zW74l6#O$^3>MeZ6{<@l40U=Q_5KURUx426hMR?y4zEmgm{odETTu--6w?h(B zE}QXV@eLL?5`0kbBYeI^n_zrwK+F|6Jm>JxdX$T#Z>KVTCTAaQ?C0nj}xC)3qy7|y1m`*+SUUG6cZ%Kq^ z6nOdEy95(PbQ}2hF ziyWIAIgl>uG8Gb!*f2s5cHYsdjXdY=#T~}sZn+*0f~jsg5VhN>?uHQ{VRWVOcmdLS z*t>8@-+WVg&HfT+XM<(y>ss@=ZQ~NPNu$K3nM=x()nD+|+8*#Z#MjnuB`uV{1^$U( zc;y$G$|0|+=|Yn?N=7$N-#n^6Z^@+aYVsJX=Y1eBB6KSVzc<@bI#Fb3D8U#R3ypZ9 zb?Ec$5kJhZtFNF<+O^bdR*J}4>;L0>PLEenxRz08#5*0BpCys5w_C#b&f8Lp!KIc~ zoXd;P=fOf^Fm_vM9bqbIu0mpPJeb2aZzr>S=AP>H7>^N^z#ABm5eL_upb}kJshDs` zYi_rZZMf>)d0K?Q$nSQ0ID2zePmFcCOfaEMMw-5rRJflC|FL>?BWU?Ww$?48!}-Hm zeQ{$IxPmZ5oWQtQ z)8-orAsFm|0MS0=8WdUoUs9O+P$R+>oe-MN14F)1eH+g%jgLrYcjfkyg$VSYEKg- z+0C%9XK(2C4HIXq9V#8}i4yt)s)V&XS6iT5n)=?|D9@ale{@c@<92DoHtco2Vx$K9 zqDAg@tu@z0u4B`X)h*;f;GU{u2)#RtNR^dck87sPS8PoelR*WUz>n5_IZFF%d1>TdT2VFI-lB;%Gd;RbKxZzrs=)=znzhEA*Z~CeD=NPx;~1{7L2u0{(gj zH*cCzoJLR1&*&pVD65FIiG|?CfILm-X%T%DEG669Qk&-w zM`<=iba*)HxE!=fUPkuT>}U|HT`#&so08w9X}zCT(?#UYG8q#S0V)zXx)( zAdlvGL^yXEi@p=OI9NV82FQD64;l&v8^8r?W!}|}a)4f$i1Y4vXTTc{92yuszuRfk zvilUOT2s9fSH0c`gx1n-brar2d0yvt_vWWgcc#~BCx;hcB@W)@6Jm+P&7*~(xW6e@ zMT;3fj`v*M>fo4cz-xBf!Bk)k)PG#}AE;g!8^0xX{)$uVLG5+Ra2#9qudMc0fHtRq z@IfO1N30zc3G>YDHl2G56T8(KQQB|d*vIX6gA{j{Vk299fHYoW*&018=O^QGX317` z4|IgyitDHnWRzqD3WC_+eKqDI^wHi~ND-vzxsNG!dX_d1z5%r>&M;wp^qEbT&ba%F z1G3~JF%hOdn+ZQCzVbB%{HfA##L0eD4K`T*Sto(vLV+qi)(A)^x5t!;FzeNF6yc(I z+$&2R%JLk55X%s6`h5%DZ1?!bFVNI|NdNGQF<+h2 zbT$l4GE5Vc3H}0O+;N)iQ7C+q5N?jv(MyNABg{j!!ft)p{-EUWhcYdXe{eUQ>CE`V zIfNoAT3#R%k@2A+xx-uU$L&Ou>!40Q{8jgV{y(2Q{9$UyRFF#B*Uix~5GhnYAy!b{ zhX}Rle#70xcC@_*Njt4r&03)XlK!tPj5kbdG)lXH$iF`OU9DlVbt*QECaU|Z9a{OK zMyYaGIUd!#&K^sNipXGpKXpZ|w#t2-xw0)XB=YxC zVSdpYfgT4x8<(Vq^JSR|a?@}ECJH@lTMl`-rREdB#c!T00g8d*eVIBVZxO|xJh3rR zE`Kp5FD5%BJfkd3)lnVT-+W!<|I_5KLyZX2L&gD!1O5AHgk7|JWjRbS2-M!M;R^jo zCvC5xRYuKqSD-WSVfefc)%!N?2jPvnd(Nhh=YtN{3gDH`DZi`1$uWgxcsy#~r#fb{ zqMhs~XIBeDiuefapG9|I$2GH+tGB7XGUATcb9}&j${t%0k{HakN(aE*z(xI(6UL-5RI+z6Gd5 zmK?|W_G!FDQV;yQ?N42=RKrtQE3lYCz-|s?SEzhudvG33O8L>h4~YN1k)4I@>RrFa zCt>mm>&#J5dZ<@2d?VA>#J;1!4qhl$fq@e1C7`w$b9N5^bN70g8Cc7=cv*OV&Ik=T zOd>d3O_yxREJCPaDLk4kS3jtiu6oQi*6mqV{@`#zwGhXbNP-HBDjLL@t+`nZ?lB`B zoZ0H1DHBBKc2BaK3BKxGQquq8z8xVa!q?-xxf^{B51)=5f)M*CR`!pU@duWy-djZ5 zdMxI!VY9Iek}j|3sLwf`=JW&Qkppb{Sg9&~Wbdoda!y4-c-~j;#6JdRVi{bv1E`Qr z=e!&S5=r;I^jb}>0Wa>9O3&N91pLaN2)A9vCIl&{{)pu_Is@!~P7YC!{Pty>LJ+2A zR4%Azp7UE8b_v?kM9#d*L>kt6C%0K^;dg}UDbd!vbkcAI6S49M{o=Nd$erw|RIQfU zVzqUZ`#zK=a+j$T?uThlC~pDe2o1ZO`W8e|Pyn2H&MlXO&>eg0(ACJ@*%F!ChR5j) z3Df%KcIYzu_u`0%O3HtHfrFJe=n35j3pcuO@(5*MSg)gNjym2HiaIjZfxORozZG;w ziIj`;q+j=KJKl!px#+UFvePEy@b36N<_)pTIXG;hPMcgO`VYJb6HjQn_^$eW#_ieW z&GvyujvFFe_qbQ4!>m`{FkMPzloqPCjdmmmWP+CpNnk8yXC;UMU586IX?L*yd=8mi zkB+6g^P#Eaiv;TD|LJfZNnP(*{Oab(3O-q|=_L(-`}kgKMzPLRHxdUJs=`J%gBxRxkFyHT5nYAA&FdfU=xPH0ZWojZFmrd?f<_ zpe6qI^g{&zKKuXQr%%>bfPmZ=fIveF{tE=aCuwxM;|riOp8bC#J}LiyBR?tskAjdA z>_5uSCvx2X7WlvC|B+pDK&wwte*5QP;`CtTN`hEg+@#1z?|+ub-B$57X8pFlapUgJ z{0?IQwF+|YH26l| zur{Fr_ysgNvULj5*j~Ts-P!xReS{gyfT7X}uRz)J?*nS_Q;dVUjTuXL4D`=?D-8-Si-yyT7`2PKnrFuE_I3;8L{O<0eJz2QJ zk->Xli$j;8!K9*cXYweO^NWLAQ**P`Y9or${&rue=gYlue#hUxf5jqjeGx9nHlzuW zp+W0F?*YV4E;qpY`c~H4GM$My&urz3i=%bCp=cx({fjOqGd(fe%Zhe0?~1ZGDzbtc zyBe%FJYA54ZlsAEoNEh1)5GG7nD_a-)nNz{^Hm$9-z8o>V1*xBK7q7;-Ejau9_l7)}MZ=XWj}w=dquS$x{dv6d+{u0K zE1R{}jo(@leSq}*!a`_BNV#^)eQz*Ye0+R22iB)zkfGy*kESP7N@{j%4j4T5A((#0 z2;R2^deQVuUOEs=sz|{j2)WEIjdCOLIbs&*<=8kSrKYAvMP(HgVIw0G<_Z5FkJp9S z;8$Us7?B*T71U1`yCK8WoH$ezP?B8EGMg=&iUAOmftYj}x9@K+ILs!8Cnw(1T1Bq? z;oq?^G50Sn_s3{gD-!0&Eck89vwvs^uo6A@tv8Y~A))9~mVHB8NF6w zUpV8;e&{N_QhF*xn5uH($RH6JJ;+Dp$^O86MogqtSJ2di``3_|xX|&fw4@}1&-2?X za@Y?_O0(_&7?1<-x;u_fNKi2{BGvj^R~I9KLwh^BNkc2EcE=NyGVn|? zv%}M+nk(5d@b#UY9cIsGhYSpI*^DsY1Zc1K_x4Jqu>86`oSH1`SzK6n01d_Cf}X7V z3#+~&oCxxs#!D%5nh)>39!L(|(v1%9==hQxjgFhNyX!Qp5_eR$x$esI^X8*{K&@$A$WFww(=qT7ad)t zObu+D#daHrgcNysdFc;@#Kpy>p{5p>kg&YG+&xCsc!P7iyufhioY~}?Ws97K!|8VZ zD$DXL<_52ztg@R#)Z1z>r8G+#$>X*>dqhz|6{>f^Y1{s%#@XSN^H=dW7@S9{wl&5d z^vw*Q??0HDggd9(ugcmrjH{zda3{GeT*tO>wb zz59G40z5~)UqIyENTPo-6%~~)37Zv+HL=<1>(dPjjM2C!#vFi2Ul-F%KZ zf>#YLErpep6+RK7a954^3qA%$pN*Wcv9S!l-?CoSrQG>ON2dGU?X5#AmXX<3_aAr+ z%&+wMCgkjLERYxG7D#xZ;16H8StjSUZ(EQYfX$@10g@`#^i(tlw^#{rPf&k&>FFIS z8Ba`2r@|0?O6W6qVJ5;`h-Kd62T^*qer|zj*XgUC%oATK+A*=B#mrRkTG*4Er+uYx zhg-}g1YZV!S+-$_2#{RVZH}3efd22;K^cy~TVd}DW33~gMROjj@-oVAseLYx*Hk4y z^}5xSgy=f8I76;7;ehC(HW^FFFDzVWv~_Y~;~u`Kp|S7!oh4@W>12EQAMuLk=Lb`UyvxWOpM{r) zyQpQeB1coVYO90I@Tg6JtRD5Q`R>N7N*1Pl2a&xKzWIVlY+F=HR;Bomk&OL)j9Sz$ zpNFhKPMYb2E^Ai^FCo6;HbcM{^|NHZgAF!fS*=cp4YvN~vRtal+yv8S+)9>Trg~(9XOsyeBmc1Nxxgf9 z$uX>_g;+Dddp=&W(Bqs`Aqj$a&MGXVpx1s)G6o$2L@78-MnPd=QZ=Rbos3I^GA-Q~}gLHm2hh)Qv{~9a|sE8wqtL#DxP*9@~`=3e5W-=qF zC(|S0^O}TP*t<|5K~v#4Bc@AT;*Xvf3RC>m=tiumuCBLSfz$|&6YEV>w-jKHu7~a$ z4aH)RL+52?j)gH5-b08E7eIyl=F|CL-SMWPq;xyABQ5^a?9f`UZ@I%{$zx%jVz2KB z9{GA%5vr>E(PPY@-NZKF92Z|q#2nC_tXHebY?U2;qHrMlJuoFj^B(sLO+5>v&&7@1 zG6GRL`u~KhvM`%f8B`V)SDo`k;^gXk5v5}fT7&zJxSn(z?v}|!5CunyRvfH3CwBlP z6f0F1Vxd&B-NU)|JNCo=SZWtJ=!}uah~bW%o|{t!#br4;+e5JwKcfRYC>R;5_J*Hs z@P%)~yWh)lnV7UMM;U0X)`wj}f`YyWZ-9k?%}Q89_uJcM zodoLBQ4h(U3>8Ir@X=PT>Nt^?QWzaaVVVQ zNdjqsrH>+5T$j2v@}E_?VSbEAp2HXbbC>d@}1v!S%I zva+o$K&xnD8xRL}#o~9h{g(y5 z1Ag<7VQfEHMnFJ-z>*f76K5%qNgt+kZ1nvm3f;oY1XBEttv87iPv?||vU;%TPfbM( zK~wZOE;puj92&#zs~H#$SFMA=2^CLKS04q6LX&GCB&uS0_C__4HmVXTOk7&_O|a_a zsj0QTRoR467P>hk!~gb$$$Bf?F!b0jrAMxDW!%TY3$=;lSh1Y8k4u;zfO)tGqCNe$ zP0^MMy324hgKxi0=ZI%HZ3Hyb)vtzMGkIxfX@OiM^z~ad5sWhxxC)cNN~MKoF%wM z8}5Tu6)!*IDIdQ*Q8^wac*?EA+uCXo)B-X@3J5xU1m9;o`(3hF_CqG|cxpEP_A2Pb z)?>Eaz)7C-Qf|WM>vn`U3sLe1Pbb-^6=-+RwHgwCMy>gq59J@U0U zUMM7Q8I%rz*$690xItV)To%i{nOFLF-ERcE|JH0VTuLv%8BJpYTNZthvZt7wp))r? zp@Xd>f-FC5Boq{su;tnQ{@+qt!ePOn)y_@;OhlGLBkREIEV_ty)@c_;sICovW6Af# zldRpmmKJWEuXe$N9OcJ?jznSGTo*n*J_$Bff+tRdyW!XS`lXovG2ll61r4 zYRgzt?``bQM~>79{oIyQ9uy2S6FMsKSRix5HZ`~4!{PVhF&F5z45n-f4TV$UPBRbHg$?Q^X+{u7p5=Xl zyUEKV)uN49>5QIVLPbOXj(OfnbtI#SAv*VXE)@|($Q&--1MvqoGDEMlN=*VkPSpHJ%asrXPI1{o@QjdLsMe1<3g0K{rYQC>7=}fF!G`ai-D#+ z5&UfRpUByP__6+02YQ^mq9Pii5C@#3L;y9iXc1n6ucV}8VSc_~MUVN-FaEPHfXCVr zJNDIFa12E1N-uS*a7n9Mck`Tb($=sb|BpmahA6lu0-WQ0sfJtjLSAW1S#oXEEVZY} zVD_6xII)ibdf4C>*e^kc6s!P!`6*Fkpr|~N&s%Y2r94fH8GR9*XnzXRJTN^Y>A_s!2bW8lEGmlpu&PJAXcq$byfGQPumLC_U| z1rtn`p_h8_Ccy!3!2|fzrUz+po={UF*beEWC4TXZV&?y#$IR*>_ zvcth*>{m=L)sO%?{7!W_huQvpE>~mrp?N;#kH}&aX9gt zlG~mOG4N4%Z7^gUE-6$8$h)kd05%vA!<-n7G2lI+{_MHY*)Vb?B$l_s>k8O`13C+?HTxjWs*PfsvG$tm3(27NEu~G)GI;n6 zCr=8yIpC)BygZ52w5{h^f*?UKWj>_(c3=3Ir-`!hOpj~1cwd>NN@gjacIy@`(Eo`Q zLf2MZ`90X+|RQHyW#O=?M*H}ersm?D#SQyA@O_~#pzixJt0(?l~QcU9?#3+^f zbskcbX5nSzu$G0=p6Ujw#Q!7rtm%y^i?eKztlgASuz|CMocu1)z!CytVv5QQig||q2mL~^&6GZ9wNAHf!yHbkOzS)d7UtP21Pr^`pYQFdz zySlq7>8IC*bNgBDc=A$`%TV(0N&}Cbp7lW0fBX)pLY75Rr@ZNYvQ% zDD+>X=fc(b7`yWC!`IIZGD%trZ~LolK$F0;SXnvuwL&}GaRT4%XX~!i-kGM#!nEh{ z=zEVfuGd~tnXRkK>wDpb!bgb?QZ0TH3kx|hZ(9fcM-EN0#M$q%6D#YM{DSVjAJ4w~ z6GxB$f!MO^V6l7FjpQxw6P~FS+CN($7sz)wZQSVt7Qk+`(Vm`~x{_3< zbdgcAdKKA6W{s+#FvCnkLnBVd@@qOTR_LYu+uDoyt=YO3?W>MMZx01Q2p{S?c0Tvd z*13gal*Yi7Eu`e{MXL7~Y8xFD&;Kf+OhR$_yvqlc!9Vk1T9J?8b7zqseo+K^g@ydZ zP{82L?A78P-?4efgp=Xn;Xj&+F(21)FAa-Qn)P*Gu7x(I78dyJcA>Wrw6)hRFKwpP zrWWSb>(>)zg=DPOzZG`S>$FKXMwyYckJ!c!Ve{U1pkt=Wik+P04pg-ura_0h0FS$k zdqT!TVDp?})Q`CO_l@ruC?!XRZ78Y!J;Z$ZWGkaXhR<7Oc|m)3+;*qZi-7vwm6-AGX-jBxJ_mWa;!ExmbSKr z^iGV7*?;Kn(_PH{j{_p01EVK65guz*__PW56rVhZ-&3xs&p^#qq_Nt zc*Q|^;kb0MR}<{x;h%Lx8;GLpOh-eaq?Ce4doq5slq(YTzsRW--iyB+n2Z69NiE*Z z!L8RafAQcd(m6tzLI25mJ%CF?;pJ_coRq^I1(o_$S3~DN?@oPDELEP{MV}7T#7+2i zj8vm*X_GaJt$`<`m(4F2a2E?>sP$Yl!5csG<-7hs!pD4mB;0 zzEwt>@p!=>-|DMP~*fdf&Zq8sg=;bCF3b93U- zwPsTWqe+Y)41arjTgqs)(Y6ua*dc9iZ_jihqs?NWye|}M$MNUcrsH3P?lHPlvK~_$hGGKe z{YCzA_5IMp0h zdDb{^x!tSSgm3*14_uJFcG?zT=I*cTfB*gUU|;zjPB$wdIfA=}gm-;Uq8yzNv)J74 zRub$rQxaN%n0cvt&>F~GJ!{t=7Hk-q!Pl{D%g)_6yo~BM?RZhu@?hwJ*pwwVF0=Ro z1zE9N9lA2HF4p!!^bj5fX^e)BYtk~mx6zH1Cit1U{VK-OPmgg*NZRTfYAwo#X&@LZ z(eW|`$Wx1MBk6`e=O^Y$;Ff9fc(U{I;+rLkiIdIbBU4UhwZN>E6cFefh$JYzGv?yp zaB+7Z=Z0ifP~R8X1Uj7?8#AS!@%9;p`c(^|KPy*`5zBu>}n&c4&A;x?L%eGZo;+j zqVQe4!~=69lgK#z^4@`~t9xeFEE-s`k@Q+^jyL0;x6U~PvwDwFoHOB`4BFnWuNYfk zoa#6YbWW;P0lcp7C7}ufROHXoF{m@-*sUYOP7d5a4xi`SXA3y1eHo+Kz3t8s1>0JN4}ZbI!G=PAT2l!dP)P3D!xn(pO-;EIJ7cl8$CDzUumJDS)xrtm_hw4 z<&jX1|Fh>&j~V+@dz0z(9!*6sxpO$F(#+n<3oOBaA(MOEydc^rRb_X;X5HK5;VKl^ znU%)nXg-}ME*BspBa@GN108J<7aQyTc)3+$I`LDv-fC^Qm>ldYF;fIM6rxs(6P>0U zF%HaJP@(lw^&iEq%_bckoyS}de%;d2lA9;<4UrUYAOg2ry(=L;x<5?3E&I(DvFRm> zSG79U!`)<;!|>+WHi3bKIn^9!-aU0jSnWCI2NjX&pR$Wf=Rik_>W8`(a(H3XkZX1) zFP#7Vmn1wmF>cyzTN(4unuccTcZD78sAg!yrU8}Mtj4^rOVTw|e}7O5l2=3Qt>Tam zOW+x1^u0MgvTOnHioAT;RaQnDQn)eHm918|L3?SE^I$Rk#&N;g&4ix5N01W2c)f#Q zzs$imB`(IybJ%juXT4-Muxpaf>8V4onj6n3pC|&xnlLscI(FeF`Hemw8TFL8x%uTV z5D2W?!DbHVNoF=Jk*@e!q0_cq-;*m3;@{m(uS<}EjM*43lc)Jd=v0^*#%!0imX=2S z@8bOYxk^7&W37v}@j6yu`D$e>o*4~^_#-SjHGUpBDVc`7clS`)asK^^{(~jfxF5O7 zAt6*MrzY}FR7`@r%3Y|Wlz3@TnNJOrc6KZDFi=IKZZH zfi?&O^i$dsnzVHA=q>{vg8L=&S$L%|x3hJ8bkRP4Oam#Z)(d9{L_hrL>POA-Cjt1{M=|V zGYJU^4oE(6JeY?nxUVD$x#d3g3PF=nHHW_u+b0F}|-uFtnMzx{8q z%Uxa;Yc!eZXDL>#p_d?0p)&3`{^+cc-v*eGnFlePU(DOdWho8`qE3@2l8dNHxre*D zvayJj;D3S4Orn+ScXlZ;$@Ezua8y#u$Nv&WA_%7COzaZUX1&R+OKvP9fWQT}Wz(HC zj0O43IKMw~ntWqWO`A>xqA71yY8slu^ZC{f0&X*2y~B$aNJ>mjP975zqt+Z76(!&T zZqbGFC%dc+UNG1{Bm|bYv|Yd)>@5y~Z_y*9@1oTxSN&HqM=ho`)w~pXF69tXY*Jjv zc|XA`oTi}x#@;U@9aCw>RqxfrCQ%Jo{%>1=$nnTi;F}bm>d2e(Iq3v;kNBCx@uKf6 z{O1Nn5qjr(nYBoz5Ac_R9qx$G<*_G2htj+WF6i%p;qUarNfd6++cKjkhqUz%yW)Al z3ofHozzVrO^LQw;oX(?i0RM%XC4UV{U-P=%c3u*~-wHzESI1Ang7QzK8;G=9Ve|Ub zYZ)0CKOE55R_E-Ah|aY46T2JGQ=lQ1>eHg*Z(iI~oyA_H5hbxS$9--zstg0c-dLi5 z9;v-{tFBRzZMZJQG1W}yb-c3ak)g7rbpQglaNEx#PY*VHVh@=)_BfyCD@l&Q9|bv` zW>@Q%E_)8b%mY2v*h~MS1MAnW!>IQupiuH; zp#m*K@GV6iJ2*I4ijRH~0@kpa;@tQPmpfyUjt6UD@lS zlDscrgA1ykH0KnDOa(01G<0Irn2%C9hWaEhRn}sd z5%pN&ii}Q~Z_`<7Nzx8$w}At`vBK~N-=u1$aXE8W2xAde-uGX^Z12-#lE!S`Bdn7_ zry}Jq98O9P)lz?wp8@i6<8}6Q^i(H{g7fZy+9Q}_PfX~Z5$P89FW$jF1YF-=ITeBz z3xf1_uqB6BRAMx+xg5;upcTnX_o1rR+Alo~Ul0Sxopba2{DeRy0icZipPx2_-vfs@ zDrHVi%p-9aYx|9tN-e#F6)J0Tcz$unZLYVP!_XdEy+r2rBUrG7aonkrUA8!YL!D{> znE?;!t1!1rY?CCqAhpX;wzQ5LGLhMPrCuZQZxhD(F;P?qv>Jz^l{-~dUiQHtg*vQi zVxpi@%6VbyAuj1#TSu}6WAE96G8_tUHkShA)eF!1>Jfo~P$er-G2`Rorz1kb!VKEY zq-10e;4UE7yJ)-EDX)LAP(iS-Yw*a4g0Gj=j)wB*b{8d5`hJAAQaUi$u<%}CBsl{= zl`$G> zI$@>9jS4nG?6r()g|Q?i{FZKqg9ne4^>Ti?_hjfec@k=DPK=3*3neD;p9;mPx#HpK9nK(1AhhY04fGZW_lIZ}lx4Y!_9q%A!8@XzS^iLQG%Oa2ZIlh3>pa62pT4LO|Gp<% zFl|)2woYudgFwhdRAwa(npFK^VzaY?ef!LuU8RA4i(sKUXMgFUZ0G$CK_0$*YkwSp zgZO+Bcff(DloUMkL{Co-N>oBZzd3H$J19*{qziFp8s|L{yx=w5{j5e%7tavYP zf$Il2lK6tmC*|ibTt%5*(yNK0jIlZ+3XhHItEYtApfDeOQ*lvQ>$m5k^HdbCEcB%l zOxM+F`0=cLa3F4;;v)r0>s z#|Fq=x{7Kb7*Fy5J|f=GQRL}elPSl7bWSW+9r8hTah>xp*2jek2JYOq!R~i;D$lST zzq^9s)j2%qnx3RF@CL_kqZ5hCiTW?r#{7d=CN~Dj;9qKNKak+=+-AMVN!jlo)ST-% z5g(=Njt@e}F4liOA&Tsdo|4~l)PkPQ#t+rG?}7cAoC+ZlveBCesH;nGXSQhkBY^40 z59AX}Zz92^1Qzm5*lrUM(3K()fo5F08Bvp~h72_o`^C(Gfb)0OxgK3(Pv} z4ApBaZ_9`pi~6r`YI88|2oINclYqE`{Bu5E+HAiV*x|o`8Dd5k)D}#CKfk0qwmRQm zf|ZUnYP7`gafz5Q{ZR1i>_Q|I-^2YNpmvCi^GHWV_<3D36Vp?%o-V~V>n30QKB}Dg zv*hPNg|!{dD`y*HYh$dkZB5((({1D?>RB1XIR`qWfRLoRhuoCe_utLF1 zSwgVYu)%(mi~-YM6F<~{tU0J!y1m%1tSzsxy=-Mc?PIz%-0@7@dD+4*-dyr*Y+P)) zd$?r0tT{phd<2pQsjd6Y>8r3tk$a%oGhm^{gZibwr;gc4jrK5>Zzw|E0f4gWdHw{_ z_F+vl#I$fnElfkXc(We16@l94aM9unMnf5;3EAe*0+G_CBiBfDPHK0d9%F`tOeQ*t(1+Pw`@s=@}fL zMooRr<#rpj+FE9-SPeI_N1lCSAiW!@(ZmQ*lOUVYArFt_2(@APYTSX?COmS80Lbdj zQ;z%iAuTkPn$kup87rqMq0PYS7ML(a0O&LiV-YWqdI$Z>a~_NX2xQIjy@;gXCP)>V zT~O<8tB!&x*(vw| zarD3DPnrFH;`~1uTXp;^b9<{EhN$W5taOm+N`XKN?Kc?-Z@QSjGXE%s;53i|rM@T+ z;$%xTTrcjA>$n@rG^=(a3?^Jc`wZ3vn(EA-rbX->3A!GqlARO6 zIE;R{=1#vjSLUn5GRn!QHB=V4tRg@St6SB1i)Ramb)7h^@plNd?LSNI>V{XF-d{AC zW$40#Hh>b2RgI^juA5U`N3Bce-+H-&eY^`#`@^JOxTlEQL{-^_px=w0W%Z0KdZo=v z^Bt_nr*5}*ndQR*aGvFb2~vno4j(Sf>hL|kWN(^JEz_r-_bXo`>5@)Xl@ye zgTeO^LCh5H7ZK7Y1RAtg-A$6&W@WNK3G&@R$Jbapx||?x2Kz7);d17l6ZB}^iH6|l z$B;B@)u*``(i>0Dw5ftCup?KT|bG7#R1mkjuH#HT<1P!lr5W`K3* z(5W6c#g07mqA<~j^|txCyAf!!RoI6~f-3xsGx%somar0vK>-&uFD`qNjubr_Wax3| zSfIu}zq<7HTive4Na~5i9mtI0FVl zJ=w%_+id1Zt^v^FR#ZQ>g85vp>#bom>XT788sn~!n-+o| z=hKAyw%S;D^4vTAZONX0tIc91&~DW3by_9HQSbO>cC>45PE7=hy${(;-3{cJIKKOX z^$o07yUk=WPu8nzQ<`Fy=}%gt(-qZ%fjCQ*yjGGgPQN(4x`Ys#>*n+Z9;C%&$|SVHI?$H03CgI#Oieq|0a8TZ0vG6#d;sp8Mcnk87h3FF6OysnSR9M@IM$z080FI+9>$E)H_W2k-9Ti40@ z-Mv()t%OTuVbkxRzwd6ZJrZx?gf@%$h6hdKJq`{0BYk_`=Erme_h_%SJ)PhWYzjgu z8BZt_XgcAU5>L4SGf;F8*$A^`^O>a~K*z=>;&(qq&@<`&S-q2y zlf>d~OYo;_q9?`a1+TTweRrHDv_!^Uix=LDVh8n5zZZwi;%m9j@XZz6vo*Bkn%~W7 zxb)ZP=ZR*9|B1d*Lmh;?)*B^jW%--GeQeKfiL}iwPFK)2cvbo#t280#ph0fwFx2mM2K1rs;`aZ4v-PEL4 zGm?L4fy0O;Fx0FJ_E9vxdLEo)zs5KTFIOKo`pQnICKSL#`7yt3T7D5GHbwql3@B3? zkavakHT<~<2vthf6Mwl3W*y_Z?>o1NXT;@==dyR$ZE*-3DyK~im_VES<}AP5IZP|7 z+j`!tsKUz7f@Iv9n&WB%-qg;h-Eo6lz`A9mWnh>c88E%7Mj|&;O-`iJ68_nR84(@s zKFL?nu-$I_`PVswD*rJTss+G2i1S?sGbQ{oz_PkrKK6%7vV2OvU9P^=#S{I*K>p5Tc!2tM{2h*ys8@V zR!>eTI#?rctSW$EKir#QK#!3L>E{quLgiMF10+&mfd*N zUm72MAZVe|X)o;lnpWO=(H_fscrg$X0Xt8&({~r%jYNsZ>|AbDm>%PDk{_2MP8 z@88)=3Ck57^4|+0NszyDL!oReZLnSZevFnC?Prg%jtEi-dpU?)^SZ@-lsVQPd)`cq zK3(W^y16Sfc>Zd$JRFw+CN(1-`IsTFv$H1_$fz=3jf^PzaG4q(-*zYdu|5zEaLG(& z5;XoSm9jg2^7U%UU|ic=@2BS@p<&`mK}ra%&Edjjf8#$NB>!2ge)t@gk-S*@)^n>( zrxc|FMU=hG5rAfY<0J+rLPwTbB0jrgIhy(sP^i<`79$r51cgE-4MCRGZt)y#yi;B3 zJpWm|X}!MT{`^P@kO;AI9cs5$t4P1)ulP6vQy|ud3`+M9f4LF`s&zj#Cyk`SP_WWo z?Y2K_|6D_z_fynUFGT6Zv#YYuI>dli~b@KY&%9bOM?utm3NIB>k@gF zZ5;k6HUB``*XVw@1tZ=#ZDly1#@ySs z-Vf!=>n$(Mjk#1kCaPRr6MMQTp+qq(MyF2DVn0Jy)+UR;UP?-JvcuWN| zd3PnQlQCj`!6%K36*=vLM-v{gI>(~Kt(AXfCd2*sYWeiJ_B^fKH5)8Yof&JO7G=j4%k)?yo9L&-({i-uiTn+~itLp5b zkJdKUw&h5|TJhrNwlj#@8s%}G{zqDk2?CT13d|{%oW&}*q;0%DUpd3QU;X66 zKrik28n&F;Y3Re8n%je8r0}c7l_4OfHk#|%-Lrou%a%me@T02vkg4mXfHT$!5O%_) zrl&#%+-{p_64A4Ac#IypnfySqP=POFPQwumAk^fX2>tJEk$J;qyVUZ%+-fWgv~Ltd zC;rsnMx+q5p_4f19XNtcSF8u~Ld~KF|CTekY8kBO6CJ&_!;Z%ae4k-!cKbjAhuH+{ z?|JI8;@uhhsVq9w7Xe*H-K%PDFh7@ibk@( z>92CaD#4qu(%&v*MA$*X2Il8wo;Rm#xPcng+dIlVE!nQ}#&AwoE=D@Kyvdc?3tE*Z z@#d;Kzk`Jw-4fXzkyHCV6`706cA;>#0EvW%^0Fb*1XwIYI!^b&pVwE!(cog)@~ml! zA82_DrX9MtbPlVDjBv_uL}cP6XMJ?aLz$g|I8Fbf2j=mmXx}Vw4l}|00#s`MCw>xE z^@Nu`GXgQPMy2(g`tWF4J{k?#UuZ%=@2<+<`6<*z(TIW?eIXxpuc9mDWU_EiOStgV zQq&YUq-B-5!S0P>Pp{yt2ujhoH;3Cb%@`O+jU~G~Nf$3(@Bcy?8~v_F_;&2*PzY59+Am-7)6A*Wpr4*6@*DXypEi9|vUJ*8*27TxSwxy6;ZDAkX*ZVhw2B~yJ#BX{gwyackMe+Uo{Ps??!tLf!C`JLJp_wL9 zo>H@8Rb@3VJx;9foPRX^O68ux?pebEL05(Q{SPmws=|EDO!IMpPUt(~kd5K`ld_L` zW^OQVBNZm*#SlnoR`|s-?~k@Yh&^5-jNtKi9zZl+cfc?zB$1f)cD9y;J~}?$g@3ay z$!K&uz-f>T^Uh)?z#VIC*mED!%Yvt>ZB_k+QRJW1UjhfQ+TA#grZ+`l7MVrqVhy@} ze{&DcBbsIWHv~$?LzZ9@3L?K9usLjBXS})kU@Iw@ZVDMM#SJf{6l*?REetHrUo)h^ zk57hKKWF?v7(hjXffbf=!JxNrRHx}!33b(lWtRL)|HX>(6slY6FqGO8CtWTOU}%Nxe}?>ZCUIxs6S~o>|m; zdsT+HneM?2QF8h+dhPBZk3Xz1p*frJgntLjM2AA$irhs<8WUxSdFEcm7?XNU(JrLIj+=H8zO_v1+-+Q!*=h9GwcYKUm2S9K$? zLb1RVEA|I8x@po$>S&u^50;AtE>WGYM`tCkT&Ze^Ws(dvXtBvi9+fU?pRc#4>nYw7 zAE+=!Op@{Y9p#uP8R*IN<`vHQfXvB2c+72w<$=0nEJpdK(f9*6hk6adu(-yFI~kV3vW6PlfT4 zvaN-Mx$#>jO{(Qxf?d?U;46;PnT>F*>NGBycpzVd3ru6QQL>(-$3bDjRVNP%gem2} z!4L|$KvhHa>^W%eJ-MQR9YvhGwR*Qw2#%EAr!>vMfB)9*K-jthIWB?ltlFa%zs@3Y*oJ^{S^pCPxSJpjuz0}!W zd~@qD7ybr?yq#G;avpRu={zA`wX~5>f9zngOx%v?q!YtEl_y~K%jd^KpQiGOFjrEG zXNwHxa@MiAe`laMc~|cbR}f5mnL=HEC@8foJLsL9lT}uv7wt0c9)IHxMA-J=wgfnDU5*29zE?w|z#2^D6fWM};R^Tlk4jcSau}@&C*k#*>4N2p?;dz|}@8rlvyE zZw!j0#r{>Tf&|q;gq9<+vqmF(bx>!Wsk%|qAWE}+3^)@TbfH_9qN>;Hl}g1UVXEaP zAw7{RA)COJ1Rha@>t1 zGd`34F<7GNpHTFm;;pFNy$+dm;1f!%IocSyO)`x z2CAnELf$OYmViE)W)6J?mhL?1PS3$GX_c8%lMjN4cVR&1sx%=#=L=F6$OT4ZRSSv5 ztVq*h7XNecgEOeUGzM1~9vXBCnMfnf9U+(Kl^5Z#QybLIh>5xU$2`6%hY0h7`bj_J z?wP!@+QLfTghcF23!%(OFI8x(c##P5kJ)wLfq*Q@i9=)EF13raMe z5`1|Y6K>|;j>e#%;tslNJ7sdLXAWesr)YB-1u4&pl#-b!yL}qtc=1THlJEtDxhz2* z^E^W?}EVeq1Bs||QRcFjc@1ZDjs?}u&m2Lv}>d06@U|Q}$F-c)7oYY{3 z+Hcbc%E@XKkC{pddFAjN-lPR*TEO+X{}JVfu=>ds$0BT7TFy>8G4T6L%Z88+Pg~?M zake!tay0X|>JMSE){B8}Hd#;_zBosLFCV zfpM|Voogr)i^P(Qu)JWX&MJ?k#h=1Ou~Fd7LdbE?&Vbu5Fz)3#k9@NAsvvmoB`34d zDQzX{|7=GPCl`CV;`2jLhYCjw+}*y0;(S-d+GRhS4Kc9BH861$tiOzLT@vLO^g1S>MO`QEGfReE~Nks*tx@3^IvdyuTDtW z+UmR)Sz(D__tz9X#Nb+j!M|Cq=Z`G1WPPs6qZRF-q}h6*KP)VEFQjZwDmdicv>L)j z>27ugLi=0P?&Q?J?t&;SWHUe{3bqq4b<_kLHQ6d7WDvI8s`sU`%zD(BT6lPfllV-d zbad3>sQ;dx%bY~uMW^M5DC5Xpn^yb7u<#6Sm88hCV&z3Kk=z#?#9@GVZ@z0lv1Bk; zwsAqk7B^+NRF?7Unt+>$TC+IBgst4x&sN<8VA0@#K7^YO8X)a8m8I6 zhsH`mP_XU07Uvmy*u+rzY`zIL8)~EBUgPI)MtY~5D&v1s7VtmY?P~D-g-}x@u{19C z5UB75f4z?0?bvse!mvwkrodoqWl11=hXcx0Jv+ElVGd zS09jtr)^!G`M(m;>FLk^<1u>MYVb|qusrOK65#uNeBI^ROI%*P)|JOBg57*FrS(_E zKm&s*CDavmj_>XEElVZMK~C;xKtJHYeEB3ub+K4A-lr+{;z;xyZw#OY}qUmbbhnV{an-3&??R(QFZ2z{KqGf$jk_(s}yPhp6ecUZ^ zD5T|;-*h>3P!~yBjj6bbaXTe2hAe_c%k#@hQYFJFY~isN=QD1(tJ5&RI2m|7+P{R0 zYvrAI9un#Js_Qdos#8-lSlnNVq;_i4=fHB1hn-G`B`;{G!Yf9vhm<`M1=*QgShe5k zz|!7dYw3%j6`AzU^86_N;jI^r-V6yH{4{8GUpbn)!OO;;665ZU#VZgSi%-3C@lyLu zG|~yi z@|FzHlMo>>`CpxtTgIB%RZqp-2>5}^d_$|9ui&Q~#!%#;+J!%dRrB95oHfr_C2sL{}uF zlqv90Z2T62BBbQ`k6=oM{l7LT$ca&fywjIy0zOal7+|3IgP)|IV^V zZwp=6;q&J##jw+!EWWMIhRxc(TQOyUD;hFRg6s{gv{RZn-I}p~Gv@hZ$NBT`!joTZ zCoc;AOv&?g;8tHNKd~2eJ?+A#g8^W9@+4y~$C+DaCU5EV8^=zd8s zI-~B zibSn~!n{{_83A3FZZR8GtD-O`?uvDDg=(dCjWR-Df4+R#q$zWXbO<|K-mC>9X5)G_ zWn`03w0P0vF*SPBv2zA0Ah-h5`ed>PJwFlQPS2~{FL%f@n3UO~hMn*Hr_5Q#iIF2j zi{^LYU_yj#yQj^Irb!VY0SnX3t0pJo2F%%qKtfAaY~>JNZ}&U_??66px2s~c=$o|_ zJ&{O2*uA{I$(M-?X2?M=G00MK#YT-D+N^@KZsy3BNr@XaYs--*QAR#_Eol!^Ft5!n5}M}o_>}q# z73LybfrSnMsVP*iO6cmldGYSnj~h*rBu(Zbn?80fQLgwPDkmR>C+K4I6xZ|+B7p<~ zGJkuU%r^O0p|(JLY@$?qy!jjgc83ll*C7G9^aH(NU&TUl@@ETm*QjLbYQmtwvC_O1DX*onXm3Evodja5VvRE_vG<>YMh|M~v+hwt)t`&vp>Rn>wDpir`9WToCnWEysA+~QbnofqB(zD)2B z$ccahW`EC-VS8t1FA-2*5CNk%lfU=Nv$J#4?8;vP)cW6br~i2Jb^6wf_;^}tKDETE z3dZw%@10=c-j}JlwEg03J%)Y^c7C3(RvVqZd~SLjG=(?}dN$ao{g;bG_STGkdAmAS zXocV>O-m+`%VoOwSa6WjSdOF5d*IMJSk;dK^j|O6+c2kiT+Tx~JNj6T0FNpaOWeVNv^)zuo-P6+(pPgwk25l^s0FzjuEwaRGB*lP!%)GVd{)L?;wSK?CZz0yuNr#KlJd7z{5juc4fWq=1N_p@g+lx#p&2@;Twdv`U4+6RjV}`oRoPdCSqTP*4Tb@f{D3=Ziik(zB!lfRCU-aYoYT2Y#zk5PSx5Gthdz=ByXB1F1{}*_s zOD2szpRpk;`v?al;32KiV$wZXol)(4S+w@DIj_kZ`AAb;eOseJ{)#?@J#o$ z%7qC_+krKCVRrk}XjhwUG$zzWhKCEl8Z8(p7e~S5cG{dwX0o{~vn^foS)d7U_VS|t z1g+NUP1dGo-+3(~P8qvOi&cKiSLG|@;tBY50YDyrT@mTd1C*#Sg9ycsY~)eM7-HU_XJl3l>27fzFm4@G-{bVcKlaLi+2hZi~dYDISoD> ze`MiDr?$I`K(cyroxBI1!@R@c^pY`Otp7c&ZLXS++o1v$1PI0!ob|rK`uACPT7;>l zr>EgOVKq_llEpsXFY8XHv%*L)Gpqp=VkBZnVsKB$Dso61z6Y2Zg7L%sePC4|v!o=; zTfR`x?as*PXq6d@G(JEQhuZ6DYFhlZ1MnKc4V0Faw%D#CQ^~jprxH?CV%F}n*{l$T zV)5yBd%0p@V1V-Chf$pyS?MFrqsngmwTQ!Pv00_B2R~)QbUB_tdxFIku23$S$>o#j z6@TsW{b(UxDlj+*GRG(7Si^QHVxgj{aKG7MrPpl(0%hm;>J?e!3%$+?te>%#o$#2R)*A-#4kpX-|%$95OjWUpp2782HMHi_>v= zCdzwI@UZtvUy-CPq19CEP>pc7B~C=yOOqU+aRKxS;+OYIwN_VJpW^VvYMlZk=otW& zQ|hT$+syil12sOmYDchjGZ|47CXUBtmYefnR1k;)2xgOq@9eqdC=L6bmp!5!A|sp} z{)Rk$BT&`%tGS*cirIPI<*9Agvvk2?#rZ$lTt12lz5Bm5TO8}Onitw-mYe| zF4aX+aH0kjB9)9@NH3gQiC;DF_lh_17(&Q2n)Uf_qyuRae*?+UM8q!F8c6Qb@2xGJ zK~)YSm#2U_{>bI0heqb|dIp|q`@$f{0SoKF`Lhd%=Fb&-(J>qQd6VS(f! zLZ^ZPI3k|M*-u6}Kzv)#)gNwqRF?bqo9;i9DjlGlmi*3!40ZPeUk^v?lf1-$t1>v< z6;VukKE6t|#@0lXE%AnIwSDw4m5>}BPu}W5% zqPktmZ%o7_ux_xrSE)^pTRQxg@W?N-%eJIh2nK;dyK|pN6%XiKnHW(yzdVAQPG|F~ zyyNSF5n7FhBQL&nTJ53I6>)OqbUW(tc8tD`)E!WVs%SpDJT|At(^U~LA%SICfT&RA zVnfGLn3y%y&yq=t%0FUSKouLwnqj5D5z0}KkP5Kkc%^zfAP#~rL}O*#fPpA_cFw0H z_Ej1ohJE9zX++h1`z7Pky5s&?uCWOl4ahE@*cmqF^09_v1 zFcwwN?{tG3Q4`g5^`Pf|*#r#;^XJcHwqb(H>&cnNjtOnp9D%!>3xB^OB@27xI$Sk& z*jQgz-c)`tRGy%lehn_(Jv>OVD*wf_t(P;t#O3!s01&3IvCMf=T;cy*>UJkCcmEfF znN1j23_+=OYzi|*K!f_TUJJ)Lsj1|Jzn;K&<_bZH|=Fr z8*D)!BL#%}1+}97W5f>qNM~|H6VBmJ$k4IS#E7KR@8Ybpbpa;S?gQDDh1K%1H7BuTcS@QVjZN>Jpr_;DtX~25 zn-Brh2_GLWch#rLz8kOmIUjM2__DS(I<>M?*c?gKuhN`vuf@sS&6V8Ts$yXXw7(~QKNM5j1BsO2dODNSg;x*;LTRLhtQG7rZe{v1r~mO5G=pkutYJ!(!3$Z0 zk|p^o6r3``7bcykvL2zz4pEkiyDAiN@Q1Jyt+mA?2q$`n>vo z-9-k{&K0J@SXD1vTpvQ(N;1)rIuNDVYD`5uCTMC8Kkyl{VDLC6h|9^s;=MQ6Hu1=a z6?}+kmI1gRoSgI#1r4rD;M&2M&)3K2)?P&W!b9;3Q1 zbMP#0*C9)mSnj{kvv78_diTu)L7}EBcINCi(+!ZKG)W%D2#A>@G>TirTvLR6GCDG*M6doS+%zlRE(H?$Kxp=sPNB4Za?)L3Jvc~m_W6zQ zG*!1Nso74%jKM(k-#sz&SlFb^;vl)#&V&}LBuL%6D91K+xL!v3n6k+kpUfwzJIMB@ z(2{a!4)KYu-z^vciCc;`c;_p<-oE{jL2BcUzO89u^G0Qp_Wc9lpn3>~^~nK^uZ0 zFjjR2?p3F-FgtRc?t5bu*>v4B7ns-1kq~NipB3hU^8*Cenk>6z3KrIC=G<}r%M)3h zJ~$~Na!Cd8h_nNdO>0R1V1(Lj*QMvt5T6mSs zBXHVMrx0REA?M_uE@DT<&gankOsYJoNbV)btAwH=AnxXvFOpE1@<+-sgJ-~515>uogwa@dq>2%l2!?H8SMI0(^Ss^bx6CdssMq=YTkIe* zXhB-QzT|i6?eb*4J4h;Ne4NEe!U=7b7UjsRK z6!5EU%P$yTs)8guI}f^XfC@yg!p*s0%}D=O0F8zT6)Atc2E`XpqH_;SdMB+1bBgb4 z((~w7RRf1m_a{4hHlw>+-9{UUJK2f`H`fM7wN6x2ugM{@u>&b`<2Zq-x7#s`wKF=4 z-~Z;3+OIt*qRNpYouJ7JhCKFsz*>p}ytLrWx3g$8>H+nrFTlFx8|4f@^3agyBf5T& zrs0)*TcdE7mf|2emU@JcLlxl(j>u!eTvGJve_-166h%>#l@cKZoN}Tg8qmLO6w?#; zP>G0ZbfzL6C0U7NEBu2q0NP5B`owX1X9H)4qw4ryqNxaVO^Xz#mKf3dO6u;NyF(G zioh*H$LGZ7)CkzEByN_AprftJrnl^RVc_owHmeIo9u360tz$S}sb1dpXBW#^?kCLo z=y9GJH}fQ6kLSDHzc{XM!xX^PmDhZ}7hG>If7Uupb}26z;r9^I28lxm4aH)NBVb2_ z!l5G03nS>G$!iy*DpUlVTljV8(CS9dZr)dnvrY`$qH3VZ){6xsSGDSIsRH#AZwNJ=j)~LJWGM zq|sUvPC;|B>vH7kb|g;C4yNWgxj4(xnbyL#DraOfc=oWK8dKIrMJN7cn~)eNd@~>%AN6*>4i3f_a2Zg2Bo9wt9IN&+ z{(gM!KmSs=_U45+I&vBM^P@k(qHr_$aPqc4jQ?H=Qg61Z(vYj0^~LLzYUDiq$N8pT z!}=*glb|DK<-ozhIDJetxqB_z21}pCPYeumdjM20|KHB{%lkURDk`N-gLuyJak-!w zg9tv^xVd%iA=bAW-EtimkR^x15H?iu)=SS6VAt#TY?${cag^bn`pKBXzY8iEXiiHD zd#V8UPa-dUQdvE~kBx$~p34hRLfe7>fGn3x8Ic>s7M&Q(jthfO-fthkUJ>qidQlZ% z>&&Z{=ui^|fO|Y?7TI?$>%N*eUkIw!v@@nLpbQEX&5yBS;rtpN$j-{nj70{@_dGu3 zY1I&CqOvf%bM`(qSEV*EG;`t)@P_%_Gc^Dj;AT?b`804Q&OmR_iHb(84}`hZ0TeLu zxt=g{e_hS)e8@wc7&n6fX($MT0+i{Wd&%k$&8mowvn%skAlf5}jvx(TS^|PGKLjcu z=Njj<*XrAq>0OOx9scd~KF$->&@^V;Cwqq!*3k%L4|Qf?I7!T*(V_VdE|_MQ%gIU# z49)7P0|SE_PYtlV`V_)c+%&?hgr%(TcnA~_msUfwOS9+G=d?ku0q=(zLYbo%PA}#c zU}M@i)g8dDF>V0}w*L`U|3Pg3|KmRZ>;Hc!#tD_%<*acx{Oz%mxKpW881e#{yK3UD znjBW>1bnJbhl%ilC?DT`+;ive-?GBsEAJNtdA#tLU-|8ft&N-nzfzxW+s7-;*JOd7 z7za)1q{|&P-`2hdap>hhO#e;Zr-;#l7ng@+vB-g37}dy{IKMnj>a^ZO!a)*FYM?jJ znkmJ4L6(O#_jo6n%%y{lDXSMMRNw>7jSy@70z~GGg|+7a>n0Bb5jX}y|V^y2bW1qJ+HUht=C)U zJKf%`L(}%hAY`ATJL`hW$+b?Od}gQtgaOC_lF9`EkP+hHl7u6u=7@&iL4iO6h{3{h z;T6hc@3pE@RA~8#@r1)|Kj+=$*S{+J?5XFXt#KGIz(jF+uznPPSn(giMuHf5lVW1f(X-$vuBSek!SKG~FtlRv) zItV#wpJ{$JhBmfyIVTh5$c4(;?8?_$$ddMtt3Ic2S{*F52Y-&Mbr(eH{&rsl2f!rk zc8N&Yx<8D2-(3()Dk6)DEO*f3r1ei@GdgPz9J5w*YG>L#+iJ%~UA8tpMvpRMP07Wi zZYa!p-kvq>da7S5sj8+6m@LTR$bf-?(eipc4$Zj?98$Dbn?eQ>zGGc*(Poet4L_XS zEgsAB#Cg0kw+q)rkt>5IU@$m2q!aSXu!j>KB-Rotg+*+pl22LA=Q(TNN8x0o~DC` zIgurpJ%WD8sp8Y)P*YYn&qEM`Cll(+3RZ}K!K-;j&H%z)Hp;Hk?GF9zu1B|i&s66g zCN|t~ACss&97A(e7X5)wR%!KH!z26NBo9OgaVTla0-gQ&plH_-NAIu!0E)MLEH*ho zcCGiK2jWTxhDXZ{v{$KnkC4g+H#Q=pD^0H-o6n((PhRP^Zvb zY5!c78;vOB9cyjU-N8c|nE?ZSe|mFWex`Ozh1mMaB!BWKIC9rFEGUHtlq(q&2|p>| z_u>fL#j=tS@naL45wS?offM7i{7S*lFV5ZZ9{)r2mLk}d?GDVRr8=!{Y?@ehx@9K}_^N6c9%IWNvxia=thr8!)r;lI`Z&W8)JdT)*f}OH z^NTJRBn+)}Aw4LKGU5=M`-hdegoS*4aOgePKHeR5&ZuE2ba= zO@sI$h}ntSZ>MoMJ?lmN-whB~yxkVXm3UaW@e@(p0iW|&DCdX&XMy_SatQ05)a^~- zMuxyycTv3#o`;5hbIki`*8D(~_uB_}bNuv^vnNZwti-0}X6=Tkv)SikV;FCv3DcpK z^J{~yCtN&l7kxuJh7l+L3{2jb{-3!N>xne;;{IJqp zck2o&)aCO8ZJ{-v3V45}h;Ox7$%TT1ifq?9ceh_eal=CO z`tP!nkhJzmK!O13=Mx5s(N!P7w%M*XPzqZpgfa5IV&R4FBZ2|``+!7nDA}xHXvdS^soan>Ntl_ATHPxwx0 zR*J#~g1WLZnKsPvIr`564SEmzbagH>RA@22SjldEP~VT&d%-afe&X&xwAPgp9i$P1 zGo%d2%*e*f!k_33Us7jVL(1BghkcjD(|RKtBf{5|(%rUOkSkNm7icvbGRlyZ7KB2P z3vR~7e53ha+$!TQpdGzhl47=y~)822fGDcv)cE~%*6?{^-upz zbW8PR%^>7G_Ji?HnmEt(G=nEe=7UakOTqIuo3-+Ec-=q1UYHmGASbTgWNm?g}Xi-x`H z9w2rJpR0hvbA2rhtO%Lf%&Go}7}r?;=t~=Rh=s|KzgkZVCWHi`z9VFgT;V*&Rn&^NK*(g!bu{S(M3uHe=1dW`e z(qK^v5!&auUO?OV3SuqTQw)qBi&EU_e}Br-+Il#|OTCd!Eh*Q~088Zau^?Wp0Uh|& zzu%Cq9ONd|7L9?T@_OKynCXhWv?OV~1o$+H%~dH9~I6Wx;B^KF+^VUPHsj^T*nEDguA< zfp>U;hvoC8=jEb9X_qCBLp)MMR(%Q;iC~}DhEQ0l)WXAKUo(Qkp>U==jKYYe4;YQeY^%n~VYcUV+gwtr7~dXE>?0q2K*AuRHf3*Pz?IGg5^RgprPB5h_05QJ z6p!K#<*rBG3zRT`4`2YzgIO$7SDk6e;l+la0ai5u zHL+yz=5nJK#@@C*Ld4Y!E)LyocPzWAy=TKuB@9c<_vK2<_faQxm?1n1VkIKQ*=LSq zNq$Y3o$N=)Lake5winz=08R+uJ8VJoJ+rhEjUr`_6PTVpgx?Kj8cc`H-T7l4^bQ!_ zey^PcK9l(7#Jbw73R~t9FT9L1Sj!00Ea1D(DlR~#s^y-dG#hPU60#Y#%iEdTbpT@a%?^9d{<(T9~D# z0vXRKQxXJZpx`@V7Gwhw&Trh<@BPt$F~@$AuH?jPuc6CBix80^2#DH*S6nvRfbJ{M zHB%B1K7~BOelk>o0i%8hi`u4OS7^E})&qi$dAry=uSJ&s*O@ zw`}xbSiryR*uP?QVz<3PjmgeFF{SB92`KW4WC?U+EgfW+mLoK||HWkFVE_guWxpe% zPL0KJBg`WC4VYbRQ0^-VhvB{nv$9QiIbaYo2qPmqcOuq^mYKYM;Fkr83N-{8H@Lwa z<3xxScqp;n5Kis~F+$Ule>Ym|rK|;`*7{Jz$7hGy%S-0yz~by3oKTr1uhM13)ae|w z$+u>!N4<9Z^mvW(#~qe^ zwd+(USAkb<^1OHxj`#^2`OO?h2B%baa)oy^1QNx3IOG@;H?eLqwg*B+$;fr4KEX|Z zBY_g!n%P?iZ@4%*J-{aX6>UW16G{L7*m?`7s=BUi_|PGpBHbz7-CY8LfOI3BBHi8H z4I&_+bPCeapmcYqH2jPEdGB{T|2N(<2JYcGJLjBhuDxblS8ZHYia(w!4YX3+0)Cdc zkeXls-dPH_X7{O>CJ`IbKy`hk&S#@nX@Dris>ATB5&iGX_ibh@c=!)CCRW1lK4+Sk zVCbawMzj8yK|;i;QS)cVv_9?1uP6$VLNZyCG8O&j71{r<9ZQGd`(KYntusf#eN^I= z1=4k2?&|MDMDsXZAqR>{mJzFo35yvi z!gIDByIuu#hmQ7Uxr$WVPL~B{PExe0q6~VTPR!XMk>2Pb+X)a=^?Z7P5VGntaPjV` zyURE!m+(#!IMLq%q@6KDQ+c$}Y$g;wdg~~G;qfL=v~F-Y3vHg=6NtHi)qI~%$awn% zXSxc!1?iGTAA69bQBttoB}NWH{R3tdQG`P@NhNpZuZVFK@cC?{oZjG zulKKe6^`$%37%rD?{9(Ufb9BM8yQu%m-VT-YZg5=u~W65nz4moIDpS=jeqgo1K> zlf77VXs4<~iEM5y=-FP$Pp=AWFx1sQOdvAg3H-uvNpVVr) zH9dG%^nsc9O|*abx9W6+S+X(+VQ(Cxjo}QeJZJR`_5q&A&j^%$yRXhmsma-a@5`>; zC5{YN2ZveLr@v_QR_?tmuZ|5Nqx$rzt*{_qRz|%+iREvwW7dbdvE7xvD5{jgY-Cuq zv>7C{(PQi0Wf-@2>Cn-N8j&mCjHzOYZ2ICK<{#@Y9#_5MwFaJYrvs zw2@SIy)>~wA=rl`H8;I2K~}RkvCn>G5vERwDRH0q>z*s!oW?R>fO7w!NTW6San*Vpb>pMpaLcM|?i z^6YP)+u{()@U@_S$ElX!vpr`eOx#VwVrA#g5Skr%48Ia}8)<*I^NfHIbql!^Q_1}Pw> z4U)_;22leix2K5$e~C~aXCIv!zgYhcS8t1-Y?r9)IW>>VgDq)?hT@%=OTYNQl+bhr zVD3R}?0@sAo;*V)5gxVBCM?{x6V9t#APy=39Q*ek{K=m#FL@|RQ%v*_^gm!Hr9vQ` z13B&DCgaaX6afUKe<@c%4XB?xz)`wB>Sd8k*xw%U*i9}xMFS+Res%7DP*8G~FV9wG zop$ku;J}eE+avfSk#1oB|1Q&guDf;EM1`Cr9(%t|_>~sF^t4y=5dmDHGn=uzWl8XS zYT0f3+(uO~C=V9$l5hyeglR4x_J1Ge^XD+e0Psua8#GaVz4Xy$@75QcVV@O?3$l>p zJB_wRNft2piq&v|ploccW7uI9>aR>A*d4TAd=inFSC&jLcs$xoLj-pdHxTu7T1Lz!S1s|MTl;UVt_%{s^K@5g zeF5QMO_rBJLzK^J|H^%5XumzM)NeIZA410m5%G5D&M!PPNk8r1U6siVBf>}$9jf`- zTe3x`02w`6;PEn|DuH@d(sOY%F7Bzm*#Fd2KVNBO@D4@+e>8)Qa`&f=y&?%@C30W* zm7IAIJfAP@+42(wT*bS40pCaj<+@1Y&rc|NCg^kq+hmvWoYhsN2i=p;?yQ{^$TA#)kHKpnv~;soHfb-VTt#Hn za*-(p?8mQuh44SZVYK(-BGvcki_oPk?R!-(!{>i&-^`N0lqSLh ze&^)o>i9iJAXjg<^xaHXA_-x}VOc2jae92>H?Ev=s|O9(NAW%e@!}Faq9?)h|J2=; z3h4rd9MD%b3o$a?!MSyTjL(DVM*dIXc_eJ&lA4z``>4pmV;O3=_CbS^9eZRe=ViqS<@)_IBF)AuxWUCgd<45th33dT`vy zQ-vh2xN?8su%&?Y-_!rMrk+Wl9kvJeWNSCPUl>yW+v|+jlQMWb$N;*PJ-g-*F!|?8 zpm{wprT}8#H2z=yXRW2qQehvN_cWdF-Z?xh^h1jwP5qhnG2C5?`I_?|^GELl!&kWN zcBCy-hq5$2zGnGp_vU%W`~B;1Fa)0N;rxE&uUfbtMa#kc-zKU4?LLL??H@_yQOJjHK{anHixY24T>_E`nNy+ zxMuNt7X82e|KF|F(n(|}w{(KW7vsL1K!9@ui52`_LxH7{G60iEMn|3v3NFWK&wQ!T zR$2%(EDL8W3vGz zOMq04`N16H`Kv!eq3*}_;o^{IeUst-{~JPp3+ntbpDL9=Xy5pCThX@_1{|`&k=wZc z6`fgVdJ>;6oA6aT@Iv5G{JN_;PVc|MyzzkuJm+TqA31$(ZCk)hGzIdBC+#%U_Sqjk zq*alrfq|vIbgg6jf?wzACi5fZwf4LCFP31QI)nE*_rQ!~&K=wT6to?_}S8z_KdIS|0&tIOT-xLMg}(}Re~39ElxT9{=chTI~AEWO5q z`d2;AS9w;?^S1G9%fD)wP;P6q#cjE~l1)zdEFX6$Wr2wA;ZbE&#t|CZ#BI9b8;ryo zVTjlO7|%CTx*z(=(omw6hWi9sCwm1yFM^KCkJ0}6)cq*747xpofl(dIGjG_zTnz*os=NHr z=s3Q=tjd?w33_X!EQ62oA+zrZ3)Ach4i0hfwB|+GMFpK!7AeViBz5?KL*|+Xug_`0 zJ0?^^l9Dy{Xw=B?H8?7Co^uRVbOm0JC!z)_1`i$JbkQZ6gy^@iYEA;ZwYq_@dA$?t zEM)se95QlAG>X>1m=W3s2Qehktk6E+#W%S3d|$F(t~Hz{#6n}Zzois!3g%&o>;4ya zD-Q^Gn9`xQ%X_>SNLX7&vp%&@TSnY8la5mGExOw*53;IMd=y1V6io;^RI)jt@-qEnE` zC6s;k0Ip%U$;t``xAXUns=X)9ujiv|6iW&WXVD89Tt{a|v_h2|Vp0Cm+&AY~L0>Bw z&q5^=tIrxG6Klgw7kMD2g<==71AtAPM|3d7Dw_R2gY{qAlkJ=K2N->S$>XO0KlLB) z%-NAkH=#?nX%2kTZ~F&hQ@D#RN5~Wy`Fo<2eu7QE`~baV_f98-kCR-M z0!;&@X^c+(fQ5VqRZ75LM^}r*(++`xXjXU8Re$a{6pF+geyjVe+RMY|-kf)wK_@#v zNfS-ExTzoBq6pgg0)wE;}*JuW)&Cp zKf7RoUHmOwNj^hFDUlL}cyp*s+(w^jMDAl7zd4~YF$D z?*Zq+#odFoe@aRUsGKS;E*>9$(|ZEYai^!J$H#y4JTa!GrVb4bYHDdklh8py7k7(_ zMVtOQY7%++>#Wj#GkDH4bi`2I*5-W^NT?Mmytx1H>YBFKs3C;JW9PIvkqaFmrctk; zGqH2)cXn~|yn#=fgY#BqxbCtPkMZ37BYnxhhsDE$Md?dX_q!o`y*9q{O6dm}2u;$r zZ{Nl(lOn!+VW<<8kO)*uN=mx+60Q@qwS7HQtFEpdwTdZKQ&m;eowh~tNZQJI`xXJdtO_IWS;<6Ve)VaRb*;kL<+o@7cgh^eqVn@TpVcsozzBy>> zV!6SjaG1^ed%@|;%ga5HpN6cmJwJcKn%LNI&#$Z)>gwuJVdaJ3aHI$FkgadIK9lRf!6Pf_$U(u0W{5*R=S^T5`5_vz`{ljFVTDj5lOYz1BVyj9 zJ=vR8J!xBHJ0A~c(`eaEJXBP)xGbO7Bp!WR+7>*%YxM+_$X=RXGzRA9=i^4UfeIgt*0Z1|=d92X!_k?w%PleFy*=^hdQ3J* zB;vC$IjMfnd!ag@=n=tSwX{<)jdn=%uj^A~!h&VANh5OTmB{BgFG&GaWkeu9kWo&FL zcfU>+2%k4OZ>PN0<#yQ_1BIWq%k2-K`wH}UQ7{@3t}(?3I&FmY3thLqIgK2tq=moA zBw;TK^}Tc7YddiJ0ARh5apc9TBXHctr6)Mpxexdk^3JP!eZ&k@3^+XpZf6yEyf{n- z3?4enSwG!9Mxj_1C;k9W+nsgi)70r%Z8b@=S1~4oax~ho^y$M)wbAP8s`K^;F#tpU z!87zo1?Zo--|8+~6aXG3z-2#libsd~ic_>AUBp+|7~|@Tep_=j(m~}3?3Rj44x33Y zpt`3otS8z3T}cR}G3_Y1>cu?Ckji7&bp9AbAIdfSugIWu?X6R_rji=BA{ki_hjcpiONt@e-&D28$ zp0&G>U$eD$mNRKfQhIe6;bTMiQ?#e@$qaQ4tKxJtHi|6h z$8&Y%pyjKdrz@z|Y-l8dtK9qU@2&d8oJNWT(3{C@(B}}6i`FS46$P-M&Q90+ zh|GQR{mqaoM^16C4YPH`S?S> zFps|69}9rDXYIG|FlcqT?EOhA=QVxMeWm$ml5wHT|LWNsFF$D7E>*X4i*k@X8BH1` z)(11PsJNF|@}pP74!@dJFiCWC8k{ZkOg*{4V1Zj~c9qc8{$@T5+8)3Em?%~_UhW`` z4~8P*#KnG*-soB_tmtc zfzVJK`^vwtQjjN82c%!Mg&|OoWWH3x0Pr6X(E!!68Z1m{OOn~&qwY2 znsWa^CdF#giW0iGbiPcqq}?{xap~Cg^@AP$4Tn=N7Do#amvfmL1fk)OBL_Qp-M?C< zwXk-7uI|IFBUXDg4^cUy|EH1`%W(?!KRO>Q4jI|Ea55bsW??#tup3vO@zxVD0%tW$ z(TnC*%YGVDROus$3rYELjw0J4M#8ZXGk84Qy4n(LGk#l>gcka$&G%Ww%efBBZ1RbA z?ZFgDRH>s`e1*r-;YqK{`M;Z7HxQ-5=pe{{I1ZCt#%0j>9FL@5YPu)cLFaxj^FtSZ zS)8CwEQeEe2CyJ*@WZ!`I^xMw*;|ojkfCm|-y8+`NjDr!#B#cCWGIDHO-xMk9AC%# zTfcpx%Y6$67kn)Jcj4Rpe97@2Tt3v`5Z|u@CA@Q@8_n5eWma7@JGOQbnXO(zO9hgj z$C_O~bm0+LJ4+(IcroAV@y#g9h4n8?Q)Z3Nd*sBTrs2h-{yzgcT%6+0u;ZWV(}b!J zIk()V7NDI2jELqL^*#@O3wX`S_+9$^=u?zoN?g(8+Hn|Vz(#w2m1+sm>wP6D%66jn z>^l>jM>jXyxtF^(jg;~NK?woIORR?es&eNfd?zBDUJKl^JM|t|ET){Nt4e4vyuA*) zyQ^j|DwCSA+up>e)WX1XOsmDM!DWGa_B+DKgH|xA1HPofuWP#! zc8Epv8jp_5f5e<0cnpqn;12`Z7`q?oD=J|$e{(5%GC+4hMxxI(ER*@kZV3g`YI#*V z#T#WD8f!u?@e4t6UnNG;wU&NlPV6LUdrRsD4h$JGMKZ5$mvYTY1}6Xh7&Lh&BFdot zKGmRvu2r!>csT>K_SdH35<}8B@+mk4d5Ua>RwirGYuw+(^VqS}xdSMkctI(zxOf1S z*LOY)nm9~9r8Rd#J}0UY)+2>s_ASC2O{yP_UcXqAW@nCNHpDnT+zaQXRxKutepv^O zA+J+-aZXg;+WP&ycRcP6-9;`3e$b+7928DK7)rRD)C>YYwFx|#wjw@xmw&cd#zr>v zI%ihEDV*+W5@+Vfd`*)?4SuZ6H$w422N$>=A3t_Roo*uDi58HWMLT z?{A>FVL~T9P(URY-H7^O>Sa;8A?6D-dy;oemAI;EpI>AKq16^$3XpSjx^!yO#r`bXp}Ym9O3s%-pOSts2sS&kpKHcx`=8-?Ucs)1pzeCXV!I;A^uq0Prp+x&#Wsqwp&?Vg1*w`r?PB!ya?joI$ zYqu&C3Naf?0{S*_?Yh>`1dM%nW2zH^+gOD4! z`&zuoi&`11EH$8~V6~Jt#_?9;1#nXBI9wFS8A#Nx$+aJtRD(XitOw!CV>dLtPSNDD z>D`+y2TYGm^j~>?zWLpJ;8o`DPA?yr{xZ|igrB2&AS?{gPNqepv8-R<<-&Z2`g|+_ zVh*qFr#50JIJhs%y{H#Fu`0+0mn-8Y7HRtBG{<`~@@6GwBA>Mudy4b8KR*2^Jh&>< zY`j{@=TUXnH&CoP%Aveqy*h5`6_eJ?aC0=OrN+~FrgqX0ikJ4UD zI9q%CFP62ectWcn=eS#-;0B3cox^(^3Y!(+)_*fUuU3L2#qkK@Vu)Z!1V8$jNZXNH zJ6hGY%e_ zjvn6G?gu=K?EcHZxCiY`%WLgdzh_i&jiy<{(Dk@^OiWC~KAQfB$ULKX%L|2tl~}?O_B+tz zysL)rg*<*T?PL?f4y!z^&&wkVpht)z7QoA%;J!@q$K4y~u+mbd$=I<{LAX{=?@Us< z%|sk&nleCUB$JbU;nKx#gGGu?$U9$>Q@b7CzpNUBRg-;-#KivbJK%MqVDhhMpi08~ z5K10NRT7Wo!Mbsz_C78_f8w_wd{~$u!_3k_h%^qi}e2|HIlcASU`9>0x8-_s0K?LFXo z)#lyN|Ay}Vmi2T-C3UGvR}XEf{7tcI;??hCUdtzGfu@<*f~Cy2;w1G=678W`Knf?t8iLj5-I0YY6%#O1MBRP}%a)ogEUQuo zw>B;vUo#_~FoRr^_&1yB1#{D@DeQreqY=x{r1I5wE2NF)!QP!B%5lYH(U!^ z?r{|}SreWpcXo%oLeHG~L_Gszu~WqV$#V2@u|+%vlSa-hG8OT8+4ZwOESc?9K9x!r zGw*iQPrb%Z3Z}ssZyA|0*}Q&a*!mjO%Wc5-qMnpLX}8g!DHNmw?io+ju0i& z)>6N-P&;0pa?vHf+1iJ8UGa9bhC)WHR^iC)^&>vnff&?jeZg)T5;b{3jJRncd6*41 zHZCr;O+e7ncdJR(Cbe$q0JKrA1XNsO2l>C%>ikxA!$!)o72<6s6?5uf9a|9I#G5P52o4*)W8s21hkDZBk{Eu~|AB zr!wnpUyMGCbnaTXYXJ%)#}N7ASN~b;Ck2x2Yg2KTiHHN zw0dA8wCk+k@~O=fkLB6w@akN3{qTT_t$e>{7=42+&5>o22uL!jbEtn%ueh z?A)-7`gqwF$C$jhz4jVRspOP$g)5eMe>k4x(I8`EkX@oAlWaMt*4J_nNq~=QX}Frt6d42(WmWt!L8KhkB2Gt4e|CG*WJH^v00l`Pz>Z=?@q1y zLmhetoA`-vk&!`oP=eH|-X~PkcqoyQE=+#@gqZ&QUC4l(J(qXSu7$CzTOqW(RGg-F z7c%RG14~;pF1M9!rm+N!tR3&OO2}IwU!hH(t_WXgdYgNNc|BinZnB zD`?`M(i|8W`u8$a!@sv7jq>sHeGT`OIuUca_M%jM8L5=^V$;^9%`Bi+t?Fq>y= zcx%X7&qBEdoqC}>-0WcK9O35Brw+}g)&_@{#>U2ZQDI&e$)>s{wnUo=1rp6Col6X6 z;_JTObxS_zf9*%Ee#c)k_BjEz!TeXS{Dig?Hz5`u)HOAddxdyMjh~trrhKqS+p;t- z!Lt?pB^J6%9qEQg`2J9!r|u$PM0{|I3YE=mkE-|Csix4{?RNV(Dyv}MbuKLTiG|^z zDv(a#&TfUYhe)DJC$~qMtVo*@wcE;H=5Km|90N|JtyFgdODO{H;+5=}x-L?m**VGI zp&xbK$`oB#D}zoVf_GvKV)vr=B`ym%9=O&l_I(oMl*2W+QpnciX05V#$}lvE_}l3Z z>dXeQ+mnBxW_g!Z&v^nD6QqYDysFmYkeoHgAf!VvKYlo~|CR4Q_6WZVx`5{#hl@gyjFA|s1ldR9r!%L_Rm?}kL4jx3_h9nN`pWoY_lRjPH zp2SiFzZN+d%_$`ka%Lm!6L5H}cica!a)Dk8jpkSA&|DC37P!3jL_b>c4ddRW@_i~h z|7|zxjZ^t%gXoBsDyPjwsC3I7z639e(a6Z?owaFI?lQS0Q!GRHcJ=`u-@}v1v5#8; ze>Vh;G8Bw=-*5J2 z{&{z<$?aKAk$v;my1g#L#bQjv<#zeHw)Z2FM^;v3sJZaSF={V@(pvDLp;(38QbCVw#K+%HsazidR#j>lvxbI;j-l-b1DXF)?ra+w?b zl&uvAC(4wg?0K%|?oxS)<~DQ~<<~FUcHVkbmHNA?gO^p+>AEiw{gq#jbM;O2!U&>l zn|jA%1cIOc1U4=L%=_z*cic4}Z(GVfu=wiF!TsQMfh}4p>tMMZf`gaGZ@=R4*?*ej z`RW4NQp_fOMIVj5?Jr9)4X~rSd&Jhq23+t;418}oJ?X3zN6k3S?a@2cc}uU9&=@k? z(z)(peHHa2pOYv`;<^;Hbt;WW z$KxSnk_s~W%gZLeCcgb@DN`?9=h}x;U8g@I(y@`(l0DlD%7Si)pAAX0{qFmu&u_E*p!WlK~UzNf{p@m zjNvxsK0Cyaobhnfzpw3=1~MYw?nFg}$u)oTGR&(`QAERlh{mJVrzpKG zx8AntjQ~;g3glA7JBCF`g1`?-2b&j$$yHzj(Z8hIfBOkt`6tz)wlJ$I8px(WknO5V zmpi_KvzB~=HOy0l`$tcPR#sLX9!=BM(Zwj%q|7TLYdpgr407P^@Am_{TRAidASdXa z7R0)5>w{0Daoii&Egd2d8hCyv#I4v#1>jt%E=07nsAu_$$>-yEoH%@(Svfjl?{J|N zpuJj15qQ0cyL218kY)E*$UXb~Pio!YhLq4y832YwD)b2l10zp74}I@hNqz%A_fn0}Xd@_iHVo{-= z-JDxo>J6l_EQ7$S24bt%jT1m%!=_dCdU|X_X54XIi+#n#aO!(=CPe^C@6llQ{=-?n z@aKU_fvd7GNeoyqj3AIcJDmMStKa&O!T9=O7h7&YXQvok>**M$|1vECV)No!*jfRsw-@W}(tJT!!agd)& z3I-{dD*!YH8re|^x!<>Y-NXd%c9FmK+;Mo0w+AggA5iR3af(t zU{X?2n?+td@1z z-&4xOOjQ*Cge-m^>nk^VRgfw3aMhSOWi3|8)qm)c9q^p|>t`G+Sbv8A2TS~>gYH=# ztiF%;Q3Mt_;w9wwl|m}#(3>j2iiVeveVN4snjjCPZn@L0@6%} z=zw_KF+#FcG^t~h#sim(on?fjj{v`^{QUq^r|7GKCGmISlLZygFKS7t4tu z6?q&?W~5`#(3r2c9Uhr1RjGPc11%Hz+ZnKeZ>oH}y(pUvw3eLji6%@{IU4vAODZy= zl4qI9q+M`cW)!mGf%M*Rk}a}`5Od+XL^DXX0nvt$@_js<<2oxTV$iL(v2p_lZV8sp zK!?<@0q^=oGub?QV~B_5MUBn}_(uL7&Yw^HRMB?^!MlFd%X10>dC<8lh5=Cj^qQPD zfuU7EoPqkLqR+0)}gd4ELMS=)x96qKWv4N(Ik4`TYsE*sz-Q*Q4blfWzytPoZoJe3 z@-@aipP35L4!=3{AL_M!jQ7gv<_82Ns8r7bZQyBDb+uH_QKitVY0LtfSQJeytkh76 zi>UN3kHY*0don6k@rE|rZJeXa*v~;}RaFd;B)T^gB>tEJd%9%Q0FZPTu6BCYlUe7x zr9U*>N5zMI%wV#Be{P(hyE5KF+f!s^Ad*`eUMyWuuIto$ey00_MjO%7udW~KPtyVH zXA*&q?9L$7-&0ozSFB8TwAg~Lv^(XKCk{`YK_P`)o6t%zVzxKT?B-5`8HPc{6$Ytm z@%>Ecyq4%`O!8EcCwYDLUb^8FH;KrXLe9}6G-r;=N0N%|T#of?->9dAx-nrF$%y-K zbItXM!S$?%BBcr(W)c{#;;_TKH(nbT)Gg2+PpgFyu!11KcJN-rEZ=8(;(NhD?e_9E z^D=8>!;w%_p3kCJvl>T_QRVwUtDsMzI zA+qPhtcNg|A|8XaDT7A8$7iiaT+C- z@oIp`#GcJj(DP<)@`LII>TyrG8Ql{i@7mS25AF}u^y$)DaZEQI^!(zk z5=}8e%lDxNG`phroM~1Loa-Y^9_KVoa#>v-;ouR z;QhfT_=SQnps^JW_?sUa#PPhr$hc}Fol^y#?gFj@hIyLYI}+hrlvQr)y{ME?*QR00 zTffqiQ9VfqyMkYw%SjNGXK>1(>mkVSUAoIJ0bhk|W$5%#pSbc*ljWbU#C5Ko_nfri zN+Gm9J^?(WG1rtNVz9-}6EYF6gJ>kTy}f;D2-bxCXS`b){$ZvRx;I^7lhsW=54Z2f zwt8I<=i0pmx)5jOX^BHYb?}(=_|nXKU;YK6`Npp!Er^##03zCPyi%9P)1FL6eBuZp zwE>yYg|f>$$SkvAo73l;afKiiee#fM1uf5j)M$>-;r4ri&M;CsnHZwIR7qH~sF!x9 zYrSkwYd>R(|1?#9eHv|%Mgryvoe)gU9M%K!o(Y)Wb;1W|)`m)yhjT#15WU5iex^jw zO9RDBk}qu=+l}Nf@O4}WJDdY3gs%@Q$82%KI4nlKThP@>g~V0!=}x~~$KKUFnhGFR zqt0FpO1iW%iMj5^u+3Um9NzK(6Qb;rMlf#4}pNx%{q!2ecaAiis*A%xf!by$&Qn37nC(bKmpNlr?zURPbd{NF`y5h`9efm<6v#rFs93Cqt4T!8ct76$f!i|Z*One{o;8PH^8W$%Alq3nP`v< zt8hU?A{a(RO6K1B-Zu3*EA2vJB2|0I<}tJFE+*!S&OGGNJWVcLP8>PEluRiiUsk>7 z{VD)6??Qm0-+P-eAxV#)Ohcg*L9tWEjH@aMCnnh(=11`ZF&`c=C_@o>07Z@lY5Ch$ z#V>hYIa$SYzR2wc^I`tpbiQMQOp8PBrM4cfINkaBY;@u|${suyUs`%nNl6@Erz)Hx z>v=>+Y96%8N#krOiQiUZdHzl@FU@Y_X2@&F>UcDeq;>q zJ5rfn;tNqxQIc^9UF14O=`R_NWldy*t|OAr?4oIDPGiENP{WI|@_i04!iRZl*nQT1 zhEM#7)k}0J!dPS9>-$yHh$WuJ+d5I>Im`_@bcM7s+ylN0I~{ZvX5V#Hdc>W-pk=BZSpW#82^N@Fn{);3@T=r z9?ME)3gbK~@b|q@m0O%Gfw-jPCjaM>Ug-$+e8}+B z?k%{JZxiZ6rmLA@VXRrks;~7^lpj|ekXUxNcaBqN}!C@3s!Cb;mET`jC)T}GO< zh$`FS>yIRFI9#ovF;xcNbo*Jx@O|VsYUCp4<`|AD?3N4$mlrgS`>7fZh23p#H962*ur7AE-Y7OepZ zU;=4A|NB21w*UP0pYQ(XKfA?DJ18XZ=%j6>4QCU(LeEzx%(N&jrDxHVwvKr%Azzx% z^2YwGPr?3zCk@)=NZ+i^sBt(U%}i;qb|pC}+iF@dTSxk5A-;s7m}`+ZCg$l*PjAWG zsxt3{DvYZ&GA0lAAJ5zCV9qB5D_{zWVn#2;+2Z7pc9ozWqgyl-if}m!irSyK4H+!*r)k#Q+;E`+r6US&QW(d=lB=0yKNQu1K@2SoShh=3(OCcYoLYE;R-i!dzSQIa;*X~DIyp0B4zN0|RIdRh)xr6l-+*P25>04A%v z4J?ppmv2#0QsWj%lkaIx>Yu^l2<$IB41E6C^mlig01VKoj>k`+08jER%wJ7M(82e( zkDs2!KJiV&#?}^|T1#(YTXQShL(d1LtD#GLl@uJkTQ(y1q z*S^kQ+SRCQJU31;OHGW6F_IZ}w?@-WE>_wTWZc|FvU+Ysj#gqmhtQRZ2hqiuloQ(rGZb7)MfKA4)F!5yAUkI*rzSEC_&;MD?OetjgD& zXsSwJV&i((-n+%Dbf&3oo7-qZeUkQmdlO&UX4!LPCj481Lh0mi4m)?F=A}9tKD^M? zVDg7kWBe5ZkHfnc)g$dIm*KG@Tt{H_rN#?x3zXFL_w~^V3%7%kN3en){${6XwKz%oko?h=bxg{_`MMYB_<>O)Z>67#~8q`eA3dUEG zl9J?H<=kHg-_Q2ZZT=b>DhFC`dubg`l3a6L2Xcby*K*ulMpkAwNb)(>{m9p9W8kD zVR?Y}!%QCgm=7u{qo5phID_j&`38usU0q%#cY*h8dw@~;PEF>V%Li(ISFI70wOk#} zdE>Xd=^<@69sM^yR{Xbl*^B_xbIalbw>8bEJ4kLFnt zebI!akBfwaG%E6Rf3@t(!tl<*_wKMETDov!@Yk;_P%{l8e${U7eORQzQ5_~<-a6%T z7njL)1It8onA~D!^vQq?l4|XvrNVppIKk8~!K8WNr-gKaEl@NH5ixjA7APpp9lV~O|a+$Rnw~i z!Z+RMB8oYJH=uYPyibDaTM=_k7K8Tp#q!Da?Kjekr{6&6v7&!!?| z6LoRQy=oHEM(gd}=4hm>m=drcEBn1lvhnWr<9dr4A{ZV(7B&DP`i?6H(9eJ{5V%9~ z-;L^OYDKajKGh|-DRA7no`#&xV^89Ppkhk#>vDIJmXT56AOwvVlyCk4F{V{*MMa~C z{)T0r$Y2|<2hb-VN%6B@vOm@M58#whWY%FyVb*IV;&+ifh+_irnrM5WyMu3}zW1jf zhDOA=2*PKQKP3s$n0uH?W{jB6fnqD(mZxYiQDig1%Dc?S`r9d#yncZ{v$23l_u%C% zdE%b=nb&7iij-nzeUteD)e#Y}K z&=dgbM!N^EA0O_hMQk*J%2dDa3SNv!#K^p^>EUOt#$jgo`2Q95)lpS^-`g}uNOuZI zcSuNg#|2SZKtMt|1PLiA3E?8$-O>$$ba#i+TuQp(ckuIF@4qi=!DVL7ojGSt?fvX$ z@6A(LLy5=Oh%6(ctN*ZHw*rz}WZXOEOntJ6i6LPcQtX3ZK53w?nyZ9pvETc&Lg)vz z^73>^-~iiZ5lj8-pv3Op@Muo%H5ybBK5ixLzPYhE|N0h#v(J_0I1rC%Kv7$JG6a|I z$15DEg$Z@>h=Sn2;6kwywl&@E)#{J5-TO;Tb;fNzf|3hka}lBHY$n;P28wm=2q_Tj&!FB4M)cWF+EN-^p|4 zC2etV6kTR>+aXP47MQ?c!ng^mtJ8b}y(B?|!`0N$Ij8nb*F=8N*IONmp`fCufBFZd zk1p%Aysd15$Hn28C8ttZz0DEi-fpS!EPM%wvW`@AG0!*I#;5~wn7ZD+NIpsv&{%B& zn^j~>@Fc|zY9_0K?r^t|C`535xY-X6<5a3sVrM(E5O152r5{1yx@ioKDm|LsEwOfR zJ-N`o9O*JVY|TnJoN)h-4hkG?*1ERx;Wu8xy5?jnWwCx@riD7&K#8lhH1QXy-%^=^xQ_=aL@_cjQ)*vg{k{q**mJmjoF%jrtI65s# ze?9BWzTY^te!S(ocS=4}#X6G2Pp|FE4Apx@TWGdmXP29*yE09krf`+=P@4NJ~lOeEd6_6GPK=us2twRMH6_r4R<8_reID?=4UFy>Dn7GQbiQ zoqaH9pu=ryM!tzQL!@DQ>%KFpqfK=C4#0jj=oAPk6!iN#RQJL2$FL`AJVQ?M1kx;bp4Q(e`da24+4{<^*7cDh32$-*e1 zmdGQ!loiPE%q4OwHL7zM+OHYCtsZ}y^x{KU#DwewkY!mX-^V*Pe>F-o4GwSib>GWD zwrN`kll?$ZQ17*@Enlpvk?~-8I9&Xikt8|R{@TO-9@P#aV7C-t{6^5(bmbs54&U)_ zdX(GyD(f+u`q{>{*SVqjTwvpSquV_Z*846b4)_a{=#=U$mk!|WIryPay2?Ktrynlu zyYbjF5dvz9!P|B+UTEYUgQJrGQB%HZ@|QaXO07`2Y}~uGk2-kd30p&zf-0G_cZDg>$|SBkV}vbek4$U_IU4?Q@2MoFrEw(5+4g&!~QV>GHxg2neEemnMT#2>&0B*Z#{a_Py+zPw=#a#8_r zlUv{cR^g7#fH8(25c0*1Vx2co)?C*E_(`Fq!Z@?0$f&z5r2G2%z%nmmDUintct4!B zYH1gK#fD98nfer>YpgmY5>mj%#bm)<5k$W{F+L7dwfozXk>=uA!DMnxS3qdqM(sG? zxx}Pe?L^ruz{>XnLf}jchH;Ogkg?Yhvga2E5i=JTKD9+jY(W-}%|y!|0SvX&F`rN? zn0t=iq_kL-9j3-YYTJ77Qx|MoI2Iyj)s@uz^WtAkY3dY#+89yCy8E>D$w z(3qtiS3l3JtxsL-O6GW>(i~%zfq@g4VnSEBeM5(-Y;!|->2o`98-V}b)o7+D#8&dc zJ;o_OEcq|P**9Wtbt~58K283jS5_JVY?~d=b4?5;LPA#6590i_Kaf8wTkptbi@bAq!p$d|bX< z1tvu5?vgDKFu&rx&y2BLQfCe`LarbpZpXV&MDw>TZo)0WP<~q4{=R;$bWtYc!tt!~ z;iZ9Bu)*dyoCZyO*Y9b<8p1f-8nB$e%JGRDdZ1#RB9;urFg=1DdBfact#hrPaB5fqV@wgYare=fw&31owtl|_q~g(v|P z;QxbwR1A*;KMmd&aoUm9%!EKk{gK2uU&8#Knp-qQ!75v65Luhs9S-!|fsHkw3&#Q7 zRBAqgMDrPKmJ_A6mKj}Fp~hzmn2R@1QgwF<%_~8=6d4jV?gFCn0s1i~W~CIQIyw0N zVKq}2D~iOCP>X#4lCUn3C~&7JRp^$=2W%bbbyssp7N3!$}+bmJCrltTGV${>_E72lM-%(4b4nTQ(nxx}On1@yEyI)(+G9 zhj>{2r$oCz9Dy7LpeKMi{J)R?DcJv=``^JSgvbR2J0Lh}c|zY~=adROy)$B{YJ373 z&rZkR^Zi+_R^L*Az|~r=z%{uFys&$DpV4fy4A7pXL%XI zkj#*fkEf<)TKf)+6jlX(!u&x1PT3XpzLiRP2;ftck;%*8!ptO5$PGNrgL~*UWMRmK zS6l7gchCY56dUMom+4M=TwLAN5&a?J+>a{!s6vEaCW@IkGadM%B%$=~ zQlowniI;z8p$1(4sORHAlnABt|4Y;GIO5N@-+pE{-J;}64Z)BA19!qzm>P`S^JHiY z4Q^}qpJdXn!q4BjFMh`|ME%*&!-46&b z{U4C_{WQgnmX_rXt~kYapH}0m1*`-|CI{d0*&~ScSRVYeKx^_YW{UCkl+nMX#55lM z#??H!IN6`7k!}%wRv*&jbhkctvf%dcJ<%!_9SjpShZG=^rUkzFj}#EvN+OO&Lvd_3zeZOTmnNLfQeL#c6_ z(Gw_eEG89pDL7W2YM}%95@~3DZ;xezMSj(#Q$lxchWt=-EPp7JIm_w%whI6R9f`4D zu=gT%$)AJ*J(7al{cKErp3i3dhue@fEAcHcZ_;Hplo716z%hYFb-B@X0O;MoomMV; z%(SAnP2$TTef3^#ff^{L|Am(B(Z?XA200p#vX|f!jr{K^mB*DJ?-s{iHbS7PV z;xibM{lg~Wpl+*>*)3gEl*YZ08W`wbFiHI{08e0*mW>TF(FwREuCmG4_8(QDDmg>K z2!0hNV0Z_szd64rj^ry0X~4PoRohaJR`{yL;*6$200&o7VW=nqhVuHHTM3zm1QTTM z>p_-U2Q_m5FX!0>9vg`%L?HawCAR(aB_x{HRYz~$kR^;oO zz81fbkncm6zURZ@WCMKIYZp1AG}$tlR!Ywqa0$CYY@d+knd{l`_ZMmh6+(q|%&h<#2yGw*`s$3=IuS zEC&;Csi>$ZDMfwny|A#b7}`Nf%;{2nVnV`={rw1i&g~_cldQHj@xd{`mjKS{#f633 zxPaZ!8K1?0z0u?8uOwMA9cma3-a%G71yVu;gFbu+7iUv$7N?E!+cOjg5j_*E-2T_1k+J?SC_nPbe!C#C*zf&v0Y00ZjtQ4^rOL^fKq2lM~O1pJJ%;@~bP6l+;vW zcD-sq^ZEHx0<99bcdt4>r4|#hTNHfX3A~!y8y*S3yP;7$FJ7oAC`c@BS>~D9*>OzL zQ~fjrF3^`o1>+TFJr?HXAaF*77$UC|;873~5ZGkn#YSy{>Bo7dP#rXxmB2JU+DsA;!2ONE2giR3Kb87kkR1Dis99O<&lcrqa6>)R@T+^44{T@0{k-;8(oW2Q&ZE@ zK+b}T1U`qEjt>8opc0wLE#SHQk!pAq_@zhh?CkAzvTOe`A(DCzq;=$Ee~TawQX(Rh zHJtN5fOZuY7IrBH+p7<}l-?BP<*kas_xha)vTm|)fEb0q;=%@~O$-buK3gCOFAopT z<*2HHrK+lGGh*`;U|ya3_9uggzl=^)d}0O-@BR>LCU4G+pq`kYft0KZhO0knk?gxv0bv?zi+sT1R1hnvfImyY$etgLzNDH+Z4a(_3$`H+%agRu*^-9z*?DFFUn9&%YEtn3^=n6y0OrkPKKR%_Xj%+%j=y zO&2{Kc$_qRlPLCB$KKM_)TGWinT5KzMk7OGNs1ZSr9nXvxuIeGG$vJLnan4vSPZDY z(P(`Ub6o+ugaQ8axgOrP{5g=0b8^geHH-`Cc}eT^^z{6^9s_*Q?0bC~GQjHM?$|8R zt>DY<~-6v_;TO;vl(FIFlKKR@*}n@Qbr z<2&>Y+Yc4!ii`cOY{9S{>M*TxF(SEafZNeb2s{ceA9#A#tIE#a zadB}fdA%nkIQ~evw|%W2ODhUVk3M7{nZ4n>WZ_?nYI7eOr#Mcl>H2o+TM-`&-qL$( zkM&wM14rZX2G@&rD*y5B4if)kmGh};XK^l}fE0eEkvGjtLnp#)4H;1O5bd_hw~oul zOr9$3&zPWezQRq7RIU#ur{gW0&AK|T3ef|D9G8qcp_)5^?fb4FH!pr6Dj8>h24ebhNaP?Y2IXS2(3By%VM!%v4iPr=5P3 zD(}_GXs^sy(%kZuyIWtI-Nce-kBdFD%Htlzxi=9_tc(J6IfE^dCR_yRIj2{6d;GCw z;IWILA>}lQTHvWbch1A!qQZHYWVE!E4gbahez4A^cdyJx!I8~U@)-=Jt6_=fxuX&f z;iRDqa$i&e>+&(WbIk0_eH2M!ng6ZBy%^$pa=q^1?XwDY?~ci;F@-*zvvvIbhzinn zP2+zJJUuxH@ajBOGC*JJag=y^t1Z)}tA1&Jq$C-gQC*u-k}Y~VvKt>-%9@#HM+QuPJpi!tIbiyWDxTin?Q>5p! zOW#<6;Qp87qy&{ngu9=9h!x#YYvX-=p=YGC0$y%;QWDV_i!F!L!7<}we{d`3%eQaL zbaznO6z7c7lKq}-B}q?)DGk)g_Mq4Nm5)kidx|N&NAkE9h8_t#;b} zC#+zCP4 zuvL=y`X(lLnV8T#)oLZ}x3#wwm}I}A`bVyJJngYA2Oh*;+q8M9Yy75WW+s+oE?Rne zjqmzm0MnFL_cNl;Xynf7s=r5~M%fQ>-STH40wpDT&Q6uO<)mVcM7xwBznedBAq;iY z^&d{&w!OuIEoGm|lWgyp8X9TpYAyUFd)iNn=3l^i_gzuC2ZpWktzby#nA}sn3zZDF zk}x8sz^3gZ5xNUimWZGWDyn#g=&L|sen|GJ)ERLbHGoobv-R}vqO;^8g)BpZne3V5JfD&y;Gs#i?X4}Hba(+i;;btHu zP$jXpE|ytz;?IqubIhdY@PIgDo5-O@+DxR_XNjE}B-wdAHe9&R>&8F+NCf0!vRm|) zIBUR>AOKeaH0Zat*^j3RO7TH*Byj9U&@ZPq7;Th)17d#(PTIvDC`AD>+kPJ&o%&^`9a0HpxC`|a2E9lNoMkezB!Dg}zK&e?fA zeimHhhP2BvuMcx|N$7%y+deCZ&oPSgG=bG5sw5EvTQ$ zX;-iS+w=^ig5K|{P)QW`-~1eGvI#Lv?GF#$FyB=5|43&AKxq-91`%@00GzF z!QXT&B>Oz^3Gu~v{=uuG>sv&AB*F3N)!RVnq0~RoxpbgNq!C*`d&2JyZgXi$a2PP; zt@}%!Fb-2Ki6E7vtY;_lI|$4N_ggiOE75(mWH9C1i;z=6QSGSOXIP*f5`{dOS_v*e zRHD_pAY>yPQoo5ALt=OeGN$Igqm;Gq)KoiSD|l(AHS&MupGaCqRuE)F*t2(kAp&I( zayM0zlw*v)-hcx%Xp+7iheB5u`2Sy8GB|_{9MDDyt;i934u!GD^FM76pMno0rNJcr z-JSgOG;!b7=O*1>NgMmTFP1Fj+ZP+0T~Bt)+m=yKK{>zi!;5ssZqoh`V4>S2w{Je$ z&W=j+y}z2qxZB_z5ph0jxzNM27)}ALbir%~efZ;XX~0F=3v>TYCc znA-JUVO%;nk@HzIs;C~T$WYbTN`QxZoNP|b%z)rcy1sAa!5!iJj{gKxa{wP&c6RTL zxYs3&pmAHSGluyiOpgHmZr$MEAQ0w?%`E{jA6w+_Pob?8=S9aJ-SlRoa^W6ok9FMr zHseqGH9XGgsM~hC%`3B92lek7a_9&8FU7_J-_5`5hsImX7t%=o947V;745k z{e@j4Ysc*aEVTM|4d%ccJsn$8QUbzYo%>^OaD`f1Sv8ytzkFS0K)NDwy8P)d)uH1F zkr`0n-IrWvfK~Ma7Z{})nc9>U1qHo>z^ZM9xPCgJ{@P7DX>WV`^8V)V75vmi{2zzQ zy{ZwTHlLRM@1oNTfQBvXw)bX=;nk#esmn~6Ay9rMwvydJn{ZgW_h{nNbcI(&8-%T0lY94XjfO4_0bDnWI=MYurHh~r!kFP;#cdjjA9`DMH*wdv!QRqXO=zX+e;|m>X=e@S8$s)@jdS>&*eMSQluxPb9gx6V)E3Mww zcH@e%kuaDwA;H7tT9osM=<5}EJ@@&g>HJu=B$w*i+SbceFojZqIM{YJt*@GOs_bo9 z$Y;f^ed&U5WR;(45diY|fq4kYI$H&4-0xpTzNjo!SBhl;-UBBi)|hn%Ny*8+j{%h? z4BY0-8}+^>B_-wMG3=_5I!@%NUMq|8ogV_4zl9?o^8|Cf9t-G^-abyX6ZS)(dx_-Y-rf$;XS#1HvL1s(Vpv`hGbrP zp5+8?GnZEbk!Mk`)CzNLRY6ZMZQ_*DKOG3wL_Bsoz~R^1viX9?A=r$L6@WF_QwF$B|F+Y_oS`RluTo3aLm7aG>2^IqaE+tC)-XBsyuilBS= z67T&WzkW>#lqy`DFW6sPzRJ>bPV+w3-A(6gy_EX24Lh6GR@hZ*;V%N7;8*h0-Z^NW zWHyeWT51&slZ&M>ISiCOZ>IeAUE_s0nj;Er^y4m;NDt12o|%IAb#g9#rGg)%9zG_w%sxm#a9a$P`No1)+{ z-72|uP4$@-YC(s$e>#GK2NGYp?Tx~VSmdoa^S!(az!uY}&`RD@Dz?7XIgBLxS(5

|6bckaH6C83Uy$G9s91V&`bKDZ`nvA2(=kqqgeRAZZT6rqmybXlJg8LVAE+;E%6-i^he|g#P6XAJ@l^-U*R0Wo_b#)7!DPI*$hW;5It}kdTbSbVc z%qWR%A9~zI`Iyt@s?P6`o1G+<_gAExO9eoo&BC2m57CUnrgE6V(1S^`y14D|UVt zIjOTxGs$2FhEs@D%Q)5Zr~SabDRx2pxVI*`;4tBXD-ucc8Vq2|1f$g}12Z^j==lLB z%Kr}cFoO|l%)cWb)NJT|ZTMG+*+|*oM=KA7EzfH!`1WgbXYh8xeBJpcb3J|+9R9o2 z*J}Qz`lC{L8~Dw~4S)RIvETUIr5)P}U+WULm#*RViQX%6-FvzXwy# z1QO-k@&YU)5=mdNp;XHLNoFwR8S0{W+>+w=_JuQ!A&4Ie(>?F1>Xa;q)DG4 zU`UlnH2?1_OxdhiTst3ZOF(FfY8i0uGv6Gp9c5f{DLDH93k8Aw>gwI2m^J>GNl5pQvEai+q*3aj+?vZb5m@CJ{Qky%e|i+Z~Foq;zEDwwz*kthZ(;WKsh4_v4u za9usregc=k{RyqF<(JWrd+p>oU-0uEtQZS9uD;uSl*trgDfipC=Zs|K!Bszqwe@*T zU2s^qdZp37nk8=8%y2o&%Ey8jZdFJ7C+2FYdU$OYU|a#w6{AX+fQ68Z{fm4_Q%8qy zB&EK#PVK@~KLlKrAdYNJo>Z&TO8&Z0BY2%XKAtKo8>?L;(!Hex))lUnMQSUuHH@#M z-?BqU(iytwrZKSaAQ^*0lsfwQ+0w4nx@E-)uDZgYERCw0tBhA#BaG9(0iMd*z5oCK literal 0 HcmV?d00001 From 6878e424c4ce4ffc01bf201949b81853e2198e7c Mon Sep 17 00:00:00 2001 From: tildearrow Date: Wed, 26 Jul 2023 17:23:55 -0500 Subject: [PATCH 158/163] fix typo --- doc/4-instrument/README.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/doc/4-instrument/README.md b/doc/4-instrument/README.md index 61342fac..7ab7898e 100644 --- a/doc/4-instrument/README.md +++ b/doc/4-instrument/README.md @@ -67,7 +67,7 @@ Just beneath the box is a shorter bar that controls looping. Finally, the sequence of values can be directly edited in the text box at the bottom. - The loop start is entered as a `|`. - The release point is entered as a `/`. -- In arpeggio macros, a value starting with a `@` is an absolute note (instead of a relative shift). No matter the note enteres in the pattern, `@` values will be played at that exact note. This is especially useful for noise instruments with preset periods. +- In arpeggio macros, a value starting with a `@` is an absolute note (instead of a relative shift). No matter the note entered in the pattern, `@` values will be played at that exact note. This is especially useful for noise instruments with preset periods. ### ADSR From 5c861ca36eb04e38eca359038e94da25fe7a2f1f Mon Sep 17 00:00:00 2001 From: tildearrow Date: Wed, 26 Jul 2023 17:58:57 -0500 Subject: [PATCH 159/163] compensate for sample movement/deletion --- src/engine/engine.cpp | 42 ++++++++++++++++++++++++++++++++++++++++++ src/engine/engine.h | 3 +++ 2 files changed, 45 insertions(+) diff --git a/src/engine/engine.cpp b/src/engine/engine.cpp index 1b9f427c..d27b6a57 100644 --- a/src/engine/engine.cpp +++ b/src/engine/engine.cpp @@ -3851,6 +3851,23 @@ void DivEngine::delSample(int index) { song.sampleLen=song.sample.size(); removeAsset(song.sampleDir,index); checkAssetDir(song.sampleDir,song.sample.size()); + + // compensate + for (DivInstrument* i: song.ins) { + if (i->amiga.initSample==index) { + i->amiga.initSample=-1; + } else if (i->amiga.initSample>index) { + i->amiga.initSample--; + } + for (int j=0; j<120; j++) { + if (i->amiga.noteMap[j].map==index) { + i->amiga.noteMap[j].map=-1; + } else if (i->amiga.noteMap[j].map>index) { + i->amiga.noteMap[j].map--; + } + } + } + renderSamples(); } saveLock.unlock(); @@ -4042,6 +4059,27 @@ void DivEngine::exchangeIns(int one, int two) { } } +void DivEngine::exchangeWave(int one, int two) { + // TODO +} + +void DivEngine::exchangeSample(int one, int two) { + for (DivInstrument* i: song.ins) { + if (i->amiga.initSample==one) { + i->amiga.initSample=two; + } else if (i->amiga.initSample==two) { + i->amiga.initSample=one; + } + for (int j=0; j<120; j++) { + if (i->amiga.noteMap[j].map==one) { + i->amiga.noteMap[j].map=two; + } else if (i->amiga.noteMap[j].map==two) { + i->amiga.noteMap[j].map=one; + } + } + } +} + bool DivEngine::moveInsUp(int which) { if (which<1 || which>=(int)song.ins.size()) return false; BUSY_BEGIN; @@ -4064,6 +4102,7 @@ bool DivEngine::moveWaveUp(int which) { song.wave[which]=song.wave[which-1]; song.wave[which-1]=prev; moveAsset(song.waveDir,which,which-1); + exchangeWave(which,which-1); saveLock.unlock(); BUSY_END; return true; @@ -4080,6 +4119,7 @@ bool DivEngine::moveSampleUp(int which) { song.sample[which]=song.sample[which-1]; song.sample[which-1]=prev; moveAsset(song.sampleDir,which,which-1); + exchangeSample(which,which-1); saveLock.unlock(); renderSamples(); BUSY_END; @@ -4107,6 +4147,7 @@ bool DivEngine::moveWaveDown(int which) { saveLock.lock(); song.wave[which]=song.wave[which+1]; song.wave[which+1]=prev; + exchangeWave(which,which+1); moveAsset(song.waveDir,which,which+1); saveLock.unlock(); BUSY_END; @@ -4123,6 +4164,7 @@ bool DivEngine::moveSampleDown(int which) { saveLock.lock(); song.sample[which]=song.sample[which+1]; song.sample[which+1]=prev; + exchangeSample(which,which+1); moveAsset(song.sampleDir,which,which+1); saveLock.unlock(); renderSamples(); diff --git a/src/engine/engine.h b/src/engine/engine.h index 87ff7116..0f13659b 100644 --- a/src/engine/engine.h +++ b/src/engine/engine.h @@ -531,6 +531,9 @@ class DivEngine { void initSongWithDesc(const char* description, bool inBase64=true, bool oldVol=false); void exchangeIns(int one, int two); + void exchangeWave(int one, int two); + void exchangeSample(int one, int two); + void swapChannels(int src, int dest); void stompChannel(int ch); From 05d101e42e8c327bf83d51688a6c2d9527970e44 Mon Sep 17 00:00:00 2001 From: tildearrow Date: Wed, 26 Jul 2023 18:48:26 -0500 Subject: [PATCH 160/163] fix unrecognized system ID error always being 0 --- src/engine/fileOps.cpp | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/src/engine/fileOps.cpp b/src/engine/fileOps.cpp index 6e87a443..de1074d6 100644 --- a/src/engine/fileOps.cpp +++ b/src/engine/fileOps.cpp @@ -1937,8 +1937,8 @@ bool DivEngine::loadFur(unsigned char* file, size_t len) { ds.system[i]=systemFromFileFur(sysID); logD("- %d: %.2x (%s)",i,sysID,getSystemName(ds.system[i])); if (sysID!=0 && systemToFileFur(ds.system[i])==0) { - logE("unrecognized system ID %.2x",ds.system[i]); - lastError=fmt::sprintf("unrecognized system ID %.2x!",ds.system[i]); + logE("unrecognized system ID %.2x",sysID); + lastError=fmt::sprintf("unrecognized system ID %.2x!",sysID); delete[] file; return false; } From 677f7fe682fc163f5aa001ce4b1cee03455a3cd6 Mon Sep 17 00:00:00 2001 From: tildearrow Date: Wed, 26 Jul 2023 19:16:57 -0500 Subject: [PATCH 161/163] ES5506: some cleanup and fix sample map... ugh issue #1282 --- src/engine/platform/es5506.cpp | 40 +++------------------------------- src/engine/sysDef.cpp | 1 - src/gui/insEdit.cpp | 1 + 3 files changed, 4 insertions(+), 38 deletions(-) diff --git a/src/engine/platform/es5506.cpp b/src/engine/platform/es5506.cpp index c44cdb0c..4f8745bd 100644 --- a/src/engine/platform/es5506.cpp +++ b/src/engine/platform/es5506.cpp @@ -360,26 +360,6 @@ void DivPlatformES5506::tick(bool sysTick) { } } } - if (chan[i].pcm.isNoteMap) { - // note map macros - if (chan[i].std.wave.had) { - if (chan[i].std.wave.val>=0 && chan[i].std.wave.val<120) { - if (chan[i].pcm.next!=chan[i].std.wave.val) { - chan[i].pcm.next=chan[i].std.wave.val; - chan[i].pcmChanged.index=1; - } - } - } - } else if (!chan[i].pcm.isNoteMap) { - if (chan[i].std.wave.had) { - if (chan[i].std.wave.val>=0 && chan[i].std.wave.valsong.sampleLen) { - if (chan[i].pcm.next!=chan[i].std.wave.val) { - chan[i].pcm.next=chan[i].std.wave.val; - chan[i].pcmChanged.index=1; - } - } - } - } // update registers if (chan[i].volChanged.changed) { // calculate volume (16 bit) @@ -432,7 +412,7 @@ void DivPlatformES5506::tick(bool sysTick) { off=(double)center/8363.0; } if (ins->amiga.useNoteMap) { - chan[i].pcm.note=next; + //chan[i].pcm.note=next; } // get loop mode DivSampleLoopMode loopMode=s->isLoopable()?s->loopMode:DIV_SAMPLE_LOOP_MAX; @@ -748,13 +728,13 @@ int DivPlatformES5506::dispatch(DivCommand c) { if (((ins->amiga.useNoteMap) && (c.value>=0 && c.value<120)) || ((!ins->amiga.useNoteMap) && (ins->amiga.initSample>=0 && ins->amiga.initSamplesong.sampleLen))) { int sample=ins->amiga.getSample(c.value); - c.value=ins->amiga.getFreq(c.value); if (sample>=0 && samplesong.sampleLen) { sampleValid=true; chan[c.chan].volMacroMax=ins->type==DIV_INS_AMIGA?64:0xfff; chan[c.chan].panMacroMax=ins->type==DIV_INS_AMIGA?127:0xfff; - chan[c.chan].pcm.note=c.value; chan[c.chan].pcm.next=ins->amiga.useNoteMap?c.value:sample; + c.value=ins->amiga.getFreq(c.value); + chan[c.chan].pcm.note=c.value; chan[c.chan].filter=ins->es5506.filter; chan[c.chan].envelope=ins->es5506.envelope; } @@ -870,20 +850,6 @@ int DivPlatformES5506::dispatch(DivCommand c) { chan[c.chan].pitch=c.value; chan[c.chan].freqChanged=true; break; - // sample commands - case DIV_CMD_WAVE: - if (!chan[c.chan].useWave) { - if (chan[c.chan].active) { - DivInstrument* ins=parent->getIns(chan[c.chan].ins,DIV_INS_ES5506); - if (((ins->amiga.useNoteMap) && (c.value>=0 && c.value<120)) || - ((!ins->amiga.useNoteMap) && (c.value>=0 && c.valuesong.sampleLen))) { - chan[c.chan].pcm.next=c.value; - chan[c.chan].pcmChanged.index=1; - } - } - } - // reserved for useWave - break; // Filter commands case DIV_CMD_ES5506_FILTER_MODE: if (!chan[c.chan].active) { diff --git a/src/engine/sysDef.cpp b/src/engine/sysDef.cpp index e242f0a3..f999a2d6 100644 --- a/src/engine/sysDef.cpp +++ b/src/engine/sysDef.cpp @@ -1542,7 +1542,6 @@ void DivEngine::registerSystems() { ); EffectHandlerMap es5506PreEffectHandlerMap={ - {0x10, {DIV_CMD_WAVE, "10xx: Change waveform (00 to FF)",effectVal}}, {0x11, {DIV_CMD_ES5506_FILTER_MODE, "11xx: Set filter mode (00 to 03)",effectValAnd<3>}}, {0x14, {DIV_CMD_ES5506_FILTER_K1, "14xx: Set filter coefficient K1 low byte (00 to FF)",effectValShift<0>,constVal<0x00ff>}}, {0x15, {DIV_CMD_ES5506_FILTER_K1, "15xx: Set filter coefficient K1 high byte (00 to FF)",effectValShift<8>,constVal<0xff00>}}, diff --git a/src/gui/insEdit.cpp b/src/gui/insEdit.cpp index e3997bf4..341f38a4 100644 --- a/src/gui/insEdit.cpp +++ b/src/gui/insEdit.cpp @@ -5563,6 +5563,7 @@ void FurnaceGUI::drawInsEdit() { if (ins->type==DIV_INS_MSM6295) waveMax=0; if (ins->type==DIV_INS_SEGAPCM) waveMax=0; if (ins->type==DIV_INS_K007232) waveMax=0; + if (ins->type==DIV_INS_ES5506) waveMax=0; if (ins->type==DIV_INS_GA20) waveMax=0; if (ins->type==DIV_INS_K053260) waveMax=0; if (ins->type==DIV_INS_POKEMINI) waveMax=0; From 1511b66015a66ccffa1972d776697b68a7eebb34 Mon Sep 17 00:00:00 2001 From: tildearrow Date: Wed, 26 Jul 2023 19:33:49 -0500 Subject: [PATCH 162/163] MSM6258: fix missing type/outbits init --- src/engine/platform/msm6258.cpp | 3 +++ src/engine/platform/msm6258.h | 1 - 2 files changed, 3 insertions(+), 1 deletion(-) diff --git a/src/engine/platform/msm6258.cpp b/src/engine/platform/msm6258.cpp index fbede0b5..e5de1645 100644 --- a/src/engine/platform/msm6258.cpp +++ b/src/engine/platform/msm6258.cpp @@ -386,6 +386,9 @@ int DivPlatformMSM6258::init(DivEngine* p, int channels, int sugRate, const DivC oscBuf[i]=new DivDispatchOscBuffer; } msm=new okim6258_device(4000000); + msm->set_start_div(okim6258_device::FOSC_DIV_BY_1024); + msm->set_type(okim6258_device::TYPE_4BITS); + msm->set_outbits(okim6258_device::OUTPUT_12BITS); msm->device_start(); setFlags(flags); reset(); diff --git a/src/engine/platform/msm6258.h b/src/engine/platform/msm6258.h index 2c18d90c..6be120c2 100644 --- a/src/engine/platform/msm6258.h +++ b/src/engine/platform/msm6258.h @@ -47,7 +47,6 @@ class DivPlatformMSM6258: public DivDispatch { }; FixedQueue writes; okim6258_device* msm; - unsigned char lastBusy; unsigned char sampleBank, msmPan, msmDivider, rateSel, msmClock, clockSel; signed char msmDividerCount, msmClockCount; From cdbba2a6fc307cd4c9e8ca4307aad93e5d698bd7 Mon Sep 17 00:00:00 2001 From: tildearrow Date: Thu, 27 Jul 2023 00:33:28 -0500 Subject: [PATCH 163/163] IGFD: finally fix sorting crash --- extern/igfd/ImGuiFileDialog.cpp | 414 ++++++++++++++------------------ extern/igfd/ImGuiFileDialog.h | 24 +- 2 files changed, 192 insertions(+), 246 deletions(-) diff --git a/extern/igfd/ImGuiFileDialog.cpp b/extern/igfd/ImGuiFileDialog.cpp index 2ccc3e9c..39815d4a 100644 --- a/extern/igfd/ImGuiFileDialog.cpp +++ b/extern/igfd/ImGuiFileDialog.cpp @@ -800,138 +800,138 @@ namespace IGFD // will be called internally // will not been exposed to IGFD API - bool IGFD::FilterManager::prFillFileStyle(std::shared_ptr vFileInfos) const + bool IGFD::FilterManager::prFillFileStyle(FileInfos& vFileInfos) const { - if (vFileInfos.use_count() && !prFilesStyle.empty()) + if (!prFilesStyle.empty()) { for (const auto& _flag : prFilesStyle) { for (const auto& _file : _flag.second) { - if (_flag.first & IGFD_FileStyleByTypeDir && vFileInfos->fileType == 'd') + if (_flag.first & IGFD_FileStyleByTypeDir && vFileInfos.fileType == 'd') { if (_file.first.empty()) // for all dirs { - vFileInfos->fileStyle = _file.second; + vFileInfos.fileStyle = _file.second; } - else if (_file.first == vFileInfos->fileNameExt) // for dirs who are equal to style criteria + else if (_file.first == vFileInfos.fileNameExt) // for dirs who are equal to style criteria { - vFileInfos->fileStyle = _file.second; + vFileInfos.fileStyle = _file.second; } } - else if (_flag.first & IGFD_FileStyleByTypeFile && vFileInfos->fileType == 'f') + else if (_flag.first & IGFD_FileStyleByTypeFile && vFileInfos.fileType == 'f') { if (_file.first.empty()) // for all files { - vFileInfos->fileStyle = _file.second; + vFileInfos.fileStyle = _file.second; } - else if (_file.first == vFileInfos->fileNameExt) // for files who are equal to style criteria + else if (_file.first == vFileInfos.fileNameExt) // for files who are equal to style criteria { - vFileInfos->fileStyle = _file.second; + vFileInfos.fileStyle = _file.second; } } - else if (_flag.first & IGFD_FileStyleByTypeLink && vFileInfos->fileType == 'l') + else if (_flag.first & IGFD_FileStyleByTypeLink && vFileInfos.fileType == 'l') { if (_file.first.empty()) // for all links { - vFileInfos->fileStyle = _file.second; + vFileInfos.fileStyle = _file.second; } - else if (_file.first == vFileInfos->fileNameExt) // for links who are equal to style criteria + else if (_file.first == vFileInfos.fileNameExt) // for links who are equal to style criteria { - vFileInfos->fileStyle = _file.second; + vFileInfos.fileStyle = _file.second; } } if (_flag.first & IGFD_FileStyleByExtention) { - if (_file.first == vFileInfos->fileExt) + if (_file.first == vFileInfos.fileExt) { - vFileInfos->fileStyle = _file.second; + vFileInfos.fileStyle = _file.second; } // can make sense for some dirs like the hidden by ex ".git" - if (_flag.first & IGFD_FileStyleByTypeDir && vFileInfos->fileType == 'd') + if (_flag.first & IGFD_FileStyleByTypeDir && vFileInfos.fileType == 'd') { - if (_file.first == vFileInfos->fileExt) + if (_file.first == vFileInfos.fileExt) { - vFileInfos->fileStyle = _file.second; + vFileInfos.fileStyle = _file.second; } } - else if (_flag.first & IGFD_FileStyleByTypeFile && vFileInfos->fileType == 'f') + else if (_flag.first & IGFD_FileStyleByTypeFile && vFileInfos.fileType == 'f') { - if (_file.first == vFileInfos->fileExt) + if (_file.first == vFileInfos.fileExt) { - vFileInfos->fileStyle = _file.second; + vFileInfos.fileStyle = _file.second; } } - else if (_flag.first & IGFD_FileStyleByTypeLink && vFileInfos->fileType == 'l') + else if (_flag.first & IGFD_FileStyleByTypeLink && vFileInfos.fileType == 'l') { - if (_file.first == vFileInfos->fileExt) + if (_file.first == vFileInfos.fileExt) { - vFileInfos->fileStyle = _file.second; + vFileInfos.fileStyle = _file.second; } } } if (_flag.first & IGFD_FileStyleByFullName) { - if (_file.first == vFileInfos->fileNameExt) + if (_file.first == vFileInfos.fileNameExt) { - vFileInfos->fileStyle = _file.second; + vFileInfos.fileStyle = _file.second; } - if (_flag.first & IGFD_FileStyleByTypeDir && vFileInfos->fileType == 'd') + if (_flag.first & IGFD_FileStyleByTypeDir && vFileInfos.fileType == 'd') { - if (_file.first == vFileInfos->fileNameExt) + if (_file.first == vFileInfos.fileNameExt) { - vFileInfos->fileStyle = _file.second; + vFileInfos.fileStyle = _file.second; } } - else if (_flag.first & IGFD_FileStyleByTypeFile && vFileInfos->fileType == 'f') + else if (_flag.first & IGFD_FileStyleByTypeFile && vFileInfos.fileType == 'f') { - if (_file.first == vFileInfos->fileNameExt) + if (_file.first == vFileInfos.fileNameExt) { - vFileInfos->fileStyle = _file.second; + vFileInfos.fileStyle = _file.second; } } - else if (_flag.first & IGFD_FileStyleByTypeLink && vFileInfos->fileType == 'l') + else if (_flag.first & IGFD_FileStyleByTypeLink && vFileInfos.fileType == 'l') { - if (_file.first == vFileInfos->fileNameExt) + if (_file.first == vFileInfos.fileNameExt) { - vFileInfos->fileStyle = _file.second; + vFileInfos.fileStyle = _file.second; } } } if (_flag.first & IGFD_FileStyleByContainedInFullName) { - if (vFileInfos->fileNameExt.find(_file.first) != std::string::npos) + if (vFileInfos.fileNameExt.find(_file.first) != std::string::npos) { - vFileInfos->fileStyle = _file.second; + vFileInfos.fileStyle = _file.second; } - if (_flag.first & IGFD_FileStyleByTypeDir && vFileInfos->fileType == 'd') + if (_flag.first & IGFD_FileStyleByTypeDir && vFileInfos.fileType == 'd') { - if (vFileInfos->fileNameExt.find(_file.first) != std::string::npos) + if (vFileInfos.fileNameExt.find(_file.first) != std::string::npos) { - vFileInfos->fileStyle = _file.second; + vFileInfos.fileStyle = _file.second; } } - else if (_flag.first & IGFD_FileStyleByTypeFile && vFileInfos->fileType == 'f') + else if (_flag.first & IGFD_FileStyleByTypeFile && vFileInfos.fileType == 'f') { - if (vFileInfos->fileNameExt.find(_file.first) != std::string::npos) + if (vFileInfos.fileNameExt.find(_file.first) != std::string::npos) { - vFileInfos->fileStyle = _file.second; + vFileInfos.fileStyle = _file.second; } } - else if (_flag.first & IGFD_FileStyleByTypeLink && vFileInfos->fileType == 'l') + else if (_flag.first & IGFD_FileStyleByTypeLink && vFileInfos.fileType == 'l') { - if (vFileInfos->fileNameExt.find(_file.first) != std::string::npos) + if (vFileInfos.fileNameExt.find(_file.first) != std::string::npos) { - vFileInfos->fileStyle = _file.second; + vFileInfos.fileStyle = _file.second; } } } - if (vFileInfos->fileStyle.use_count()) + if (vFileInfos.fileStyle.use_count()) return true; } } @@ -1142,6 +1142,7 @@ namespace IGFD for (int i=1; i<4; i++) { puSortingDirection[i]=false; } + invalidFile.isValid=false; } void IGFD::FileManager::OpenCurrentPath(const FileDialogInternal& vFileDialogInternal) @@ -1191,29 +1192,24 @@ namespace IGFD puHeaderFileName = tableHeaderDescendingIcon + puHeaderFileName; #endif // USE_CUSTOM_SORTING_ICON std::sort(prFileList.begin(), prFileList.end(), - [](const std::shared_ptr& a, const std::shared_ptr& b) -> bool + [](const FileInfos& a, const FileInfos& b) -> bool { - if (a==NULL || b==NULL) - return false; - if (!a.use_count() || !b.use_count()) - return false; - // this code fail in c:\\Users with the link "All users". got a invalid comparator /* // use code from https://github.com/jackm97/ImGuiFileDialog/commit/bf40515f5a1de3043e60562dc1a494ee7ecd3571 // strict ordering for file/directory types beginning in '.' // common on Linux platforms - if (a->fileNameExt[0] == '.' && b->fileNameExt[0] != '.') + if (a.fileNameExt[0] == '.' && b.fileNameExt[0] != '.') return false; - if (a->fileNameExt[0] != '.' && b->fileNameExt[0] == '.') + if (a.fileNameExt[0] != '.' && b.fileNameExt[0] == '.') return true; - if (a->fileNameExt[0] == '.' && b->fileNameExt[0] == '.') + if (a.fileNameExt[0] == '.' && b.fileNameExt[0] == '.') { - return (stricmp(a->fileNameExt.c_str(), b->fileNameExt.c_str()) < 0); // sort in insensitive case + return (stricmp(a.fileNameExt.c_str(), b.fileNameExt.c_str()) < 0); // sort in insensitive case } */ - if (a->fileType != b->fileType) return (a->fileType == 'd'); // directory in first - return (stricmp(a->fileNameExt.c_str(), b->fileNameExt.c_str()) < 0); // sort in insensitive case + if (a.fileType != b.fileType) return (a.fileType == 'd'); // directory in first + return (stricmp(a.fileNameExt.c_str(), b.fileNameExt.c_str()) < 0); // sort in insensitive case }); } else @@ -1222,28 +1218,23 @@ namespace IGFD puHeaderFileName = tableHeaderAscendingIcon + puHeaderFileName; #endif // USE_CUSTOM_SORTING_ICON std::sort(prFileList.begin(), prFileList.end(), - [](const std::shared_ptr& a, const std::shared_ptr& b) -> bool + [](const FileInfos& a, const FileInfos& b) -> bool { - if (a==NULL || b==NULL) - return false; - if (!a.use_count() || !b.use_count()) - return false; - // this code fail in c:\\Users with the link "All users". got a invalid comparator /* // use code from https://github.com/jackm97/ImGuiFileDialog/commit/bf40515f5a1de3043e60562dc1a494ee7ecd3571 // strict ordering for file/directory types beginning in '.' // common on Linux platforms - if (a->fileNameExt[0] == '.' && b->fileNameExt[0] != '.') + if (a.fileNameExt[0] == '.' && b.fileNameExt[0] != '.') return false; - if (a->fileNameExt[0] != '.' && b->fileNameExt[0] == '.') + if (a.fileNameExt[0] != '.' && b.fileNameExt[0] == '.') return true; - if (a->fileNameExt[0] == '.' && b->fileNameExt[0] == '.') + if (a.fileNameExt[0] == '.' && b.fileNameExt[0] == '.') { - return (stricmp(a->fileNameExt.c_str(), b->fileNameExt.c_str()) > 0); // sort in insensitive case + return (stricmp(a.fileNameExt.c_str(), b.fileNameExt.c_str()) > 0); // sort in insensitive case } */ - return (stricmp(a->fileNameExt.c_str(), b->fileNameExt.c_str()) > 0); // sort in insensitive case + return (stricmp(a.fileNameExt.c_str(), b.fileNameExt.c_str()) > 0); // sort in insensitive case }); } } @@ -1259,13 +1250,10 @@ namespace IGFD puHeaderFileType = tableHeaderDescendingIcon + puHeaderFileType; #endif // USE_CUSTOM_SORTING_ICON std::sort(prFileList.begin(), prFileList.end(), - [](const std::shared_ptr& a, const std::shared_ptr& b) -> bool + [](const FileInfos& a, const FileInfos& b) -> bool { - if (!a.use_count() || !b.use_count()) - return false; - - if (a->fileType != b->fileType) return (a->fileType == 'd'); // directory in first - return (a->fileExt < b->fileExt); // else + if (a.fileType != b.fileType) return (a.fileType == 'd'); // directory in first + return (a.fileExt < b.fileExt); // else }); } else @@ -1274,15 +1262,10 @@ namespace IGFD puHeaderFileType = tableHeaderAscendingIcon + puHeaderFileType; #endif // USE_CUSTOM_SORTING_ICON std::sort(prFileList.begin(), prFileList.end(), - [](const std::shared_ptr& a, const std::shared_ptr& b) -> bool + [](const FileInfos& a, const FileInfos& b) -> bool { - if (a==NULL || b==NULL) - return false; - if (!a.use_count() || !b.use_count()) - return false; - - if (a->fileType != b->fileType) return (a->fileType != 'd'); // directory in last - return (a->fileExt > b->fileExt); // else + if (a.fileType != b.fileType) return (a.fileType != 'd'); // directory in last + return (a.fileExt > b.fileExt); // else }); } } @@ -1298,15 +1281,10 @@ namespace IGFD puHeaderFileSize = tableHeaderDescendingIcon + puHeaderFileSize; #endif // USE_CUSTOM_SORTING_ICON std::sort(prFileList.begin(), prFileList.end(), - [](const std::shared_ptr& a, const std::shared_ptr& b) -> bool + [](const FileInfos& a, const FileInfos& b) -> bool { - if (a==NULL || b==NULL) - return false; - if (!a.use_count() || !b.use_count()) - return false; - - if (a->fileType != b->fileType) return (a->fileType == 'd'); // directory in first - return (a->fileSize < b->fileSize); // else + if (a.fileType != b.fileType) return (a.fileType == 'd'); // directory in first + return (a.fileSize < b.fileSize); // else }); } else @@ -1315,15 +1293,10 @@ namespace IGFD puHeaderFileSize = tableHeaderAscendingIcon + puHeaderFileSize; #endif // USE_CUSTOM_SORTING_ICON std::sort(prFileList.begin(), prFileList.end(), - [](const std::shared_ptr& a, const std::shared_ptr& b) -> bool + [](const FileInfos& a, const FileInfos& b) -> bool { - if (a==NULL || b==NULL) - return false; - if (!a.use_count() || !b.use_count()) - return false; - - if (a->fileType != b->fileType) return (a->fileType != 'd'); // directory in last - return (a->fileSize > b->fileSize); // else + if (a.fileType != b.fileType) return (a.fileType != 'd'); // directory in last + return (a.fileSize > b.fileSize); // else }); } } @@ -1339,15 +1312,10 @@ namespace IGFD puHeaderFileDate = tableHeaderDescendingIcon + puHeaderFileDate; #endif // USE_CUSTOM_SORTING_ICON std::sort(prFileList.begin(), prFileList.end(), - [](const std::shared_ptr& a, const std::shared_ptr& b) -> bool + [](const FileInfos& a, const FileInfos& b) -> bool { - if (a==NULL || b==NULL) - return false; - if (!a.use_count() || !b.use_count()) - return false; - - if (a->fileType != b->fileType) return (a->fileType == 'd'); // directory in first - return (a->fileModifDate < b->fileModifDate); // else + if (a.fileType != b.fileType) return (a.fileType == 'd'); // directory in first + return (a.fileModifDate < b.fileModifDate); // else }); } else @@ -1356,15 +1324,10 @@ namespace IGFD puHeaderFileDate = tableHeaderAscendingIcon + puHeaderFileDate; #endif // USE_CUSTOM_SORTING_ICON std::sort(prFileList.begin(), prFileList.end(), - [](const std::shared_ptr& a, const std::shared_ptr& b) -> bool + [](const FileInfos& a, const FileInfos& b) -> bool { - if (a==NULL || b==NULL) - return false; - if (!a.use_count() || !b.use_count()) - return false; - - if (a->fileType != b->fileType) return (a->fileType != 'd'); // directory in last - return (a->fileModifDate > b->fileModifDate); // else + if (a.fileType != b.fileType) return (a.fileType != 'd'); // directory in last + return (a.fileModifDate > b.fileModifDate); // else }); } } @@ -1384,15 +1347,12 @@ namespace IGFD puHeaderFileThumbnails = tableHeaderDescendingIcon + puHeaderFileThumbnails; #endif // USE_CUSTOM_SORTING_ICON std::sort(prFileList.begin(), prFileList.end(), - [](const std::shared_ptr& a, const std::shared_ptr& b) -> bool + [](const FileInfos& a, const FileInfos& b) -> bool { - if (!a.use_count() || !b.use_count()) - return false; - - if (a->fileType != b->fileType) return (a->fileType == 'd'); // directory in first - if (a->thumbnailInfo.textureWidth == b->thumbnailInfo.textureWidth) - return (a->thumbnailInfo.textureHeight < b->thumbnailInfo.textureHeight); - return (a->thumbnailInfo.textureWidth < b->thumbnailInfo.textureWidth); + if (a.fileType != b.fileType) return (a.fileType == 'd'); // directory in first + if (a.thumbnailInfo.textureWidth == b.thumbnailInfo.textureWidth) + return (a.thumbnailInfo.textureHeight < b.thumbnailInfo.textureHeight); + return (a.thumbnailInfo.textureWidth < b.thumbnailInfo.textureWidth); }); } @@ -1402,15 +1362,12 @@ namespace IGFD puHeaderFileThumbnails = tableHeaderAscendingIcon + puHeaderFileThumbnails; #endif // USE_CUSTOM_SORTING_ICON std::sort(prFileList.begin(), prFileList.end(), - [](const std::shared_ptr& a, const std::shared_ptr& b) -> bool + [](const FileInfos& a, const FileInfos& b) -> bool { - if (!a.use_count() || !b.use_count()) - return false; - - if (a->fileType != b->fileType) return (a->fileType != 'd'); // directory in last - if (a->thumbnailInfo.textureWidth == b->thumbnailInfo.textureWidth) - return (a->thumbnailInfo.textureHeight > b->thumbnailInfo.textureHeight); - return (a->thumbnailInfo.textureWidth > b->thumbnailInfo.textureWidth); + if (a.fileType != b.fileType) return (a.fileType != 'd'); // directory in last + if (a.thumbnailInfo.textureWidth == b.thumbnailInfo.textureWidth) + return (a.thumbnailInfo.textureHeight > b.thumbnailInfo.textureHeight); + return (a.thumbnailInfo.textureWidth > b.thumbnailInfo.textureWidth); }); } } @@ -1441,38 +1398,38 @@ namespace IGFD return fileNameExt; } - void IGFD::FileManager::AddFile(const FileDialogInternal& vFileDialogInternal, const std::string& vPath, const std::string& vFileName, const char& vFileType, void* ent) + void IGFD::FileManager::AddFile(const FileDialogInternal& vFileDialogInternal, const std::string& vPath, const std::string& vFileName, char vFileType, void* ent) { - auto infos = std::make_shared(); + FileInfos infos; #ifdef _WIN32 struct dirent* dent=(struct dirent*)ent; #endif - infos->filePath = vPath; - infos->fileNameExt = vFileName; - infos->fileNameExt_optimized = prOptimizeFilenameForSearchOperations(infos->fileNameExt); - infos->fileType = vFileType; + infos.filePath = vPath; + infos.fileNameExt = vFileName; + infos.fileNameExt_optimized = prOptimizeFilenameForSearchOperations(infos.fileNameExt); + infos.fileType = vFileType; - if (infos->fileNameExt.empty() || ((infos->fileNameExt == "." || infos->fileNameExt == "..") && !vFileDialogInternal.puFilterManager.puDLGFilters.empty())) return; // filename empty or filename is the current dir '.' //-V807 - if (infos->fileNameExt != ".." && (vFileDialogInternal.puDLGflags & ImGuiFileDialogFlags_DontShowHiddenFiles) && infos->fileNameExt[0] == '.') // dont show hidden files - if (!vFileDialogInternal.puFilterManager.puDLGFilters.empty() || (vFileDialogInternal.puFilterManager.puDLGFilters.empty() && infos->fileNameExt != ".")) // except "." if in directory mode //-V728 + if (infos.fileNameExt.empty() || ((infos.fileNameExt == "." || infos.fileNameExt == "..") && !vFileDialogInternal.puFilterManager.puDLGFilters.empty())) return; // filename empty or filename is the current dir '.' //-V807 + if (infos.fileNameExt != ".." && (vFileDialogInternal.puDLGflags & ImGuiFileDialogFlags_DontShowHiddenFiles) && infos.fileNameExt[0] == '.') // dont show hidden files + if (!vFileDialogInternal.puFilterManager.puDLGFilters.empty() || (vFileDialogInternal.puFilterManager.puDLGFilters.empty() && infos.fileNameExt != ".")) // except "." if in directory mode //-V728 return; - if (infos->fileType == 'f' || - infos->fileType == 'l') // link can have the same extention of a file + if (infos.fileType == 'f' || + infos.fileType == 'l') // link can have the same extention of a file { - size_t lpt = infos->fileNameExt.find_last_of('.'); + size_t lpt = infos.fileNameExt.find_last_of('.'); if (lpt != std::string::npos) { - infos->fileExt = infos->fileNameExt.substr(lpt); + infos.fileExt = infos.fileNameExt.substr(lpt); } - for (char& i: infos->fileExt) { + for (char& i: infos.fileExt) { if (i>='A' && i<='Z') i+='a'-'A'; } - if (!vFileDialogInternal.puFilterManager.IsCoveredByFilters(infos->fileExt)) + if (!vFileDialogInternal.puFilterManager.IsCoveredByFilters(infos.fileExt)) { return; } @@ -1483,16 +1440,16 @@ namespace IGFD SYSTEMTIME localTime; char timebuf[100]; - infos->fileSize=dent->dwin_size; - if (FileTimeToSystemTime(&dent->dwin_mtime,&systemTime)==TRUE) { + infos.fileSize=dent.dwin_size; + if (FileTimeToSystemTime(&dent.dwin_mtime,&systemTime)==TRUE) { if (SystemTimeToTzSpecificLocalTime(NULL,&systemTime,&localTime)==TRUE) { snprintf(timebuf,99,"%d/%.2d/%.2d %.2d:%.2d",localTime.wYear,localTime.wMonth,localTime.wDay,localTime.wHour,localTime.wMinute); } else { snprintf(timebuf,99,"%d/%.2d/%.2d %.2d:%.2d",systemTime.wYear,systemTime.wMonth,systemTime.wDay,systemTime.wHour,systemTime.wMinute); } - infos->fileModifDate=timebuf; + infos.fileModifDate=timebuf; } else { - infos->fileModifDate="???"; + infos.fileModifDate="???"; } #endif @@ -1534,7 +1491,7 @@ namespace IGFD { struct dirent* ent = files[i]; std::string where = path + std::string(PATH_SEP_STR) + std::string(ent->d_name); - char fileType = 0; + char fileType = 'f'; #if defined(HAVE_DIRENT_TYPE) || defined(_WIN32) if (ent->d_type != DT_UNKNOWN) { @@ -1544,7 +1501,7 @@ namespace IGFD fileType = 'f'; break; case DT_DIR: fileType = 'd'; break; - case DT_LNK: + case DT_LNK: { #ifdef _WIN32 fileType = 'f'; #else @@ -1557,7 +1514,8 @@ namespace IGFD } else { - fileType = 'l'; + // why does 'l' make it crash? + fileType = 'f'; } } else @@ -1568,6 +1526,9 @@ namespace IGFD #endif break; } + default: + fileType = 'f'; break; + } } else #endif // HAVE_DIRENT_TYPE @@ -1622,12 +1583,12 @@ namespace IGFD ClearFileLists(); for (auto& drive : drives) { - auto info = std::make_shared(); - info->fileNameExt = drive; - info->fileNameExt_optimized = prOptimizeFilenameForSearchOperations(drive); - info->fileType = 'd'; + FileInfos info; + info.fileNameExt = drive; + info.fileNameExt_optimized = prOptimizeFilenameForSearchOperations(drive); + info.fileType = 'd'; - if (!info->fileNameExt.empty()) + if (!info.fileNameExt.empty()) { prFileList.push_back(info); } @@ -1658,11 +1619,11 @@ namespace IGFD return prFileList.size(); } - std::shared_ptr IGFD::FileManager::GetFullFileAt(size_t vIdx) + const FileInfos& IGFD::FileManager::GetFullFileAt(size_t vIdx) { if (vIdx < prFileList.size()) return prFileList[vIdx]; - return nullptr; + return invalidFile; } bool IGFD::FileManager::IsFilteredListEmpty() @@ -1675,11 +1636,11 @@ namespace IGFD return prFilteredFileList.size(); } - std::shared_ptr IGFD::FileManager::GetFilteredFileAt(size_t vIdx) + const FileInfos& IGFD::FileManager::GetFilteredFileAt(size_t vIdx) { if (vIdx < prFilteredFileList.size()) return prFilteredFileList[vIdx]; - return nullptr; + return invalidFile; } bool IGFD::FileManager::IsFileNameSelected(const std::string& vFileName) @@ -1706,14 +1667,12 @@ namespace IGFD void IGFD::FileManager::ApplyFilteringOnFileList(const FileDialogInternal& vFileDialogInternal) { prFilteredFileList.clear(); - for (const auto& file : prFileList) + for (const FileInfos& file : prFileList) { - if (!file.use_count()) - continue; bool show = true; - if (!file->IsTagFound(vFileDialogInternal.puSearchManager.puSearchTag)) // if search tag + if (!file.IsTagFound(vFileDialogInternal.puSearchManager.puSearchTag)) // if search tag show = false; - if (puDLGDirectoryMode && file->fileType != 'd') // directory mode + if (puDLGDirectoryMode && file.fileType != 'd') // directory mode show = false; if (show) prFilteredFileList.push_back(file); @@ -1750,13 +1709,10 @@ namespace IGFD return ""; } - void IGFD::FileManager::prCompleteFileInfos(const std::shared_ptr& vInfos) + void IGFD::FileManager::prCompleteFileInfos(FileInfos& vInfos) { - if (!vInfos.use_count()) - return; - - if (vInfos->fileNameExt != "." && - vInfos->fileNameExt != "..") + if (vInfos.fileNameExt != "." && + vInfos.fileNameExt != "..") { // _stat struct : //dev_t st_dev; /* ID of device containing file */ @@ -1781,18 +1737,18 @@ namespace IGFD #else std::string fpn; - if (vInfos->fileType == 'f' || vInfos->fileType == 'l' || vInfos->fileType == 'd') // file - fpn = vInfos->filePath + std::string(1u, PATH_SEP) + vInfos->fileNameExt; + if (vInfos.fileType == 'f' || vInfos.fileType == 'l' || vInfos.fileType == 'd') // file + fpn = vInfos.filePath + std::string(1u, PATH_SEP) + vInfos.fileNameExt; struct stat statInfos = {}; char timebuf[100]; int result = stat(fpn.c_str(), &statInfos); if (result!=-1) { - if (vInfos->fileType != 'd') + if (vInfos.fileType != 'd') { - vInfos->fileSize = (size_t)statInfos.st_size; - vInfos->formatedFileSize = prFormatFileSize(vInfos->fileSize); + vInfos.fileSize = (size_t)statInfos.st_size; + vInfos.formatedFileSize = prFormatFileSize(vInfos.fileSize); } size_t len = 0; @@ -1806,12 +1762,12 @@ namespace IGFD #endif // MSVC if (len) { - vInfos->fileModifDate = std::string(timebuf, len); + vInfos.fileModifDate = std::string(timebuf, len); } } else { - vInfos->fileSize=0; - vInfos->formatedFileSize = prFormatFileSize(vInfos->fileSize); - vInfos->fileModifDate="???"; + vInfos.fileSize=0; + vInfos.formatedFileSize = prFormatFileSize(vInfos.fileSize); + vInfos.fileModifDate="???"; } #endif } @@ -1994,14 +1950,11 @@ namespace IGFD IGFD::Utils::SetBuffer(puFileNameBuffer, MAX_FILE_DIALOG_NAME_BUFFER, vFileName); } - bool IGFD::FileManager::SelectDirectory(const std::shared_ptr& vInfos) + bool IGFD::FileManager::SelectDirectory(const FileInfos& vInfos) { - if (!vInfos.use_count()) - return false; - bool pathClick = false; - if (vInfos->fileNameExt == "..") + if (vInfos.fileNameExt == "..") { pathClick = SetPathOnParentDirectoryIfAny(); } @@ -2011,23 +1964,23 @@ namespace IGFD if (puShowDrives) { - newPath = vInfos->fileNameExt + std::string(1u, PATH_SEP); + newPath = vInfos.fileNameExt + std::string(1u, PATH_SEP); } else { #ifdef __linux__ if (puFsRoot == prCurrentPath) - newPath = prCurrentPath + vInfos->fileNameExt; + newPath = prCurrentPath + vInfos.fileNameExt; else #endif // __linux__ - newPath = prCurrentPath + std::string(1u, PATH_SEP) + vInfos->fileNameExt; + newPath = prCurrentPath + std::string(1u, PATH_SEP) + vInfos.fileNameExt; } if (IGFD::Utils::IsDirectoryExist(newPath)) { if (puShowDrives) { - prCurrentPath = vInfos->fileNameExt; + prCurrentPath = vInfos.fileNameExt; puFsRoot = prCurrentPath; } else @@ -2041,35 +1994,32 @@ namespace IGFD return pathClick; } - void IGFD::FileManager::SelectFileName(const FileDialogInternal& vFileDialogInternal, const std::shared_ptr& vInfos) + void IGFD::FileManager::SelectFileName(const FileDialogInternal& vFileDialogInternal, const FileInfos& vInfos) { - if (!vInfos.use_count()) - return; - if (ImGui::GetIO().KeyCtrl) { if (puDLGcountSelectionMax == 0) // infinite selection { - if (prSelectedFileNames.find(vInfos->fileNameExt) == prSelectedFileNames.end()) // not found +> add + if (prSelectedFileNames.find(vInfos.fileNameExt) == prSelectedFileNames.end()) // not found +> add { - prAddFileNameInSelection(vInfos->fileNameExt, true); + prAddFileNameInSelection(vInfos.fileNameExt, true); } else // found +> remove { - prRemoveFileNameInSelection(vInfos->fileNameExt); + prRemoveFileNameInSelection(vInfos.fileNameExt); } } else // selection limited by size { if (prSelectedFileNames.size() < puDLGcountSelectionMax) { - if (prSelectedFileNames.find(vInfos->fileNameExt) == prSelectedFileNames.end()) // not found +> add + if (prSelectedFileNames.find(vInfos.fileNameExt) == prSelectedFileNames.end()) // not found +> add { - prAddFileNameInSelection(vInfos->fileNameExt, true); + prAddFileNameInSelection(vInfos.fileNameExt, true); } else // found +> remove { - prRemoveFileNameInSelection(vInfos->fileNameExt); + prRemoveFileNameInSelection(vInfos.fileNameExt); } } } @@ -2081,18 +2031,15 @@ namespace IGFD prSelectedFileNames.clear(); // we will iterate filelist and get the last selection after the start selection bool startMultiSelection = false; - std::string fileNameToSelect = vInfos->fileNameExt; + std::string fileNameToSelect = vInfos.fileNameExt; std::string savedLastSelectedFileName; // for invert selection mode - for (const auto& file : prFileList) + for (const FileInfos& file : prFileList) { - if (!file.use_count()) - continue; - bool canTake = true; - if (!file->IsTagFound(vFileDialogInternal.puSearchManager.puSearchTag)) canTake = false; + if (!file.IsTagFound(vFileDialogInternal.puSearchManager.puSearchTag)) canTake = false; if (canTake) // if not filtered, we will take files who are filtered by the dialog { - if (file->fileNameExt == prLastSelectedFileName) + if (file.fileNameExt == prLastSelectedFileName) { startMultiSelection = true; prAddFileNameInSelection(prLastSelectedFileName, false); @@ -2101,13 +2048,13 @@ namespace IGFD { if (puDLGcountSelectionMax == 0) // infinite selection { - prAddFileNameInSelection(file->fileNameExt, false); + prAddFileNameInSelection(file.fileNameExt, false); } else // selection limited by size { if (prSelectedFileNames.size() < puDLGcountSelectionMax) { - prAddFileNameInSelection(file->fileNameExt, false); + prAddFileNameInSelection(file.fileNameExt, false); } else { @@ -2119,7 +2066,7 @@ namespace IGFD } } - if (file->fileNameExt == fileNameToSelect) + if (file.fileNameExt == fileNameToSelect) { if (!startMultiSelection) // we are before the last Selected FileName, so we must inverse { @@ -2145,7 +2092,7 @@ namespace IGFD { prSelectedFileNames.clear(); IGFD::Utils::ResetBuffer(puFileNameBuffer); - prAddFileNameInSelection(vInfos->fileNameExt, true); + prAddFileNameInSelection(vInfos.fileNameExt, true); } } @@ -3922,11 +3869,8 @@ namespace IGFD } // returns 0 if not break loop, 1 if break loop, 2 if exit dialog - int IGFD::FileDialog::prSelectableItem(int vidx, std::shared_ptr vInfos, bool vSelected, const char* vFmt, ...) + int IGFD::FileDialog::prSelectableItem(int vidx, const FileInfos& vInfos, bool vSelected, const char* vFmt, ...) { - if (!vInfos.use_count()) - return 0; - auto& fdi = prFileDialogInternal.puFileManager; static ImGuiSelectableFlags selectableFlags = ImGuiSelectableFlags_AllowDoubleClick | @@ -3957,7 +3901,7 @@ namespace IGFD #endif // USE_EXPLORATION_BY_KEYS if (res) { - if (vInfos->fileType == 'd') + if (vInfos.fileType == 'd') { bool isSelectingDir=false; // nav system, selectebale cause open directory or select directory @@ -4012,27 +3956,27 @@ namespace IGFD return 0; } - void IGFD::FileDialog::prBeginFileColorIconStyle(std::shared_ptr vFileInfos, bool& vOutShowColor, std::string& vOutStr, ImFont** vOutFont) + void IGFD::FileDialog::prBeginFileColorIconStyle(const FileInfos& vFileInfos, bool& vOutShowColor, std::string& vOutStr, ImFont** vOutFont) { vOutStr.clear(); vOutShowColor = false; - if (vFileInfos->fileStyle.use_count()) //-V807 //-V522 + if (vFileInfos.fileStyle.use_count()) //-V807 //-V522 { vOutShowColor = true; - *vOutFont = vFileInfos->fileStyle->font; + *vOutFont = vFileInfos.fileStyle->font; } - if (vOutShowColor && !vFileInfos->fileStyle->icon.empty()) vOutStr = vFileInfos->fileStyle->icon; - else if (vFileInfos->fileType == 'd') vOutStr = dirEntryString; - else if (vFileInfos->fileType == 'l') vOutStr = linkEntryString; - else if (vFileInfos->fileType == 'f') vOutStr = fileEntryString; + if (vOutShowColor && !vFileInfos.fileStyle->icon.empty()) vOutStr = vFileInfos.fileStyle->icon; + else if (vFileInfos.fileType == 'd') vOutStr = dirEntryString; + else if (vFileInfos.fileType == 'l') vOutStr = linkEntryString; + else if (vFileInfos.fileType == 'f') vOutStr = fileEntryString; - vOutStr += " " + vFileInfos->fileNameExt; + vOutStr += " " + vFileInfos.fileNameExt; if (vOutShowColor) - ImGui::PushStyleColor(ImGuiCol_Text, vFileInfos->fileStyle->color); + ImGui::PushStyleColor(ImGuiCol_Text, vFileInfos.fileStyle->color); if (*vOutFont) ImGui::PushFont(*vOutFont); } @@ -4126,13 +4070,13 @@ namespace IGFD { if (i < 0) continue; - auto infos = fdi.GetFilteredFileAt((size_t)i); - if (!infos.use_count()) + const FileInfos& infos = fdi.GetFilteredFileAt((size_t)i); + if (!infos.isValid) continue; prBeginFileColorIconStyle(infos, _showColor, _str, &_font); - bool selected = fdi.IsFileNameSelected(infos->fileNameExt); // found + bool selected = fdi.IsFileNameSelected(infos.fileNameExt); // found ImGui::TableNextRow(); @@ -4147,13 +4091,13 @@ namespace IGFD } if (ImGui::TableNextColumn()) // file type { - ImGui::Text("%s", infos->fileExt.c_str()); + ImGui::Text("%s", infos.fileExt.c_str()); } if (ImGui::TableNextColumn()) // file size { - if (infos->fileType != 'd') + if (infos.fileType != 'd') { - ImGui::Text("%s ", infos->formatedFileSize.c_str()); + ImGui::Text("%s ", infos.formatedFileSize.c_str()); } else { @@ -4162,7 +4106,7 @@ namespace IGFD } if (ImGui::TableNextColumn()) // file date + time { - ImGui::Text("%s", infos->fileModifDate.c_str()); + ImGui::Text("%s", infos.fileModifDate.c_str()); } prEndFileColorIconStyle(_showColor, _font); diff --git a/extern/igfd/ImGuiFileDialog.h b/extern/igfd/ImGuiFileDialog.h index 93db26e9..0850cd68 100644 --- a/extern/igfd/ImGuiFileDialog.h +++ b/extern/igfd/ImGuiFileDialog.h @@ -766,7 +766,7 @@ namespace IGFD void ParseFilters(const char* vFilters); // Parse filter syntax, detect and parse filter collection void SetSelectedFilterWithExt(const std::string& vFilter); // Select filter - bool prFillFileStyle(std::shared_ptr vFileInfos) const; // fill with the good style + bool prFillFileStyle(FileInfos& vFileInfos) const; // fill with the good style void SetFileStyle( const IGFD_FileStyleFlags& vFlags, @@ -812,6 +812,7 @@ namespace IGFD #ifdef USE_THUMBNAILS IGFD_Thumbnail_Info thumbnailInfo; // structre for the display for image file tetxure #endif // USE_THUMBNAILS + bool isValid = true; public: bool IsTagFound(const std::string& vTag) const; @@ -824,6 +825,7 @@ namespace IGFD class FileManager { public: // types + FileInfos invalidFile; enum class SortingFieldEnum // sorting for filetering of the file lsit { FIELD_NONE = 0, // no sorting preference, result indetermined haha.. @@ -839,8 +841,8 @@ namespace IGFD private: std::string prCurrentPath; // current path (to be decomposed in prCurrentPathDecomposition std::vector prCurrentPathDecomposition; // part words - std::vector> prFileList; // base container - std::vector> prFilteredFileList; // filtered container (search, sorting, etc..) + std::vector prFileList; // base container + std::vector prFilteredFileList; // filtered container (search, sorting, etc..) std::string prLastSelectedFileName; // for shift multi selection std::set prSelectedFileNames; // the user selection of FilePathNames bool prCreateDirectoryMode = false; // for create directory widget @@ -879,11 +881,11 @@ namespace IGFD static std::string prRoundNumber(double vvalue, int n); // custom rounding number static std::string prFormatFileSize(size_t vByteSize); // format file size field static std::string prOptimizeFilenameForSearchOperations(const std::string& vFileNameExt); // turn all text in lower case for search facilitie - static void prCompleteFileInfos(const std::shared_ptr& FileInfos); // set time and date infos of a file (detail view mode) + static void prCompleteFileInfos(FileInfos& FileInfos); // set time and date infos of a file (detail view mode) void prRemoveFileNameInSelection(const std::string& vFileName); // selection : remove a file name void prAddFileNameInSelection(const std::string& vFileName, bool vSetLastSelectionFileName); // selection : add a file name void AddFile(const FileDialogInternal& vFileDialogInternal, - const std::string& vPath, const std::string& vFileName, const char& vFileType, void* ent); // add file called by scandir + const std::string& vPath, const std::string& vFileName, char vFileType, void* ent); // add file called by scandir public: FileManager(); @@ -892,9 +894,9 @@ namespace IGFD bool IsFileListEmpty(); bool IsFilteredListEmpty(); size_t GetFullFileListSize(); - std::shared_ptr GetFullFileAt(size_t vIdx); + const FileInfos& GetFullFileAt(size_t vIdx); size_t GetFilteredListSize(); - std::shared_ptr GetFilteredFileAt(size_t vIdx); + const FileInfos& GetFilteredFileAt(size_t vIdx); bool IsFileNameSelected(const std::string& vFileName); std::string GetBack(); void ClearComposer(); @@ -912,9 +914,9 @@ namespace IGFD void SetCurrentPath(const std::string& vCurrentPath); // set the current path static bool IsFileExist(const std::string& vFile); void SetDefaultFileName(const std::string& vFileName); - bool SelectDirectory(const std::shared_ptr& vInfos); // enter directory + bool SelectDirectory(const FileInfos& vInfos); // enter directory void SelectFileName(const FileDialogInternal& vFileDialogInternal, - const std::shared_ptr& vInfos); // select filename + const FileInfos& vInfos); // select filename //depend of dirent.h void SetCurrentDir(const std::string& vPath); // define current directory for scan @@ -1311,7 +1313,7 @@ namespace IGFD // widgets components virtual void prDrawSidePane(float vHeight); // draw side pane virtual int prSelectableItem(int vidx, - std::shared_ptr vInfos, + const FileInfos& vInfos, bool vSelected, const char* vFmt, ...); // draw a custom selectable behavior item virtual bool prDrawFileListView(ImVec2 vSize); // draw file list view (default mode) @@ -1325,7 +1327,7 @@ namespace IGFD // - prDrawThumbnailsListView // - prDrawThumbnailsGridView void prBeginFileColorIconStyle( - std::shared_ptr vFileInfos, + const FileInfos& vFileInfos, bool& vOutShowColor, std::string& vOutStr, ImFont** vOutFont); // begin style apply of filter with color an icon if any

t9CFCr_`E1!3kR>?QV3b#>iD)w&;9 zFfqBXW>xdycY-U)8GbA>%6COPz^ZYsV?^Ei418n?n=) z1PXT}nVD82D(joy{{|k2=?Q&y4)<5^|=1>SCjLdEOOho zTYypYfgqquG6MZHrab`gc^Gkgh}@rVeht8d{d0(D1EXoC>S_d&O6s260p~drnosnV zTU6m*s5opAqVINudk%rr$5=E<_R=(X|oR?QO;kS4x&MiM=$gLS$?vR!sb!B)zyk&aY^U9e!>UDTKv zO^IKb2P5u{*#oaZGru!RGZgl(yOM+8f`G(;x+>yjgPGp$!Z1XeI}vOXwMPa+#Yc2G{nlJr%p%lT5&f6C!6 znmV}*{2a`DrU^dJ`NwJnw2L`~1=!~c)Jsoo(Pp_)TG?XTpiS1+GPgNBx+iodD1*Mv z7j844JNYO5B=m3mFRRTT0{49cx8Em#Mb|d|#cSphindHVJ98<_T16Y4*gGZJcHlLc6I63qP&9%%tKtNiXQ?l zY{s#4wCZDCuPn4-82-P8hIi@8v|1QNM^Y$b84xRBQ%t&2A`)s4?iBSr3Ap6t#jc%j zO(>D@X8VLry7NQo%Nvs+sc8j?(egE46|pg@%4+_zh?Wvd3R&?ov=fI7Sa@CE=nU-c z;hAq!RX)N%Tc=eO;}9iR)p~R*!oj-V#Zz_plTMX+TF6~RADqG80H^sx2P1@s1Wt|c z^%L2+2LzTvYs_m{#i+kARs_JRJT)S~6+Rn+w65Xh%gf94{*WliB1vr({l3^(F9<#R zsqXUk@02MQ*AmF(r*l3Sq_%~z!2GpmmTM>OCQ6P$(Hzd#Zx;ZzDo8Me?*mm?H3Pz$ zQ1~vlGHnz7#@Vfo&nxIOFxS*3f6dfKyyfWz_IL@*3NL>sGC_K+>u_1wSB8~@5#EX{ zx19{6u-u)jX*?RJDiUjE#^&(nrPo*d`1xIl^hq?D82!can!&eIuAJtV&l8TcGT?bv zw53iQk!>678W&Ftrm;o2uIlKn@GCXAoDa+@;vp~xZ{PbZ#{L~1#Rx@?zPc*wAhqDu zna~}QS#3u!(Em@nt-zEzxX2LJ3f%)kJ$09-zdrb*32!O6FvBg$-!4xlx%+@AR*H2P znlv5n`fL2YEhc~u;WdB|!6QAE`UflJSsxcX2}#C>)K_yw{Aq|u=$-&b1an2+>*19t zS25#ov<=YU9j?X6GU*f#Y7@R77`yI60t`*^$O>nmpfd^mNM>6E(D@uttzMSEO7a?0 zBItoy01vfdT}^MS#UmZoF6vdkXjjsWO{H%iu!Kp!gn=U-L#9H+a*L6wwMpqR)b~E` zT`|`W4g6v8r}pCTNQ^^2r|xq;OtE;*_mSUj99R3foEIVu><&<;dv+|6M4o$1xgXAn zpZZ@Sh*qGva(s6Q>@MM3Fq)281|%BZ4Q^ix!;t~LPJ1?62GnwoQmEH4@*%gzp9V1C z3edeg^=b*(MHZZI3Ui9PqkPy3`1^&SuH~s;ve8FCTHTvNC#J30aO=1iot%Cl1+eU5 zzm-L@xyO+0wUH`mG@-|mUXmM5PJ{a>iL%w$#9)LwkcFGo=wOs9bhoi+R)LTsc)7V; zVjOxKhipS6%AHIQ-G-7Y88Vk_=#ZYhc|_yY@%HNr-{zKt`asobjdC83=8r`1_56bf zhEFZv%-Co`=qANfF(DSW&Mz&WKa8p?RYk*MW#YKJ{N+ii#8;`ymnN;SuW#0ABwtE` zTDjBy_3=F!vm!`uLw|kUVKfzqQ0O^KBCPZEuz#-+IlwhtN|a+59Uz;R9xz+Xx6V_Sy4W~339IG| z`E(2S#BKay=O;t+3S9%YYsti znY%uPB54ocvKX7_`Z%KJ5LrjS)+~Dm# z9^CYO@}(_kO43gZvSIkz@AT+$yCX2N1%m_O>rKb10Xp|f_LN7xie#OPXbhHb(%3ZQu-vT>hKing%x>>(1 zFB4#(8+OO0PMuty##~*6JY1*)!?u<|U0rewwQK)o&Li=IQz#Kj#64{QwPoud7LMODJc z5HPfDDa$@JVkL;(5N4*Nw_`kAZF60&Oclts;DMR^RQwDkYwT{I>f@F-^ty!zvOn>h zNapTf)oFW%JP#~}N+CJPYM0wV_6yh-lG zgLm%-^(rl(A*$AihH@#x325<2p+ze&l;~8Ya@>gLBr(64|3)MIZnmnJ7Xxq&2MO?H z4kIjJTa%EsJZ$4okLU3T*QP|`OJCo2=%}G2II*T%^Z^@D8nvagO;HT&HXx0lRGQQM zuKwRCaGmiEhlNCPr>T0SdPX|F&WGPmP)X~wEvRn3Iv zsa5@i@QiYU-}WSah)i4~CRnU;kpu&s(1W|Xs?KR&F!I1wj8zc4kTULPg0Ku#F~SX3 z2bic!WgzRRQWYiUX#h;TDw^aHp2MiWJbn=_bHH{)s4iWP{3 z!jGZIK?gJdu`waG=$&l(ZX@c{;!q>rHFzjLy{m~53l_7#?UwVaWaJ&retwHRZ-W!@ zlAEvNvJ`&Gcc!OPkTRh`U;5@!)cYN%v8}9dLz@cOc&oPjVzb4KEMIrEF~X8?37^kb z+XU{DoN2M(851cGPeb-D_?=?uS3NftBvDY5ZT?ZDaa7*aK0zJ4FuVqN2ZfjVY57$K z|NKS&V0Ma;_@_}5q=cV}iG{wmvqy1Cxxwv_wQSX?;c5MLbcaGuf;!!BXgS$0SaPcg z(rCoGuN0a+!V4O%-RX^;T`n2M!ySAY2)K2!4K)IrBj-I@_6@$Mq;p;$&9h8WvNhYE z?I$*Yeh%+ciB=bXElEmHm!amhbXNf8UH5eli|IYK_?g%2ByLa^7ZpLU>_RzD z%1DYBq7;x5f9rhEpL6LVczU$;q$SEA#h^=DiPvd9cFsnL-p*akz$lr|nGLsJ{h#$M z$JOljI6`#!`)&tJN)u-D)xa*}1VU|*kCX9`Tw}__v0;`-gQq6Xes7MYN5y-8Bp7-q zBe46Q^T};C!PCot=kDlqKBvRmBU2QBSdYNf6>X)GBGUO(PqouVr=wKylOi4nu$!#K*u*QOZx=05~34eDzMrFSPP7>Mzb$6KZ6|RZIZsnBqn#)>TLADuKgc z$4bt;?z8#CD{3;w41Z@wJs7K<_3A3wp=|oYV2K(!ND@BzFGj7 zSQ}|18jBK&*Bz43s3I_ZJ)P=4!oQC-g(< zQ4c+;63i#i$t%YUqdi%R{{DQvM#S${-NKDrQ7vG{C+O9e&G*RLX!^-SFVDA699_CV zO$|66m2Q$N33MoGlnBGyy*ZWI0pGLl_W~pr1cVRe_MVob!P9AYCCuIkWv9UTkQfE+ zptu6`A6#ZVzkbN8`Kz}Zc4vA$5V!E|FE7>zjOgemriHN+uXhjnL55m@TiN@fsqEBe zyI*&@9dzdyYqcuHeTj8@kx1-nNbYT^4{Cjgv07z3Qu+sH2d9}ZD>aZ)Hd8OPh*H{4 zD>5IO^qNbxA)u79dD-Z>@AbcbZ&CUweLuTMqi~3h5tQ>AYj1B(@Y4y?Gb<-uhG47g z(nkJQr`{EwzKM9kZ;;1tpvP3~3!G56=k<7?T&4ih0=rfGd&^GMi|Lbc;48+OL%tCA z_1%@~qN=aecfw+6GR%jCLH9M1_+bjRAzwle2U$c>efRt%($64VIjOJ1P~i@B?@Nca zp9=)?5;0^~6qH`Izh9r%JV@_}`CQ0$G-(p?7}+bSj?&<1XUv_*qF|u zbgkH>9-k5f{c#hS5W%4SQVr{s|^^MX&5@(A>+Nn;0@!O zO^sB(VmZnl^v-z@4ck_#XjeN-Z_FKn5*-2Qz}ky}X^AQLle?BdSLH5~TNle`?SN01^af>9vPQpEu>)Elxu8M+`T5OYDX+n#sIIK1e_u5=e$&W2*Xy!Ip1Tl4gfs zCk@?2>~jD^yM(q>hX4N_YWQox(;m;LtQsi<`!Dkki^Dsql>h6Z`hB|hYN>sqbHxiEjRpnG<4l@!goqm-5_vm(dl3B%|)DCU(CWtz7 zh(bVuEzGydFr?EOEI6(Xk`Lb z^tmC&Vp$oS4g$hJ7!yFCf+75updspzAi_WQqHLi2KLA}FZ?W|d5ba$b5x)5UYcuKs z3=I+4Z+yE(94B3!mk9kIna=`5t2C`S@jLYGSaG9sd&4fdUU8W_{;hLEEP-Qpcx4mi ziV|nsTXd1b`tCf(ZR`jjN*7Pwd_{zSK`N*t;tu9fyAY&6ohr@SNpXkY9&1a?nDAY> zxfSptFcAM7vJ;o-hKcYEXhEZU*QV^+HH(8gSH4|-f@`)-vqufTss?r(Xu}xL)&k}15suMU-$@jJl`Mm4BN9tFPgg_$v`ucRkx8@BUj4BR0?Aq>rwLg&)MGr)|SntTG{AkVF|8yD1 zLGAN=dm8jvYJlEzkrE1K{B!kZ43v=q5GC9h_!$JnZR38iE_4I=sQwwrmcGmdTj>+J#a75W{-C=#OR@2`bNr6GcovQfBa=e@`8DZ?5|9d*WKMJSm_0kk-u3W?V zUpSfqCT*~j-i}f$;WUgOUJAWNBmqzLQ{880wump+ZTvpoczo@4q-&=aE47oD_4r5k zl=`r5Lb?w>&YCvpH7kd7zq(NJtUm_+5ewR8JzQ;ZiYFDbfzeK`l8VAkzd&XQuHquyY+Qo8)`j}4w2T^y!glEy@tKJTv{$>|Grw*V=#5`~Pw zD}Xn--}`GgKSdUg-TR_}A6cKS#o^T75Cj}o+~qDmziJG2QyCDK)!ZLzMxVXMs?Txf zps{y*7&VoLB?~qMuM>D5Oc3p`@J??98lVd9E>`PBk%;&X#uAMWc5@CM`8-{lA>LBT zCZev`&6jIr^E#AkRNQ}Rp@H8Um(%{(0Gy^;PuWzlVpg5Wpc=x2JA;BKaobxmmCNy* z?%CdGnp7la+0UBwN^Kym>tsBcM4fTJR&vNMuC8yG|5b>ymmH~R-04Szuyw2bs9wTe zdU*+FG$kC0zF@f7Djgoz%Xqt`TFA7<@f{(H2|__|L&DYVtut~G#$>18N9P#>z#xrT zZ$xRO!SW30w}KV@)nGIp&~ldg<@RO1;^%*iZS{csmHmo^-jYV@Yl{);j>?Kmcd15vxRKTZ}hFn z))=!$?*6Oj^sVW5G&0@yNl~gY@M5BBu z%4$iv0?_TPxBM;Wgv025B6GS_$F?w>Sm2kfVQevZb1>N=3(avlT_8O`!}{-PUS}BQ ze(L0Gsm^2{M)E&hO^-N)DJFZlJsC(8@VqU(LI@59nhqPEVbtq&`F32f?7E(xIxsaelp*7~ zV^WxOu$A4Ds1?0!-a?11b&WmVhZQ+|!^)r^x+R0hc+ENXp_kkV~MoR)#l@wv&D)WoduqE$Df+j)Rj#2WB@fLGxS7`8{M}$NW<@Pj0v9lD}nLN zjeC$!W)m;yWxGFW;6k^>F=UQ=^}W*Sm^I};u=NG+qu%?;@mB))%ls!sQVapSA^WtP z|E1o9_<LKe1E0o5}Zzw5U%= z>Ic1z^@bogP@|9tua3}%70M>n=W`3+$fq%D&o6yia6X7&%K^1(p-M*wsk=+O66@da zS^i16!xtQWMb+Q2p^9VbtUf)D=6a|MMp<0qu&OYW&Fjq$Cgu=J&y>&83(3D~K7n&5 z^`QFDXd*BAv&v!6$1YMx@iG2lwa+vfdCsQL08RLdnohgx*{>U{An*KD1+m(~oV?7~ zLdiYZ;(|vcgeAwS9l;X!u@P+q*eD#9;?5}UBdtqk_NMC@4?V(?X}T_3Uztx^Y|c>r zTA?s=jRBRg$EJ%&p@_d_bcQ53?Tr(R@cCa~A*Lc_r%1+15}?_Z@XBX^Hl#oC4b5j7{oK_fJ6g24}l1ujgJIK zM`8{?27YP|`iJk!=Q5w|_3qOaPZp{^KV7Fk(U*OaVc=IdSa_R_&b3zO zdz1IU-*@i#?m6dg8ux$f}4{{Yhb{qz~5RKjiTNYO#QPlVPhs0Ow2vMgs`7#Lji1EY3 z{l~W}^q5p&jG^ra*Jh@SUGJ`O2?b0lf=s8vnouv`!|SuO_c-8(zzUKoxrHZ0>D$P>n_IvxKF;va(&Lchlb775ZJ7z#h0Ycr}gA9 znNXAXHR90dxE?&KhpKZB0`I_rV-if%y4fAa;qck(AN#!kQ(dY+SEg2^%a`O$c`%qE z%DX_s<&NCU$q);C6w(B4G|#4wcZI!9`_cp}y(jTy2kcpo$q*`s%k78twHtjs)nK+s z_#KT~1F<-byA~rH%h7ROMsiPpB?p)kJXqLaxs8S6k(fWa^0!x?2GRu2c3}zfTx4yK zk6acb_Qzn5f7p{F`JL7z!-%kmdGcL%XSfo$7wEvaAH*-R zSaQH)AeH~(WIM=T3r%?g!~zh5Bg9IDJdXO3xxXs1R;Z{J>FR(R1XgJ$*m<%(khcBp zE2COrzDiCw_Y`j|vz9ABG{Zk6phafHytdjw*rch@?r3Vc3i~B77^~~%$m-r)?WG*W zu}C(CBTT!80#DR-wrVs-X`Ox7_Yj1=lkLfy^$g!W-k>L9zveLF|45-hMlJNDi6og( z83jRHUekC2CFC@1`-*Qj@>AyijkJUpBghD&EiayU}^`UEQdA-C=5N`gB_aIk{7k6Co1Jiip=~sGs(eGj5e2 zura_I->nF5z1TPN-?kz4Y#Ij|?-Gx2KdgDB7?Uiu@uy%erv+w0$kT+(+G}O#U99LN} zU8vf7lCy6V!17~;9lLcnt50zx-k9ulSVd1GaGozHwjTAsEP7rMf0oe}%97%UK&(MF9Yhyt&i25mLnW zx-O#Hg5(WP!-)u0{28nxPp!yxZ?=1&KZRGBJLv2qfmTP!?h6I+5^eS&{^6zNsvdp< zCUv%vQEjuj7!A|*nKZ4+y{Qtv4L(zLF)PyUWNs^f-iOq*m$ChDX5gI>#CjhEKP|Ku z?bVSmV7--5@;d*Mi+m0sLwALVy&xTz9<$2Dg3QKrkw@q_#sxW5FS znrB5MJr);&VMq%y(!Cf|E7UGw6{r0(aJty+ClY>^6=K~ll;4TG!Cz)k+;TBd+S1?%{!$fcu7IU+UiTkrxW)NSuCyR`fa8Ww7e3n`sz~2CS@w8@$ zYB_I!C-AETZ@MuSZZbOwovWV`JBe> zg?u6#yJ4Gtr>diAR(pv#Z?%O;d>K-=f}ZX8;NYOdB^fz!JE1)8Eji(qMz+Z}cjPc{ ztk(LH{JP~R-*QaN8%# z<}uO7R-nbpsbwFJ1!>u6@=&$dF} zo9ms?uJ-t1NnDkl+Uf62M9P&q{$2#ao?Jj?jvu)^5TV)a3}7Erk0-2#BXynYOUc^( zyOOs+d;$LtO{PvaE$cE7m=`mvh@LAIwJ;lnNU!-i4?qR8D;QL=<%0$Ko4Wa+SO6wb zu5n*|P?vY=ilmr${YX!KSF6%?7$u;>M4C@WuL`|jx+M@xPCOBhQI)Tbefil2SI8&- znoTRfD0p1iCcHBkq+#6eFq3rcSX1|#XLl%vW|Dq zaC9JJrwYa)Tt-zfa0NAPCOd2ASYjnU<`EKWXQ~Vy*2|ZhtB*Q{6>@lL%7@fQ3Qn%9 za;yYgg_>PvYUAlu0!KKq1!s984rGqTU!zmBscqFfL$?8ZeMq^_)_3y5C?1KK;13_b zVk30@XW3CzP8Ep90C6L}5z|jkXL~bLfZpW>(jV#uQgj-nKOYkCbkd@ZoYDUSkuK1> z_wm3Ue+K)11XNWiMbUKKN6AIE&}{iSX}9z*!uki8{e!R~t#7f7Sm`Zn`v-sh2W(5p z!FopLKD4;T_%ASshRyNouxncQ`6S~opZ2eVHLF+P%tl&whA-oANwWp{eY*Dm8;9wB z1_ttXsEshcN@@NY<}C!1u9%xe8Km%X1wwb8KQ_fA_VNw09EQRj&nYx;oZnb|Q87RxN(I@wgc@}{1g zF{@F7;1^S7lxmyX=j)!XjM)=q%O0T;Xzel@MDLkh&i9Jvr&=quA9x0LIWo_^}o0twFXO%>O zC%f%qX9yw?NF%u07Zc{VHp=N3vxq@$VUxe(Yh>;Z?CUOV4|!gsu&Nlqfk!Hovp*;0 zCY0k$I03QFrz7k4v7I)R=M-9}(f=uz`rWHwK@1hsVu#OlNqUezEu$V{xziQJz?%+% zM}yo^1?lAFVHUiC@)o0BM0}fObGE(lq$XZh(0ZZJ;yh<{vMG=&9Ju43mFYFHNh~TU zRt9Mwpr*NFtdL$Wr!e?!?{&%CS;oBE_I1CpgNm_CJhRE=m^U%@9#3xTD83SjVztNq zxkX0)5*tNpwo>ZwJ6j_FK+u=2Db0Ciy&!HwI}4|2v!lPo1WCGV7+iJ)GuIn)&TIth zsD01H+M#ip(6jwXJ6&R5oHk);7(?3dj!viRpOoR_urp$87FY?o65^v|YqTd-(YxY~ z6+AfUfPlN}O;><;DDF7sq-2HNe51qlxyIjE25@8Phw;zcbS*p$l)8Tqt4FG!{KuJG zZ*fzj|N3(|-2#o(7m{vxwt(l-P>pxqQFiYj!PBa6Jt50WiHc|Z>`~;xUVQr5Ia$-s z@$FK{E8UIPiG(qY={Ri0S0?AlGoIhae0rI~)IP0IZdUD*YexXKNe>lkZMxtRiF#q$ z!cpDBnQ!@BkAtSaZ>8h%E!YnEHoVuz_xSSgX(JLaK6D^22XpJ!3)3`e-ArcuH$#Le zEtJwl8|<&Nce==A;8g1~RQAN8pHFk-5~E1PW;!1FUrx1CzG>j3>Mq-!a=nLs*^HG_ zlwNnXQRuWkzC0}l_1nI_j`8ZiepcySUMS=hNR29fR;(`XXl>M@E}jPK(3=ebQ1uhkV-&=aqUSbksTNgBf`>5lMGHO14k`Qy`8 zty7tk0!EjvBnDoUmlu_XonH=n-B>)%26E1rz(ML)kRjSXl*-9-D|(?wkRRXiqyw9n zK|3+h0O7g5WK)6D^2V9-`tI&}&yJA$Q4^xguhVV%hm*9Bp#4R2fuGr_UbXkMfpJS> zNR-)rE z#Kz%X4DKkPXM7eIc@TIbz+#CougI0p9O-w=8o1b)&K&nreUv&EG<2PgTkG!MVWK%k z_pTFx3xZtv)xD2{$gwPV;@B`{$19ceM$hY!?FZN}X#+~VMz4MjVe$J|2A2;!2cHMq z5NA|Hq&AYT&BRM>ZOlCHVjk-5?NrhcSz^1R;1#u;N#ZArjys0aa{aoz{Q?9G?i>CkPcs^Q!ZlB&2CF`>`Cba&^%%(bZXfr#F@L%? z8r5myP}|~2Uy`%x*q_I4zG10rLY(+8sj_ftX{UVDjcl$WFaV1%eCMwG*03)Y%)>dw zC35%SH%+2{taS>NxosX>azNK>x9vXfr*$CPG;UaW>!NTsPv{T9jL!l(|nwi3(*QSb1 zxziT%wKJ6TCVi%}69Nv;6UXQv44fLBIF^S-G%qDLrk(`4otMgQ237@ip{_t+i_=n&f{B3n{u?Lj3!~1r_$c2@T1?+&qWPS{$K1BpHJcK;Q^Ybn%}`(ZdKb@ZY0%k31M+tlI|`Y7@vB z-S6u;FNI1JY~|Ckk{Jb$3WpUT+;tGeI&!f^fx_M!hIhCZL`~=qMTWi0SEH^YUf_kd zvFnQck!FWzN03C(d?e-76e2lNT~6^979!i{oiDOr=KVhRo~S-cA-1`b`YpML$Ez9< zLF1Z}Uvmjf{M4@6vzO*z7E)KF2FQ(*p1`e6Gmx}4KmMpEt#}yNt6;@ZOSm!(v}@rX za9hl-kPR;%#gQ<=$-=spcjlkD_T~SiT=?UsEOVi%;)2Ph# z$I9#`yE(Fv6(6#&>2M2L9;YG`D3P4c48Ip!xfN+@=wB~3!!N80NbDSchvDKlaA>uP z==U21#&;JPn3Z$J>aQ1sLye!EJzX4^_-O1{Z*TJ#2g~!m~ZprqIzV- zWMIrej8W)dO$vY`Dwul5v$uqTfdktSf|Cq;=TH2@G=^eaEp~<;rAp=`R>U$J7;@;t z8?C_Qv1E5ena2p(Kv$-GW5%PO8Z3D(puB{}+m9ywgi`r8A{VEw(Ty4U^Q!S)l&R-o z1LZkZ#oOvvF3xAjUbB8Ft~!VPWN)@~)!l(oo9X^omqPQcM@!D{hHk(TSyGI= zQ`K)VCE|drs@$(D&@Jxp1sm1)Lh7w;{L0I0LATbvHOj4+&_K<~f{_pjkzX^(Rn|*=c{(VkiUQfHe9-ZE|DhV7Up;OR9ZhCl@tFA7! zUd?A~)_Eb{lT$n`msu~Xsk!OO!FqlYD({FED-_)D6ARW1&AAEdNv4cS4i6jbR^0~A zrK?kBR;njz2jsV0^BN~Mg~tHTE4GcLjW9fSGSij3?I|nEoLqi-=G{-8=Kh2QTa)&5 z*k&otSE)HKSnK$IM78yYO4Wo5QWiOj1$bR6xg_SzygIcaj6+}!{pt=yUo1+n?fjYQ% zwzCR_Y+??aX^SjR9y*hfFPQfHipOOuI{dWH-wawds!aeHkEScys^{IePUP1kn>_{_Rqet zgM5mfz~WoU@gHI^u7{&qpR{5_?z_}LIQao0gY4LHdvRu%bN@c%Psp=^r;*KBzWh$y#vYk%@gu52*(41GL&W*$Q{qXC$#1u7#_5&V7mXXIcrWs#-}k zmQNqQtG8z^{Zrja0G{joVQ z@-0j@KC!(3*|y*dvkGVcNGc|O1a%6GipAaCEAifn?X3LHvh-(+j!Zi(7I`ybq|zWXMIl-A@Maj>biuVNCbMxOrObiP?5C zBSIELOjoAd$mbgz_5;5@XZOGJv|TpT!yA6>;#tx?@Eq_rYM2kBuULH_Z}4sAx}p{t z^&+^p^D-D5(YLb6|6KaFROKHw`A@F@-`V89bIq1HNZc%cbvr}>eBepGAd}VqLxI3! z=G7S%@(dqnB{L+^6Pgy9&^sGRm!7n8x#o>o$(!_BmDdUG? z9u13xqBPryf`jk4g41>yX7=aLs{3hQzV9m57G5C^0nX9qN^{R)a@*hEFYU$eB!c~f#o7mBm zA-#!GVo+5@?s@*W_Y`a8zOKz^&Y!VnL3o?&$oKBVnq8+we%`zHn#pEe9DY492qi^} zu(Mm$INCf0W!cYYnA1g9sX`iZ(8obad%q_|)&-9TAgqASNAGU)0%L$(-}+0*u`2e= z_C}xWmM~ZX7<1RmwSYIAg^Sn|tEk+wcc;>>o-=!Z_h;|R)XIqTSz?Y{>Mx%QG)iAF zGnjhmE1SQ0vLY2IDFi++TkTs9i`)_pn)KW}5t3N$ zbGHR$j#8_eWGunw9N)A{B*9(9c^%*+tfhoW#D$0#mV21`_$G6=ZZ#8Gjhc4!qMJU( zxY=gU9s|$ZNN7_3o6#Aib?3ki_W~s#5LZs_qXo5UeGwtI6(>cE4|6>_^tXK0VD>$? zkL_2XeT3QS?|$fkoSQ8Vg;M-Gix{)c4xI`g%ca>k!Wbsyj#gS%BHvH#Bs}tOp4Iez zV*tXG3Q|$8!>(@+`!8G)wS;`bN;qrke0EJpBZ&AB^Zi|TawA5E3IO^=#IybO$p=M;BW5g^N2QRK2>nZ=gIUL07RkmTmURVS0G_x=_~MPCpGO+1Gc#H=@I;;g&(g@9U&(GwdgI0KWT%|gD^0`)1rUbQrFn|QzpvK z`4IJk*WRVuN$xRPd_jiTfa})J=@$R+14Q=~C;sF1u(9rkBT`Uu!Vj-{0Lh!&!FJO)H;%#O6^a4oFt7V5cK zC~gLz{eM3B8>5-5c3)3(|GGz_z=zac;HZ9V8T##V=HW zYNMqR&IOHIziWlQIz9SzR5I#iRoUC|(RvdDH-gU>slK|FyHF3GZhqFM++Z@-TqRKR zsF0)NCZ3NS7gpF2G8Z(_^w8iMe@$3eOQ9RtNa`p}xxrDzpmj>|4q<*zkhwT3AHKBM zI&g&L>lyyb=yq~WdG9vE*i`{f4Y?2`uultGxJQAL$|+NQ+xV$L_n z55qo;EIv0&%y3+{eLXW`ez8ZesO?JDWyQ04Q?2B-=WNOMR3i4ChR)IZx&4?)X4pvPERnyI&rya?V1PU$%R)=J8IC{2B8-9O_!igg!8Yb++QSfOU*j@sgopa0Zk&tY)y>L%Vz+<)L0%d5Cp-}F^PA2KT2|8BK_q|hTG zqpwpsoeiFTZ#&tUna^gaNyf=b%{==H$Rit1 zXG`R2yHMN1^QQQ1^XGu3LF?&GoEC`+&5Uza=10P&Rv&16U!)|%X&l=K?#je?UjBI< zVsyL1fmp&m+NW_Q@ z>YJ}mgO~-@8;xxriNG<%*mt0jEE0;PmEq|#PV4hnL3i;BQ(tN5dDOG9yvDS-YviRd zSMAF!IGowRt+*pxbv+g!?t`g2TPyjiW$IJya@(};R0t{M_kQwMX~KlTor62I9v7vp zc#7#T-_>(MG9Hr=CXkVijXw2$b%O6Pw!@^&y|j2pO5mird^Rm7MJ8MwK>;q*tgO4& zc`cp?i15P)=Fv!|w$SvC%<+dgmXosTb64J5B64wpIrnG0h)@P{k1x8fOlgeeutRY< zqgFAS1N2j0yj08(WURfm`YSxTh_F2jj_N`r3!_b%$i~?1Rv`V|*$IzLnZF-)!t6N<=(e<8Gm7VYNk(yV?dabSF!2#Z3qr0Z?B-1lY9g(C3(j@wSNxy6 z96B3D)SPwI!cN2ZBTEh`afE>!-pM7Nk^G&hVyC;XmTCrm zd{v1Mf*2P4o&1I7#KGqB6#S`drMS+XNVhvmehv@I=RTo4Xz@vdTL1hi$(s?@yKj=h zB*u$h@Afwq_0zj$M4f2UorP)P(~-A8^5lWPn1Z_Fr1S;9ec}G3G(>tkT zltO7#f*=d8R>qU#lA!N)6ZF3R_K?81akQ@u63SeguzaVJ;7P|n=FLdky-JbvTpNys9^bL&D8pbTxOgP z>AGWDRY}ZD{GU^w?W#vSX(LF=4^DVrcuOjWLs%hE{x(}}rp%;!dwcVltK`$1m&SsM zF}1dhiYw^+f#1ago#d^6SggIG5zmf4`8|`J3rFQb!y%8WzB;97RjJk(S=Uqijg3BP zaoWDE=J1DX%))Y^+fZ!1z0~2LgC^YW?C*&m*tt!77TT?7_!C3qXwxzWD_SLruPgg} zjW0YGr+DJ8%T6^msjL&xZ9ACV;-g>FqOQvH2PN^<;=75Bo@}omQ?JO#KH&*q5SKnsSW>gWtEu=5#W5HlMh}^Vm96ao-J4c%~T~VKwyzRqH)v z6~U3yXd<>_`WG2>2I~-=H2fq~Sx|x`BsZB?OQX*!Z2 zjBJ+SOhr8XbNeX4AO^Yf!>ms2#*#3GIP-zfwxcXxGW*D~eYFPJ+~?&zZcWSUtqQvJ z9^r9yW-MeqRNV$b-d*r^MNK+2Y9_}#WHnM3+*iEEt*?Z$7EJZt{`C9?dsyc#U% zwpZtKH3KBkD}M(6p~UXUqt!!rXISIn8^s&Q-zsb{&=+Yp`phA46qnitb?(rBkD~9a zd7bSM>b2@CA~{_CR)w`!fo4m<(oQL{<*7vV1rGRY~N5 zFZNz{p3Oy4y{-vh>>O0*LNrr~t}NV^i$C2%yH+~?*&{>7t2(0pTAZ)m_AIhl{5V&m z@G3tF&C!_2w&BI?6dJ-l&7yD@joURN;8%iuE>0I2IbO&uk4zRM?Z93@jF9C|_0}5Z z-1nu`U~7YA(36FxjPI!BL1TB6?wN+5knzqJC*sI zeBNJX*KlzdjHj3^FeeJe%H^OBSHJMcKV#)~TQwA_B;wS(%s6^)1JiFSDo0)ERpkNj zXpJ!&9FRR`n#a2pRBsO6u0a92Y`MA^*$Ef1aY5>`88y}?~KvBeC%a-IGMd%JT`{QXGhiUZ z;@PLll|noP06PHSILA_4@74Mv_z6KtcK_xo0tM-?GV3>lqzV(wbBRIYWx!f&(m>hoS$?Q;TA zD9Dposh67p26>%Ha>3Q@)b=&4uW{n^Ykd&UY`t$Q0`+fc1@(jHj^^c7#_E3l;JnFv zIYU(`6t_bmz)nbQ?P;h+xyQs%NWpxAR|>6MZdo_9(d*21`aE~uuKt#POTzVzjG0OQ zJNAu?TSi*lfB1CF=eP2YR|r>&JM}rUUx$f$PG3#>axg5(Zt)G{rRNG&M z(EGWT{SrPHjo%4E$C(Mj#JG(X_~IyYenfgILV8%Km94d`MLOSc^@caEq$-}M(a2y? z3pztO$BEa6h9A)%6WoZ^r81*j_H4(seq{Da5cWQ$Gens4b3Usi4JG1tjdu>Ht6#{U z5gIiRQo&ezz7v@fh_u~*&zfARnYoqTz#Dtmhu^J|<#00ZKF5#m<{i)V;EZ&p7@iSF zJ8<1g^@$y)Rf2j;Y4Icevb_Me<0ZX!&um9{qB7ipO`&vW=U)3EAln8QpN~HlfpF}E zLB9U}nC*KDXZ4a|6}hh3PxRq1rIjvqE~ySfkd84TmxlDl(ll}-QvL#1^MXUn<7+af zMFh`)<;QjY*Pd;T)93UgN@-kLi9gsgK zgi6xV@(D3ug&7nd$V|!ZPb#Iu>{6$k?1fF;R?vrc+gWXnQoUye+D1RFH@Ub9sQ(uA z-5K`TT^}nNxk|`@T}{|d{Jg8>cmJVe;R^C$G}t+tJidt@Qv*UH}rIf-&RnBK=CLF%KZ`}suime$T*TQW6K0KrTD$E|J#6X zL5hc$dS%w^!?V%6@eJQEQJGQVbu_{mm(5_Z-lXJ%I&HJ7d_mlETjPbx7a$_VKc%p! zGbwrss4@+T4woKxIEi5W;Py%0zmxB;GV7wWtTT4)?fas2CXnU5t8HsaknI2otI1zPI(HTl17J-DQ+xA zzPts~lQi*ngZ^BA^&I8ExWZ2j3q@^Q7greA7p_7!j-s4qYQWhC(kSMxmf*D$^c8-IM=7qXBEGAL zm1=L@##6_7Z4Bzgjn#C&?U^&iO2gJN?;zgr)RBh$#6Y`+2Ii=ng!kR0K<0in?e+eu z&@V5o!9KW%*zLMqB%@JPp;&>Vifb1842V3;u@!S1Ud@ji??U-ZG{c`7%_odJ3S`xwNeH3>u zf(7i?L9MiISjh)@C#62O>W2xQ?zi{#a&ua~w3EDfRD9Ew<@pCehOPdW^zMRG=T**A zip`>BOu9|i`NxR3G>WjVb+%-uAU}a!uI2uFI%MG3rz79)a(%+9Vtuj1#(3-Sqd|xY zAN6X#j>r+(xb<7GrN09Xlu2g`nf{YIbHjJ zw)l|S-<>n7yV4Z~K`EU2<^hfWo=G?l=a-W_;QkQJbSNBs4BkG3z!aNw?Ks4OzuAa1 zV9LZzD@|K&Np!`07W}GqDHct;fAE_JT7-=hsT|(#dgnQE^%~Wtly2FoVjObOY9&^l zW5VWfy+U(J!!52?t2}*=|N2*aiRV6+F`YHNwthKTl}!7f=RcV32i@U!&!7>iOI`d@ z8Yq^9(lPRrys{qa1rK-^tbpq4O8?*t^4mb+qNd zHH(Oo<-#Om`iX4#OMgArBHP}C;oemi_)-;)7`am}IbE^yP0N|g`{bNjM^O$RC`98@ zOoe=rV+c&gY5R{V&7s`g)=hjkoR*M^Njse~nLqt!XXF%+_eb@5^`1-S>5^Y$e7H3% zs%pa;UyK*VnT0F~s~XFvC8#o}x}Jk<<0j;Ka7m#9yH};ZZB?|?kNCrWfT@${A4t8^ ze$6i5m6`jo=#PJD!BqiX5&5;2y-fHxfVUY8?*aW=&cJXG={C$F<7kiYAV`8lBW2t=IbI#_F9$kx!v-&@IMq3=vUXtz5?1`cFsUjgh20YrK6hA%DoO= z(B--8rmwxV>b^+q+ST$nCsDDnf?AuwtDd%`dxiOXpXL_qNX_mTLxI?T{xT87d1F}i zEK`C7#1KKgd!!b|W-F>%`2&yMgnl;&)AL7awi#Y>S~Oar&;=a z+;kcO{L&f<`s94*C)0TrcXDKt;~tyXImjEtT0?qd+b|A ztz%z}d#p6O4O$YUET40u{cFy@JZ=N?jyqkIc6DK-1QP@e{!Q!Q0&OS+e;IZ6ykX~k z0wQnd2v4tFAECE-d#oHNwM}!azfL)C-9Y{at7h~*GvNM}W$I8I2HjU62g<%gO5;cq z`MAgZYnEjq+^)qVGE;0gY(q|F^n_f}Zzoyrs-y=Q-o=~ws6<-2JxEcDw7&{-+RMD+ zdPJLTYlU-skxypr5dCMu{xpnfzN2N_M zmjd|c^GC+%Z+_};{yYYCpSD!L@y_*dkv>d~Qdf?9G^YzfCI^bgMt}xxMF*ODg#Us9 z<}B1YzYhkT7@Z#$#jRs-IvfqCGmGYxV72C&$}16%)&XP<*Nw2#)}{MLAvZ%PU%#Qi(g zaRiLj;ey~60n82cvI8o)XXeliGDhJU!(rd{{|_d8hkLZ4uEh8ur+dARjG3J_%G$lR zY=K@#uoVk)i1HK0i&|5~X>swhz<*2!K4)G#kRK>#S;>7N8yYw3z|l*FsgBny?M;v_0MFtduWD?e#(KF}NRCenKH7G(TX-r$>oq$uR(}ymq}ni_05B^ssm(Tcog_>om6{;v z?IuQyL*Y-Qpc=3J<76!A70=H@pf@kp*N$&pPX8na-i?4CZ|zcmdVsI}pN(t(lR~(d zT*!Ukty0Zbx3#scpv=#30Os`sR=wn+`?n7*O#?<%U@5}`ZV&UJZ`k+h>gsrGXA#>) zjlfzn@ppje{M|+!p&@MejH-Pa&`*BAuRT|0f!o@bAOQvyX$_>r^R2C|RaCA!Q{ds8 zde8rPvapvc925B4pVJNDd%NELu4Gp_>LsCGxb4{Jz}6&-*3+eQ zl}LfVSu5aSEf8Z89nMxDKE`|XoVe5*xRUNLgt?z=#c`h?e_I{gj#=2G=b7;!BH(@Q zJ8y~ouDPoOJ)h=xkAj<-gSmeyWr(O3>)%{o6d^7D-k>E*AQJ(GHjKbV^|e_tHe907 zN0;9PzMYgJa}sTi2VeFHY%ub}~XJ}Bk`n^e8$Nz43ESQ9YU%|fh( z7FTmJd@u+(s4gBprTV4UD1vh}Q*KquGb$d0t-#A`*$)iV1Nvh3L}=~;FIA5F;qo8L z@&K6AO4n;nzMv3^Z22V6rJyToYb;mXrpDnVsNZVSdj8oMQ2vPW0ONpmUFed{pyhp$ zZd%A#u|cclM1B&JM(Ja`0h8|NEZ~r)l)8Q2Bkp577Hz(uyPzgUe@<3tk%~^tW4+W8 zz@KoY3T$Y=Q;0w?yvtGS_dfcOe_fvX*)AJ&Td0Fr)!o*euPqY!9A4rq19NaS0o41# zH89EU$%hxO2xJI48 zZ4<8hV;dx^E7 z7)sj5bA5TNG&A;$;k`L9Kvlrs{7JgcXU?y%@msaP^WxM776o?ot+~!=H{f`4G)EzY zXVt--4o1>0yWN}0e+-Oip`@w6CH6kO<@&?H8{i3q{Mm>q@k_cJ6a5gECKRkEa9jcd zSY?{n(QB|hfgRooY@LbI9LG3c=O*5?(*T1nzrK|+YnHwGz4_yd%}kaYemEaNF7Wzi zz7ztTe{LHhEPr`&6lW$yUuix4xrNZ41G3(iq>}rNx@-%04S6!&fL0ba$aQkAi=H?} zO36_3v0pgRuAo!Fqfw9JHDqlVBdJA|%^Di87}4f60=r=_b->QlDlme+`dwfkgb%%& zKM($PTnl>$l>!ouRu?g!?&ymxS#kf%a#yTzcn9=LI08OM9vP$Q zr?b7kjzG>4cp}Y6rQAT%h>uo8ABcrL%UtZiH$Z2mp`;OXWx!am_ttKnN+9W^{UoW0 zrcA#k0L*`_=!NPf%OD$QG{e&xGF<`{W?+U*qrh$%w&EFy@!yd$u}+UgSbna6QQqQHy3zI!AC zEe3CEoGoYscErKJ?8O#Wn!+L+GlV=wz;Vpqy_s30`FLJnCYzbRkyM|Pyv)n=US8>u zY6?YgJUpsHy-kSQ_0}2+PQuQ&4_y8ZRU+@go(yD&E@V$fg1#DK$+G6!+dTb&pznzO zncK$DUFzc?Q>Q$F?Hwkanz5-*`M{*J79o0FOiB?MVYhg++KYxw!scq9A#cbeIQ2lt zB9wqV(LkRIcF_t#au+VJspiYX(0~o+%=dNk>(!%(gZnWLmvOp8W5|wkLFDh|8PFnk z8s)ofp>WJBJ~Njkmq3F3G^^ud1!Q2wSc8Vo$J8~RN#pZKG5nkBFQz5q&qENGV0%g< zY_x8?reYD`Wd(-GRHvh1G213zl_%-#rPVsMX&`LKkmFg~?zJIsbRWdm;KjSza>9?*)<`Nf6ZOMaHb*B38q4tA#>*E@ct*x7)XhLwWmMXNc(T}PY;)X~- zxEBPc!u|CNqS=0i&sZ750^&j+T8VV{&MXk){X{3emwd@e#Xs)FaVKEE@qcsy^EB^=|nYgqkEUcRx%HSiIk{qGBRIc$$b>yU4Pm-oM$Tm7^;z*^*? z^_RaSz@2S>mov37SL-I#+wI6pj!Iq?hqq7CRhf;y0)xUMZD?<`=B%dnzDK_*sPAQv z^wdD0ZL}f=W?oUo7L4pzXH^AwM1p@L92AeldN*H4=Dmjt0w?Rq!IHR7jd6E0_C09` zWos%Co0hl>V=JZ_51dp|09H$tX`m$t+cs~EQ}2BK%ceEGLTu-BQRh`f8tLLd`?)r5 zLakE};Y`k3oep7mJewZLEeKg~k3jysl)Cx4UP_*(`>lrywZtx5fw2T&nedmgN>(7ZybYjqWtcA7yfxyqK~(7gCZDQNCp{ zS`vsu(+qy6z&MEw1I6vPS`)m;c~HZVAlj_#At1^-9DOe|TJIkc;I|Ln6)fvqleP$3 zsIVdSHj-u$?@dxV2z9oEy3oO2i1peXqL)cfJ|JDcFM_H_b>CK7?5nEo1Lb?3&>={e zp+ov-z5U4|^3gO9uZENRdDKBftwlC88HMr(@7IG435ig1`B(39EzUln8>BdD=oK<4 z`aTVP{{~$yiZN1gyw1ZZ4=YFbP4J-@XDL8z8Vll@Cxtq-U0XlOX_4a{lZ)XU;^8hZ z&?M*mezTxL3Ad8p-RElEgH@0mJJN_@lf8uig_fMlmH6 zA-D&ZmL{RMq{k9dpF}&43v41U6OCk@BaDsQFNdb;qfNi4M(CNkUHV3qpD8#U_5f*q z+AtI!l_BO#C^COsz5I($aVMg1C2R%?xowZgrd+Tqn+&B60?;pa687+#xQIKGx zV(*Y~xSNY`_m*dHAH50>ZSTjw{D;a#X zlV1}Ce@K4e&WA_nAtKwD^M zkL;(89}b{>>?7v%p#|#3%Scnv+wXsx@&Bu8 zopdB%k*A`n0Kzw6O+^b(@o7%Q`;7X~6y=fV+^-t5-&E6mon0Bb>kYf>pJ7~m_3 zb~fk8w!I*6A5ds|RgC7QL&ETez^Jns4%7082brR%W30*Cu`Ja9Yzn`dK?HFnYgqD( z!Q3fw4Z3)8z6wnLbqk)2KJZ)XCs4LpH#p!YW7P*vYSx>a!YbzpRo00|Oq8dFaDY09 z*Z7^>3WGx(7d7S>$6ZRdwP;Gu{8!LH9RUHW?&AMkj9Us6HZ9xox)*tEscI) zX}AVdP&wuWiNb;7m)@#_GrOf9tdozBUvr-QF1O?A@l3rTqQL-aFp$*e<24fD2fKg@ zw(@HCKiA+w8kdO&{5!%tX(yb|A zofu5#obdVsu#wvc`kXFDy~a&GW^6y6L31O?-@R&)%-u z{vpt+lJGTzL5be)CUL#?wA<(HolshG|Cds=XS*G|y&my}F~#a)--jcIzH4Cbo|Uq0 zXDVw^0-BPw8Ld@dOGCBKfPDLuqnes+D!1!Tg>Rp~O8;;6{j+p555x!Qhyo{iKFC>5rE_c&isJyei3wf~aR2nDB0iZL@o9Z!?_w z(W|V9wN7^1+g1(+vWoAE#i6LZ`JX1jsc8>C@4S9tU7WF$g^IFzM9i4Lc@-fj`5=GK z_bc98y+Cq_2S}>RStBf2keNNQxp-_)yF6xsj>vJ&p?|Fjx2eqldNlTP4^MnXrjkoJ z?8d|lnV1+!?qRQ*&>_Od?z!Pefy8It#Kt`-N@5U0KX=(J9j`P?DxOx5@tk^%Y=Wot z_o=t^YLNArD_FRF8FobAIrGrAztA2t`5eaN}Ir_DxecE zTX@s+z~>$sq}b@*I<5{?l;0s{K*$NcM_p!NOo$6wqz0>f_`7c2S&h>pj2PrCKUxBN zy{A4<>_l3mjkcx34OmYZ2qHfUW?}>gBx1OU{Z~fzyi_HxG-w2qBn30?7p1Y@w&nux zL6S{x2?OWxjn~SW4l9xw>pV*PR8I6^wJO;y7hmlMHQbBkypAWfEXY?JM5xe%=>;Vjw<# z0bjp`H_PpEh5H3j&N^S)<#A7+oMviliX{x|sR7({ak^5571j^49GM%U8h0-K@->Y* zz_i8ZhcUmPw-?!Kbg?nZD#0ol-#KB@ZCWm4yuJKktGGwLkpf!AWN)pXo7~$p zJ1@6y5D3T*@^w*+VXwNTl`9TptOxp&OwScxgN0t=WzTeaC#zy~%ERMV&ET{Idnge3 zeLU#DYv0f~l&L+N-l(n9emLYpZ`ThCkh?>zHor7!z+34T;~&qLY+AESHI5s>mQI{( z89uvezY+IMuz_K6JCL*1snc-b>ZO$D&nT`&2OZj*-U?JK(3Vn6(b$ST8h3pvf^J{Q zkgC6G*jI*GU1THNy9|G^b204*i^|Buo(c>h?wjV3JVk&Ad;huda%{Y1`!4mUJ+s2| zVXMTJ{Qvf&&Q*Fz{b5IO;P3gC-ZdWBvn-gZ{7uVx2o}^<5c@jYGs2?rPV% z+3qnyzP;eq`DT^>q^YxIdV)8ao^t6|7wJLJg}ZB_g~kWEALL!r0s4Qp*(+WN4t!zt!K4`~Hd;Wg%yLPMEz+#2wN% zVO(8Z2lN3&Hb$}G5pufMe`Z;oZ$4NhH0^2bLc4kN50Ym}SADz{Q$`ha^*nrYmcWqP zB);ycetfz8I*qJFr28&C!tbCIN&WfN{KV;vOp^UCvCW5xXLgerdC;p}^CQ-T-i>&Y zr12qwbC|gKmCT|HMN?Ojmaa5xY;(EQv4NfUUNVyt2sJ`q7`9 z6YCc;jXI=^Gj2u#CPn8sn-pYRKl8=6@~l20n9udAbvsD?5tsFrfC@yIWukdZuoe^9 zo0>j!yt_W~q|Dqa@5rg}#*dPWlTXlOgSbS~Nd8oNg>fiYl=MHTg>42X{{HQ?n1r7~E&?}EET3f;eB0-}q_gXt z#1HTGVQ0PMbt=PR>QYMPIXt8Bg*tTp>Z;awc<>OIi!K~ zMa}@p1Ej~uoM3@&?akamyX6R;>ft9eh3^`WRs6SGa3;;}GoQb*&@eriY(}Jc0Enx* z8oAg8)f6r>t}h7thY5pmCP@JD!X&_D)33b=K|%1n12#(ofmE11}n@#fv7ux>w}FlVFL0t{;@=&0YQ;zzN?meAjT zKKXo;J(44BHTEQOsCl|8x&mQj5qomEqS>#y|$m&3QJqijD>OQ z2sH`eIR)%n?5vCHY`r>ipnnO8c#jYC_EoC|Ur#4=ZYV~=fMv26y0k|<@q7J)ef5V-Oab;2f|rdFzZ;L^hC9kfQ@fJE?-X5tbN0p`fkZkYx{?1c@Na_tqWC|6 ze>J4wx4X2vuK4#LVzJa<5^8w5R;aVwb@gqDl@Aad@q*4lz|~Bua@wv#K^D}nf;{4v zPkg}6<#f3o9KMKVl&n}e%y==2ccnf|*B8DMyAflXXABjzLbC4v8`MYq0fa&) z;YW0oW~)cdf|{i+UPaidNShNY*IBd!j1Nc~b4^DZAp6dsz0?H>cbDzC$q)rjUk9yN z;n%T1EpWaR>B4=4WTT~dS#0pCk zVxdRPD`2h*P#LdrY?{GRnP>GgXQZCkvF7N`@F%uwCTqQRk6UmeK+>*6zoj1FXA$f} zrc0Gl-(T1A9^W(#(M!zBOc~WebF2y{|B4eT5D~WC|NRi97JLGcX}_(x{9;+u;IY`7 zN71S?75ZxgvO-hrr{AwD+1uZ=D%fR5njLQk#AP>kLqbjXp@R#WKR);%$1SB3IWqcK zx@$nD$7m&!qDv=+r|p`NxT`@Xiqg3_l9-m|7tl3-;zn!JO&LCPd3uwM* zB(>TC&#{k_2m&Mxzi--=4#SZ;=N)ai)2xC5)|1CvCQaL~@=;IwZt>rF-18SH2K5|elNSa{yQ;3z#D zYbQ$!xI8P@n@XE>+TwuSgkcnbbP-w?&-%4mmL-+@!YAl9zUp)98n9IaDW&so{zco} z0!i8{+34~8^=0)%5)LgXW-tymCN}&#ZYQ{qAa$1XyV~apCcGhuoH|ANeL<-b1?YveIP)3%&W!5j4`^EVLuXJ)C~-HBMlLN9BU9Hn71U3=%aa zjOjv*EP&0kWHKoK=9%^A1hCy1gG8}z2lXjnw0%qS(rm2W6NEBp#pLQyZbIn_krTqb z*Y9i?)Vm632k^}Lf8lk zRMG1i`--;?9@Z6E7WketyF2=>?yo&F@VFT;<9M2RToHG0DJW{-oflet9S|PVXOE$( z;BzW`Bj?{8EV%M|q%s4X3%H3(K`9-4sZ+$W6+B?s4)3Uw)Vpf$bJs5} zva@q6WpD|B-+t+^BhrnIN!u`ICrgSS5hek9zek<4A#L<@*N-RH%rhZ;yc4sE0OW=N zPOaNYVA<#KO(q?y_QRTy;%4H~Jek_fX3E<^QL&t#_v*N&IuV%8XtRVsm5u)J1+<{U zA=HqszT-NRY-#{{@)&*8j=C<42&7+ubrz_n@U8Pb9Uo|g*=toYG?+_5k4HvG1?-UR z%pOfulh&4RFqOiVD6k)h;Ox5rL_PE7@B!)1A>flJ+jVGWmxQs%`$|H__bJ;IXs!$N z3xCV&u`9h}J2L6<7QvVeX)+DuPQK!A|M*pPyN=nYT;{fTMEyd}wHk$CFEBb9g*^rP z06OYJO)7_@A?BY8K{RY%QFt%sh>Hns;}in1>?xz}h~Ie;_jq%M5BV_o+OTku;VNSn zrAm}IYcGmWLq)sXbzEP(i{vL`2#coR)&&r}DTZYo7jGs~tJ% zNG9O5gl$pF3U*yfuT5$$fG>VAAR8%ysXhf1jN04ieC6LCvWhzy&b|yJU`ZrX0S1^W zSPjTNI)JOWe@5E*-TafZi*GEYMVENp%5>P`Q5JVTcz@5qlWrc2TsH#=J>E~{tr9kd zRl%d_Bp$~Jt zC$cCH&L^I7+buQZ_4}hNEwsUK+nd*(LOx1-U!v7w_@qP55p3M+4Lu=`3PeACrF91Qj6m=+{hC7kxx{Dc;HH4;cu(CvMgibBVCGeY)JN1Nohc8p~XREj ze@ikxyp;L2apNi5YXfY_4Yj~A^wwS~cfy8K@Mt~lx{&3On+7-w78)dpS9yJwuW@IN z-j(PpS_qtCOFW_EkJ}wDx4`Xms*POzA7NLKOE%G+=)X*{;Jjr?HaukHug*Vj9O0bx3C^0~;RxOEF`XwdT ze^=%S4L2M`nKiZOw>nvip5|H1`V@yE9I|f;4)^LQPeLr63LcE=n&hpO3e`1QIG3s} zzma?GGG`ySHf3vr2P$JuPe1az+G*twwM}v8N(FK@V-@2i(eNb zG~gVpMC1MWd+dBanTVCpRS{#WG(@@@W-9`w`!^Z2oi2kA(=`v9v`Tm4|ibh9nL7dsW z7`ID&2I8=8(MX&!Gd@W7$}?n#yo75zzuYzACt~b)5UuF~x+kcHs`M^rx z@g1wDAw^TBkK9Zf6=}r1qqOuHMU)8@psMC;hRNj@W6gaDe-)7zUbH5EBB)lUD2SQ6 zT5UDFzGxp6obold`Dk~5-Gg|v+O$4Ppx^q--Iu=c1zCic{;kH5ACFn}8y?=D{v)VJ z$po1^SLCq_(@?JN=-jpxc()v)gCz(#iI{tz{n#H)yBD!{erRLP6JZ=IUWfF|>Mm}h zT$3snaJ8eI)b|&?Ak)j*qn6m?~3y)k#46@A2yjtV+v`rrLVY zW-40@G-f4)mi4!mTCGgJXhh}Vq56f!#Nfd~A|oSB;>7{MYZKMqU*i$d#54aity&o4 zuo@82?T>X+xW(#Aw=%Un4Rc*JbP4TS9NZ%mN9Tzv!p!c)OWrfg+)4>1Sn&@|n2cy^ zoO5>bi+vP~)OW>KX_q2XCwrCuOMbfH!8KxGUVsm<+;7H?hS=yKT`PzlW)8PRPAIl? z|8_WTN1d2@77O!)KlJ|vmTx$e&xeUgEesjhw*V$C9MXxOTo(`uYBaWvo_!EZy*!(K zyEmZ{!u~*FI>hi1_jQ6&Kf>v{dpI8#A77WcGQaS|s6zu3ir^B{jkubhiBjAGOW>CA zcwtM`fsF>rzOmbfpPA{Wee`E(z5l&C_n#6Jt84$-Y2$4urkY&G~ZG*ND{KUC3svuElIn3i1@-_EsNq-u)p+YOgyAhUF;Ku<38r6q17QhVN zo%)n8!b4V3RV7B`^beripVDwU=35Wo?hE&ZH_BqT1Ylihk(NY=uaYrbvJ641?(bkx|7TrNw`L#t-RKf&a1$)|+vi^DcVK}J9}TxPI~sOxr~@czJZ>MG^|3jr;jc;yj=dtn zN3Jp*nrf1h#Y{M~EQyRlI2OQ`)LoXR6KoBbmwF7JOrS+J;^W?X>XEEf3P1C^*Btbr z1rFcj*xv?4R{CBSuS}-&ek+!xu^~BX5pYHy7J(YNO_w%w#4sWDlMSJxHvfXiP1Wpl zMT<^_aQWAN4BGhJRt-YCHSV(!XYw`XeW;jy8>z&L&-e z&Ox~&CFQ!6K8xC@0&2@cBj2Y+v-^!>*6HTrX<6}HW#v$fc?%6ITRfV zxE7460-}w#_oJik>nds1n$&(wuq6|9;B5i#El@F|VIALK*d4+fjN)$mIhsEzx)?Fc z?u(;^{)^GJ7QZapR|VgVTa&SfuXPsbHMs^S)dd z*=2%_VX*h7VU6ww6)wqE8C+ly7K7n#U3@`>Ye6Sz%R>s}|gA|6FxjQh> z4$oPHaL$9lM9?eoh$Sj7sU9_;P~vl$-m@bY6vLp%K`jh=>d#UbnyoI8lNC@3gEZ_^ zjV?k%Ui4bxlH=D+%Fu%LjyQ2v>S-sM)@+QagQySW7W6nl%%1H_T*=UrTGV35gwf}L zl8eu{5g%(`aP$t$aHye;iB3#hr>-b@Ect7AXeYhdbBC;ExO*!Giy%2K^tsw<_wB_y6I&QHjYvS4`sFon_s9q_Se@ z`XGIycSO3;<|($xh45Yi6dv=GLwCnU|0Zd-L&DwQ%aYDL=skuug)}X&)>K<&=~xCs za~nWnFhKHXBI83C*&;^HDC zhK0o(T+d*!!ngnA!~Gu9fAzlN$%n!Fp66$zSt*o%Mx{(dS|(y_meTD%RB_Y~TE^FX znJR^%_SsulL2-REgr_dMSqviVi`z+?uPFp{|O%7>6(W(!ubdeeSA;n!~1Q-jUy z_}pcMe?!B*yX_7Y2jBAcw=9!vYFH)dc>}x88H2ja{fy#WFx3Y$&mFQu(XWC+g^P6u zy@@%kmL^l3^+ninU8?e^YtJ`iTLXoMhP*7? zyi8Q zm$mAj+xi6HHeou$E>ixN8(UGqGqR3U!PV}|hd2(P2x@In8@q$WioF9imFEtB$UWZH z|CW0)0Fr)Oqs9mML+@b`Gb`qITFzs&rCp%UdIkqKPW8 z{%{&QbI|f6lYc8p8}=LX)?1P@kR*cK|BbJPZBeno3)ekb-chvsft6q9L_Ncm0e^Mi zeL>t{?^}6n%#}Su$I}iK*|=5booXs|Z}j0lS(4hw>l(iG4QVYAt0bJ2$-)!`wne4b z;2(xwC=$krAD;S$;6KTwjPw+bf3xX2c6ECz=NaL(1mBIaMND7 zzJ6HAjzSDK8-mZXmZx2j`{E-^eU57XVF~a`j3f!a;}E?u6q60>kR0+5Ye(uGH0 zOgJlIWqbbv?1P4NqL?jOcP>wUt-XtRK$s#)4mbY*g?h zK*{4i3QF9bf{c`g+tgMn#ygEks^7P@VMRsO1=fBuGl;+$2$l;g#5FvO0fL4JhkQiP zAhxG!TsYtie{bgIw`LnWcl(~1OPlr@9DaM8z0%Nr`4PcVZprh;rqSFo+e7`(aN$}Ky16yT5@8XPoaVFhvs-O% zTbg(J=*aruzjqpCeX^kpN%IL$9f?x=`PUuy#=v{KHn0E+2MI#tEi9CMIQmHjg-th( zz#nJstUjHjV%ntnAgZ9#hyBsugEvuv6XB1>bWCb@mzkJqX>kKg9j)yE3fUPPe}E z2HHk>LM}0EI@#(hLRLICW`1>5){pg*bA~ z2;Fnra0*0p{_-fMbmEt7@#L>0T4mv^514GNtKJ2}>h+p@C#Hq?gg>j;7LI&4It}S} zr4t{!7@!gGWQL1-Qb09#6YKfvgicaa8kZkKkPHZ$Ki<=my;Y;OIe%U0gmF`)pq&l$ zma0~q0!1)UuD2-p8(WoLs}zWBxndP`0;76TiF*XRCHHK^W|!{;a}y(46ShVD^Z$yD zNQ|3^mJZM&>E_>4#Ea&! zWCRO5FtyeVEpU(h%%G)KYeBwWjYz6-Qaj#hN?giW z6@hgV(ttR5S9bqf)9qPJ28F14hl^eN{tb=b2YRm44Nk04pLo0WH+=S`d8cohLJiyD zvLz9oD|!}B9+>=Ih|pzxn^cvpkgjk9U)-pNi4`snL8)$4OANA+TaQe&G&aNtZgXs( zHO~KG=Do&OG$<`zMvz)=M2;otUR*4u{4P$a_bPU6-v8)`ntx;vU34Spj?OgfUN)DT zPkFj|mgOl=r{&rFlI>-Op(Bx6Q7832uX8F7splZLF=ijFwcN?DrVVNB9bUTR*RILT z1O+<_5t2Lu_&K~ou7g*KaxowEIkUSmNiBH2n^T(IsiKaK5npoR8}ZG4&Y50dwx?7$ zDUZbTmhn4lc1l?ZS~RqT@89k^|Nc%$I61OwmzcZxoG_rgo=&T(@JT5tQoY z+pkZeV+};__8PgnRyz(`_W8ojkSRGlPGv2Iu$k)A{G&Zj%eT3Cab1V$la*ZiSJi88 zDG<_mFV6b~%?o9tyt)RQ(e)wIK5Duq8uj|C+K8moN(ZcStvUxKL5s~Bbw|z30=_q- zdIZ169s04Ja6IV8I-(SDy~!&q!WrjxO-sqNVwDYe)xhFHGtai?zBR4MgsL8ugJysd z^{p}4jC<~L`5(>gXj2i_2rimnk3PMc4?jE0bW>kh(zN8zAH->(#j`i;Rk)TJ}cRE?)11Ms1JEJluF`1>hgRfl|!dn+nQoiNSMC?|FiJR$+< z#aUw2!LJ()_yX|@hJ5JAZyc4bTS6wES0AKTA`SE#Xr^$-_|EXFB1TXI-h0m1rb_2< z77f|Ie@fX)kveZSWP2xC4Uhkl^TPz~h)CQiu2jYOmzryk=PB823llW;YlE!Cq?z(I z;%++gbeL^B5;$5>FNAy#V@OQ4XAiM;Dwff)tKK7XJGtk&%ULPo5_d&22MTXZ6g{8% zu@i{8?ck$IZQhg%1P25u$l>DQxbBZu!v%Y89IM(oe&u0{d0sbmhh%Mh>Ooj69MG6A z@%+dso)yrN#hfTzKgrboen3~1{^Yf^hJV2PZOPv52CBW0w@TOu5q7O)Wgl`beJ+H#*{)v=d!}dzkI4T;axMr$@3%4=rdgng(UY!QrR#l`o;M&YiXg2P)v2s^T zr)%5v5=8X0Pej`uV9zxMF7So*1aLvCXkLgdA$?sYJ-58lk__-V`OoM*yl%xTED%c= zJ^(PZ1{rXCPNnQ0Tt*r7ACjG)2L%Nd&N@gc z{#jftHsL@Knw}xEUkYRswpUraFYK-3lP>CWLm4k5EBr=a=bR(s-u;$U;-Nv|J<*4l0IT)lLwa1@}f@mkF_ zyIKt%#P?nex0p?ivK8IKS_|C68uZ_nc&o&AAGvvAK#1jWx{tFUgN5|D~K3H==K3*0l>74zmb?Qc;P7l|}#WHqE1ob&3MvC3=Z6!$bZeBeWL zb1;I~=~^ab2)gLBsZ^!#=$8X&wzJlT$Bof7brUJAUe?Db)wnN1hFBmawSBkK| zV106lMOK(}5-D;w2Vfjv`-+c#QApoE%!<|@tJAM>ru&#^JBxOFPgK(2$QLbwPvlzU z2gLv(*Vvu$D{1#6BwOwdpAgEwzFz2E`J?*zEwD(1ml=55!PTBzcy8w_scubebnz$0 zI#rG%MVSJOhLHs6jqSJ3steW71iXN%2b)6C!N@ss7)7c*u=#poKDP>+2Z{iVlq0j`566!s`_O0q5N$~=xg$-z)w65&12L(|L7$`|sCBbON%%k6nR`k0S69 zp40;8p*R!vDx+u#Pz2|WCX;62%rohdh>Mh8sI;QzoyJwNdzYuwV%+R_Rl5@1hFO{Q zh0C*y{fD2*{mth-1hCRZhfnN=wT*2H3rBL=u=9l`=fOmEXI)fOqV3h2BB_NSM7APJ zdKSS7)<2t_jyn}~8kKnm4lhrwuIqp9HPR-dhnDj>##enq<1L@SVxx3kg$Z9IMmEAe z!u}9_ci6{Oc|k@M40~3mmhOJAZoX<`F`Hv6;Una9qm=#XmS2B@@-O4}NXIWZyguDF z^NT&J7L$A_&#b+p$%E4kT*gt6Pv}q#AG|d%f4gbV(UC}bm_ZhmVwg#u!bKB)dGKNGY$`2?c%;VkWTH+H0 zoCd=z2vEixv~QJ7>n1i}x|i_zvh<5ZOgqEAeF?nLLv0u-A4+b<<4%6VB68&lS0* zneW9>?z>XRqZau%-|eERw|d;@FiJ&3NbSI7%MgPUOO9^qX+HM=6hHkWuev5DR<( z6LAb|ZmS!vgw#4eF|l-S!lu1t9P^t!wW5z_Ggk$*G7X8D1TZ4`W=rrqKdUo^Q?^}3 zQF<+K+G4{^bU0j9UL3bkPvPPb5Oi{d5e=Xs(;9C!oUUByh{Zod=FuFRdalm%wtmoN zWq2IWl#xrFBIv#^{4%JPGji+@o-Cp)?zBOWn~8oU?t2`$dBvyON%;LD3ttYKT^Lby zP3YR;Xfb3d7-HFIfIZE)|_T)18-s%ztq z6#w%b5tMJT$anN-j$OYarE`{da%rKP&xhC|pHc|M*3TH9?h>)jLHuZ;ZOJ4)?usyu zH2hRJd9t|I#Z%`6n<-}vzi9^3KX2<^>wd_g_d()!ccTBLO|D}g`~d0NL9Gfq!OgYR zBdW3A4XsYJdwNVMGHd<97VcQKk9W`rJGKYJbbmiCdk{xkP6965;CPjysmod%PGxBI zdVO~T?^A>Od8pMRfZaI!*3kTtqW*9UwW9PqlD!ij1rWy3f0(yO+loJ)8?T&h%Mc`a8n z=0V1KOw4qj08`aWZD^En^8Psei;0hNCx6YUDvhuEwt7Ejg;U5h_so5H+`HZ>jDM|= z+;7}Bc4AI!PMa?=d$UWY_F)CbT=u&*aZ+8pPtLUB>P~0fo&Bv5KF2FGt=6uz%os(p z4l0gR1=AVE$SkT;YELB<3hdlfKVyCw44+W9!V3=x{AENxU-Focdjdy=248}vX2W%@QgbKd< zH9H0h2){=Oo`AtLZ5-KIZt>GRKq=6Bsg$;;M4G$~wQvXZD{Ra;5_1n`nei2PM}QA0 zQWLQ@%#Q{SoOsg7-)DK12N>!bAvvizkF#O#fF#u1aV;e8{`WIQejcgVc?6te^+*u1HHJ;`a|~fKYMn9epdxQI)uHn_ zx#3`BwfKN%Y_)ir_J#A0S|I@!@7GMW{WjJNRz6P%JJS}I_355XuC`Xzg_T~s#QaBC zjT)^w;fDbjsvU50znbG_h1~mc5wPj*j0w?)=`i>2^}CYOyu&yrJ8d~3#w|&c1OoT^ zqLpkGYb!VdVLS`9iI=~ZMFvtDZuWX5Di=p4XrGW1*OiEkC?A$pAFP%Gf7MxsE=rLn z0QT<0Ebh|%BD0Ts+T*FBDH32r(d6Ny7MIQ+w10csa=5c1VSluTVm{{(?b3YD0={wx z?pZEUw&MlsRR`50&3o0KpUp+oRYLS$@Xa;e%DnKaqWhFi*{M4l$u5jxU(jL`?(_{3 zQpW{l)ERQeeZ(Nu1PjjQ)aQ0MTiM21EaBvn0QM@CD^nGxCE~%=T6Ys<{+*;nbshJ{ z3ji*Jsbr9M&d^&_@_{#fQ=fv_Nf#rf&1Ejn3q7Okdv?P4fe`*4)FVVvxnO3EZNrcW z&K{SBhc~DD$RDdc3z#Zz;aftlctudE!Zd{1@X~A4Jh`eM+3v^~7YC||ESMCOgEFI~ zH!5BF@8fZbl0PpHvp?KrCU%=Fl%W3ENjI&%r?}KLFb8Nar*E?5h!zb>{eGHS0jH@B zw2k6nTiJv0?RnxM+ZmTXPO{W{nohRL$A&p8!drm9$;4F})ZG59u|k?K*kiyQHMWnA zmZ{uMAiwwp^S)vHUC!~@PP$b;D5-%GD;b_&4B08bot#z@xz8)kBiVFy`l|+-~EQ6b=Wy`)06Sx$w{^~q++Sl zuUswl?!%YK4Qsc*D3olMo=a+JpX

a=b1siHQaE&DTv625<%*vAIftg5R{#4{akzX+Jenl0Y{_D~W%3 z54d`n&A_hf(mMEm4;e8vt&+Q&+akj}y!x;TL|O27ux{X5&8>Wi2jC7`->zNwT~`Z{ zqF<$SoJ2HHm4WW=%A7C$T;#N~r9Yl$grsR*h62~xA*7LslvmNy{NoIfF?j+bm{%(# zNvWyrrR80M5Fus#fAe63A&=Bj^ws)rA|v+`h>se6qUrSVy+4!9GWenX!RvG0p*L63 z<*?qNr>^HyY|!zppze3~;w+-m5{@)UC@w*&*ae*_^sJd5FbP@9*Z_}VWml~*S8hzn zC|5F)wTOtzc+C4Xo^G)P28i=eb*1tn#Ff;Ny8{(oP5 zNi-t{U8~>%{(8`fOjmFqR9wRuhUXGaxpo~j1cx&i-G5&VH{d)v_42l)jmkuADoQFC zq%|qL;g=Fg+fR9Ce5KAB6#qel4>Tknsa_yFybx^&H}Y>4D3OZ$PMVBOZ`?2ZD!l{G ziMq^Z^Z&q>qD~p%Vz|trKFOin%F$9sr(vK1Q!quJYVg9vq`yF?IhZ@({~r?2p_9T% zvn&i6!>?on144K$Ey)BUt*Fx864Kdi<@yV8Nl=&oHzfN1w{Nu4xq>z{%RelAv@&o* zd$5zKv9PtmB1w%EV`!a%RnR7}w6n>o40@k^1S{HTppwS_<3u1`&UuBEi=vp|lA& zm=Q>ge0d?VdHC?*f4}l6(}r6=`Rmr&6pWVgu733LCruk}{>1yOwLmdbYLFMnslh3O z)Zl80;r3fGK!iBdUHpO=@3_XHBJ>Br1wnI{p~PW-kwQmQYe69&*gRuqkmMqD-pcup zFS}o^27wsy*SJ$v2~|mq01#=^jch+h1O`Sgte7aSgLraQRdGipt&HL=C+U_s68e*L zSxF@V;-7Z$Z2%u#Qe!lyV*r3b0wX}_llx%|D$J1@q(*XH5F(!Wx<>>cAumXc$)^kg zdW_x?6r!bu1`*2;{sf`}g3dikgs&>Jcdnpcg3WRu$ZrYt2#C?DY7(kP_)dDYE4i9+ zU8;8X$xf7T9o)5W6Kb9I;<_l4^(G(#wh_{+p;Ew4AJ3H#5uMJe8eF?BDu*6jg47Tg zpx<8;Kmv_zV6OlGW=joH1L>@7Y0?Tn%~Pu)1n#_$7pZumi`Qhtpi8-GbH>-)o@c01 zK`QKRn)LgMuT3z?%9Xx(Fa5+ZuvtX^adJMXZdj>T=MSky!-&5^TfOBUwWF^oZ&t#LJfA zu5Ns&4{TY}_agzqUD;v}B_@D$)d&Dx5y&Ry1_6=n!(Nl~9XS{joz%EgHNr)&%*`@F z?`kg8U{ZZR+o$CPAu7xHjUIK32Q;(UvcM^WZz+x%M#JM92_2e30isoWi1i8R&j=-= z4_F8_fcg0)*x5)jG>%Z2VNj3IlUpMP5r5iubU)k3OS%+wa3$3ywiN{=YzS&ZcXohC z+?^B@@(sl6_hAGw>Ov`~p-h+=H2}b%|7;S10~j!jFqj`T7|#_`^MVjhiLKvKbLk`y z&f$w&O);p1y7GB4Xi7fsAr7E%J$fqWSQP~6I=hvKWt&CRhI)Q}33fg)GiV&4&HZ|W zZeYQP|A6YW>#>bb=_?T9Ab>9kT7p5qNW|k|GYA4*J6#Dxe45%eAA13yLD5sY{gN8< z+xmr=nHfg-AqFy~27y?SK*FtGCVxg%XeL3Is#STD6kJVBBt;MiMLIFfND-oeU6mT` z8bqg?O{_}vDiLN_i2y@I7KC5gJVEmVaY0fN*3MmR)Fh!M^sSy6*fN@yjiN=F9`BOx!00g~~8 zQ6iF08AQMc)vQ&P2o$414Whjm_YIVYxO-Sc=I$HxOR%#W2o#ym3KH;#{7bG8(ls)F3sCGNSfs02S{#V@2#FL#Wg=T8Y32X)8VGmtbc& z5Hya6gGU(k*ATd>_)Dwy5=|8W@t27jH9!!~#{tv^ix}2h5k=og#0(1gsz!K%1Tj`d zf*>_8_}-s{>AT*QQbRJJ1`)kzsS=Th8k{mppy{rWz;lT}FlF#PW9pehAyPMskdP6g zpV8{7G2~W(@KUYpq(lU@?4w1{FTq~zKxknYG>+I;kI+6|V(^JGR9*059t18OEQ88~I=A>ouknL?UAN;*@R4v{Xb#HF|g4C0mnF=4);BIuW3 zFU{vy3)4z6)FU(=B}7Dtt5y;l*DO+dR>d_0zF4lGnn^@-);5d?6T$3LD&bC_BA%#k z`2ylIvT2CEy*IcBQUlV*Wq9P3O#=o70J5S60mRxIF!{2QkmlYmbnh45xK1#wO2^k>D( z0C$9X{??_4EG#@pjoC5-y*9!?s?44MFm%(|QiEv%Vh5&)K&bz_h6`73jYU&~t0_{$ znapj|FQG;hSJ*`k2nB~RGQ^%rL<}4NEeiHnN|OHwP-=fNi68KK#ypi`#EbY|!TGE5QAxkU1#2KRn_vo=fu zBF+OK{+CGYjf+UM_lsmx1`$LTQfe59i8Cxc#66;(1EC@MPBE9~++b%m5HyZxS~!TP z7!W#Wcpcei(9jD*5{oZJ!bI4!4cJqYkVExu%w&U$kec7GQC2BqV5S0rgWdZ@h3>Q3 zVM+{-sJf3t)Q}0OK>&?Py1idQ)RHcE(F&vrBGuk+`Y0+~O~vA!jMPjK9y_~?Fo+nT zM1Z+GbAz4PKv0i}^J|!`Uxq}Igp^R>QG&@-+P$u%T{VzPHfVr3I6$Y(TsFA4!KDm< zV+7y}lRbk3GTZwlpzBB=phkLPaPK$4JW0Ta5}>{b35>m zF0*q zOaLkolTR50B4R)jXN0RMbz$0j+Yx=GxYE%!YbAny3HCx8Tv|wAP)I#Or2bW~ag!*d zbyG_ZgAxMnyemuq0tVSk8H5Bj*AfJx8)q&wUUpDG4~M2i&@aJW?gp2c64WE~J3<{C z#cWL_1d$rh9?8;QthLLsX23$tZ4>GEgo<-@5g(d$%D57>2vTDT8w5RzT<%KG!H^oc zEGxB^Qi))2K5+%3vxd$hW*QL4Y|7wjN-H{3XkiE#SsyP$%wLJ1UxJ;RBttzS-urch zAVj*jqK1m-;;`>Iq((rP!gD7F4U;ee8KJ9273f^^Ral`Dq{cwvPNd812@J}_*-(Q5 z9n!9*7@V(OZ5(C6#Nd>{)s!ztn@=B1FK}6{XlY)W5&_k%ad>_Sc8)PKs7FNAxEX=H zVW)`M>Y#y+e!>)-YrJTu9nveuC7P@|z6V-Ib`FEwZ5oLq#Ou1);mWBDMD` z@r5p45S%i&n(77ipQX@iG;w%J1XEbr`3(g1i0x6d(iVXXab!vapRg;>Wd@|CNg*Mk z-i&H$E3S~i)-Tfpz~Jy+xv)#zh^*8I_&=$s!QgxbChcm9X#zwvZkFm2$izW|t0_Y% zV%DoE>=j00SuzCu66{}_)QEDJBzh63Nz@!5u z35P?YhN#fe3Yc_m8be}5Dr#`bpo2yxK;h z4#cb<&rRr{Av>^M;$}U{OyfE*7COirkQ!4(j8Gt5E)AexyaTXUYH(ReNMw#4 zIB1wepe0?`22Xm>L1X-sv0oC5y&j>tDiQQcuooBz&Yg*}PXSe7lFLd$U$na2T@!#xMA|8X7>-FN;{*fjsaGt@AcB4gc7$_d z`dprb=p^c*NN5)9K&{-5{IX+5`f?y}fzM`xi*u*XgDqO1kqW7C<$3X8fTAe06;-1_ z()$9cyOM2F1uq#*N(}=3fSi_iO73``K%Xjw7@E$Qt1 zBpIreI*FpzF2KdLd|b@pff2}(5ra#7O%bnouqSwa2~q<^QLNW%kxdEX;Tj7d%}j}N zSZif-T-}wpRuouSTNDN7@5G7Oln_a%!Qgxf(R^cCSRgoM5HO;vDMt!OlyPp%Nhy*-fdSuUfuLnuC)m;*%xNAVj?55~L$k1WYoJ8i%i67X|Fv9-9+u z*G=gQD{EJFRU|TxSglsqu3fk5Bauz*zEG^no3aUVd{BvqZA+1c7o0MDLG=AANa{&& zH8lyinqq7S`X$)>of`=mpUV?S<@d-G@u{gn=SD4vNexot;Qf99tXAs+D_M(#p)G-Q zHV8$rS|1%-IWp3SrUC#4Dej7)BC=an(m_Lnp(41NN(ru}XbM5U1UrX;AjFK$<>?x! zO3-eKBHh&B-tW>xI#hy0Zq%rhkd-nbC@c?LRuUpZt0{uFl8o1l3AtF%%{a zP@dr2Ns;a!KKVP2E6+Xu(jR=}^X3Xby!FkGzw;M<=1uocjRVd{`;R{Qg_s(*Z=JmN zsVCp^;IZ4cPmISOf9#9j_~!S`Bw(mx-=3pL$7SVve&xYio_y@y=_AJDb6nPG0J7OTTFh@|WjD?Aq0P_s8;IXvU6k%ixhOwa6l?^Awq)eQ<~xy zFfO;Eb~Qqs=n~ZPde@TXW zzbY9d{eqAsZ*U2C8A_wV{`>Tl1H8We!? zT2=1UpIlRb*!t6%$0DXOmYi>sp2gLW$Y`wRb(GnGpBlY6Ik z%EGI!zLw0LM6{q45`wEKB8cZ2o|FU<1F5mdm~=MVP!aro$lJLQ%syo%S1Sos>_c-Q zREN#k!?SwljYx5+k1NOjiTn3lxqJ)y!;gI04Slg%9Uq^ZT)(k`HGreW{rjiJ;}d`T zPhWcaxw3!)>-Evio41dSuVu@fG8V?CKk?hH{L^px{kc=a74l&LLmdO=^zNOlhs8fX z{q;Zj*x$QL^?USp%+wQL>HAx(v_XFrP6!f{or>$^o!@B-m~9+-v|C8krpAw zK&oG^P^W_kslnA$a&XF^5`iVhq_YPRGlR~J1OwaBxlt-?t}f~cA)C#kr;n)Cb9Uyf zIYY*X$i1JsV>~vSjmX9TMyhkwA>;2~#Z_!OGdLe3ke%LrL!DM7&ie}d7NzJ}k<)G} zx$e0?ndx(RGM+0}Q<;KO2EmwgmII++U|V9w@mwj=nX3kIwo$_qpg6vEvRYYG=Hhm} zE{YXUGfSy;ULPG@zi|^4%1f+W14Xvqz*4*O@^4Z7y+Hl?Qov=UXNStB#cFl!vhgls&o@iD@UcJt)>WWd2-4iDAM)Aav=IawUTyIjOR*`j)>o& zP@ohe*6U-O!xY7;C<;#xocFEiLq|u)C)aPDcQ8PKOkeLc@`#EN4Tk!}gF90;nF z1n16OK#`89d%?iKx{X;!5+An`fK;eUr>oU!U71eIfj&}KzBXqYvlfOrzASKA89!qM z3R_}*1R!9?tf?d-4a*2Q6R{(>&(##u1P~PIDAFwewsjzYYzKmBB|*C>igdx;DVG(t z@b>K!7x?|T=U>`0^W~c#cZK~vq`ZOhHeC68-}~WrU;3fBWaxd4|G#*BVM_uypoJPz z{igt`-Xro^_@I4d5dK$x^xB2u`QN=W;-kd8^Bq6ikbuSPj&0V1@}A2(&nDEdKHlYH zSw5Vm8;_PFcJC1-!o>)6bx-o}U!lTR{_R`lYM_MmPglwMo%Cxl(xehROn{40NuPfg zMbcaVB_&H@j{2xye#g%>#eoT^l>2Im@m#r@;+7}D7me6WBOC}S5mYNP0qv#;k*l3M z|DU}p0jl!4!sC0g1{<(5of@+kySSjyOq6V*HYldz&MHb3q@j@|E|m~)K@@mT5EW3g zg@_x|{^$i5TP2;}>{S zZZk%^&KPCz!E)%}&i2XMw*+-0&-oJp=;yvZj+8(lIr7nk?YoM=+#XJnZs?Hyk?&1s zA(6emENg!m9+m{Z$)KfZ&zjWqLLgHNLc+$Xm^?gmA7h9nTs+3I^2)@qKwx9f?sJnV zxd4L*RhHd|jLuVKNk|Qqg(g!YfpXf8Le?54ia21=u-SQM(srJ@&Cta$E_O-_x~h+j zC0U#8{<`C9L$Kn4>E!00&B;FlESVBYa16&-d?)?^NZ1$Dj>q=IH|(9AhN%>QYPiaq zg4-+*kOU#mhuJ@qld(u+Sck&gfafNJl6;$zZ&Om_nm!pCk4kGi{p>FVr%ydpk&H|H z?f2n|%vQ47|HG6*85gRE;2&tO!RDsMZ>#^zluADS%+-4n77`E>;2FgRowt%T@$zxm zKNl=a6EHClr?f2#RGfrQNh}V_AR|0^iwvI$X9O0IDZpjoypIH(H|_!*uv5@HULku| z5O|>2{^_zWX8Wcf1;FT*SU~A{QUSIT7wt*hi5H{~*60oxc7YiFPmGugX?UUg9S9Z_`u zg=s7U1^A(td3aDN!QCer`X*wGj|DN_*y%NhWmXGQ z1S1B<4YZg5sH42{Q{fomS^l#KlL%Zn5_Z%R%VBK9TD zL_k2~pa$g!%?5`N%0vj*3mM`6lprKFM6|$vOvywL)f5OQAC>a`#veMM@P1o2i8TK? zbX($lP2oKaieiZ%~sU{`7psZwTup^*PlxKk&eCz4ZKp!X@ zIWl|(04zX7>Xrq-9%UlL!GgPq7!$_=-w~(|q64tx)Y?@21>7P=j8bdz(3H7FX@*7< zgK&M!Z1x*h3{~147IfNu(b0S zG$QD&z!dzWl4X6T9c3QjRPjZRx$#zZo-l$7)?l-?ww{cnZC=2W7A5)Lm2vXrv7vB) zx#2t|GI{FhzYtAR7crI*Qb>Usz)C_Qg&X;FHvoXP>quy6fSFIF7NH2~l)Q9Jn7qoDzIr#uIw z|5LX9^T%JQ{`L)2wVcF92}P=r5sjb161FC-dH>^&7m*8Lz-)KS+{EIDP%Bz zN3chO3S)0Ej45KlNI+3SO92cloB{b~&*v{(DJr?tewALjdGikRl$i+NmO33#<2-dW zi=&?p@CB9#ittdFJv5$)K*+0riXu=J0)Nuf)k>ho$9Ms#jCj#0EpZzU>elB2PD)L< z2h??7Y<+%l=_P1ZRb5}V;egRls;s)!6_8$TGe!~qH*fuL(zGb+2jE{o{wX>r*aL!6 z29FG8*d9ERMo2Zb;mqFbQoW&$@Vv`#v92?e_GA`exKMen4yC>nO7HTaB=>WbZ&N>d zky}N`B%Rzvh1L0)=YI7=FVK+LN>dhSNRjiVN_3X(wtdo4f~HMzsI9vTXD)sAjS@xp zcDg}7hdnP1+n%`jAZi6?$pVx|ju@Dl5;S7?fD0F^-R30Ssc#koKs@jLk74PFTMpuD zs;aUBp8HwO-Y}HHO@qJR+S=OG)X>z}aIdumRI%muex_4cbYX(aDve<`J*`%2VPR!y zX$8x7>+iHQH={3>hk2|F_hiZwCa+{H2n*xQ%*<_UeqwH6snKZI9t}lDBNz_>^>z1N z2g}sER$*yY(&2O{nA1!>h+R4r2;teP+Q=^4!Y$2~-njF&fyuUGdR~4gef3 zgXj3IsyI74n^XY6r5yksK1r89zY1$T6dLF$hnEKAXD%hpjLhPI;H@n!&B#(f55l~f zH}{RSt--Ve1NTE8egeLC<3=qyjx?b#;)Q{qlqQhDp)ZBi-EK63vG>v$k|Es>D5^rv zo{%xG4q`gUkKmWOxV(Zxf2*mfGvM|<8Xsp{;($w_u4C@f?jY)P;HRSU8!DQGJ}?>* zICZ_wm$tOTs+0dBgL(S+O56#kCyUNgL58Ts9Y7tPoe^r=3DjuTV$cM-8XD>w+e4|< z@%5p{K8RwLqhd0V_vvL298hCrWo=<$d9Upr0NK>oC=Mk6632ovP$^e-l%KD3o3pO6 z@+u!nAq%I(>wE>vB_M1H5+zCf-%jSSjSkJ-`|j8=_8t8mzAv}nEJ6t*0AdMe;v%)w zT$fA3#YC4?D4iX=g9=)s6WJiZg_7HBJ#(9O@a)wQO2N}yM%UIgc>XT=^5-;`n*25; zMJ~{gZ&N)lQYa$$hsXTj2+d=at(4hHDRSPFT%ds@0x}WAsQm&q-MV#0qtW*64YFy0 z3l9JT(i4kAQ}GR-mN2O12Gbt(kkv8!;FDI<+pM>_!H7AGV7E3HndKF`CN}f6-$a}% zuTagR?{BccxXq^I11PlJ2eWn`;0hdq+TYK8;q=?(YjxR^XU5drtn2=mKrc4;rl& zYD+>>kvw8Nyt?|vf86O5vdMc6HL6zsezv3*6}=B^4X&aTxQ+jM8;XMut{ngfM^}Pa z_FQPdG5DbT7LCu>p!ayz8X6R?X${y;-}MoYPaGRRUKX+QMolfJsX15v<&NFQ$>uBE zq7Rl1=z_7Nc8q~4D=~)uMU(+!g+-q#0?LxF9;+CA+aKe!Jbl-(>(^^QZo%Q(AYIXw zp!ZgG`j-{|0?}c?jG_^bh)O6RzL3$NJ!`SK#`kS`wy_HV^+aJgT+nK?D8k$f-Q5vN zCr*_|#i)TwJw`xM!i>PzMmMI}jZk7%DTC07|H&&V&=f3`%({fq#?75gf&9hGqXw#K zig5{<2*n7Q2wWkQm>~)!bSMTlHbPc^bpwUM9~uFed;D;LyJ`^NLy6&#jR3fzg^{!9 z2qj(C7^eup5-!QNDY-yHirmjtp5^%nh~`Jp5ORTr6gh87p5^(!O43bo8cxsuX77wa zqX?ofOvs%(Gn=(fz*>VUSQx=Z(15lg+6m$b20IOyULl28s8PEJMh~>q7_mwO1wlkG ziDIWQRvPsniHL~tn|ZhI#yd3x30Um1MRxYhoA+kkANb#!*{k#o!5ASe#yHBYF5LXV zBS`_c(1}yS%GvhxZi;OXVy1h@y$+k&x`xb`(5-`|Q2K+MKn$oE{)m_ZTw+H~;hs;v zhBG9w4cxXPF%~L;8K(ztQnhCD_DX%%k7B%e`yRrq&bk(7sVY;@R<@QWO4_i$RDy3A zjDa4+AW7C3`r;<9Jk{aCizEpxhOtx_S}Ky<2q1?7nMOTxF;X3esX{@JNz;to6KS|z zTAzmtmqwW=5oRxX(^T-mf8Bn6X7JYh{8y>IrX5+TsvN2e9Q)+JFtzB-J^g3Tj|S%K zE7D>)s~B%yfDgr%BZjb8zCAilKRG>vAf_^k-g)h}9f}S~{vNnA9argRZuQcFHngwg zSH$LVm1$62tB$MW=)I@4&+|0$in$LE5J^YlNOeLbH*V-^9jtPAr*dG4u{FPoF&c@P zO5a5V)Pa3H%N&b1bZ&00#-zo$LSe$Fk{$c&@bX zilBt>-W*Cy-GhhI?K-h3cSgiA99V!k4_&z%1?skZptkm~mmx8Nm$E9y9q>Y5Yb-i( z0nlMEGqyaGN@amk_xeta|Neoiwc4UWDQ$sLrSFm|R15WzPp+scjHyE@ZH5vkJ9%pO z=<&;&xAl+Re@3DKzF7`SAeO5hK!-PKIj-R#`yE=LH1%>8K}_vvKqkX~BRg?DwY?~0dIzqBWZ4va-p=6pv~$z)=fC*)8a3b|y6$nU^v$O^%f zU{DA!5M6LtRmF4;0mKlNA*Wt60$}&9tvQXy?8b1+4DDoXq%PeSuGRX~aSP*OP?ii! zLPS}ih=#|68Th1PGqWFv&tP^VFvJDAJbL^p{stsA{4vI=^%yfD3lOW~kwyUZ_T5J% z>LBs&aOS`Td^Kb?d{Ft@Wf%$J538_5PC+z%VjoAz|9Lfl?z-JB5LfQ2{0r&seI;L5j_@X1o=mUiMIO z%R-5O6e#IlhE^z9*9@h_FW+=3$OZzR7)rWrgk2Au4pS&$NfVTK1ZyKV#`AqE?7C`W z6(lQ%ysD+0UYISGn*e7fMMeZl@&8iEogpJJX~XIRCCOrtO!>*)nSe)8WqX{ePJ)1J z>iErk0xnPdkk$7T*`4=9!6=Jt$|9(MECF-~dsqa*lHdx2MQ0Gg8Ww?sO^^Tq1O!G! zNP;>pkOV}92qX$5>7HNL?XKMPo`hs#-aQmWHC1;x_nuQF|Y%j z&Gr~DB7#86W_9U@c#I2t4b11~%msmvb)2{z2|CCQqW~^df1}_+Bp1L~3K~y|GLO=onnU3l-*DH^!N=^_?+jlMW`+ zD7Gul(@+65fe%BX@~l=OYYJTkS*Ty{FNE+2aO4|EY!ZxyhByp_5&1DKF8g#Ft!tkg z%13b62a$UEoTdvx(}DmZ(FhsWV@nBg;;Kr9{s*E;vi##-#EAJ;CCc&kGl!zy1AqDI zn+s-D_3Pc`3_NiLP=l(xsgh83Q)iN;8ydlr0J>E0ByrEB&wiDpGz^%3Y|q^^Q`<3MMkU8I7$7@{0p=kKn^Fw=GOOPxq^1ft`*VT0Po_D}z4_sN~RPo|`1;BY|! zVdENG0D|}>NJb-9u1*?1>RA!%u4j*yty@6p-rm?g#dh-G;LSnsgL(0r<^{Q z?i2uFn$ijt2>ZO$R6{pr)vZynLJ#GSB!fafIlB)RH6R)JrKKpC;+HIAaP3{jARQS4 znIkNvjCp-}{5x;2SrPApnfHjnu@29qo6;zDa7ajm%pZ&db62)ttKRC6pFqHf7Bu7} zL<LL}-O3egvMPzk%22~QPLcYWQcIp? z)Llrah63q=N)(b&RCcmYDN=q@YI7qs$Pol1#&qQJo~44tv_M8rh~2qm3TT3W zDsUP%{l>{gk)D2uGYAF9f=85`HGGn2kZ!}wk4RKM4z4MZd^!VE0)GMEiJ~zpdtdK} zpH$ciaD>n+2f>H*nI3NqJeO_J|ATvRBln^sL> z*%HXin22Q`B{&2C_y$*b4l_?ddPe4_$G*j^BCEoGKs7W-9t7Ezg>)CBKz>mEe@4=! zF>f-a8w=R(NQ4Y}$o@f)5z)}=YnG>lPlOlo&YyJb*vmi07E*rl0tO?j@)nq@Kd&rY zLyl2`>k=WMO43j?D4Hs{SH(>EQY8TpRIKDxl>*f(Zt*T%x@+syfK*9sclA%|Yf2d! zWzAhkArV}tm~@^=|IZGD%H^pxH!8m=MWwdDCF0N*rv}6RHPrLt*tma8zgwvtKI|Qj)AYpJKiZI0YzZoQl&BRG}oPc=Zch#%lIe z8h^j4q!nM4G)Nck(n*C>r9XTwPxUpWNQH_}Af2M1az79%u&pBHH>Eac$XW5spSX*2 z_?T$g#Evj6F6=0-T|C&qBOY!!^4X5fUme!5Nuzr_)&rDa$0qv5NG=j$_eKzZiHAp6 zikJGf?bqk=yX*Xkd+xvla7Kd%?;1INbzyCFIo$)T`(#!y>R|R%O1i|X+3TCUoJ*rp zREyJe&zs`eLt9L=;*)JZ#)_}v+_8O z9>0{pdxc`QYPA|NNQa$u(`_jEo!7qOG!Z1<$NDls`#C6y?P6pfsO~fuZ3UFORG>@| zN-)owdo}ACvmd((0jTy+<9mtDh_|_m01)VL^7u|;Cax_%p^jx4lQ9i{*ofxlbx?Hq zangyC-?#4+>1%x+^BV^myZq!*lDRXoa#jrt4l2NAyJx0?Lwd-JF8oUjj&gHya&mL9 z_i-DjTm`BYIAg^hiqOt8{HT(R9^Q~uiPRW^RqB#iDm7Ii^eGAs#1Ok8k<>pmYgYa5 zCiekJ)Tm*oQbSi&8XXZ=F21JJ+OHzD2AKcC2xqpxXsDA z;p>arsj5V(1ANdOk@Gb(ej9P@xPq`fu;VXfUfr|r3l3@&G$O3STTw4N5*e~T4eRixQvlcl|L%fa8Rs%fF3oM}lPqIiq|4WRX014_o0D!c ztZPlEr{)9Fv5CNqawrP_grG`nV#A|Nnh%z3Mni^V09o=+$y#GJM-Qu4a|}fhRLRK& z^<)UEnB^taiU;d{O;3Ok5*`sOtl8Y$U=R(v@3c`+#LT;xdFACnbMLPGCz9}q2$$8W z-g4#YwIVQkd(CQrFsq5PuHm&IFRqpljQ{1Sv(JP+EUxdkqh?mtwZPO*(~ay1^i$r4 zA`)A)Z2mxL8d12Ahy<*xg@Y*B1;FYM0FHNK;;TslKq^zfh%%7ownP-FQG+_iKI5k! zYSgffJZq$mEV}$8pJ~(5KIt`T+!}01Y=A;4a;^rBN6^n_p86lBpw_sWbzL*auWBHv z~kTQe((9 z7>?z^B5HkJNUo^C85_Z|X@VL6Bg`6(9Q!UnW=TA0E*(GHsYPPaSH79$4vVWSvFZY7 zaWKoN1Yms4er(-wY{Hl>;zqYFt=4ZkN@ISeqiQ95xkU##nIT?Cvns#{s6qYOUww0d z3%~W;3_Jo$69K>g%*_=Rl!KT$5UQMc3%1H&1PKc%RSrHY-DQmYru5X$#t!iqWN2dX zWJ=q*{kQ-l*b!5=ZtZjDFWZ4Mf4XdHF6Tg6F$DFW6Gl4(wQFa)prX05vn_u-3z%@J zvXs!bmaIz00VBjvJW#jJ`3qNsD*2Uvp-Q?gtCC1qiyqYy1xi7cX#B;pds zj=dY?@8r;pw1*V6IqQJ%Jil?{I_8sm4FNK{W&1IB>v%>GFGGaw92?#_e%lG3i`8H;cdcC>_R8?38q9J5jQRN3P;-Aqo`ikEZ#&jJy zZnduas;y7YHqUi!Hel%ASyT^vZ3-0fq$H^0M?brH+X=(R-Vm!|X(R~;8s0@n~=1kmJj-I{Mq_{>K=weGs@z^;h73wN;v ziJ!$+CFh$EvO51*K_YJ4xR#auTmGBy*KDCm>T60O^);n(d6v07eM&Wqv+G4Ekpd$W zm8tAgij?1!au;HLu`9N0JO1meY?07Da&q@ikAFuJdv8Sm3!ZwiF&8bH)$Q>@Oj^bz z`4*Ww#Wi?@Bt4F(^g-5O4AhQKKa%h4eewq*)SNDUz7y4(u#{j8B-#LvFe=dHU>TUV zbh%6C7P7l|aB#)InO(6aNf?UIviAqK>-2`ZkeeDYZBkcuf=r#*^{FSDJpbYXm)hXy zCeMYPEGt&;oizSAZrGcS=o%TF;9~*yt=YXeuZ<0pjUNmsI3VY*RL={!qH)&iCyP(#(efp$skx>cG=rI8Z zYDtplUt0Pl=THD~Hs8G`<>S36J}m!{!`sut9h*jh$1!NKDy@v$53(cPkl`ajckfMM zTZ%W8d~e=MT)Y<6u9=bKg6o^7O1f!B1qJ8fg2YZ47ge%pYVB8jO_eoL&esZw&20Yzmb`;;Q(H>KQ##PT({4@Qmqz_gBb#gA>#?0%002pDO?4VSO{o|bV@UJoQY zhPdXLB?|{oRY60^-WJ(0qGr5c2HV^JNs7r~`zIQKM2J4@j2}Z=a&btk5VApI*x*oc zgT+sqL4h+%zD!bwI*qYfyAsA?^Lw%qEB|9be{6X1GdVTA6w=%p3|eMHZNM%SH~RN` z44425$D{eQX4z2A&K_pStXk|86ghjHSs*Spj1+(#%_q#B?$0^^0?e?YArSx>g!C8J zRESAtc3Ymld++^oRAe8g0Awc}NCu}WH=cB2Gf!Ij#d!<2iW?wzOBM}8q&;E*8&-~} zUCSYU;p0A_u-Ge69Qhd-w$Qxs!J>hr(e+pWbz8q;xY@Dfl=Q$DW=RVB?umGFYef0rIi0gEUHYrpDiN|AEDRv?`MBNQpLQn?f= z9KZZ*&^Vj+3um!|edC&u>sO3ezjAnig!VzfE7v5Ei_yd*uu^yiGX_+G1G{DF#4bnn zPB8%j!YB+6U&{VvQ)koCewiG(K{j=c?3se61MU)LCGSM{N!&W2POaNTk@<_aYZB(Q zW9Juf8xG6B2QAVr1w{>1wRG_-o7aY$&%`X+9w25WGdpI&vJ+o^ZyJP$_ZH|U7w<&( zKAbq2c11y445HtTXPb73f^=fjKHJ0DBHpc6w3+1unBc(25tGMv#$*Cb;OO7C4fYAU zDonE{Zo{GNJ3q(AM2;j1rw0$EPM;NLf(}?p926JzP$AV)Im@u3AXfC`Y3tZeuBhv4V9!SWt{tUz|a`;#uTJ|*TlaK zYe(P2!8P5JfwM`|)-n|Cs>FmQ9XMseE7T*)HW&P4cZSI+`nY=CCmvKOCCz*rZ&>}e zwXqIWq6X=WebKKfy*aCZD#a}3P51}?no=7y6shR=3Zzq{wrMD|QlXW~Z>oHPbObW& z)AKRmG~Tj#U3UW*yMklD(D?|$i+vs!w^}@UKZmz$pigz0e){X5NIpk-M1_tLQ4P24 z3m1NQZ~ma_)vE|l15XDIkCnu(+A}k1eWl8`QXB>$VjB(~zWCd3GbWDfZmu+9ws~9H z#o~gs0NvVUX0x)h9}k_pXGdg{#`lU_KKgm$fD&^pf1|E^IuEypU7L zXWNEOO58C6&v1bwVApYYEVW|wzTqQ3s8qR%u^61sDHU-{pS|{;yYKAhoK|7Xc$?Pe z`@Z$d#b4o+;_@vlt3mzSa^WpE2h)e$wQ5b$g&%)jH20P2&TfOGaCj4d8ByyhSEnWVTY|H)vf4g9IdQv?G5@-N&0LYNuR$TqT#?Z(r{Np|w#Wc|@ZPJY9tjxH zBfS!~aLxKd#-!u$;D@^c4TXeYTDJ|IoVX)0KtTC$5BIOyO&`Onk zO8HHde~@lWM66h(l?mHX&Zdb0gLN@r@I3Zwh^0<%l(6;a{S5|xe&V}=>!|#)$9LbH zaQNX?qu8qLn`M^k2Mme9pb-fmkT`hEqkL}8w3%z3czO!4@q84ghh2Nl#hF(-P7=QKK8S4&kF*TGlzElOCK+6!H6Q722C@PzQ>_^uP8tnVnZ4| zw7GCfG!3S;|KR!9QJ4KqYu$!JzW9=9^{{P%y)BHkN7*&SfaPv-d2Wh7{766ouy){( z`ScBbG1iKl=C%x4To|tgxEDfr*S7v-j2T4Z1`M7bWU)|$g2+Je{W3V%iv&zyZlmyK zGb3ZmR_+!-Mg#w>G+hr6Fn}|^f{N@Rf&GWfBNc=!V5Or!C^;;}1R3YIK0_Qp86vkzHvuSmrc_<=@Y)I)`o;4qTU$xh-HPG`>igq`2C z^=O{QbHS{E+W5&9iTfK4nKmm9GgKfTCN4%o0~B$X-PVTz=s|KbHMvCubMPG}TF279tyhp7t|?>Jd-9LV6vD(;=N z`^MYHA&4TJnF5zRDIpbaVOklY%?U^9HVPXwY!Oj5DDEzkNY#7}Rp$JTu?$?we*ENnij<)tk=mxA&`L$hZ%V~; z{Wp78(<3(#MBV=OOjwD3fVl8Cxo{5waRpWYM+k61;roDukk~A6OxP$VkT}EcxZBOE zdgHWb?ASAiQ6ki}n^|wBTvgrGZ{$~9_V$;xIbJ~qK;ZGaPy&$DdId7rtEEL=`pV1Z z_T~nAdbi)adj}jziwkUf@SOyflsKxthMb|-&~?Pqj?iz<00KvWYUTp@qu!&Hnhh}kty-w{Z(CiJk$ICr@2pR zbBQ9k<+4Q1C=+EavCfb&S!AwlsEFutl*>?ZmTM`Oh#6{&td2`^r$#uN%M?>v?(a@%TLU`PlQ97QQz`zx;*FbmrXAk!<#5N5Z*x=TaA5 z*zLR+6ZySM@d81-?S^$Db+DxG(nci-`1q@pu9sncx0-4El<@Cut($osIDzb2x9izg z)qh=Xu@2d-Z2EjI+~Y~AiD{hph$XGWD44fBi2SJIRQn~eZ*^_m5_p8|3dDo~CyOSThN6*e?5h=o5|Mi*#*tLXk#RSlSfd z#l+sm)!I<;1+1rAb6NFAp(qw>>Tw>}-1z&rE z)#Hf42OT%O3%n>(5$;(n(wR+DVmwlup`B!+b zsKiKEI=6n-t*mC{e1FRN1j7(jS;?8ZqahECHkRJEO+DM~ykz-%mAz(iLM^TUk?Vrj z7SU1U*9BN5o*Z{9l^m- zZ_yeSW`Ew;u`bDU@L`XBVI95VC~HfiY-X8?cH#C3Zo}L;@d$?qTW>8ySd5Lf%*}dl zRblbQ!}0+axmBqLFGm#gqkf#p2u}&#K#aN8HC+*WV*F~7qh+)I^-U^Mw+s%taIY1% znMzB3c8Mn^_F7-gzTUQ>D4;&7SIt%2g73mB^L#p)pz$b0c-=I0!#PZby*fLtveRXH zK;Yfxj4cna>#)1*K?v{qY##cU-e>%F*hWi9OLOA+%W+Kj0~6eAZpwS?5!W_)pz(?A zxx1CGMj@&Vj%TTPPSLEY6yxVg12}27T^<|Q26V^&ekda^VVyY~?o<;(rljQ&n`nh{ zFV64E;q=TO^)!D$B8}V9o}8_7ZAPyQEoL%3Frj8}YB-J!$$yH#yr za#{Q@+w_{>YG!dBrM<^K4WWtRQqx>+_AZOye)PRda`E$?qq@BAGZvv}=wH@!MDA1m z^i4k2dZx{S!UF4{DL2_YYjcl5FUA~QqhR{3 zfYw@mV+g&1Eq?Y_sLJ2*nV+x9hdy?y;BavG4wnIB?}EX6wE@ zBW+b5XOj~xn5mF3ju<2T=hLD#TUEG@+`|o8Ii#eF8Go{B(5WY~7T;vrclh;EBu*Fo zg8L{g3W4E2BZ5RO>RsNFG~#k+YsG`cgphHJ>~1-`!OQqKd{udK&25u16B?qd zE-ddJ>}a3hosX1;cT9D8_2AJ1!&@B}?~q5otCv@N`*nW>Va>4!D7CY*xL_L;65ju$ zR;qmt8;1%0h<{dbDFt&P@`ve5RAc(YFX8h1r&?nFCdAiIe9}u^nN21Jyz|?~@5je2 zkG@Uxz^mwn$rDo-iKsi%T%Z2T-62jtKl(A;jOtG@e<3e0S}zX4rtkbr5-9Z8)ZL0p zXQs)uNKqMcr8md)OJFRw&4t;aGC_@@GND|40sZsW=2OK(%#nzEdFM8NDl0N?Ln!w* z(K!!^N0Y)785&~j)0Z~6kqGzAsIN}FBlKiqJYHHT$V!tN`=ns+fV$om+jVN<+ZeTW z9y=$-D#<1jqL!dC&PLf9<=e!F#?HBI{%E^5cU|s%zT^zMMT%7W%J&Ftr*hceIKB1G zZ8i>_rDSHW<&biUaf|Pf2L9|O2`>&3H=)X;zv|xw*7*^h#EM>@D-567fyl=u_K1b|oPi<5@hUc|`-ILTbuOnlL6Sb-J^ zeJ)!w5|OC;`q>3*)Ud)xgj#Z%9LuwBBY@)DG+BV!i*QD1GzJmEdQXc*EpcSwn6ppMf4chlZZ9MVj3h{58hMFMjJUc?GB;lGy zY8nws5*DB+IxO0cn?R?4uYMFM$vB=k7(R{5q4gaojRNo(ZuVtHi1 zdel-=DGkAKrN0#YH%5z?+-6WIJ2!x9Ab_19Qh>7ZFhO9IdOY4*8Bl*iU1%;9 zxP_=4mw}vfY~8k!;%sQ!=5l{%(D{#l8p7QFU4o|ER9ff9+>i}+ACq46igA^y_F3a) zBk#0r7nz^}*I=Q?r`8svFEwS72-C`s7AOQ4V&pKrxT z-=)RFk%srmZ!6g%&7$!(u0k4Js?<01f17W0URs5}4S3iBG7p6@Y($G(iwaUw+D>pQ zF`IK=99Tw{)i!l(mA{%P14wZtT{*H;9o8RFknH|&Ekl#76Y$NJ9vW&KSq<-w00$J6 z!Ev2KkKYC^!6o1g{~W%T#-0vfZU6u$*(6URQhD^zPTj=+K_oBC6rgf3$slA$Dx-C{* zw3Z%b;%0$Nqtce6*p7V;C~Khi4}-WqT(yssP@vF#;m^IkOlODd^C=u8hZjxIg11@@ zC5QZX6j%&J1zVRzl%ND206B7=%|R-mp>>IoeI^1o9m%QdjM2G}H}62QogP6AJjw zq2lNzfm=feP>MC`ye7#1lI&Fj>zj$I`&5^gWEW;zhW9FdsO90H0gOaIwj-~7{dECq zBm#&M*fFekz|sTA)PSl(VGJ?XeW;CUlb>HT>JV5SwKS`v&B~KpGZ%2Az#3&pY4Yl{ z^r+N7FYSnWm5Bvn_8Di&sk^=5;z4Dg}A?Fy6pM%pj|ufxhy zg1TDtf;x%?ayrm+n)ZKW;Tq(f?DW_N;n>29b)RO!b7l%F{sC5df@IDjVs!a8IXuAICk2iC;gfgwF1v4rMnfjx zirHEA>|7!bx0;`m_xo@pVM@v>&R}yO-)|=f9uO+0t5BQ+&1Dc<%Kd>x+e@Fn%eR_g z-{Js{_*ig+6a%WDa{&}53ud&ANaO-tlg_FG>bQdtPiwqFo--TRm0Fn1K^91d^?qG} z;(pi&BtV9(l(5vMtCGJMzPh$Nx+S(te%@tsF`1BYX>hQ9YPtX=$hsD8S<P?`+PU;K0Oj4p35^aV5hIu)&VUUFTcP1WAmB_y8xNaZCk?P09@!Sh6OdM z`GO&=iDngBJP`x3Z9pC(6MQ_mAr{cJA4S!nMRJh9warfIxfK>rWk(;b25N`GfDVt@ zP1w45AiwGJT{ZqpBS?hD){PgSShV4jk^q?r2xr6iYrf2T2cRyX!xXH}#2)UeQ8&}M zOp)(7-F@M}Xhh<*qQEzV2gshM%3;mrQW~Q)5_O^8nwqoH;?+63s0wm$VuM}ctB?o8 SKatRjN*wK+Y}r_H{C@!DQZP&a literal 63739 zcmZU*bzGF&_clxj2m(r~ARs7R3erdlNOwv}N`vG80|Ell-QA^hNP{%U4BasF&|O2m zH+Vef`+MF$96vM6-uqr_U#r)e00lV-94rznBqSsp$@lM+kdV;eNJz-&_tAk*c7L<% z1OH*#zSp!zLVAFI`ww|&*5eWh>FkH(J7E=iI3$3&Gl?wSvSi&8$!gWx_8;HAMwUW}_T$X^VoT z_Nr1!w2fCEaV+0wP%)~v`4N7*xE?4c0zO^5KqpnAIZ>YoXWQpSCN0uI)%G2taGX!Bt`Kg8fJSedK{-o-J5XPjcMuFGen_D9P9COFdTdJR5bl{JRseP||z(ovvF&0>5 zq%QmqdC%*y7&)FBsZ++bNa6h9(Z9=$nl%>d)(Wcx=U1uz<;d49hLuUxQVL+npU=Gi z=RnK*w-0-}!AFkdI5~F`t|$Ndd=rmux$^J%OkOc0|6IzSMaYw^u|IL;*Y3V!neMa2 z0K5d?+%1YB0XPKw;T9*ioc!`1c8p*ei@Y=XM(P~mPDJGAcO>0y0WjyE>-o#UJ0Lvz ziv}dfi~s1lJ>U)iGlb88Ehbg}u=30QlGlx=ZjJ;oL?yeUo{{7KU0LOtR{PXnB|DGkpgv_J;&mrI9 zKmcCIOKiuZFIc`UXgx=bcb1^_AE!U!|FT8h>^=_-Al+qY~9*NVa z%0+hw^Tg+my7-r@WZi{t)X5A0dLT{21f(*STgA3AmW0wHt-d&#%&Culc|_Bfqs$A@ zb0fV}%^es56qB!kr!NjY0jkNwzg+*9H4DXy*jg4#*qBK zi|)kd%U^ZyT*fk7XLNbd>uEUQEeJo8+qP(mlv3Ub+MUL`V=Lz4JNQE?m6mw<@x1=w z-bYPh07^#c$hR<@NBZ{y{*^7@R&KB04z6EsHODV>Uf@Lv=MUchxwTu_LXyP0Re9RH zw_^3~R?PpA**g;MHfTZq|D*4DEK;(>|LVd!?ecx(%iRD;OOD^q&D69Q;WYr1f6k>SSVH@Uo96Ed1+x3SX8Yq7>(BBQeK4<}r z+%o8P*Sig4+>F(6;z8^sTw3iXvhg(BKxxy-PkLJ(C2S{-Ua{sTntk|0I;QCdzY6 z`}Y3-#Uo$}?(n5i04S3H*(DS8Ry)9a{G+m#=_XZ?K|$+5Z!e6~-(;<__=FQps>+s7 z4v$YigFu@OF|jxY=aL7TPUi&^p`Lp^ri5v!(sl)tBKzy0Xbs*N_ek0&l}SU#54(%G zzza%04DIPDYoc9E)gtuHW#S;kfho-aG&9%H;*GghNH05F0{-Z2KnXvR*3UsVz=|H1>#Tb=h;Ujf$o)=mI?YXNO?4YanSR~5Y~YrVY~ z00*DFwhA*zkX4+)r#=9Ww2bk`096w})2!4yQezbQ{RfM7!~4<0$BaWqXwqh#2OJ~K z`n+gHP2C1+GUxOtf2yM>dgGfwQ*o-$4p1}5L5=IjN}zWmi?fT?cB2Sn`_!$@$& zdaOUH=Z_e)czrpHG8?bqwe?t)%JVwhiPjk{`%HAq9NUIa&XZH_n-3k~{mxj0wQCZi zHD>(7Erj9K{jt%6-7;R=>yDZ^+O%v2#TThzh95Ay^_bKQ*2s6$UI&qTu8>XcXVJ1y zHIHnZ8`?kSC5x|dVu#@7^nKc>t2aLVs4$9;yd44fM1StO{27^hS8h@j1@gEbc)DTJ?jjq0M3;$D%QvQ=6+!+We*fH{@6M`Y z=WJQF9ur?X0Gj-{J1?8=6_!hWsA;D5KU9t*0Y8~941ZJSLNBXZA?ZYc9u0YHwIK&4 z``36!ihQHKlRP!RCTBUtw3+^o#sHj*7Lbv;<~=wxIb*&ZKk#>&{GeD6lbS7rUvVjg zvi9|>lDStd8Y?0^$(|ReM9HkxNRT|W;jwL-jTXd;oGPazSG`n^Niwj=Z1ud}Vg8c8 z#{2NE?fhdHZ^dBm5xyvOg-8kpqwic3Zpp`M&$f52aHKR6&Fm+CL;!zE~aG8f-lOv1VAq;+~UeKa=iwszXqwSI&M zauBXV*V`;=^c+I9*~tb}l2V-HSj}XMX3aq0kCI^vz03C(Hv-~$5S{b~aU}z-hP3OO zU<|WOH$8;~KZ%0PY)2>WLsNPtD=f$IN7)*YI-~Ye=vqhfP8n#YZ{u(kyRJ&mCeF9}_{Q*LW? zDUG%P5WNN&PYOXy7^LFVT41onlfJ_(eNvny(X>K(EhOrq1G94{e_ttfihLyS}jUSTU^oe4HpY zt{=rseKx&uVY^#IA=yQ0-KRUu z`F__^M7>1Q1g3ZG+Omau?~mdA*C+tqb2wx1$em@sl|fZyroMk7m=%5kQhy{2r>}>` zTsAqv}D#2y1$6)s?*X7$)g2pIm*;TYqvX zcV#m3@?pGm?BK(ThZ^AGdOepQ-q=mnid77xw98WH#-UF1&h*TM-n&2g`RgAO0^G(| z|8Pj-#x&Uizqxt_F3Ud->wN;!J`RHgvZMYcpUn35b^FI_vo;tIdo;zG7vbDewO@UF>;)(`fH`Ir+F!`@D%-*+ilB ztJ#}o6QZ{#zYiU*e~C3htzA~PY5WgkP1MnrS#!_K7ztZJY0H?)NlLQN#$&J1uDSho zPUKV4VJ8`S>%kO9Z~av8;f86!&4Itmx2!st z1wEBBF8HR;V{^AHYP#L8v2OcxfRthrd=ld9JYcZyMkMz=cd>{4(_moL5nw6TCr>$g zn&4c>=@NfU-km%AeFQLh-$#&=owI1E^8Yvq-nUUDBS#`31lkzVH0|~UkhPckC6qdi zC#>6i4$*TLC+c$Db<keHj0B^wwEMR+mL+^Bk8x8PMLSr7Hrm&Yt^(IwaD}8Tx->MtJ zeqC2?@nwI|bKO8#v0bFP_Prq9mHyZI-vtza^#`Q7?Z>$j7dMnZgu9sSX_3!^JE!_C z%x9VLmsK$CMGx*J657cytr>=1+@z;@UPO5ybaJjRZgTA(v-Ik}HJzZ~0jZ4)k=O3Y zQI2~bavW{Qfv)zaj=3gvB!*Jc$+FMt`YXR4j^S*1Co`sq9M?)YViz>6Lm4FW!nWLY?~ zo}?)xHD{zSfsm~_k~f-VnCC{TaB45Tf#ys!4D;K@q}xjbu53hF{mmzHm~r@*pE=4V z1BeHY^NmjMvE-QWjO7xsARnT1h%`#0-6MfRhipKKfO%Um4s=Nr#)n{1UskANM^`@{R!2Oyd*fuo+ zhu&Lfn$7|lyM08AYsIE|QDAEoCwjm^!}`=89^Lo#)!uUO^0)6#36oXC=THd>Wc`~6 zM?HUjVi(;jk0Nv^Y0$$JKcs=;KYGKY%IiGGpJERsgqy_oSy4<1s(sPE{$SV&TrBI* z_YdTR#?lUbbY-h^%^|t4!(_$Vplc45sF)O*K1*95RXLnKBUx_IwAabEj29)TYuMXi z`efDq1Nt%xp=}y+BXmM?5$p#KYupRmI{R6kK*g6ft@Vr*94kF_kC?^g&2+-&pamQQ z(ZJYYFVE01(*(UH5gq@I2Lro|2=?hm7o5`(3J@kNGC@V7pX>^H_QO_-KhafzIg2ltj`JF5Im8vthoR|mo4`dUVw&?lo>$r8@V$h~fSK%whF@C0m zn0ruoam}vS;*BquHg;|L^e01oE9k#?_)nO2r+{yxPV-0{2ZcZJ@Lh!ddFlBNci%5A z*wasD?9dratt+_u>U2(@$kKcUv|hebG&uyqmJHf5B6P8;+?q&3l2v5doCpk2;Ley+nb0tOgy6e!And|*KTmk@!4r2{1_{S<|~k2GO$G=Rm#O3Lc$?s zqeM4cVWcb9NA@-C&o2GFE|Rf6{LZfw-i>0;$L%|HM8=GyA0&sG4>FQBhfs@TUML_M zRw{Q}gk>j5A=!d%3;5upHV-My+Kv+|EfrA#^!dxP1jZg#Q(+%Sf7i{W#8qd4Cxw8> z54X^lHtPasaMsr#OQBY_19HBAsbkr60$O=9NUO0IZwyP+tbNlsX*EA3280VHG1wt3 z8zwmPlX;5X8CQ&mIX6`GyqZk4^4luxrGE{Vz+N^tkO7IO8_sdbHUx;`Qe(X5`toOj1@q}O5KgBR^? zrJuakEDSb#09i~e@N zsU00p8Yzy)1j#ikXKtGp(+kk%!?GEtgIE|1 zd8AoW(y|+^Tv`N}#?`VwV_Uypl>1{C4Q1THZ_r0rR{7faRrBWLX_DTxiYd&QzTD=o zLcYrZ-Z{g6)PM`sqCjBvpps)v(!_s70wZ;^=ai_D5t?bQrHw{v!g{jD8W-Of+e|rc zNHAJA2H{fh3C9&{502!TD%=2O=2IVO8ZTio9HvyZA!i;u{yXF}a7Z}OO}kEJWF!>I zoOk$`<;mO7KGf~&?MH7fPgoLN$16rWSNm6|OTLR+uJYA3k_v1hI1RIn0ww%N_fuQy}YLQ-(}eWURufTKke<5kv5YD>g0kr-*-VvW?7i zLc@;r0rbQ7GH`QrL88CzhI-c#=7_Ml^K^B}XKv`^nO7t@tfz!9=_av!clYE*>|x{8 zQ`y?Xr$Jk!f9qIx>DNE5h`P?5E5co2&ZOpo=&f7n3$Mar{_t_M(N6`R^kJI^A$|F0 zqTA{o8oxJ=W>F}?*!{Gs6AuhRCd!-dJSd57)P%~@!b|zayU=? z&f)wK?Q@gUQ{ohVuGylRcFqB z%32kQu1KNUUSlJ$pGtcfV$su;eRU_m>ajO!0);R7`CyLR?ccQl(r3SiCp=Uj*o{z!-ejts3abm$7_#*? zM6F2)^%ftvuyptA$o+ihf`Yl)Mt3&Cu(!#|I4&~nUX1>C6{^1w1nMA9Q&45Ji|As% zn72RqSYLgYkL1TIW3;Rhr_8B(@`{il6NP{@C$Lxxhg9jlBrC;5wWEf(W99gr!P3fWqbhB0a#v;r8#i%_T zI^5cP6X7uN{rWsl?h+yD3B1R}+;1+j`I%L`_5P|cltq`S96o*eMEr*+ui}1tLFHyq z$@<1o3bs{_$Aw6CwO5w zTt#-97OQvMX1L&W_os1mg5T9@?}~|(^}TFw_g3YmuBP=wy5!SFqhUX7_wmUDK}wfN z$31X8r3(SO<+o0mngixs+-V&CQgK${DTj0Z8Y!+ z%m@;@;pmlk1w1Q|7)xk(R#4d8KNbj{!5XxI^8ML4MKrzlFwC3WmN z$XIf~EBzdMjk5cl%!`%#~J1WL0LpLaiT@2Fl% zndMJ}QZ>L&V&RKV=TemwX zCjAcc5fEN3kFustIX`_VWKvYhf|Ad^^>Aw_su0ec8I>p3(*)ZAdn%dw8T(DeKy@0T zf3X$PKWC8_auwhbyf^Q<3&in(_80G*a}EmF*LOW}`yf~Fh@}y$Asn3QAGkz}1vVMT z+-aP?BBW;S$I#cv@Sxw5)1N=ap)WMxT_~CJ(Tp13uUbzMO2y1c+(chyr7RgVcDT%* z!F827tugfd0S5sj_Gl005~s9bU8I)j2TTMBT^#q9cz&~=IB*uTO92JP1pZrC`$nDK z`Hgx;=c6$rX^EBgv$6WcRFd(9fN!YCAW}ZJPwQCNPq2ts9HXDSiZ1X8K{a<)!~m+7 zj18}8Iayf9X_*?K5ky66WzNm%HBD2Z7-6Y|PiM^cAb`8=arCrb3rx_jZTA9)JWhJn zkU%WpA&c1|B=q6BXRA)WV~OH2N3MvFO3`#(*}VIhb|8s53m=@1VwWmxfoC~ILvl`1 z)%8F!RVZU!8O{C8cQe9>x6(*b%Ha{M<|+$R$f8e|F*+Yd>d7SLlkN^$a93@P=(YT2 z@eyCMdQQr9N;BN5G+T>s`x=}kdQ_aZt-~DtXR&(chKk$y`77t*7r90px=jY!)MIWLn6n zeJg|$4WqT=OZNZZ`ctn4O5tOuG%v3RDKBMy*mv#iNMi^YImG{s+SLx)_wcA57} z$ME1-RQzsUvA|%Tm2i~(c63goM&A3j3aF$?&mqO$IP_6i&*D$lIpmv!F+!8m+2JdD zTfL)2N7JpMM+bZq;Wi~1BTV0u=(+}}3LjVPOoUyB&k<$*w%PCyUTFZZ!`0*Zrkfe`K}sx$;2+rYMSeNE)ePf zp~|zBaW*p;{ja87@Y(Ch<3P1Jvjp&m=c*@cozj0z+n1w`Qyk6_m$G|C8j3i{rld-F zn6ces19R6s)56qMUk+WUG9PtMBeh_>_e$UhG_qBwA}LqW#^8G2dgT`@*=lp!EA&gs z;-e@Qkxng8@)vT4JwYO=FHzocPu%gmBH;bJ5lkbR`jOwX+s4fe!^-25r#G2f8Ev`E zO(7^0HQy16H)0~hRz(^q#o;W#6k6-PfmFlo`o{T|4kL|V9L88&Z~;0|H#~J*U4_$mUbUI*dgfsk0mY6g8i5L_ z5&SR)O&a~WoBU^qO_o&+oR@{NggVtT^ZT*Me07z6zwAwvh1`)>WD^+qPJc~P5WZ>_ z=2X)ydI*m|ypaCrA^P!cWQ`6!gzuUFk6LKXX>T@K zM3%>Gg`xYaNMKwMig`B!8Ziq|-|R=xF~)LBv|A6a*BrQ$mz+yXTDi`GqSjy zCWM6+sl0B3dxF6EBI!m#ycp$`_y{s$XN0Ppt7?lkdg_Esmi)y*Hy;QE@TYQ)I%@8J zgO-q`?PRLy_(zz!zeX5C%ruhVta#%SSFE2lUTu)Mq17>z%7Zn?MGgV*9XI z(#DcD6PQdMRPWL0&dFm~77GD(SnXRHm=F|vgwuF<3>|S?Bstusp5%$^sTHHO;58P< z0i-Dw99vSmaxA|Vq<5XJt2~|{=!}qxpl)2ttv7-fYcxeI&~5mIoBEBF$HJLe)IB2i{4{)=|sG&H?_Gm#)h{p!sk@QO%L zY9zS%tf%H^OkGg&Fp@SoN?P7 z5Q^bG72WpFjnJD4_N#H5GdPg@&V~fHP#L#rsRb+N2EvYJ`bv5rSLYBL`i5)|Oa1re zYpJ*ijs)QP(=Yso&TVb3X{4NR;&ZJ{`;zT*-7lKMR@L6TM8C6ktEBR@>)aDEyJ8fL zT1EQd=c=&x6kFG-hY9jcFliu`cm;d7(x3IP`BLs=_QFV>a7BW|z!W>rZ3Q~uf0)(x z?gOWI^L!jf*Q;dt)K7!^mVJP2$Gj*XaSASgFIOEMmw0wtT$eQI9eTtsm$(bo{s8&F z(26*_1uhOLJQZ&?j!mWwmoKM3?Y4Tp=_*Uh8=Es6m^=G8aKX1?+oU(hG?<%PjK_DX zc5Z#sdj9)51*Qn4=Zde|h4>z>=Yg9oLYMsAB6_GZb-|*R;a!-)abrPn>L-kNf4lS8 za$f;WBSs0q9r&w875vuDc9zlUje+a#J*RDiogBHvc)o*&-p8H+= z9&fl~AVb_#jec1^-UH3Nfkk7Wj|q!j3-H^XpNxK*2%{=!@!AkLiLdml1|R(Ra-d$K zGaQ{!doh^zNqgPMoOnnqALO8CK5I`{MG?y)<+3Lp*F>+}&1#tYWq-oucmVmWl16GymkZG+T5F)n>C^6=mISWvO<=fypuR%C( zkESrn4FwRFj0Y4k(h+D6NH~jQS%!TMwZl@lUWe$}CXH_AsKsFx1y6m`8?$_2>)|cN z+37~I)&`0JKWWTGt0vGWeYeZKb3;3O@^jghpb7{`SEl{mP*||9{uw-mb6zdFXpZzE`?hvSexaLBf%2H%xadN zAF2CZ>oO1;U>XOC-xcz{+IQf)E>Rd+F(GT_*+wku_nnl!UamnJBSkw7xxdB(;`_=) z&Vrs?Q}paG;M=jnoWT3B@tY-iPU-H%o`uM36P||Ng1gHmyM;J}_`}Y=0^nx6 zm{Bd56<>)~nqQ*|WU|z_f#_GM@4Nx}vZiurL#Dpjbjpxu@k(GonpvmD@JSElQr~~5 zX=LFO_&7v0%xUShy(Q=nT`gq=>a*V+NMYShw@P!*(k!5=c-`YfWzYp!x`4f^_p^2{ zENBq8(isB#OULB*_BO|T{MUOm8y^bh zhU@zD)ZaWo?#rq=e~%u5z4g`=CokHY?Hs;`R|JKwSPlgibr*S}_~y!wChOsdzrAQ5 z+>5rHy1AfGOG}#m@%dca4%e?@5N8Ya6mr^~U~bPXxRQ&}&Fa>))B+-%k`r&ojk6o(JDf4r6MgqC3-pO(pXy9n(*kkXh3Rb)p;}k7X8@1AKQ9O_*2l0Y-^1m$Ljp|szl~_^_~G;ml-X=$ zCE`3n7f*f9#M2u=3MDDBFz#@H!p|WezJUCF4nvRMwd23CLFpw$J2-wdXMhA%Xb+|e ziYr86>Shpo-)bYY;~up0`lb4(1%ruj6fsFGh~9ltrS$X$v{FjO(Sq-Ooh-FJO=k$_ zva%xHtmCtuVTb8hiJtmRA#(_6gZOEN+N?qT?EHRaD8J2C?{Ph|RZ@Jy=K6>xQTWX1 z1+w{I1_h|yND`i4%|{B93^!t?u^=VW2if_Li@`X>Jag9X892f=WEH{wLlx829~_DW zZ+PEHtHXr0sp^z~7?_Z|E}qIB-a9Qyl2;r2P0td&YI`KiyZ$t%D-EQJFcbsB9i4n!CwI{K3 z(|*w?-T4w|7?dpHdRoN@J9_%2(jitLS^j%zcV|OX;nBinyBP7Lov5X0Pa$c(>U&f3 zQOEnB;NN*I!3mvkW~FJ{i<({D_)Ff?T-l`E$Bg8^8|6}Y#Yj14C3PdM_E0m^Z#%@e zX7b8YTpyB1=ZxB~E%zvkLwO7ql>(KZXcJBBfR`hKUbQ|EC*pdPMVsa(ToN2rHlA1C zls?x+pmLIuZUc*D_^FTADeZfE^S|b>A})#kkwrQglHHG@y@Zg5UlZ$an9)wf^&8C; zZ0-$lJsNP1hTv(J|4Nx}pswHMY|3O%PnxqFE!HY#)g(h0lb{i@!~|^yZj2J^yiAP^ z$eUioJVgPo{8s*6`b&&Zwc$y*QbZ(*Jv>71M7vuGZKdBIn2Y%Kh8`G?P`-E08^mEd zMKaZJ1?II|P0mF>%IQ;IOQP`14RIC}>i6a=-~??CglU}yJkGJ;Cy?qfy)-)LPd3(> zHtNqP@tD(7k6c8-Ax&e1tjB1u%vB`dyoVcbr}5em$H>IIu}hSS(in}kIB;M{dYy(2 zm!sM(BXBH!{Q+sp$w#@p(Tw70H$lus6D7l(UJDs0Z zK-X&oaR(v{yUccNnukNfoQFDPod-*^_ea?15~rNVpNHb~$4e=S@m@c_;(G(eLWFf^ zI?ehi^bN=@la0pEK;lK^8~5^~J87|Tn`+ZtjpCx66pS*CjWP=*M$-H{QANuE5mE#^xKtR{YyM?8Pf4E)%j^AUZUm67pAJHDXcKWw$ zW%RRzaS;PnN_T(cwlyvWFJ$kFA)#vi&^J?} zYr!)DlIX2|-_>2bmMXtJ@FKtICza7j~^hot5RQ#L!t zgCG6}il9B4l+jr!QJjAVJIN=}Rg1vs~GlTCzQ7t6AF6 zLA=PF)O_Y{H9_&=TjifgAyr6!A#tT}7X}PO{oDBO5^Ax^vzN+2V!9c5u z(x)jSpve`c&xaj#86*AMrIYBJrEL>jYFc{E`l_R|eGVIrGvRO3iT%;d(&rcCg==9i zBeklQk=|U7>PYU*6La!O>1VL=!{_GEmKmiF#T8^>@iIW9z|HY+SNJAsXWujD;!1B< z|GY#!e4|-_(xK7w>z>55>?IjO7m+PPbPCyet5$QkR_CNPt)QP>N@~To(!B4s&5QGV z7de11TI0K`i_z+(rRgH2QcdeG@rh8a(Ey5fW&QU;=wr8xQzb8~hcm)DPPPRZx+=j* zM~r3=ozq7T%jIq}e{X!L{tT@w5E{IKF5LrWz0g*FSJ}AH`yp~aHojJ{!5S(;x-#$h zB2fN0=7Sf=-|H~+@LjumbwiGV?!)d64;GJ6nkHSb6hw#61AU%5D{IX0x>rCdhVsYI69c-%eR-I;&`|z)&If` zYhof-9;J#RRctO`Ww~v|g3W}%niO`l?y&e<)2{!avxgir&=YV$Nx$6obUj4>LGmPY zuWA;kRXYh~vf;?&o`@=UGd6v5AI^Q+6zd=_!d^)4qK$?K>!sNh4p<&!SNqcIlyXrt zVs#(rIU|@{^)d{kdjn<@Th_ELT9{;~cX1~|YllZb!|j$QO$n=Z1yqa}4-wN&Wbd}! zI>X1myk6#_it>)?qhl&cqX1sd_z3zNSr{%Q8ijdIDh)uyz+9Yr5;Fw57 z@EzJ^Oq?q~TAJnUJ`qjT=L7i9HQT^*`K~OraxVpZ?{POluBh|6+P!$Ok_x zb*H}B59`C@wE1l^atjZ2cdm+)x79NS7o0E2X-w{fqHg(QaNelYT$IvOe-bod~BYgZ}cvwpeJCA5QZR!+Zk$ zlDrwWd$A#COG)WeK-pQNKIzFi)eG|$ru^Mnb-DFM&6Pm5rwz8YOjaw$Z zM2y5%M0Z64GZw+(6&UFG0*18V^5vgFVM*_s%tpma@FLkI9K)!mA3zg@GYX>UT`bYi zXk(NH;_($rl0NdZb(IDe5JnW0+AJT!e-q{yooNdj_I1=ENZgs5Og?SAjG!iqu(^td z!h$N|N8xIop8I<1Fv0-ha>>wl1`g8`oBLpOg9k zhaLi>o zyI;w5e}hQabrT6J!Np{{^vHJ3F7=y+W@qQK=o-RqQlGs}6mj|iWkzndY7N(7X53=R zL#$}@C%Dy=o6D?|WLpvmBK9W0*eSzHQ)0_}xs<@iLoGdwW+UGnj}WO>N6-M}%w+85 zpT(C;tW6?wI_HXg;c_FqD;u^CbJ)>bILoF_zwS>V&Ba$>82x@E4ew`g=FHC0PnF4&^C6`B z>cc$|K8h7bGkk3}3e4MLb;sk977mwRq~)~H$_=kDsvGB6Jn6kDzj0$1xLpE)@{|8kYr&A`P4B>lxgrXx1zatG~D)cy^POX4tH?kq7G&?VG@508^Xj#ZrP>rj zIW6dfT(0T$`toBvA)ueI+ifdb+>A8U_S*62=b6A`R-Mcl%+ZnZO$9~1q&^q!idi&M zIsblo!V9KzmQA8B`>JK$ubLQ$p#dL7|1CK^ReHaQJrN$&i683&Ms1l>F=@ojj}vy? z{>-JNc{GM}9vbN_ZH@%rX6|yKGN6thXD~Em`Y`u0y5?S|1+q&UM8MaZEjLKE`b}>l zvS#`#$!ox)qp=Ei{4*PkjC(uw_zRqpG$jl7uB|+1g|F=eC_5|+8Anh<&sY85Mu&fp z`thqiXov%F=ae_QQ%8z7pC6D%zXQT3LLggpNm8cco@2K*AF-6E#2dBBoUt0w*xnfn zFd(I&Bq`8CIs;`WHv^`$EFYDF=y3o7NZf-HUzM7vc0mw?j`lwuS3#( z;X{zL&gN^ZCQ3{fPCIN!D}qIs_!{S~w&UK}>Jk0zYE)-C*K-B`{A%|_rV&mv3(&Di zE1#C(Mga!u@6L~s8ma?!WVh?EpF@FeW79R4gD|MF)%SP--%Dj0F7xT&)F!2o+Ge2C zBdez(+AmVCkTeIBd)V}07; zS>5$v+|Kvj7lofHc|Ds#-I|NUNLbXgPZ4d>*)$}W|Avpx6 z#a%SLfjpcGX_04s0Eai*i|36F)}qVjEaDqdwxapU9)lO}0Jr2b0N8S^ts;*lnigOT zemALpQu_BY$@zYbpcu|+#bXvg*TgU$?ZfpHp%I1!#XiWf(ILdiI)aLboweR zx4p&TWif<_xd+N8aqcN)_P{gEq~ug~`RYrq%WHZ;l)T&+97b zm-&dnDfo!6w0opVbWP4c>)Z-^wbU7t<-cR=&qd9TG=BLKMQ=O@r*N{q+0!3+UODb{ z{8^#o+0X}+HAHjkZ?mA-`nTydjLAS%b!A}1QpOt;RK9{Ej5uckJq;iC-Pd|PmxQX5 zq92!%QbENLNfV&P=omRo|5B_j4?6_EkCJgEPJ2C;)9?X88u=9fFmLKKN7@hcg|#tq zsXVk_8oJ*%yZb^?`6PnIXWj$j0{O-ok0a=J2ig5D4U|-cJhX{?H)d#?Z000Gra3KY z_?eqtv?01u!Ct_~pUAeqarpQeeqJ@tiTcrp-u9(?=gh#Uf8-+|^NkkTc2=n3z6%Tz z8(>QQmEDDnatWq|Lh}&~5zN!!ML+R{M)FdC=BadD#-zFD*<5jAHYfxBv123bnk^u{ zn2Ll_KX0kzY)C_n{+v*CLxv2Nw;O36?@~be%Nsj_U~khb5<%q1W!hQ6lEIwt zm;-WUsn%OPRjw<%!~i7CR?@T=IGsL^SCkAwJ)dPCK4!(7xH?_PQsFRt?MQ+z(M0B^ z^Z*jQJCfFL-c`i5vUEU4S35Ib>rIV#8Ovcl{VrRUkaX~1Gig>(;c%dMq}r1T)Jeo! z)xQrGZO)O|Dp{+!?VAKTR~JD6(0DdLocGLOF1Ju6u!*JfY26 zCgKo#E~iPYT>9pl=x4N-(x1uBjv--%?01gu5YuvKhQkV84KDaEr}O`88=So0m&2Kt^ubX$Z!5P`>mkdiC@eh z@r~MHGMzr%=FyZzo2zL0-2H5>7%>~%0SRd=CZ*RJ!(Yg4=W+w{ZAU(HqPH}VK#~ey zm!PgXX1KqNj}ryi3#EQ+&~+NsxI+FwlX^y{prDixBwgpZ?@M)Sf10v_W?KYEBm^+U z0HO`_jME$)F96S$AcfanPRM$UbQdg?HFQ`YrcYQEx+b?>|B$le8grT5g&#;e+0rrU9EENaGtwbA~z?VOPM+%1?r`rQ>Jz3H>5_?s&mzgD^x49(EcHnm}zH0nRU3`tgxvWw4RjD(t3kwIuou{ulnY ztLBk7Qez$ZTOY)HChxV@C!~RTvlI8MHUuuNE~L{o1tAvL+R&je_awZ;4F&#GkBP^v z05e_JT#Fyi*$}a3=5GN8D)5--hFJKC&&{s{pYLG#}FV z_3XhL^mE7bM(nXoZ&zu`Mie0mtp=42ovL~Fx9QHX1I`dEIG+?2;4zhd=Hx^<+&JJs zFJHz%2KntX5MkP(7gJ6^5~9(mao&eZH4P!PJxvKeK9HyTf)K(Y)Bn%D$`kc+C z<2^Tt&o}p8_xqLXdK}S;v$Egc#PL3_vvkGD9*?e9#9ez47>702JEQt|pxzCXB<_2-u);`I zncV-mXybR%y~C-hl@Q}TQttzj=Oy0z24=tWy?G1Di?Dr8MM6-rd%`3;;Pok&OWDXK zF2taAk+EJ=qtFji!OY7XR2YCcPHn5unPQo{Tz-JOjBs4pP}QnkAU5B5EY9rB7ibBB zHtjDzPNF&fPzw<3tWvBqm46kka(?dr)?Gg@S) z`pKQt0!9}2rI(p|oY^w`wEy!9FC)=qUl|J}4KdlV6_Os4pq!nz=wggn__$iVFM(u_ z_h-h9W^&=IWd+75=#$ytJo@5Mh9|U}I(azmm{=N1BC1GKltZeugfxV5;A_rIfJLWK zsMKVH4T@A;mhy|N5X#vCiFjz*_psXIB8-2>z6rV<+H7&(aJX$v6IgoMD^Hnbm&~k( zu^LsVBK7_G?rSXt0WXWkW5Nh--Re&H?gtyu@<9K>*LO~;-v1wC?;X{I@~we_G^Hyd z(rti9Ra)pM3L?^_S4E}9M0)6mf(VEL0zzogi-ZnB2u-?#A{_}JB@~qsTBvWL=lsgM z_uTjXaJfKNGP7sTF5lk!n^~0;FQO^V3GV+>5hk#k3MEEK{1Vedd4h#(h1a z!;Nmwo*p650D#u$m6y)( zMQnY1xi-XYQXynCCvt3yQv$P20)Us$^mLbQG!|66D|I zU4q)JwYMG~S?5jx{h^mrk{Uw(#Yp0~WYRSFa0T3v8HrBn!5_Ne0@tkXJyoOLYPoU6 z#5zBkdcal9kMBi9LG(SEMT6>D2D^Tp^kT`7jlt6?rZfv>kM+H_gkQB!n{BuXUW55_ zXIiW1QPBzJ!%vbX3(~&HVa?hs-q+JIb}3Z8?#?&;7&75Vun3*%ip)_9B5)TB>B66W zv<*UVLr^sHgfnmJIj~N_2qV=7w8mv?n%PkNkYJ8zZN3U`6DP$Fg>w#0UUB1{njAJ` z3>q&^w|jbJn~%TNziI(x+S9wVWo%p?W|Nny1iI7PANi~RJ+ltxd@d@6=Ao3<;u~jxKrbHc66Xag{>f@Fz9l^sZx(L4KC@FiH|m zaPsyfvkGrqy>79gloRVW!v^9lU|!Ed>bc-Jvlnqi@VY_-R6Nz}Bh7qWjKDxJLUnXq zEnPwEepgY(EY>WoEHR50pFh<0~hc|S@HRQ<)2)jpO#Nb zL->Bk8vFNW)a01!HZZaQ&Sx7Tr3-_BN`8q-X+6mqGz$lx?pBK;)wg_SnuzVM3>h~l zI1{8$=Gbh;FHWWN?v3at?3_QH5w^NY{NZB+{k8k-4~Rw7t;;H2Gl7a)Iv7=hZ+DcU z#~8RYp){9NjXG_6O{&V+%sW&$(tsbIoq15%E07V5+xsC@<3=oPPf!M(By+Jw`mRUE z9k~ZJ4O_D(?bo@l6L4c9J=M!`Lg@9_hNhcY5`jw+oNd$EyS5V> z=A_07Fuk)Ly({+@$JD<>H*_#`-lG??9^< zMQfS`0^Y#Jh}*auX&d|l(SQgaNOxqQVjYIShR~xf(z`ZR2Ayi0UpU+2WT@tD{mf6G z_dAQCnAVcJtkWv;rf2G@XwDWzbgqc%#df((9XAc1;!yNiBj7$eTy;w|M{f-FySo(@ zMKHj2cR|1I<#H#Op0!nM$)e&jG|kw&Ow}?_{|h`9dtrhx0yOaA^S4Nc_plC46U9mZ z4rFuv>>K)jXW7R~o`-)v6?aXEz--O<<54k&aUdyVgSvFVoxIK?j8jB~H(F7B^g5mY7W2ZFO1v+Sj=C^tUJ@~>1A#mv{uK8_-;1ykk5XK=*CU@=WH}x zbDU?r-F6jA@S|R>f5w00)<7vk zO`6V9NK$V_HhMGU%1|vy|qGv-)o{UyrfRjRusDAel?8 ze(tu)Lu+L!#x}!1r7Sj{uKXx)!)152Yh__1Earmw;g3(QTLCT%8{rWYjvviASyK;-wHao%wfG}<7=3|<#!cx<(+)xg@t|Pd8mHD}E}zGTY`l zVVYN2r}nAbQZjpNI}&tv#D<>!{OK73ny_Yftkbn}-#Xw(HTZipWdhsg+{GHbTG8P4 zjA8gKG`sxU-Ab`k<6}Kmg6v|U$Q=8D;$W2IqD?T8)WUdeyNcE$eaP8kYb#8 z<3YqTf2a+LYFQ*`BT?8pCJ<9Z?YH!hHBBd#pUh7s|5fTWbyfw|Q9>jW{u5FQc#2@V zZZVl;DQg~$~tv9_i>qbJD7@JG_Dx>S=eD=2QVl%`%k8T^ItXSZO@7b&`OtxbO z?k0;&rZZKnNZ%`E89yAVR19qniM_pU2(re36mmi5+i%CVImkgTjI<)qNlxp~X8(V= z)f>XoS4y705bj~7k)&MT3#{tns<5|)*f7$-r|v)Rc`f=Z<5@ufOT) z5KlwtzN_jZ+ZCKmQn(H`Xw;D!B@6=PnW!t*3ZC-4*Ww6jWkzx3S7W45##psl?0);P zx(GyrR|DdB)5B3&sq7f0x{aM+x5FQ%dad&tc`^4)V^x(zj#Q_iN1BShiG67e^QT!N z{@-66-h`;5-_@_%CHQx^hkZHLo79n_?!XyY%@O$kGFjpnNfB43r`z8;W=3f39JO!o zEh>y2JG7xiR?70h&k7Q=H6{|Nd4NHVA6; zlC(9&!r&bAS#(!NQcQfs6ngXrBDV4*t(dvs+1d*bUOh}_Pyq4-1a@D8GsYAI;qwgd z%KDBG(Et8o1#hSEuWmmR9ls6}@I89v^`Y%?#_=WWXM2$$=@AUR6wm(s#n*ow!!JvR z8B%z=Ba#|E>#u8EKI0oJo7bL#vdsa5Bp)wBXFB0ZnVCk-qaQ;E-1$Sgx+P_S2$s$H zWe-Z}`jbCS*F{Tbm(CB)IiLMf#VN@njyW;CpWD85FHSr#PP;vWn6-FM%HuMh+s2JH z+D``$*})ty@_h1QjHh)@fpJmqyRP`fBr)Y2R?Rc|y30qpG}yRp==_;S&LN={*@Z#e z4&Ga56Ro4wh*u{ZZhCReufHlYf#q_0cJRM076Z%25-Sl*hEh+ujhTI&~ zcZSZ;LK0(AA&}G9FKzGzMsMC`KauxKf#7}%j>)*hzlV*Hsz*=rK`8-L>R{_Iv~Lqa zm?4`**`X4Ix%T0QI*@-#69`)h{c?~Q#A zt#$O7jvT!Dq(SCew+sYRJZtzCau!2A315DCF+G?~B(F1TICbJqjy6}$j5<@s1Q$ED zEi>k5^{(j_IspAD^cveErTlnBlqfU z17?Wp(j|V%$a9#gLV{h7+`#j|@uMiedix)ZgVPGW&DC+*!o6gREN!p#4DY|r731xn zKgtcyq5aZUT@Cw&c8kl7?Rnv9=P;==`BeZ`uhzzRBvSUidpWLIM*K;2clGoyI1kvX z?uRer+zUHz10*Y_U;X|K88Q^80Y}jZ+t7|yy2^JAWg9*?_w!6MaiMcid-WpgP?B+cd0&AH>_cWGl_>#) zjTEipC$NNTxd+Nemwm2SNPDLha#470$#Q>DgvfX2xv{d%U03$4(4?NXV8AxZOmPl15OY@Qn`a>(6!E0%@y+4e)RvL5_{c$v zwwZLEN};2=xoo9xXm)!kzAnV>1mhL2T#qgEXuq_aJ6-}`Z8(7tWtr3~Kt>wEQ<&&Q zi`=;(uN63}aMz@#61`2F1Q&k-*FU=wpH#eQsRG*nw zOFirxDv$7rWcZjQe}c62pMJsjo7s3VLYPTd{eGrt3b4M|I*+&z59%5i7@j{+1^ zV_|j6{Gn))RMZR{o0}X<+Sux?yu8XrlE|R5mjEq=m(~_K>e77bm+S9C^GosFv6c5R z`JzzUM-vF+X9O_TMDOAmKINOx9A9>o5if$0Q69fthoI9OJKLTJlOcoSc;NoNJ(3XaWNq6<9+I&f+fHVNd(aMdpMmagTT515zGZ~(H3Yh@YCUI5-IrSbGsDRk^(t(d zTjrwxuc+=5G6KLKtb6zk;;E(E^WAhtSgC3?bC;LEQi;(xsSTmDq|B8f5); ztC^OxoBQKui{$q$=(RL>v#Ojsd!L{4v}ZF@%6r38XG@{D*I?;}U%K_U2Q`%Y(&M|F zR7|-2*H^^vBcsF_d*^MHjJCVoFEfaI4jg&$axNjb53iiPXSX&tzGrNQO?K7dIL&As z!d=grSUP72W}MRGDcw1X@|O%!E=k@ z32!B*Xjse77f*pSe_M$Z{5i&WOTs59<)B ze9*I4Ctx@_6SvPojLwSL_|7yFhf{ql%F5B_-FpUDj^U*Cv)XvYYY;s?Cw5ATAY(-6Av{hgA^r}6{#{9(EGBL=_S#T>WU z_LKK}el2juEu_{nzFg_pA|rX1B8=XtNu6?X^u-WD)7$$ zK^DoW{;{`3?6P6s<@a4z$@o0hD1Lb8Lsn$%3-j-^r#*cZ(0OOvemHqp5Nccb3(eVp zz_nGx=tFws+vR#rkppK^e-(lzu9Oh!WT5_x&_QjH zbRlEW@ScO+<|?Ct#@nMbzmFoy9Z(d)T!PSG2*8=-QUYJ*Ytmqu=`B8^}QwB zjnFOgb(P$2A;srcHmd$GQR!&GZztr$T$`J5MbBVC={tc{h6v7Ivz!(@KN}9Mr8eCx zSxXy$NaJ3~1m0VjBlIPSIo&a>zVh2i6}}+QCyesCgt$p3TmoSqtU3nv<8a?#joV0D zjAFq92^I{vxGa|5N?(83(bh!_Ph$=eewYrY5F_*>sZ=0IfiHJm_kQ) z+iWg%yJ~QDoUPCt^O8v5Rft2=e3(ZoPNBVL1bG%XdQ$+{4mFBLvU zu%WR7(R@C29M1{JCo2kb&Ji%`f*`_DwDkNGI;`6!axiH)ww(O~xZ1IwIr)x5l+T?M z$Gn~1AK|&4cs)`;zA}M%l?7^>nv|lbMv&zTBGw>cT1xf;4*M4Dlzfgvx~1G`Tq?Yp z8sAfW58LlIjdXd<#`DP--4=7!pgzp0H7|$?w7=hto94VJqv3I|997$LM3_g}sxxMl ze(?2P%!*%_Y7D->LW8+)Mt@$mcxoyTO8Yx1@Uy)$c00JMgXyrcXQ7Vd=`BWOjP44Z zw56~MJrlK*K@=_`n7tEyEx^GKm+@SV}@a5_c3%;MwkzkOx|`~LSI zM46O;Ci{*H{%_WLp)xPunFdb3&XKO0k%9w!O8I6%d8Cv(UJFWFz<{qP#Scadk52i9 z=1X->DX`_*m999~;>_srpVGA&5w1y%iMcW>S0T5Y-=v8;d_4=twY7zwVqxjNA}su6 z7NHi!1Kr{Zre<*Qg7nljkGtGx&~g1Fjm)c=L!W+a&C<$P2AYOD%kCxVrN}vKNbsT$ zqj$b|)+${^6!m!IQv2a9FEa-qU4c8#@ z!rFB?v-_wo#87IZjW?jTD%FpEQruXx+W0c}KA45`U!K=~2DAAFH=mr+TJ$nl1CdiO z=5T{TD=u+&b9R1will363`GIH(@4h^Dp8cp<(RL7e>{h7*4BxfwqM_J1)nzYnL%PW zNr5=cLC8Ql@<^>kz5;9XKF8 zd{FdWREg|}ww4y3rj;umD}_kuiWf^#Y{MqYCx0l6tjXr2>8>1k-ulh1Cq;=*sVlfP zuV+n73Z1c!Y+8GeXV%w_EB8M<{Zu%$dF1Iz{rWSZU7t-Zqr_)dkenB@mWnzAy`_NGh+1GP5))?hA zPg6(s{WUdyCRZz!(ht((jvs_u#a8x>o*oiLrUL%fC9V6(Tv!56QQR|xA_R0&jA=BY z??X_v?9PED-8S8g2s-KDO`bS4|Emt0d{B-P*eEX9Xw2R(`LZh_B0#Dr%x>oo*~%vq zOQ+#hbq@5(>6LBIr`pql`QvlfNq|BMr{9`Jjbj1JL0KH$ED^CQY8rK;AEXI7xl z2~PqEjFP4v06D)y%jh*@O#RsU*OZ}ou}a14 zg{TUPyddf1&9!+a^fa<$ii;!-smYOQdpSWr(+5o8!iE$?aTZ$gqD4T&!$uOZ=PH9c zgTLyWk6R4y2=z`&(&Vw1^8Co*kMgj^>|*|Dbdc74in~zS zcbYhZEszd2Y(_LEHG=riM#7}$KImFXI$t^uG|*>LM*Rt!iQ_I?ub@l#SDy!#u>hwd?!kzCqF-N)Y>C~_I};{x znheivSNfv}Q=%iMY`why$yM^ntnMr4ZR@3(;fN5bsz8_t+5BL|ImCsDSiTceoM&Z_ z4X7-Z^)LQLb&Fzc7lD>!4b`c!=gPx;4NNQ{s5-$y4s5vAeM@Gn>-SnI8!KwO z>>Li!i1wR8kI)-kySDkbxM}C?7p9&I^$8o^5Nl%?DBml=Q)D9b>gVG#-{Z=&eL&-U zSMBq0?;CIWdIRuW*j31roF*Og+>mM~c0u z4}5+mR^u!^ziHox9z}WYN@t{B7n$NT3PfO^ec#P}{k?#6ZC+my)oc)>jwXewzRglj zzcYZ!(<=rSj4TwlA*3YX3?{wkV*n{2S1!PKYE@h~SxSkGlCBmkq{R+HX}dJK(Sn7X zO$bp|m`K8K1F4Fl%{cGm)&O>?Fl-1)d0K~Na4R1P6O?}-VJncJ&`=;VOaw@bmj71P zNAu5k9*kddw*X~@fnn_%f=Xg+tQfHZtXrUZ#m;{>r{| z5LjDBX)Up}cq(qg*z$3!b-=-`QHPnlg5e{3T^m;r2JpG9eu%>Pn)d6Z+%%w z-b^p6GK!72jtOWwIA`(Sv63^N`<92QwC)Sw+K?SG1NC2{S?|#VOo}OW39*oW=BCGP zb2)e!jGQ-1Y8s=%vdQrynFR9;Dd(w>E4meG1I`Td>?sfgPv8E-RJb$DkIdFF2sy*91K7D0{}8lHcQaZoTB~^coZU z+I@Uo_Iq7dyr}+D3+ovC^kkBJd3fJ8(P}N+>4+2=BdfkX9G&o;!9^C_Z2eMyWR=mK zB=Z%`Zje_W;QA^EmVkY*bn@op&)u5_wm1_N3J{0~Jyk6dbhx`72EuuLTVlp1q!%jXy11IItTm)nc$cH_lMLq>qpz#I zMv2!rs)UoYmglu9y8@duFIQ{=fWXPW{+CbDHB%3^$#$;Zw^*bcAHUy9{T}1s&`^J} z%tsdY`DxD9cd}{0?L7K+Wvkmmn^czUX8iP|Oy<>$+M{*m;DXyDqC4_PIsd!9${5e3 z6&jKnbs;+en=g>)eQjR`QPrk;&AkJVf$;#MX zMgLjeH5f)F^5Ar88DAkn>XyohN!@u)BzygG^Y&+-wkYnb=hLc-9e|hJjt9epxvz%K z92*#KlH zZfSB>NpKspw(r#BheO8w?_1a6On=+%rRqkeQ~vw&blsji_$l(IsU(M&9m`O!A30r204iGB`scT8!KRHV=+VzG9!DCGv)A<7#!!Zd2&2!6JoOZ z2JK5R@e_E2gh>NpuL-fqGEeiwN{c37Tp*6Flb@!)9ucF;)9xByRx(|55cB~C0smG2Y##?PwB{vZ|l0q)Yz||BcVX8B&xKxj?-#fYDg;|e-|6+2% zKd`A{wu09vubzftmvjCuC8Z}EA}0NU3OQ`hmM;}ZxHFBu>|o1)j1oK9I>%ytJLtr% zkm>z5YrwT0bU*F?6_B50-VZ} zyfg$R0_)`|)=b{os@leb2{_LrU=u{R$Xp&S7Wh6SSiM3$yvwP@QhC z6Y?wQyN_$K4aT3Ca9xX*iAb=4oNy3B-%OnTvjBY+aS1sQ?V4O^Tz)$-AGz{-c_)%5eOd z^9j69Ti5h{+S(O}vT(CEm=>JKn?{Rtj#i&XS`XMjR+O`2pPka2gZq1(nfg;60yH$x zxf?ZZix(_E`M#gOTa=cf)NOB~OZN>F9R99-F@vH*npz0qXGo^>DWvsmFSqo?!G%-< zTxIx1^LyD3)ginwk7SWL@8@GlHKL(;8xScS0|NFq_97z5MiIF}^Y^F5U1@FUe?h=g zBI{SML5o0q6MDfxnoF%KWNoX159Osgg^rG z^NvyqLH=sK1HaWkGD41aa%T16L|zq4V$Jg#?_Wnnk|_AVb8LZxFRt8_SoK(x<7sgw z2#gvVnyE#Oq(O$ByBo)SH~5)Z@24`m1yKEzlzV*cR#klpHD%fmxTU`r^H;@_c?u0> zHLLm1*8ueN1cWz1?p;%Vw!VGmr3^SO;NfdpP-mgQQUC?z@7iiljNka|=h<6-bf^i^ zuk_=nGrzCW2{nzs73uHQ?KKjg0tJUBAFHlAD~`mxrO%k`+)nLFC<3!N^j~kl9mHXDMN-=z4lla&>;S`tkK4DHC z!&?Eo_ix?)qcz8$k>Q~^Q{T;&>}kMppeXPZ>|gA9uF>LyqdN#bD%-Z<=X?q)!4lBWa**YiSvPX4}+e~B?oEtoK2`!Sf1L7U8jRW~lGqysAi zwXH)3iOU!4r2o2vKWy$SvZ@KZ<~eC|m|D-I7pr5ww17}#Q2F@h^WeOHY4-0m=E6Z2 zHaT)Qh;U!Fj2tvYoIf7(h-ToWz1SE?%r=NQyarLZ=V)Pj)=L*1yJv8}??uoa*}twc z1jY#(w2FnmQc%H!MpM_z7d(r8PWc{P{ac9{KEGe{J4g)MT_>f4>7-;}Cyj*vKl{&O zyWg}gvJ14&8ajc=4hDR1DPI5Kq3_>Pzdr4I6gW@1_XCYH-sJN%1t-uJvxNLyQ=I=^iwkaj0fB)k%K&@nKm7!gB+Ba%?sF7HG0lZWLfR&`v zUus2=Okx&y^+?-D5VpM$_)iNVbDZ%6B6>oD2jqlFTwiMWkW3;bcLDD)Ng1x1wmv6| zdGtGA{~x;`8)#htMagf>BwYjqDRfA?!A4@*{;kuNHR!%6^a{ya|Nr=Um7){)J%Lds z>~M*tc{B0lx*;R^`~)Lb{he?X4>m_znifx?HkUu;>kNt+|DW>M;eM0UK>XtmA%L?0 z4uSBR)im92K$|xbi@!e_NH3=*8HAWecaMvkhWu|rU1d;#?lbOC&xXJ;wu2lr!Gst6 zY_8r8*NMj};sC>(emZj=xqR&KF<=U9OCwH@^LPE%(f<3Sb0a5#*o0pYCP4o*Z;@>3 zP3YcmBeBt9NR18~)h^JZ=MGm2>KeQE#`6Iy@siG^)RLGEJLDOpPC+n%-4#qqixTa+ zFCP7%noTWxbIgSuE7n(RIgjR;FX#^X_^|yg<2`IEP$8Q}{UzPXrb9SJ8HmUiAgw+d zoYxJq#nP~KA+p~d5?g&z(|KmHZT?vis!tER<}lQ*Qdm`>Via+!CM}$U7aQf`4dhqa zG!5j}Kz!M6wq#P$e+`l<-{u10wI%~D4>sK4LZc!3!mxZU{o}^$SFzsD4zAper3wfl z|M%fh0-JqHc3XP@T#28O)GqLE;rv^pLkyY`E99T<)qNM0^WKjwzD_;;dIQ{#H?|E# zXQ3(IiV1Kf^hDeX%|Pl61M9I+6h&Hw<5u>3>iTh?DLXw*0eJ!|#Ad%2U*DeK3lnc5gn%FV>`F zAl;wFm!eb%xgy^R0uxhR5VXb1(<7sbOn$zx!DUVR7H=GJUojeG!0xqVE;b^>fZZZe zYe&~^g=H;`hC3|B^n_`wQ!puO6SHFSRJR)$%_&OV4z)JgtV3HerzQiAvU03OuP3V+ z-~Z0ruB1O{WdB?TmQ5LL~9{aQGiLT9cvl8ON_XEHJ9nlQ;)fXCx9u#e|4B-A- zE*Ae@+`Z8|tR!HBHIf3a{*dIrWjY_qiqytR!w@&`M)L+NArkA-LcBM4r%!WAQZWd9 zVAxhvWfQeJ#S+Yt)6>7dxoxiawh>^?|HB6SF-lv{33GI??BF9VmP&WPKW}(ysQ969Hrjc%2=b#aA*l6NVGqGBM9DfjM2dL2- zE|IK$OUztQiAMQSNRpK19T<9%@l~V_wD)su9>27oe!=44wx>hfu-|60)hi*>=l^^K zr*+|&fL(g;y&06$RyiRl)&Al1u^iuaGN9cwSb_Fw1-otuByXI9B2p*mJ{x2*-GyNa zT{rD}TaUp#-^}(5R-H|(gcchbQIHRq&cYd*iRpqQU3(?amF&a7k|e6QwIlH$+INy7 zO3&ze)<{BLdY566w}uUNqhe!u1rQ}MT~L1qj#cH<|1Q47(~dNZBBztkR%kcVk={vl z?cUf#`Oj*_SgRBeH%rkX@!kXwyQZ8$idE2J>BM2Spg309Io*iXY$7sROihwcp*{b& z+cP?kV+;t3*lwnm8rOz>W^1A*c3a1sg9X$_?zRQoCja=Y{2dl4FUC);{yOzTUWKQb z)b#&xu9dso^r&ra$R+2H70vBXT4=OA+fh34%NhY=1MN1F|75B?HyAXFN*3QjIpm4N zs$fYlvfKrArkf0OK8E*sJY&+Jr9N75xp@fsNWy{mPyOQL1RRjLTMqEcV^T z3@RYOaPw6ym&bdDZU1J6RwUnpFhQL}UcY_zL`f)*`y%(ushCIg*NNruW(v3O1MJilrIMG zta4w&0NtTMs&^xn`746eBeLGfe9JWTs39JzU%puNEl;3p(ZD$D#QWXNx$S!W2QB(P zn4@-c|F<;)au0VK`^qBAn0|3u7AgE#xq(Rt?Ou8(sG?>TV<@Yszan4iu>s?AlZdvWGh2Pw$|0${pgpJTS;=9q;2U3me ztJU_}o2axmfiKj}Xhh)XjTrDWks!`y2kDeTyn^~H9b|>oy8jYfspf$cuv@J9g@B|o z2(DyJN5cK>bWPMM91ToFAiPKmtKX{(AzTEvcRO68Ae7+ljwhcX$G^)rh&q2y-%yK5 zX+1MRT={T-AnBj%F)1N?RvD5S zhg%mJpUFFTQx3Gi64(h=;5k!g z!)7HsTqYhDUxA1%b&oS+L*?(wa>fS|)&(wvOzk_$BE?XHp@bLVUz&*n9dd9?IS&lhuJu|3J9Hl^$IHV?w_j z3TS1@l}~km1N{L_!{&ngqA#9QuSs|JekxpUdaTlpV?A>% zC_qfb?tkF{J18ia0rQ8)UZubbNy4ov1f5f+weBx3VJSeeI&PLX%2&QvwCAw8`Y<$6 zSiQxtSI38`_nZ6n+m!}|P-iAmegA*Ga&W7#&NJU``fMF(1eZRR9ass3sdxkt#(slG zk0nNq4L%0NJKqWih}fMm6Gr^SE@N4#1Wz-_WZx9aNlQq5HV@W`tj+;~8aoYcK%_Hm z7aaFFySV0${&K2vbCpv+RFzI>d`=d0>3`3-AXkva4}w9q1g?pH9m4i^8StTm2?G-U zyF#i87Bht|i!Xa>27&E|z2t-Yzo5rW=1b#b(8SA>4GTeG6nsXV86tlYV_ExxHsm=LYr-jpADi}H> zts+NH$4MFV)6;h6X7`ro0d|70XUo8=xyVvE4kmA-grGAr3NgO6{T-ns^mJCyOZY!? zO6FG`Nt%N~zes%*q*CO61?OarAjQT95kO~2Qcbip6nt(i`1|MbQn$~*VA6Jk*!dnx zLsL$%5JCq_htH3P>+@d#%r+Y%X9=K}4bxe38vH@&eBM3qmn&OL0PfU!kM)()y2W?< zUEa|eexHTTM2vizl>~55Zk*h`k07}5EG#{R1?U|@6nZh3WS)ZC4E1zfZYh$mLIPO8 z88FoM26i`*Y~w#uOVy86%7?GO+k$tf%7*`>7{B3KQl05f$VyfLtozpngj52|+_+z@ zftVod2#TG!j3OM`4m2E~uCiVuH5@EW?|-3gB!VZa-RVI$N6{-`YiJ`=Kh?&WCSudA zD=MfE(yBJ6(N}zwasl3C^WX&4z`M#dz>VsEv2?Taw>|wkYYtIQb=#eeKiF8QKk6TN zRQ1NV-ubuF3AT^NW`9+m%9HdxNAyd)z+=vZrQVsGS)F6;hV@hxb zJ>*CkqkeveV~D8a%qtECH27N@oT_jopCH=5O&=5R`_8V%EMsKO7M>*A+^8%hpbef zAT3|LhLl1XfKx;?lSZsS{|M@x)QUjqd}K3eV9D$U8yxrKRguM4G6?LU!AO6|K(dV9 zSgXpGf(`l_0Dga6-U)HKqNZ_N@m6GL#Ect+c+zd!F&;KT1zN;0mt2*K(Hf+^W|Yh<&k+nz`%$D^pbsPWfFN| z>>wVCl-081+uVeO>>c~}`~zva#GnB|FeRwn89SRV1FCFuoAVTO@dlx<20@M5=ynG! zmGW&~Ea$h=L;W>`$C~2_VC)6BHxiti4oWk*;rJs-I3@}v@m4R&2h=Y96H8NVMu4vN z?sw&Sx-cO3i`7Ui63Faxr8qZ4?%tKUKH6o_%30YVVKvrT^Mfe-_o6@CZxqSPfk}|h zo7REnwf}o$;@S0+3$dx-Ok;WEdkF$*&I0>VK zvB2NQ3qaS9v!A!OoV4vgTPqzKHv9oo*^&2f{rim+m|7I)fj z`KDzfh1+f+rf_n!$Ze>a5Lme@&H?~s)dS^T* zBlecb;24y(M51CISfI84K0xMyB3?gt;y*FUwh+RYja3dZa@35FE&WU?T8j5xbzu=Q zayh>I>17D-(3Fcb!)2%e&B7x%?%ozUY+X785EOil^o}u^9HC#2)QFE&Qvx(-cI{s0 zR~l^%Ib!ePC}P^3R6g7}Xd4i`p5?!}{)1B;>is&?NKtK|U=L2mx;*44GC|R!lyQ#A zaoFkk_;{3l#fntF($4{(OA`kRUq7P?fM{66>V6GK`x*L%VS{-u-#58iDM-Lt4;mA9|txnLx#G=%z zC~DG-VgfcXz7c^<1kgR;j~^ON1f`{@8+VLGc0#3!fgV-hZy}Nd&E}xOWE<{DpRc8D zbEf1mEe@vnuR;`c#ez4@8Zds7&%N6ua^Jo=iP(sYS6m1bj>&PGv{CLHsPsfmjCFun zzV%;9306LMMes`Tm1J3;*ZVYlavvuue$MS5n0AS(=DD@{lH+@lm38V^%5p1kH#@i1 zZ(8Tv{<)WiGRXJv__1u^==*LUn{EEdt5)MhOe1)k?cfiCDdmF`#>VCmsF!?5CDP#E z0J9bKcSCV2-gbc@3WTbz6~(+nAr0^XYS%v^CZeV9^Hn^XsL|Bm1T1`g?;@YNzKgBA z8l#vhVg61LmWGaea)3|`R5~YWP3HX*3=X~lBdp7fyK*)wqt-6@N+&o%W8~9W`#m1Y z=*j106k5L}Grgjt0o|+4>6W|)^|}Uat6X1@dIp{(&3=qYFUQAQ-=aRCgQ11$WX2YM zXe&H-Bj>aql?plL!;oc=)AMqxdhHj@hJb-|ocbi?hUJ~z8vl$JJs(y|t)O6N(?~u7 z^{PdQj#FRUc#7u6!ZNewh$-0VMKCS>>5g#U=^MiLb4+dsb}c8T36Ou^wDJ9*pb5C0 zbc}<5&;Dv-Wod^~k>z|VWevvv9uA>{qNN*ebuCwa+>0%LdYsfXod4F}!uB!AQkYOL zgfEf%S)QqTdK*QtRB^wKzs#Sj!WM$^fLP{npXxWL^|xK(bx|?uP*nhrx(U9;2VSbJ zWJMJ_O!{4}p#OQdj7=;f;OHRUe+MCk*y8oq=;|E~NXScmMcGz`3dY zpXNL)rp%Gh_?J@PX-puv;n%y_YnTJD{@T%`6tYHl~6*9m_Vl4qmMDDtc#QRf~+=G$oJ|H7}dRmOVuk62W`O zm7vk(1hPupCnYOc5oY6RqPmG^pxEvARzW4-0#vul!QOr*D_8B2UpmT@d&*BuSXl+P zIvQP4i3?vbHwXMZ2>RPk5~Y{LsNPso>$Pmieu(YVssF`Nv4U)wzsGdmGH23JL@S## z_zqjy(QP1({|dNCw%1yHMj3qzkm96J#Pq=gWAQ5BGAH2!O`NKJ?oYA}^E;n4D1f1Ii~<}g zVAS0IbcfTb*9g;}r?V`qMAHooNv;KUnMkkc)L%JsAecWd z!UjJ?0g~M)rHs#axYVm;{g016c~dajY~ncR2dO(~Y}xMCNJ9++gAMv|FcOiiE%Q{V{A z?V^rx*eYa6(w8e)&d-c&H%xOV+S)l=b)0K2KQw&H&cgQah4JKRxc~6*WSEwZGs2(A z!9oQnt5yGV4!})8{QS5fBzD7H-}=j+L4PjNzP*rvf6>G=WwjZzz2@UEf62#jN^|5B z>g%JRF{Ccr=YmIM?VK;xmz)6yg>}3L%Dl7HJ{iF>=UiSke^Jv(q@Cnq@}7k|{oKC@ zO;AKJ_b%J@E#KJn)`guO(p+bMU*N(I#rH>#5hH{qpmQuqA5Fu^Ohv6qrl;pCGw$u5 z>pnTwYiEB2%uhd8n%I0n*5s+QTb`f*DV;#HKCCIUEdRx=W4qqD*aRjue(h7edeu|1 zNc_WmGdbN)$$AiX0nzptP#{F2WOq-ny}7mi=9x7(OwyB|f99;Gmt5vdK9tObn=~~( zIPo>2=Pc*F>s}(vu;Z;=foS&2lVsvBQ75Is>eioS^GTqOz_9R%90VrqVczxOd$eP#0)C2onws<3nl{@n}kBGizRs;#08w$*P%f zKX43P%=me^(MweY2Y5LiIlIXOK*pDT0`wjk1N~`@;vv%P$v;)LMP8T%}V5xNoVT% zKc#y8HE!+2td#hBT>kj;jS_rSc%m1hgJ-|zrrX+K;mGG22 zSJiTvzB4pr{YKyR2fCk}{lr zSA=gpru8_O_Ma7?x(L`O{9M9TL6En(?oqxr7&bdYJtOqTSe}DzxP!OZ&c}(j9jmBL zrzV}(MHMRn1DtLp`eTEymquhn8wU-kmS-5+nT<&YL9G84+T#Gx5{Tot4LdzhVB=@w zk`+gY9gvST8^b<+F`G6i)xsp@MRn|9@-ddKc6pql^XH-US3)lB(wmXpX_d*l011^f zuMNOnYTJ7qU0?GeIpQ)Hm|g4egaZP|rXa3=BuU<^PZYcnVHN zog^`xgoyzz+CL{o6(JaO@RvcHo0BfNc@gk~*tVRy!=B0`G%){?{(FTD`uaH-Ypn5c z(wI1S{qnM1*%-7D4S?*M#49w!#-Z4%tM>wMlxZ^2w*W_+Ipvao-l|u}$xAeS9_J#z zyBi*J=&*Ckd&~;9__*V&i3cz-un>zK57W{g1HINQ+5qs77-fx>T1@}^rWzcLdE56ASzrSc-sG<<{2M0&$TVfCFS*Q0&a;y*=wyv>pe zDDCr(Mx1M0=B@x^AF{7DcSVkEQijAr_Yon1KxtM5Ox{gN5xB(k}{)x?C6 z0!q>WO@E&$sBJk_$z^>~6Y@y-z6j@l_$_W`*s1oU!1a)_IS~p%;mc?uLtOLGM;7@!K=xI>MN8R!jVdbj zl96L|c=CuoM&lhLu95{%=-2UJ?TlWPn!aA0*pJTthP6_7;Ck87i`KH*OV7FAr)`L> zLn!^r5`i)@=_N)pXa|Fcx?Z34?=0wHotIV9Z{I}AB~Si$QWPIA-+HR@)PoZOFZp8O z$}C)ZfnLzFD7x9m>mbda6Cop{-|Et{!wCN7qoCREJM)-CaiZqb%h~loCQbuIvou$% zB>Dev^_F2#yZM?T5`oB3B+qt&uAD7;L{S3o8tukC!0i35+xICf>SlY0>yAwd^R+1IL*l1D zvOtwmYe<`074X0au!RA-=KnWWpo5prZj`RVV z)$}`;wUQI+`xP{`;SJze2=3kg`~v+`*F0`rFJ0o8X9n(qfrk;G0~m%t>VsOS4FFlft5P@z?S=NNb@4+nd0q#Dpjc|7&Bs{|YdY`@_ zO~#eTfEWHNGshhzDo`%3qCwh*K|o-xdU@#b)kindZ2$O`6*^w(H~m10F(sm8ZMHAJ zfK(bT7m9@jy^`iDSxQ%+Ed$4 z81L#6p9h2T2QLb+Ab_1>VRb4z`~M^Fa$*5CcsURlvd*ii|HlGqZUmA75&%RMMW=W! zVLSdEv%lhLLX!+Hjq}xyv5X-U-@2Z7Bbek}BrDQbNnruggNQf1mqAn#v<- zT|I?q;HdHCJCxggt}(WEe}A<~zA{VTV&SGKBZ}o8DOnbH=Ys!|J+;su_C%5M^Y^DY zvz~GATUG_KRbx@W`Swi%W$Y)Y_iYKIt<(859kXH0&<+RE0VlwmBK+@R!h8|{I(2^b zL_+`n;rD&@9?Puo&;NfAV$F^~y7Q^#l|nNRkde^2y6_r2^2A@;V-6mD^58VL(M3X4 zVEU{vj`nrk4iKc8{fS$DvHf#B_apB&nht)|3C!_K9Vqs)@|zj#xQ`ex1Ksv5u{On5 zW-0%BjAV`x__AO}s&wKQfKmXa+o9i)!5Fex804j1f0unFsXVTObKc5CVTk!XZqbnm zxFmE zaDX$NL~3*mdtHi<_s3ZRu+tltmgyZ1%Em&;U$2db zSZJJhfGY7HOcKD!_TL`2{GXT5{=dV-8%l?LE@4_;gVX;7bw^3ugY5&gx_(|38}0}2 zEgc9$dWs>(j{lDax%tj< zUN^S{xXxPuMwU41RC2pY5F5bh&HG?T8*hP1%$m24`O~AV2N-%Jym!`^*EQPfC(P%4 ze%SEUNA(oB2j}acF}5uAR$I`$`^SvVY7p=()Ew$qiM5jP_y|)9Ir@tresp%-NWAlN zS$3Vs1oQ^tA?BsEpIw_>CA;2W4yIy}bJ9R}E zUe&=i^d|INvZAEP_Ky##n(DYW&~)hou(kgIm?6=e1NcfzzybVco4P|)^Sc0h>Rm8E zR0g^$$cz&J&r(`#mt`!z1}V9TACPpGx^$7(^#g}bfu`e!Dz$cV{`$rke=xt^VetFh z>UOD%3$bYPSbCmEyYK9Yj9z`h&WFbyYM*x|dIlsTcusCmNGbS!tOV_j7RDsNfKiQl zi`ABKkabv4bTvm$EWNs+DKoIWIW;TPj_z{@*Xc&9dO)xXpI;Zfc`Xh=ce`OEa;9&O z6+-+;DVj^x&O-ays(fYp4FP*?B9_Q-N>S&2&%1{#Q|Tz9tFy3fh_Qtu0OtiF`=33w z-~ZLGzhb@m-ADnP}{Rz}GErHD)$~GRljY9#yJ)lFMrm zp+wUmuFB3-YSv=5i1lZR+!@W5dv=LJ(;y$A>bfmK);HGHcg%qT7zPffQRNIZ*%6U( zKwyO7xUENi#{d1h3D@-SOKYd#gHY8Xg4+C`$8X9iR+EQl|lm6Tit^RwX0wAe3J%|)(vuk!av`TDgj9GDRe=%kYo4^WLzU?t8c%}dA7n4_2m9X zXJ(?vS+2Wi?e~%wKgp-*|M1%2=}ojcx_sH;=*C-49&nYgU43OfyKZ{jO2jK7TL}DE z8HJOVC1_DE6Yg50K!~NCa~2*^TAl#uP6i!!+B<%_Ef0(d6(D-B@Y&M>2j#cHE@q9S z21d-eV4KT-!Lxy5laAD+M%hG`cS( zNS2ouMc`>5<2YDu@UwiP_M09o$G4hciENLh|1Lsc*gSi%A}Zu35|LhA4MerF+~QdC z2+y%W6U%7VbKgEFYDTfxoceZT=mIL?Y&8BK!m4PyyU)B+WId&` zb5=PxMCDq6TAJ@9#P+wYek`RCi&>YfpMg`6mz_av#mBs#tpUb#oG+E~}y%2vG zaog{gXY}%bGFD$o4SS31JXO3U?5nl*AcG6N2R3`Qwt?w>%1KqJwxfQ#%RIh2#l+i6q*oeF%moGI-F)o_;>w$H0`Bb&{7%|uct3GKjgi&pD}7AN8&{? zl~Kp=o&)GRykfc~;s#<6MlvMg2kwbdgZ+GAh4-3n_G3M#$0eCK?KZ>E-T0sh)9ayB zl_P3jHCOMVUp0W`y+i9dyMN_PRPNqpNAXEE;RPx0SOKCY#Z5#hC^BQ3k;^Y+YU1Mc zFaFezDXmq}mQo-7^DL;&)2B=Pj!B!SN`I~OZ-C>U-1613=hV-%h8JtsUbfX{>UDr7 zr+mXjBAKSx<3~2O1xEk4ridDiCgXwmGKt;KnD0xqa3FastLJDezb+kBsr6bvebi^$ zo_Bd>OX`q}G{ZAFl66|hqEn?u7*56S5oks2kpfsPk~$0*64>i<_|B6px%q6s7bK-q zYE1n(Ei}dqq6Q=N9FB-X2h&uh%p0Qdq!Q-U9Uk$6tey(B&3;7Cb6x`u?tOU6ee|YWr4wL>AY-{bm`lfHwPmv8)9DQV=mQ^f8@@cTyE{Zs zTi!b#tfFFtFF(D`;2%n?P*H0;?HL3ZP|-I(CI4BkZZ6fC4Ft-Hr`sPYdLCX;)^4}; z7pRxn)y%dIyr_9osMPsx$TxI|*S7KK+Bnvpwe^N{$>+tG!B=nA_a$9IN<;2|AD$!N zeX3Tx^S``5o}~}}n%D$m7nA)n4!Js^#jNVrQxgWOqrUkOLO(9#?W*F`$m%?6Csxlu{p^IBehwB(onc?l(oYL_Q@Z=fB|aXq#! zTGDn&NyP8)c97SFIHIgF2EBX2Mn_x?B@1`lh#9rF&T{DlcQ7)g^PCEEB8A1JkcH+g zCY$cwhm%vrIz6L)tq#gF?X%emsS^4(ULvtjt9s5bM$?k6t`(;+Tg|S*If-n0kJGYb@s?( z`aj-2`0OMP=0YMYqcQ~)6sT7BUb&8vj!@1NB~w7D+~GbExL?W=aNECLtm=Ep9<)$u z9ha#UM9%2^*t;LZxM02RK=|c7!2{JfI~~gAgg%5WJ0Ge%{`fxvc&3 z*&yNtGL8%LZ743wfjrZ*th)B^GW-&V~82kd_G zIgP$bzfsP#IfGGzOPWpO6f~_>0s3D@NQk3&G^n?i-abU4z9nzJFA7Agn&~pL_C>Pf z*L=s;;M>Yz@9A%rA_Kcc`oqMSNvgY{E4@$==Uc`<`HHzX)9-rc7ed~Dk?Xn_d(rr< z!9O2^7Ti zyk2h#A#sLZ5X}XYmo4m1HM#P%P|7&%c?VLAXYqR_J__9??Sb3LzHRI@XoH_3r-k`E z_Vgc;;VV#QImoYO3-1zJhC!U{7nXKg-+dC^r-K<9P*>;>k_f6A?V-Ax7Z;=-4KF>~ zFS;kW^FkBV=i3`sXU^&3BP>UjZAOVNxd}CO%dp2`zw~j@d8aXDjnEI@ zD(H~%*u+I8te|-~#v%QF()rb31Eux3R5q6^VfKS|0Kk zSFBO2B{%!tH(S9AeZOR`DX*vVSHYGXEY%0;e!X$r0{|{*S`O>F5q`Yd&TwW-F4)Gv z+U1T{R$1+@ss{xXq0Wp{nd@Ah2mB#xMLPJm(HZYZo#y6LVM(I*g&lrW_`Y=rNCz|D zL>wNu5kowuoUwIiula=L&5we8DDu|DV3Q7Z$7R3Zzxl?LtHp#-ek?h)B^SAI+gZfW zt5@+zK0AN;fIyk`{A};@^6y zb=pZ#$p--6Lz~{8QVbYIA}U^?q2tW!oHOGH^F1MuE~sPP9&>WJl)A{LNgJnd{_&}^ zC#`!J<-ZWP!4-ZAKn1l$ysk-zp;!G#F)_ z-lcbY;bcFdx)3_lNDcg&Ej{}1Z=Tn1{897n<{4l%9`OjFmV+n69SkS1)NW_FdleDk zEc0EABr9weR!su3F(*TWQx`Ht@i4N~&S+jilknGP;}Z*|P}~wCb6t`<+eFT9MBFx_){LI)?smvTMA3yh&|N zeQ#wx1bsYbup)k~#GsOqm@#ARoWjTn!Q?4IMvq+3IY;~UmSNBu&<1U*U{dkQ%?cAg zBsP?OSX+=HdY?1OU-t4ZTn{J_*!5`Jl0<+*jsigtjnj7?quR|y!3)Q;)2Mx=8Js}s zTA4McoOpCB95NcyHwhf?3?k3f<%|G!s~GT!(&>cL9e+fRHVOHQycor1f-wl-(G^q_ zwtpiQL;tYW{%yYn{X$|PND5u}54~9(o6sDBDK2au`8DLnk$_~iGq@A3g+mUyz58-; zIvJKKbCcKj5Ibnb3%&j6Oe-&8K`QQ_70$E#s!Bdx-8=8bgj-kl-rpgy% zw$apR*?j`V#8lb$2j$(Zl-uH3qF{VQzH-W(fWxD`72aAB{df$@T9YW4%^Mv1xEZq` z5=)uJne#cku1FbJ)^;2jFUfmPV3#e|BrH`%?H6$Bb4Ab!E5I$;i_t0hy7rcEJnb1% zb=pSvXJbJt)YLQ4Yo)ab$#ZK@2cyi0>6P2l#Dj=zw&T9`C6N zNXNcnQ(IA?H8I3HT`!nbz^&5GWCIf^>9F^_Hb`|iirW%axML?qN!an=oiPfE8a|a6 zybYQ5*1qD$k-Vk4=xEB12pnsPD1~|^+WGwmG7Avoc5QYTQ6OwOQ*KIKD4}8MSFkQ1 z|L-``{&yS)Bv_4My2u~deTz5euQjqInWZVTB6n=*LKE*=|8|oBO3dU8N?x^?ZVwZU z?9qLO^~+$^zby{uZ(K;pR4Q8gUocM4q|r9{ovIVD7RULG<6kJGDH)oU7N7h*pM6t{ zTe0q5wkMR%-@cbaLWG3o)$Nfhymt(TaS3$~B9(v9a?zrQE#1c42|Mr+ZRsA=Ii~EL?cuaucG2(ko(p43bWo#grYlod+R4 zSP?D7@vJ`lM4L2f_5Kr?AtDn-wP@$HQZmAbLne#*q?~2>D%~Qwb-S&j z7Ip+0H*A(v>3E{MN@<;H^*uQ;sD+tTdWylQqOw@1yfQ={jYTQ>96vcdAaU9eLt!XL z5;sY|AR}2mDnO#kapzN|-yv=9(-IV$xV}B>0J=zI7+hWm;e>EUUk0psRfzbW5ZI@p zhHyeX49mkguI^xia#Ns}2W~Js_hi=-xP-k+;dL1AZ(l@NmgTI!U_>Tl@?1k39CW49 zXeY!3~4@!0aSIagVWt8fB85Uh4QRP$rd-c!cD0P5QF+<`{#ZmqdZKT#O z7&~(&;~48@+2h^?zWn6CwG!vED!T%B&-dPXV0^H6u)Z4deEN-3Y27dpu9(}-!3 zCNyB8MqhZcK?um&e&bN{%NzN^DErJ3UezDlUBvv_m_GXBbn?xd~4@p zRAo^{4}-3G*E3$rXv+-{x3ck@k**$-t8i`~W#>LCPY=kAwm}f}pkn)poR47qX-`fb zLpcbS*TzSWm5z6ohgTR8IfRZDXlU;Pk4qkCS-xevGdd7KB{nJ7J#f!Gmx^-6c+2hWfy*#0ytuB@u9ctq$KB&wpA^pSjV5K$JeP{OPWRK{`i`K z!a7qdBNZ`tIcLUh&?Y^_AvY^RA9O!$F(hIwkGYdbb_7%V^f)QCZ9x7A;*k zv&6t!{FU_Qt6TERF;IZ>{U|^G_vq8}n@;Ln^z~J_kaWE7=IEV!L%au@O(HYFD7IH# zDb`fk76pjj0va_(u3?|rKnbo-x!h>v-Q7LhTNg{=cAM%O=|}R) zs{NB|*Lf|xTLW3w5&4*&a^Is;e{$8d4^J{G$}sX}J6628+81oI!Q4MVg1~=isEyfb zOYE8g&N}==6I$y}_g{cB>j5R0zPE@p^tzzJ@uLu_yzy9vZJgkW6fzhsDp$2%QBmF= zML)X5-rJ9E39=nJ@%7xpggZ$a$7YKD*gB>#N;ss~?H7UJ;m6+I)XpP|x)+~az;T3H z^^#Qv#G<#c>lW;Wt&*aT_beUoNA&vbxQxpY5V$v8_vUJwIw;>`Tr zQ@f34_9Go@eNfYzJU^AmQe6R=9`Y)(ReL{!jp&JG<|*`@18zuhGB!ByLS;^@*L;kN ztfe%N9qd(T{lX?4* zPM3YOOZBbxxLBL$m8gUPU8-IGDCay!t*}io{eGxl6NMV7P&egMb^6!p~fXiMveSnDDi3G6EHFcnIxgjLsL?!B8p!==B zyJu0j^GQlPuwU@NUJ&#s2MV!KCT$>3rR|rc;!XGqmsyWrpn?cIxUE0YT#;sqEg%9X zuS9Y_v7x;Et|?D!>viSQ0dgKLWKc5fVK65XK06+{5P-wxC&4aW*57N-C$fr+Zca!F zJV6iV7Kd6N6okG{fr=EUm){3fFI38bZ)h>09MPYjORI-v<1ouTQBSt;Rg?ukalt{g@P@7RGw2Q&0qN>A8_=BiQr;4! zkw2lut|yl_ythBLb|(+3n1Q?>jO_3l=^>o1pyp0Gl?coJPW$NBIJWFUesHYk5!=T$ z0oqmHZf<~a7aL9iD?3?)6|=fpP3kB-W);=yC^f(9dhsX8&S_p&8yv{L1j2o4yRBV8 zzf0k8(ng5vwh$i2mkT-zTH)#wTR8rP(#^kxB{dvrJ<^mGUN4G-SW=w_M@G1+3`mx~oV#)Txv!?f{yI>^u zv)G=@;9QvN6V%1KF0Z1s*x${X?zHJddli1h04146!5-8y4y*-pI6tu*LV z&f5Vlm(95{e_vtu-^2F4`itla@CKbQ5FcYrybvP%4b(1RACaZi&=i#+z;dX|Yt_Ox zwD$>EChviBXqo75)^b*~^-ZH^4I;rWSEv@O1Ja4o%(IrRm(y~yCPk7O%xy94qNCLG zmF)(TfBa{=h6||h zCrbC?kU%BVXL~3Q;$XE>BHDm?^r-}O7x~yKX(uGZE6sLKReJNDODjV4%VLak1TfY+ zPx~x$P1tFvsGW11dLw+%+>8r2C#%6G->W08^8Ypc1TFoO6@HM?X_33)ogjwOE5wu@(Qi9jxW# z4H!q|Zo=zd`ZQIwU1m%#!Vv@v-*p{JLALS>8y%sycVozJ4q_NG6a6~b{a%r8)lvr| zCK<$6$saSkEA3sMXb|l(W^PuL^IEGipoMlfX)$kFDPq=Xt2k~hXl0SvfH12;lesKI z0;Ovd-owi%Q*WWCh?Vk@Y`l5|`W#B*3bjXS_jJ+F@k)j%h8wZA&x+F=@4p6*&kY3V z)e+YG{&M3&#AuPH3H<3N#y{`GQIR};lI&$|PDE~+=zP*6&fifsdV~B)!D=mac(7^5 z9Vhns!9^0c8T2$&RPR3bGX9H5jQWK_v1304UOSawrIEe!VPO$qMuRJK^YM?xL?eHC zr|Ak#2lS@DP4N3g`k(k@J+Yox_2RGHgrzOUZy$U&R5~U=mqSh8mVI9Skpm;@?01kX zr!Ky5Jv|-S`%RwQ2use8yw9uec4STw%HXkS4ZA*FFfx2xn2O8IY%8%=Zrf(+*#5WJ za^R+zM;o$Tf{4b8kB(8$fUxC8i6Zo&bw&@KVztY7wBcoX-1^`LldZiN+u=y;41= z1Pfa60l~K^SXHM2Iu0dqWA=OTK3rQ}V1k8T(5x+*gP(*@FT1W;V03+?k6SSR0;r7M zeANR_9sKJr8oTM=9S?{;7S1MoA?xc8@W8MimJD#nJgP<%j^U?3IV@2xUUEJ0OXo;k zU6Um@#e*KM+$!!4bV@9|ON%)tOh06eSSuE|I2hg`+`MoH$4Ld>#{u0>{4`Z3J%Nee z>gNV}?Ke_h2W^d~9G{*okcL^AEqI>I;YR3>0Bm;dF?%qp*oUyHk-rHFOAQCa3V`gE zi52jU-1;*c8P$1Ja_mk;vf{k204!)pA{-k9sC!KK#&ONvQE~jf_1&fIeDFdbcz!_u zlF=QYPRZ*dP*)sF@z2{ zW`etwVfV#P_DITf`hFVa*bT>k!^UHd9}(sHG!q!@f>%Vg{R1@-#nfo-3@Z4z$#Ac; zM+p&wyQwaTAhRL74tjErv-e8~TmiLC^fbtF z5kwdeR|jmRnRHNoQzY4XjC|VTlx(faKv#2z(sH>xs!u(gqa=MN7y0SBr`zq*g+yZ+ zSAOT})S}L641R#WQsDAn-3(N>?Z+Tj2Nj{&41U&2t+xUw0*SztWh#Qlq2spBTafzx zyLs)owb_B6wfU`}fYUY2&AGut?Cxb5`rn5UiMvYz^=hxVqE z%FnB43h`HU6XA0a^rGD?vyNRV&`_5*26f{S7cSTPp9H zZ8VBimSbEPswCrs5!cvv{d&tF8OVh)T?b*GG{6<5eMZ)!fd<2Cf!UxpMjPy^E@@#m zc028P%lCKWcCwl@(?>q@Wq}k$Ty1>zJkGyHchO1c8Fux9RUWd_pR&Z+ z{oK}nHWthpdik!ECG`1*2qaWgzaAH5dRR}KdXT*CeAf0BtM_IXhF+vdz=Ln_+1}5G zzAEMpe%I2U1ehx>C%xfO5SuLCDqxN_Dv8ytp?!!L5PS^xt1@=pGV>wL;Ec6(sro#} z=wPTtwI9m3T__m`b(ij>W-+3pvOA~n_tUt$+`Zr*s#{^=^FW3dO}xVOc^(g$3v)Rc zAn&;VH!HrBKrE~$B~>3~aB77MUJZ;V{PGYPxU0C}8O`F8Xc)HE%yC{%DV>0njeKC6 z5&F4xR1+~#qQ@rqxW{0``8~^&WccW)^Kl%p)uq%%dmwG&RMRXH;C3B0)l%Smw05H= z3)VF*OPv<-rq1`uZN;72^72E(c@r7;E`Buj^3H?3=xB}D_U0}Zop)1=z16tsMiMd89OP8)>L`1HIKg;-Lz51i3QL}fg#hX;O(!1z z4(IoB-S=w(?JOs^x|vGN9xHAAUGzQh@B>c=z&#R1n5M^EtIO}OqbP|(1^lVJyR_g8`1RdHYlt_ZPSZ2L|I=Yso^kj4HFX=;m;A8j1vxX1HRzV~pF zR6f6!TYaCkFFxe=8)?E9Hp5F;sJ|hs0wN4X0bNl8XWquR>1+elpqpS06By5!FrS~= z^Wkd>K2PP(3_Qh}=66r5zM>Y-4-@NtBp#QB&*7>L^;dYdo~O9&PoB>>gHFY%dL{uK z!Q^$5+Y6cz(%JN@A#+xsH~JFcVy46Y)m6)!vU)g$0P-t9d-=OE3z|Y6tpA^oY8+G{6q`X{n zv_`{%v9w;=3=9>#jnX#qiR?zB3w~5=P`h(1+Y~~1kTFcKP>y0M#y6#tP(ZqmSbQF! zs+AJ|?sMZK@e=>H)$8P6N2GzU1ERStigbgquUeNLLPZ;N#R=9sre&AVrL@Ljg(p@$ zrhX|yjBl6@7hc$P{7xyn%yYg() zXc~dtY_vklZo1IqKnyo$`;e;Hbpo5QzrtOPq7qcL&F4r&Y8u8#B30VjxbeV_jHF~| zx4oP(Jf9R>02*0u!3){$ci!jE24wDhkcY`T#)UY1LpelhNg9rYByWjDX+G?r+<#Rh z8J96veeCrz$HNU)83 zXRQxBToQkA1F_$rD4NNQ5sLjv5{1?76XOXVRNRO}Q;M2gD=DEtT9mq{%cO+&;4Jgg z`{i$tz#g0uhEx-f?Z3)q<8nweMuOjM3ZA8gc$fnVb?SddNCNWsmF;Ni}wi%G}Bo-oBi^J{C0N& z)TFzT@Evkf51H`WKWy-ml5Vf$Dv+<#CnBASviFMc>?@d0s3E1NA(y~PSgRCwO;|D0 zsZcY2?xb|rDiTQj!clbas$q$TPcZf5H??Nz$j0|~4{a;O=k?y(l=Y{l=#~${xHETS zfiBy7GWj>uxh`(&D%SQLaoYCn5%aPF?)&yymUKg|ZYS$`pBtv0k#o6g0(mKZ~5k zA13*fs`?Im?0R%W9el(33l_4=*>|JSwPa3TSN7UOVT_*w%$P z_NgCY=wa~8DGzttWzQOW9&QW=2Sp8DCvD_EUxUvxfCqWYPEwPLm{83dXWt}M#@J{G z#YeGd3)_5jra)wvLGK)VOLfmJN+-79lL958F=N86$N7=wdpZ}j9p|C1bOw_f^?wxfB;$WUoew4-adalxtF0nwvwci)hFz+gPonEOQNlyIv-AmtegtLa zM&w@dnZbInc0;>oi0|J)h15FY;Gf}}CyTil7aP|Jl+t2>J|7{Zm_H&k(A3*gUN121 z!e0MIPbj5BU{B}C6pS1ZJ^3`_e9*&zAm|~%$69LgVt*sKJ;Cg)&VO_RPsr2cGEC#l zNQ;6ZsfzYv%{|5Fyr!P)p=~{RrL9$P=uN3C(JMSvPps$p3zG`6`*gn}b7Zm9KfQeO z%2Vx?rY0eh<(phhyn_z87<$GR(|~*Tub(~s?;Y(fX|!7gXP@mLsf$8^k0OQ}rRu>xXZseNOD zrDd6GXaXDp=+(5}hp?L%6>UpBZ7)OU!(0nu`1*yA<)U=o(Lo6qqwj-Xgn7EP>v#AH zYr8~IQN1l3pC6m-eWvT>k|49wenl2wtyAgPurq%m_#;ArS}4Br_{EJvQkCqy`do$8 zu6W^9ual$5XUqml8&4`8^cpIGBd5*S4ck?jP+lH;>?{$tsSxXNetzm{>*gNmd=?j? zyU@f1@@tDu9csk{h6>+~;C-|OOzR30BK&l^>5<)c?(CtIFTFZ@lL`5}2 zV+_B2$&=z$Rn(t_NAvI+yA?aV#%l)A1!=w3L-Y_bUL{x$Cs7@XmPk_LtBZaxo&mBt zRhr7;aSc~**g47%F&C{vEgd~rYDKAMdBgJ88a--_oTWFZz9ugvu}LUiE0@U=ROrv8 zjU+F@b`D=EOrWHtnND30E$h7f(sGyBFe9_|&KHGJWyr%I>XX-jCztc_yQI)VDz&Lr z_^sppEx!Gr$95-+8?RB*#J#VfR(~db1<#dF=2uRBT%GW@;>YRfxF>?l?-K_qT8BRc z8g_b&tR9)2(Cf8YcCxN`$|l+>WQRjomzuvco{HMoQFPu!Cv2#EcBG0>pV=E_XWx5Y z4jZ14Pbx~5<~O!=KJfKI-Vxf90uN;+lUHWlYY~^P&|~>6GZ>LVXmdU{|EL-@YLl|- z6^v5KqwhD^j%Z0)Xr@oDLr}AnP>Vj&Go8Mz3%ZQXGmL{JA_Rj8MN&E!51@n(ERB?c zELjyRbUh$lx+@v)cT%l*3f22}h5tKF!F0NEGvRSkMg4KE|K~(Smra{j6F7=YvqVEj zn!^^--dcXXCOfV<(F5n{`9-|qcU(=^G0ct2+oF2s5Z5$tn~~nct%`a!FYQkCN)lBt zN`3cy?ub`$M`$)n*$C>N&OWup)?_B;ZSriVONV9DOyMTPNs+`vh}|tf*%6=^%m6-V zE0qJAA;{xvPgwik=_Pq=)^g;%ROYfuwJWIJr@5t)x6y_&bgiyS#v=cs3>?ht88BHx zXHd?H5irIhBT#D!C^&RErt8W;^lA^L#Zc)mJ|3@rF=RJVAnNmFXMy9Ge;lNXcvr*1 zM;i>7 zFLkdegjoB^=BEtFl8e4eAM@}oE4U;K>0X{#N+_GGF~P49G=+HAcW8JdZ$ap%@z z5sWT2jE3}kFz8VyQ*zaEMUBw(R69YL|tBqtAdr57+l-Ky_^xp*fQjiUKCSD5-dtZDWe=k zzL3mIw<44BDEgd`_|N0nyH1?W`TQo89U-3h8m3#vA*=h5p{gbcuKoS_T;_&vw2>Kqb^-r6eX$@C_478>ZQ(uSX(7D&xFI?C8E6U-GOw zmyGh{g~qT3&XLY+*Bd4-MU>D~e$X&FHc%Zu`~4s_?$sF_HTRud#u1dHv*SI)6GJr+ zy3zgXCQI0_zEhEuw+3lFf#4*gUsow7Y!EAX&k67NntXZbRDp%bs`j) zEdOB8=F!&As&yo40OrgooqrN8A>>fxIsFg^Tk9XYKDtL8diDmJLouxhTFVn4oD&f~ zL(KY?oshXKA?G`49rD@=W^w*=Jc>7ZBfP4Pt66^n!&E=yks$A(^90+VCe-0yoXF^! zrkWI2=C(3>$ok}gR$Md}DEKtQE>1-+!e(uz45xS5a+Gl+bTCh>LhxW}2irC;VS(ub z4Ok1+;kyzAPG<2vsY~@vDhp#Z9W)T}-;HEKQ;OqHuH$_iEk*-|SSNc9>G0H>^YC;i zqB0hQQCe1wF;kjl6uc=hpwi-+LKm1W>L&A1mkx5x2kE~x3z~&FOq!FaXs!+ zZZZVe=fb^!O@zIT+J9FBa9aR3hXL5xDqp%tn(Q<tH86U>61G|%xe9! zhdRG|OR~Dp5G2E$-OoeeXa?qMdz9a@Z%?sKy{K>UX=SIY`H|ySCm@_5*i48un}Rq5XR}NnUL_t!<<^;T{5SzJaWi@$kvmZel~u=HMW`&9aolYJo<%jNhX zri$Wme@i{KIHnHD;i>UrVpKG&oYQukKZ-8)uf;u=B||q!XOG5sWF|*KovX3C;8WvA z#6=giGF#4SscbjcPlSLQNRVeQf(`U8*2w5)U)B76O@6PhCU>_bF0U@o(da2LPHz3Bqe+@C7WUGc211@PLdrJMxwU{pdL;qp1p1R!*}UrO&q~WOvJQ|z$-dVuZy1MrSx>!Hhc z@v>Y!U}IX%|6wLnBvdJ}#c z?@8(&6F-=4Snv}Obf!88MeKyvBl5tfT2(-!1Rj?T<#WlQ5Of=|aOCG7^mtXn3DW;Y zkDgc+G6roZDSLLvscprYf|-=y)~^yP==OBI&ne`6ALZTtEl44j3R1k#yyQy@$rDY6 z?>T0?KoIDoc)`w;Os(S$zO!WA@WKRL_DXZsUr+$ zUb6_L5O5N=1AAplo<5-CnokWk#Dc__BEEid(&I`+uOlKJcy$pOXqx1AJj%?ruxX2LbkogDO> zt*S{GGRS)I-^sOL$o=2%GAX&Xw(QwB-b>g>3FkM&!_U`AH0(@+9?>s!j!pE>UWC48 zuBxXvPC%x9=jZ)%+39#x7Zo&C5|h4j-`q#O8aIzi&4h&C8Jm_a69@~38>Ovp_eg;c zJ6Cuor(Te3dz&+A7$JE?z9}%fza*`NPEK4@A+-{Crr&Re5^}6h=y7%jt9{$OPbgd1 zDLQEjUuF|MImEMyYAjqW5n>aKAqKCkAux~ z*ZKa=XE8LGw_9Zm1L-jxpjht<9Da0LQE(KoSc{bRT$ag!e;Q9Z;Tz;y|CKk85q^-K zj^1*tmPN3g`bUJQ4L3Edg}8J^9=0SNey6^lhP+!>)S<18&!1Iv@*#-+>X9Boe))md zEvwD>j~OEgYf2f{f+4$M(vK5~C{!-qM%%sbtJ&xF3s0?TXXk&wl{`q1#+;p(v5Ys4qjv_oy(wTt)A@aYQENx4 zXD$zoYlSzK1l-D6GyErH`|n8jsX0zq&>cM&9s{OXm_LVVRkAH%CPb4s5k=SY!_p4n z#~$&?%65*vd;YtHH_3b!zaG6F$MYyX2{zKr%O_>0i7T_M+MYNg;RW7O`Mxloi|gu` zi_KLJ$HkCZMe;$r#a%9xCMiFsxb-TKVGb10se|Qi$bUheFmWNU4Q1b9FTm9DV}T?D zf9L$vNm2~4UjyZtZH-p>$>L!;mzEV*%11PKBPJZ7U2h-Q)|y?u1S+M{^2=+_QDLXD zfX|c+nh1t3m6=@<*mv4(eNcYs(Z5p+owu{P?`S|Q^4ODgzY!?X7F=@L4?bv->b~=m z8^?`1+x*6THOfxR54RZ~g72a9dn%3xNM@b4!0#5Bt^5rwHoebECfyAX<-PgLj#Tds zSlIGn6VeB~y$il23q3-znpZFL7@Wrso~$`0n?9Z{9UBlc-xjaP&EMD09rt@5WxS(> zC>@r*djmO{z}h3+duLMn!D4CvxdW(RgCE&bE|Q`pWJYfcUCv5K?)FT)ZQUCVtps@Wb|5Z)<)=ehg& zA`X`2Lus$^ZJA7-#jft|1vPACij`77b4)%wJ&I5{LpRF2_A=7Qe@sf^ z@})FsXZu=cNLk{imxVO%ms$JmhnbLOI(nKW3-Xm|0%nvMjRnm&hiAT{TutP<<>G~H zRU!=?1bnm2Q8CI2?>w@Rzu~FG&q%Ek6#OBMd1GAFm>gk@1WCVV+Vjp-9<@Fn*!ucw zX8}^-cNsvHhr)*Tg{Y%?yN9v1q;?5vxt4s(y0_!pwbj!u1Fx^oV89WbyWc;dTvVZ| z;KI^^kM|I%4)|V1bQXPQuMhFY5&4NU>AFa_-C%<^MqrGLckOh#{l#K?T?{La%Ld3< zbL68{a+|YFTT%DowO*@lIJ^&{zwowHG$;F z)wUlvHQR>DNt1M*QpE1uMZS&1|NYoY8GC&(ugraGI>Gx{sCKWrmtVP@Pu<}5Vt3um zUuR2UYEXrS*tLm|YY-DD9USbBOGu5oJV@j=BnTMc2*l%*fo$^;kqjFBVtQ@-xb#l1{ zhePE1lL`9YaGh-5ZQqS)%%+uZHrqWwCcT3ei3U8e&+A{HRr!#bXFz576C4%YvlLb0F>dZmCK~3R20~~{ zTv!yr>1-wxHsBtfHgZ#4^B0&==cd*Jl8$7<` z9lU3R6)a@?WE4h;kxtu3C3!vcSM_*CND?-@s1lPeU$;N4l7E&D>kA;OE*ke!?$M|us*G2RB@l!Yj@zeI^(ICunBkzmR+bZ4Ajc&Rr zd1VvwZTM&N5p6e!MZ3qO*viU|#I2>hI_A3!oq{^mF{jXFl$ne!)sU4^*gFMD;9E9Ld{B)JdUd4vtNn zPf1xA#s{r{BXw`&W(fK*R)@1eb*wdh5gzfvcnjE zvOW4WLEa|E_aR9%Ah|28-Wk!jlYmrtz|(=f`Kg;M@8<_ArQRSDW+hv{4?Ap8o=*?k zcc&nXLaIojv|@~WxY3t{Y6B;a!)52}c5J-tZKEA`l*eVh?}|yvu_nZn9jYVWjqB(y z%_b+ZJ$nPYWI;^UXbgG$WUJYxN1f~k`W`l@HB1M@?_N8W@>oNYGU@n~Ry%mXQQVlB z+MsI`&Mn{71=PG;KjPcYsgG@9k`>(OMj+d^+lv7%$1?<{Y33V7b+&0aeP&uv`w9rj zC`H%hv0h6JJ#cdB0oP^^%*7O{62A7zJk84G{A)nX6J7K%lcZmS5tzzx{kp@H9cbyW za45D?=LvHkuP^5|MgrNP&jEuJ^H}>yTQ}{Wxaj&5^}IYjLJrY)O&?RKm9B|x4{oj+ff zZ>Q&48QmRwsKz3FhT2VbUn+ei9l0%e`ufXMz17-Rs8;6mLsaD#m0ng+=O1=1QdR?E z!CU4h^AsvS>g%0AXB~V)YeF02B?lhLBLp144J`j;zJCI$>uI1uRH2NE6xd470BU9W zK+nuq`aIa+)Mt(!y*N`(Zd$!U4reR#6Y%ybHV~Zle#5mpb8MNOWSsG-8@d4d*}K&q zSTqJTJ3eUiioIP>ZZ+9PJPgE!+h-0zY7Zq3FcY(MBDY93v+7tSyO=`J1ftEhYo>QG zS(Rd$lOm$tNA5#wUWzCiwI|*dM30Ud=~6H=-xUWj)9R91u^c^`ON9@E87Myt)_#^QAD^k!Uj1?m>KT3J-`84wEEMGIh8zDrySPNuzq%Ni$P_YhOOl{8kgM%g)vEx8io@Ywyt0i>J(z1-R6ZGZcyeD>+!cm*FNHEK?o0XyiMsL| zzXpVfY>xYOk33ZMmc3FSL-DDb{v(VX)6H8+!>SG_zw|kMJUt^{sb?VTd1BUk;n_28f zi!xb`N5``tjIdU|vnm%Q|`mqt1} z-&37xgf>gY)MkOxqMX{%=GSDgte(fOUs>v?2J;n{4pT0rs7>UnO-B~uu~n$j9Mj8gIcRb^^CQ}5~IOPH%0I)a|$tPFV3S-Y|%88yXXZ6)p-qu57 z03v+pYu|SV2b7r1rpo>iZGYj{Y;6uV5nRaL4}fMacrbz8)V&G%NW8N%f}{rfkHaBH zUw*QOkVB)AR56&qdz7We3z)kdCdjJA=oFa!M~Hk{xqX>ylyy0g^Ug{#vsN3aXjp66 zd z!U<=UKK$h0#oj6S?68&b$x(cwqKk>{<;u~M7t-6R`4MX>d+FTJW<#Da$7#59scC}z zy{0IiX-V`kCBsA0+maK*8353*g-9!evd}*N7E6)rVaF~UU#dI!O{OCue3c8*AN*>_-t1~r z0#bi*HO-4k02H#uGy>_%u0tFe(OMiwoBJGF{?t14vH89ZDuLkJteJzDfJ%Bavye7bgG#VW1VXF z@Ek)Wek^vGH!6yLTQWegRVnCFE@t8V&DGd@ahH?eXY5Pjk?CN<@MCIg&Xo^0m+tQ{ zJXIEWsh&{!E_!&QI4>U!*(I~yJe?$2^Tc@hjt%bq=AxF-3d zXbTVd{wMUd(xL^O>jQcl;Z*(kyIjP>>ue7%7a;sI>B*^Mm{Q5UNH@f!j)SJ_mYn!JPK%hKP z0Zs7!C61k3Cs#H)FPDG!T7caPTA@o8K}j#zUY zs?&cP_xi_HDG^2_4n=6wquG8?KKK-~<;P{@zA><6p5ko3Hv?L1bdmf<~N3DKEC+vx@SXD`|ndTjwbYu#M17y9ba>r zR&@EylKe6wOIK5@l&?Wk4+l|_!ArQdd_+(;M<4Ek>{Aoyibhp7@=7Gq`Gp3EEu8q` z$m4VC*)ODd!QBLGAM4F z$gN+|?K!i*Y&>0OS86}&u5{_gu5bx&m7PG`*2?dMI0^8*_ObyqX1LVagk=(pKC@g* zt<}o5b(q%gHZ3W1q|6cEOAxK;{+j!@hJibAaalM~2UaK5gs_qqFXK{S1K6^?o;Ml2 z*@ZrKlWtcfR8^^?8G-K--f+!73aH?+T0N%pNGFw~WEFpa?IL{9E1cXz=NeN>`HNz2 z1hqq7?_E0j(JBRx?U7kz^0Nw`KHr2=(sePh$cF&@YH5$@cW*di>e6+JE`AFE!vlrg8BU`wk7^}DmZs*XGf|B zc|$t!c)^zgd_|&=+bD$q-8~7HmtlGd>~LF#xx?@=w0G_6Buj36m8H$Dtj5@QfgMeg z=lJI)ZjPgNJ687ZzQ=#hFF?*e>EzEv9zC1oi6`o4^L!{`_1==pR6g`RSpT#*UcI=E zY)sw_PFKQx?p66lBH>fC>DVK&TY{og^02x$wqlfIP|pfdbB<*<*tEV~hF@di36G*w zhpC80=Lmu);)iSW(fuDv9f(R!SbBEbd6$zhT21$ia%1Zv=Cegd{%wmaKl(gzgnKAQKO(ZeU1J%(dsQh zWGzd~PK0OCFPXl|ZO=Os_a&hclZinhmtf>$?k((+2}NZmTkwY1QXP-N!OR!|yIFZq zm1F+SZQ0Go@z8E-Y3m~ofpd5!m51s_S5t07<#ex-f)lfSiCF$Qio0e-Zv`l~3Ly7u zLM`uIHBU=<8;gxd7HgiO-Vvgc@YE?FYchY4mhu8d7k>Z5eenxhqjY#Pen@TDST@zA zUdhE9i$A&uRh0^DE5S9-YXqEFZ&re;qc1t>48l?#sPj(i40_m11!MXBfv6Esj$+M5w?h5O@u{4(N21$ZYnkO6QSxG^Jv46OS!Fh&DMOY879UsBSzy?AHsIz$Ov&JDPQj~vOdLAe6o;3*y z%E#gnp0Iu7!%#$1LvM?;h>LhV${k0*+`)IE{m5zV-!$@bK@am1ce%$PYJif)Fy7Qf{qwFCmN>y{44?0|o8m=%=iHy}yT5Iz8Wm;oA zKb?W@1-u6N__Qk2$)J0Js3R$0jOclmD~#ebrjv`|y(!*c_~Do+?XXIeXqG5?{nPw` ze-DATseMcS{Toh9=&i(3px&W0YFpxJYGWKuJdtyi$Na&!2z))lCO_c18)I|R1rpmr zGI#5(KtU21SxiVGG|_JP?OTtKCmhEfB(diUoe_JW)ja*;5piP)be z8(idK>uY9VOHv)v{f5Woez4kggHT(;?$S#cQr&EeXdNUYI|L*U1G+D+{4;O*3D=_n z1|15so8#F(yc$E|C=nd+RRc5H>o+|p@EB4&7@skq;h_6;Q@LIxWn6f-8-%rWktU_r z*Y>0xV$>HIXUF>8ITas?628In8W$;R&CKbeWi$AF{R5xis%m9_yjI~tN_-HMGe^7ckZjL=fK7 z%xlr*^GVOq(0ki*S()-TEg{X+gq77isysT;87=3@FhJ)ZIqF;YlZ@|LO8PR^|J%{~ zN~SFe7vZcvb1glC4p)1`oaZ}O;x#1k)zlhav|*T4Ih#}rIzo^(Wt#1YOoIh;rG5G_ z@amdSs@UtOm}Nd>pJ=jzVX5N4gFd?9wGg+Vo#}#VM=dWEPqgQIScqNGbL8%}15|9v zh3U1VkR4kA-U=1_jDU5x^GoR^FX;puNvZA^Q(G%jpCh2x&LaK1z@PJbiJd~7CM7C* z)Q@+vp5%!~xp<|G?l^Vxb_-60wf1~}B}VnL_)8G}jOrGxHd{=}U>Q(3T{*G>ir@bL zy{WQI4v)V%&u#6;a+?XvIIKb#@iPBO=aT@p3=GY#M6*&ht$kIGy2l6OEOe@xA>y6 zLcV2a7~n^@I^80_O7soSR%Sf?N$K6$N3(q_Irwasm@f6pROWJhk!T%Wq^y@XYw?qf zAPkd=Gc1EZnN&FjkaY}r?DWt%bqN&hg17nF41$uNpr!mdH*g>1P*r5aw{elPE3HMg zaS`dasqh*d$KN&Nihj~qndqfrXJP7%aeFZ5fp;KM%0g|xMw(2e5zD+PmI}>>_4Y-S(Yl>XMXpz9f2%(MI;hMUo| zWVbvWLfk1E(~2AyvjT@hZpOdvB(qhT*Tgk309`Hm{_?n%rM_gKQhGu=POW_9jn;E| z`@TJ~qB_!~0vmRsc{(|Xvv;-(t2TFvhkviXW$#;fO{7FHfJnL2<~83asKQnj6uWi@{m#@LWW}Ue4S; zJWTqN-V1J?G5e3$Ee(VhEZts35@HEj0xc0U3WL7B*$JrCs6&c9$Q;#~pCt#Id&9Yu zJnf0TII@ZdX(sxyZMj{U^xiS(Nsy@GF4%u)Rz`YF)S~$0AOp<4vc&JFVbba;#<+ZA zP3L<-A?W7*Sc#EVO%Ry!TS7Q+mg34f?^n5Wxmsy10ug|Be*%#fn&NB0U;y9fT<0q4 zENp!gw$P;MRH+#trzidjEv2$+J2aMK6HV_3+>5ovV24!*+#lR_W+J3{?i4j}2Xr+L zxK-EYc5&~g!orzKiP`;RyD%>QRn=-7OkH`t>DaR>^}KvcMnn-nPH_wQPCJ z%&BVaX1R<-X<-TRiWpYo7e^qQYc`P^4j7z8|H9aZW&eSp5k zRW2H09X)4CUSi?eu2JoP3x&ZUJ7po@5OBI6?sUt4Y(lq@6KhvIj6l9ut`or%#x(5I zkaQ&mvbP<{n81x;H)|JDSOF*M5ukl3Y0~Baz2u4{gf1X+7B(-8DAHGl5HK6jIvT+g zYTl6j5Pzc-i%T)-!dAKdD(c^ukB}ZUFAw;6)2D$ zrY1R(`i^R!_Bw!PO8abcjX;X?6@fOaaDSuA&O%6w?||ClWQZsd2@V1OV*@x}HYSXd zWrxR)iUOo3qQgU|Us-gOtL5&`ldJ)!JPW#9+1anZ4^EFgDbMR*>Z?~$p5TfF>L{j1 zpY`g0g0|qscET6EHKeg|E%+l49E&cXFTluf9SIhsy;;Nl;K7%Q?>O%=E_3pTs=Glt zVYZpVct?0PEl;+&1`%F465hK?eQ2N}#re8L5mz5>y-+!v?paU+IO#=&EuSb1Hz)4F zNZ{7YwQ@;+8WC5B91Ka(Jzaoo4%G2DHT=kO>v6&=VmJ%QWy#%H)t1DgcV(b} z(Y`51*Cib%z`xdbJ;j;kFJr!n%Kf{IB>nj$&LwBrc1A`-QLIku;4Kx?lA)&+@FE@q z)v=g$nfq~NJoX8*DsB)iEj@-MiYNS8*?jH2IWB1evhZ|+s3Cfi^Z%&X1(gfP-$3pVe=!5#a{m$u z@cYkB(yKxk!wjW@aLcCO0eZ3N7?&^Fct#-Hh@yX1pJTecgQQI%1Rt0+KNdS}mS2Q!@8Yb`tLbh+BayTu(jrltQH>&rh zMW{97e+Fr35itK5%C$E&9xVdc=~D{aPX22TFDd^<9{-~Py*DppK^Hj7y%g=V%GJyI z4+H*Hv=*9FS@jRv9Dr*75iF6Ij@|%(Oyi^^CivI7{@53=f1Ip<2QbmU+S_XJ4{`4= zIQKvM2RcK`TeKrCZ2RI{`%GCkI-nEyUrt;kthki*zc>)P1C(q!aCBUlBTnT1F?N8i ze^u?jjQzj$;$KhpN1iz2{g?1~b4iB_JJ!D-<&OonX5+m1Uz+~7B%B=n(pE0_d-R-m X;o+87^dWmb9`I3?e=3K3Z0`Sm0zbuz diff --git a/doc/3-pattern/channels.png b/doc/3-pattern/channels.png deleted file mode 100644 index ab4c985f20dff6114f21858e8d62fbe191c076a1..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 66261 zcma&ObwJbI8#ay)J~jvfjsqDVI=thw9v&yl{dpdG3se>~SxRW|k=UTAq9Y-Mios=PJCO+(8x z^|HCQeiO&A+iY!_t$C*@#{6--N3z}L%FOi4u#1;TYiKHRIB<@7IQ~ctGqgACOmTLg7Mr-z z)?Q?>Lp2ZeRC90Gm-y`zDcdkP!G|h)3H5pKL?q^D#B)cLdez!`6zh-jmq7-#9$V#CH;_&X%FIy!!TYg^c)_j;q|CLe5ZI{b5Krhfz0;J})lZQN*45B>L1JEXN{vmetvKDfcN4 zQd#jzSo7+8!wRl}huUsZWIJ&v5@k{txqlblwsqEBma&ee&$hx zm3M?3>&4tB#o!sGX9mi@7ejTpBJjk?;oAka7r2p!g5*9qkdY#Bd_Ogu@;5|h;#{~G z+v#hRMIWx=a770)RO?XQHvF1tpsfC9=T)kI^u_&&>P3g+3nloF+rd4GZvUN`qEOyK z>pw_##_>;P4sCc~x_|Vf*K`z5pg*+m!Q=-PPOE-*sD|I76Y6cxE*^i;aqmUP@4fQ+VpgwKj7fRkr1r zaJI$v=y{f7u+>9{Q88cuP# z3ln@4wZ6Y7)kqoU#DOK-ZrWaWZ&5b^1qf6KLadf_oCy0G2MYL zQT*iawFA$8fAR6q#bw`1)W01T1lvD`ioZuyuX?3PmGi6}7?|ROiT9`u-Gj17;P4F# z=lnpZEiO84`c*z3nmAOCu6zmM<_KJ=CvC+P_iqo{DSY9GG236#2N4o=*Kwdb)!crTkJ- zI*0+Or#db!l!ad%lk1iiE>u-gprS5uu(6$z>^|l+Ytt8Y=6j$4XIC{BLrFnSf7qFX z*`uxS5TC{3tqHa)qq+<4}&za>Du5Polquz)EbbiQkQ`-mPmIu8}j_D7&^<7BlPBjm1p|`{13CO6YIVKLyNhFA0Od3OppfqR-(hF9JN z3nTPnBOetI@5Q&b-PB4QyS&JLF=SlD!QrXg9pCrqJV$6;J8miQ#~09Cd+(5xb>v0MMsdA6YmZkbS?NVT+psTn)N^e4Q<8YO#Xf{YMijCHSWB>+eC5+06kXJN z)^0eSWuU+3p~|t27K_vmJ#TNRXVU{|OFUg}vWY*ye(|8}WR>o?m%D_N^Fn%6N6qZC zSiUt|30d2w;$3)~Sh#EXbhL7Qd*%n&!HQzPA-IC9xVYyuznpVlbafIzz zBniyKlOq0JgH8PAlKF6au(z(FQGPCP81F9No*j@T$>q#nUPy_X(YpN74Sgch530~C zD^m8#D=0f`V;-%d-bqP|ac{zL3GyeuOL1~~LtdSB!fT<=q-@onMXUCQ!Ht;cKw1he zu^Wt$vQ=H1G6JGwsGz4Nv#kynrRvWhv)>uH!H{^b^;{-9sXQy-8F~1op0{BhBy558 zb9pb#YR4%P243!-_kuO)k?$@#V})K^;tIUQCa$_<26R>W$4$27&lPiwqTF$gKxq`eV0*whwEw?i8{T7R{PHoBj3 zA$`5yHgTfkTVzR=)v+Aoc@Hx=GToV?Y+s=DSL#OMs=&wx6HfER0pldkJ1kroj-wy2 z@CVs)fgSpW2K^TbY2zfB?-<)V$uuLa_C1x#!GG>eCIhad-Y_$Xzu7Nyk_iDzqU7&0$H{Fh6A~N~A{=iJ63WMlAF9|J z+xFS2-?|pd`_Yl^?$8}+0?J4K?#%U(qO`z#?NzNfO#iQFKXY2cGPX`uPnA<|*uFb+ zmcLlL}VCo;Nz{0W=}8}FgPwj8%| zyY{={)VX|KZjeU0p8q7=O883{_~=wK;~Gdhe*?iXXq9cmI69L46c-d>ktU<3zG`ODVd&gcb!b|sDE6X>w4{%gb z?`QxCp-_`=<1$FU%h9jES`;*)4y*8i^BW|%q%wxhwc|_!bT?<^eA_Sg6OTuEUHISk z+6QC(2P+!P%tczv1(7w(insF_w35e9y$fg(4oAxV1fJ)$)pKNT+A z9t;+|h_&UrE%WGwlFs;0=51v z_ffm=rlp^pXczgAaP+&we3kT_S{;~a1R`4er8exF`S^v8Y}VeNddAztDk1khe)xYh zru|9#_}3M(DrO|Mf}quKj4h^b+!8o!WPlrpkxPBMc8rVd;g1t+hU4iekbJMWuLt{v zA1%#BL!)7VSd-xc%>V&!xeRGwf@zKt7###s*7z@L%r)#rGP=4iewt7|&O z3N`&ug(QAcZ%{skf$9k5H-;vI%wJd}vW698PFPXjzNT^z|BQik4^;$KqgMNZZe5x~ zDsNxQtIwD%J$bmb>Nlj0f2j{6X|MRR#NOaz=lzgi_zL@FuT7{`)`1_r8A=rnW7T2a zOt#T?^2jqEFZ#$9_p>c|xRfxLsee+fPg(ow38WDHoNljIr+Wtku%eY4jeJQ+n$ z!a+tE?BBGz3l(|07YqhHLZxgq8x(wix{c>&39;wfM%)=NNA7pJ%keIlg-q%N29*eB zo}WE?QwiRGyRqZ8e{P->B4J$fBb%}(Uzh8{Tw;A?_WI|}!pX{#pA8kl0k9DLuEvV| znRFK_?Zyf)ebLv2pUspk_;W|jwS(>e9wz%hb7|66y>47dAU_5BApW!*R5xK(Ro$xn z5iOlb?5B=LMJF^EChjb=rEjtGw&qWMcB)&z!%3l;3Akt`-2Bjs;-qoar#@K=VF{xr z3^Q;+j-sy2MmOjFzMm}Hr}KYg zsB^<{Ecwjh3!AL?BlOeKWoMozO&mQc9kyfo`3i=a8lSSM!R zhDrYjly7QM_f}DZWKO6%@03^V$v_yAI2JuhZsLAUpcC&oqr-qJEpfPipD&|=%JSRQRnpBW6k_OeOV66_(3Dm%sCWuso`3l zSAfoWjNWF!D?5u6ADg4pks}T<7@1qeiR&_854F2NmwW&}ZnC(%1{@&QD-3vn<8cs% zeX%krxSQM8UWX$wFF$&&c^TZ_e!0x99QH~3(VZi-X-8-!w%K%obZRsrRx6f;3#TA_ zXrI|HpUK|tdg-g`FQ;h)n|o~`(Gy#V-)B0X3OQ!cJPfNX+S}K1?CGBRM|h8<;zh!($@O@$VgY*{O6UX6AI)wwkA5z|_%{bI#@A}|oE)+dk>G#bcynCy zEgknOIq=jxua>yze2CkR82%>0QOJ`rspz)5{BEbx)lG_#y&n{1Rs91qoqcuRrGhOx zSR13o4K~f!ul%B=Y{MyqgkwJgYM!n?P)*PjbL|M{aA*g6K@V0d1^03Zc|{%0W$;Gj zsdW0$c9HiAQ*|y=2_;z;z4+~gnw?{42xM^EKACK)*Q#k4>5-U+)pewmXkydz#zrjN zXs~YKsU1A~eD+KHHS~=Omt{@|2{{d7^MfwE_GmQ_O1>K}HI;E+H|Wz<*N%|IEgKLu z?{XcVf+9t1fx&RR7PN3OaZwH$0~F=j5&fj?%mRTIwR=y^FXl{us&wYj=U@IfZuE*~ zy3QZ2wU}-d%iB7Xvz%Es{=Hu%#;Eb9i&bxbN7*TQ5=}TvYQ(ydysk`^HjKLUJe=pIZD$Z{yqwh=xqp#A) zx}@mg=E%q8&os|?2A|owZ}|OcQDjEghmb`tQr3ezpS{Pt9Tn}*e>)4<$55{{h^|+f zId$b;0HrPy<_OU_2#249=&u{XfXH&tsIWYud4O88IsU6r`8~5$uy2;T_|~vQwMTfs zQF&F_i28`hOBIM}s3&`yJBxdI2rir;jmaI;VDE6}ap!gCThvU+jdH@GO2{{sWp}T0 zxAs);I339jpnspWIbd~aIy73459L#*;Ah7mLW)3W_pXuU zOIU*Q&>QY|Hm${w2~*6Zm?%)v(n?=U=`Q1}cwxgsKNln1{K-JIPOSM`*!Xq9rop$H zsAu)xOM<4=Qs3x$m;xtlcZu8KJeN;Xf%l%$p*|Kc6$ba=UAF_10>3lM7Sco2%l9|4y z+eBW<^rKhvPm&uUq>NV(2zfrbP9ERQDO-@9w+cVRrdWQQCF=o)^0L15ZCO2^Q2kRl zES7i5>L%Pp-aQ+5Zf(*mfTtZ4=Boc!kowo4FW-X_XG?omO!#_E4#$63F=@XDw#McD zAuKv_WHM#)syMsplTRleeIJ6t<~@pbysgi6!KNqVP5AJ#we>vqf&Ib&RONg28u5{0 z0%{@G(K6gEABM(n&(Y|*u49(f&)dW;_^U$Rd~0*(bG2;hOB_nE52piu%e_)mYR4}wF?SjN+!ptM_X7#7? z=h*c^R2+g;jB>CY2w6gab;|mf=>XqZ{=h& zEf}px3&O$g!6{e~Wz+P6w9|`~yT9A)kTH4mhWgBR?^`*u8<@Y2jCfrIP=TF_VE$X9v4r^~tmBz?>OmQ(eV4o))dl#hB^}h^H=0b#Cp;a@)Cax*=T3bJicA zYIDm0q^sqS2UJvP$s`+L|2J}E@w4VbttI5kJUJ1-FI`C$U?0ra3HzB2T~tcht^0=g zAZrms{Bs&hEEB`C2{z5xwDGk3Kw;ZmVBgNqzHhXF_7SqCW3Dt4R3XNXLLnL}{^PEZ zU4t8*SBtp55WYebRqdPX`~Op?v@nN$)yv*R;TuRi zsu*Bls=&hnO6|sFMd$<6Ed5Dl!t)c${w%Z!6DR&?wqM6S-%0g`nwcn*TAGAg?-^wW ze%azhCKzSrzPM6(b%daeaIl8S^|576$7uLsBit^$TN}L%V}BTav*lAkC{1Ii%Q0%H z){zTxaT9T_&od}>0Kb4Fpe1|33wbnY+!Tio9+!I$Ku7rj_jHj_g*tR)92b9wh+_Y& zk^B&GCO}P^^9U_wuZ^emujJV`n9n7ZW>GMlu8HKMfKT097R{u z7kVV)Y$gC7%QJg703>-l{!r1rV^^C^TqT#jBP-!zN1zKUg|n766W!IRxVuX ziP+9ZMMs;e-rqY)UR!{^(>`)PWiE1fl)SNwLhKE#RUv&I^lZ&2 zHC9QI#yHI8-tgzkw7*=8NyoE~wrsB5LR>FcB+?wbO=Wu?FHCR<(s)vZl(i@{+1%7A zc|+(bA+K9S$rCa{=P-k)y8H9ye^j-6KKW&R{Dk5N+ZFVXWo1Xwcr^Jy0;RS{VtVx~ zHa~Vbqs_#;y-b+cTqJzODoC`|9kCYUkR*bFbYNH%g)p6(9_x^iCIPgcZs(hUm@+!( zfeamYNHi|K)1qGdZbopon<+It4@p@okb~KUm~j?RA&h%CEYlHV;1JN_Ofl3(`A`cB z=}*erA-5QzM7N&U9j8Je7j}dE@}!b7SO3K_7^(*OmpK$VhY5$h^)%R=(tgs%W)}#{ z8_UGHI!U%(>6RIGL1f?;mj1I2MAL`|_q1OV6E3mpLCNaq(^OpXuPS5(*?)dZ{g#in zj}Hd#g%n~0wG18Riyncnm)9KMrY*{|yj+wYESBO@ zgCC*jg*z0z@vyz5>EZKE#j(Q38Zr|krYO@H2hF00^-MTY2wBgGlr@N#%|-jHt5Jy}JrJf1KLG&Xy@+qJ4E|Y3 zO5u+nCSmbx@#FZ{=r#OE0OS%rGq9E>E_ z*0SZ1D;1Fl%ep#8d<|JWZE-RP`7!}$ zGy4E*(pu6CyL~P5-yX`0+hNFZdd`OgJ6GUtfvhvht3L}HiEtS7aSCi)31h%n?B3I9 zPZDZTKtV8TEg!Bs(Br;dpZz*yBZ`D^Iw>ET^a0xEbAm_7uPHxKq_tD~94D~m!^V|c zQ=YTF*iCf?+?iBdUIcOJsZnMUAJPE35vJq8L|QA5dH!V`WZz;g>)VSSpXYsXt{9CA z+Iq1iGo2c=k}(pQ3_uMbm(`Ktq#Crzso1*Gj|^EyHfg?W`S)kZ6W}d#829p(7!`Qc zz0lIU2-v{4m81EPld?Ag1~&jupWhn4;D~wN<4BTszKk?F4J}dFl+Do-O4^c744js&>| z-QFA{D0vN+>yKzrh0`CTq$!AsjgHmD{fEwv2C*4SJN`biCkSvFsrtu0-s6w(B0`uM zz*)uYrW_uWY7zplOEb+<-J9K^x+f!uX}!;qBM{Br;%@b9J*|TYW4=g*^{CcZGB0Q$ zQ&Dxm!cJ84AvG}1w0weEnyL_9NP0+p*HWVT$takeAt;gF>AI91Qw~TNo2G}JG5i@2 zb3fB_ZkKg8y|dnc)@SVY{!UMhm(2=PVMAtZOmU}we$Ff?qp@~*V16bFVY1OW!S*dG zc!gtL1UbDu0D?Y>TYfzP~4 zgY(s9L07#qdAZioNe8|6BMK?xh;RC(hI^Tuk@pi7zE$|%1yS~6EkF(*b+FfPw+g%V zHGyaw+-!UI!K6=zbvm6aVAFVM6W>&kY>>y1mFwcd*ofSSp{CIiaab*FT`PW?Z)U@1 z4$=C&YWT}bkQ1(Dh%(dOfbU@g>$Ya%c9R1}fi z@opUF2P4=GNHvvRQQQ<>+bKm_%kNdf+y^yv90fOh7)Xl^TZW=Rosd$ot*!kcQ-vL_ zZ=qs4#{>tgDzpe+7;2!$++%5%?^3dO$Nf!R6PY{{Ge?_N3}pD2*)<>Ub!guuK~Sw& zjXJN1;2?SVczQ!>AEO`(ZKe)MSCFA~3X1ngDOk-x-AXbV;qY2_{)<0)V`tg{v()ng zX)D$6lT29F6kP3Ig#>SJTvH^p2QT^X7wV8%I)6%nzE}*t_IAS{H8XNi1+5|$;rnlr$m&Px?sZjVDo#P!RPXb(cWR!IErXB6M?W5 zncWn%Hdc6-$UCQd8!j&i$3_qv(o9G(rd^jlwwZP^;T{Cm#h^FtBwx2;K+fa#LslAt zbJcpLe9ho${%UuR!-DqGJ#oV`=4%1L1a=R(zr2ERZ{61jW-{ehT#4JC z$`j<8YiPI|yNpvD1bMp+rwtwN>eQ?^)3a8#$DM}4qn;n9=&l&^ z4uu_>I7NziSgF8 zTbp7JTwo5Cf2nXlEnM6fjo{QZz~2!@eGiwtM4?>xru3yu4m{fxOPK<)X;1T8?iQ_c z(4pQT1UY-&oDugR%NY&1-E}DiAMj(j>Lu?*#iWu9+vN{0j^Q-;yHm-WOO5o&9fhk0Q3BppVS(j#J~@&pD&PNOde}W6>cH8_MiHb(YWe@%f@oVA<2EK z-4Wu>y|sXxy`&=QBb6hPXAeNnLZS`Za+5k-z6P!a&$2-BA`L%d3&}TRWgT9V)w@hF zQC_#_wVBhxiTAZpJ~hb+fh5Mfr}}wfn{~$Hnd2M9i8sc$bN=&Ekvgojt91+nFwOIVqAMv9PGFA8e- zy`{`)`+Bv&FbHi@`-~pykVQPkfO|O9<@au$V>+6gowXWHd~^ojIbHa(v&i+P!3YGr zr!7YK=1h=QNd*mQ_pIXE8=rTXgV!L=^Lvd8WjTh>eQU1n3#1fdmpbF#rp7>YK+sgx z?ux^Hj>m$}-q-f%iXP^j5n@ma?KbMfS!N&s*fGY9JBc?)@`Fq&a6y|WSUWnC9(M;-uM(IEYQ<<6Mn}tZkengK2_#a{@9N}(M~WT6*am@7Xp{$9vJ>bP+SY!p8t&E86$C(DhXAf~mCZOZ&L*eu{1SW5<+jt+t_ z*p=ftUR^nqoctqV_Or;{0=$T``Tlk8ksrvsieIh!dpR8!NQ?^0y*V+2ot-g7xP7-f z@27FyQq@b?w@O`1sJj~Edo3G6ocg`Nq2 z0V3JuNMa1a&no_ikImT7?9pA*<=VXF&<%SS!I4(J6S_~Doo^4`!+y&Z+f99nHj7u> zZzAp$8L50v8ROb3hCcRAPwjrgU%mm`TPI4W!|lA+MOxPsixu~(S|1r^tN3{B1@5lk zwsRF{zgRp+&WuhXjB|Fz^bu*D6=fNoQ)Y&*4tCEk{885fpq5X~W0O2RH~l!pDX!PR z)6xkq_l!hTRe7YNA>%I7l6Xsr6>;6ZDDo+XLQdJdZF{E98{@(4LsmM2BVm@$GcKz> z_JQ=+nK%f}IzZc-OWa->0#YHkRx@p-(`}=r%lzfLq-b!lh^|zom@Wt`LUh3X+(qen{v%&>Lf6G{$#P=&(^ zmEdf@DG5~vCn*qdaSm@OUl~u2Z<75$lSU6c6pxP5O%&z=2aEo_Qg#k!T_wh_u8TV4 zp;Rc) z#zu|-vBk$?dV2bx)^JcnYPf`qNCqPZMiL9CY4rGR{$3*$sfG_JTL|SJgV5tH;hPp8 zF&y&laKuG`3fo`ADyqRTS53b^+9 z6z~dPIC0%*OfUDJSAh6SwaGwR$51{a+bwzz{<-s88+X>aX~dASm{q<5Qb-j-wgBeZ za&XO;<^#o;(}d$$>LGtGDZ`(Xa(;F>f{}o1xQB+c26Z%$4_$>D>5Zy;Jnv@#_fUx> zu7}Z^l!@K=d&Phql&ATnN#RE0@s{7@maIJgahGpVh~z86Y*1yOdD!bzn}+ZI84znf z_XbG!Cu>8;f3JEUT+Mft_~&9Os?KzOjB;HRsa7t5LF+yzyOjnimTIlqe_8K23j#-} z`B<9&uDChe$GOj-@lrE6c3#o;DCZ9j_g=hg8QKR_gAhF_sQoXd9W8^8m2Xmio z_MoSS-T()^si;s9zBCl4P0@>75yv~Lzzo?gNEn%KUCK;D%IBk$ER{0Dv2;*bP_jZ+&V$0{ zJi6}ZN3YrYJpUEXSp!}wklnH_je@Mbl<9wGYujPXouu6~jyfq9C-j*RM?3 zYBbJ1EFBK0R2g7*d%bc-<%6Zyyh{5BhxWSEL79e55Tp~`JMhx>oQg(PJP=dl_>wI1 zpQISk78v}O`E!?f%@v=V*i8vD*tm&)j)F;U|B7e!y&{Eg_)M-J4~@iTj{-5>D>)I$ zZoopFg(b#v2kN$?E@h@B#X$XcyAX@9)ysFQ=DC`RW)L`oI8HH{%JrYUiH#n?%GSL& zw(RCI%vy9Qb)gtHk_qk86!a>~We$s-sBH`)WT(GuYHI*`{{9N@d=Am#QPLm5^Yo6n zzpR3%0J*9?WBakfl}>e%DA!5p11}JrYb9D8MPXZ4w-TTk9CO8~f8CvBiJH@a&_Q+Z z0oCu!#_9uuyEj|?W{=D=QBmEcjzl;%dlw;P$-843mbplc-jTHrIpxF)n9X|r5mcSi z>bpsMN^%>MX$~g~aJP`U5aqF+vrP^IeMy3Pvo+1lWyb`s6c?=IVjhkcdr+5DxN1s5 zFBoy`CY1#Enr1Ds0`Cnc?!?GCb6$>nk4eqG|pLr?T!+~ zdC|Y-J2l`8N#nX$g^Jq6;-2tWPunf+x710oy*&=V{RENAk1~*+)>)2RhAGF>vyQV< zGQ`_!Xh?#uC<{KL)LpAK9M=6kQJBrbOB{uLLhkBJ?L7uOug|%ZPxQM{Vd@`z-jxC< z;_Sg_m2GX?K9p2)_dz7=4aq_r1u>gUbfL#BzkdE9LX$GUV6>t#TvQ9Jdv$Sg@dIYD zB`iBH9Fe@_ILB!w&sT++Idxnh|J*VY~D=uMtQ-ErpEA~?Canhw?g*Y4;?Pg z$&DvqZthC(rf}>UVFILn>fIP^qlsWAgg(S?&M+*&gg z7)ow>-*z6SI$2{|PEv#S^C2t0RM+n-;GK2gc04szNf+?WYW}WXd3~=NvNE)?%n{@z z*@3wQfeUV-f3jqK!L9f=?OH$YDSn35xCXI*nbBGtxiN@f(9)_C8o~bD**nWw5zL`Q zohT`GP#SSyMpJh?t#@@7wGzLf37DeG6y=7H?X^LUvPS?zJ?va;A}&-V*WfM7_LEpH zx7wqoBZT_|-)ZK2;SDtWtj<}=D%wI@`PL!|Ruir8GwPiLH`3$?sr(yr`Aul^sX+SA zYv{`>;jjqgcQhwy>@}OpYq#@%9wBvOW9$N$B4NULSW^vQiOMB`lOr_q?;G$<#jsqRe^LOSkV;4~5M&hOL(z0@R5n5wBJ=C4w{i3^odtp}y+4N5o*8TFLMLzY} zOv6gqyu7kho7b9^?lrkG6#_{q;*N9vnb<|(Q2>|dT$eNwkr6}2X6nKDA5a!KEOV9d zynGVoBO_G#==xY=h2*}?vYyJLk?jvBgPje-3QZyI@spn-rY7?*3d%QZeI4?eQ>u8+ zxt=E}_;|sx(Y3K%Tig4miiTl<-KXQ5KE=7uDVr=nZ|6YH9uh(_Qg4{t>#;^*Q^`y0 z!6n|hv$f=k1Y@q{4DGp^frQANXI<$L;3=nwYY}(I*#UAQUXnE6%#ejL_=@F`!6H&TP%E=+<1_+s5<*C zKfNg8OvTyuRP5DdXB|oadbz5U3N?TJ?Cfn_%Y*DAwaMaNx{*@45%^te*)2iy$=`8w ztGSI8w?L1JM9P-@`bcx2Bt1E!nkuo!L2X#X&Tz7gB}Xwt-i%Tq(B8G#2&l}Sy0smD zWB7aNdTa3bT)dj+n4SUy%IE%)h4E0zn`OhUpSQMurHfM^02zG764>v%X-VY^P34hS ztjzul2f#^`3)=XwU(>!_`&jv(K zrHIal`0J3zbDT^TPL!-li2ck@^7?#Yv|$zoLH7m5eAsY7UOhm#+{nR6NfcxSUDv!F zCj7HLlCuBL7c)C;jF!>}A|pT*G7oF70eHIiqr?(`UAEgz9c~lFE~_tiHig-XtHUoc zj)BnSBIvy%(dF%`p`$HHO&WcMmC!E_off&w!9w#q)!=~^G|kz{udR;?XDT2 zK&2>&uVs0cl2U5NPu6JEnGK~hu6M}P%s$NU-&TFTVm{682Zg!q*}pyZ7H&#py?f}q zUX(0aOJ?%IlD^w`h9()R;9e|~oNSM|vV+zjuB&p^i zr3XR>ZDq5`zvJ)flUI7*cTgz)inHeEkS=ptd2+1lGG20EV(ut|kOP145@)u%Q(r@+ ztdz&VP{VDhC}FTyGBI)4MUx}Td{*^~M>d!Sv$%!^%;#y(I?JS*6TV{Q3UK;TZOa&2 zjp1*nf9HfG7T_6aLP$Ff3t!7wI;f?wc4U<%ILlGDGaNte>6vGv+QlDJ2M|&Jk%DE9 z$f;Chf4oUnUS>`twQh+otfdPVn0{OlfCPL-KZzA9wu!g=extND2SDH33O^ETP>?H| zH5XgvmNY4JEyOp5@Pd^j>XcO=>*ABfs&tQgho{6+cooy?tPC%K(LC8t5032h{&Jf~BIYARj-H_pC1H zD5*vbJ+(pyK=jv*y0N=E0TsI7_ zWFytAsn=8?=nlyOw+$FIBY64AFns3^3dZo!YYnF``QJ>14&lC=2nchW7cWSI5bsEj zrKp1j8I_W7I3S^MUPZbZvOq;3A=kcfnpOClCti*q*5)oc^#{0yBSc9tR)EnS9Cx77 ztR=fC4wp!AC_JaceplzLLf+p5U(*;4;c_#8@lsf!3mjdzdRT>^iU6EerF*{Hr-vek zkJ*<#wL=!CREhE-ycSrS`JjFf^|~!r<82Ok;(Jwi9jD7}80SN9Hb;rVqjo4I2H-=$ zi)_P*1-lO4*tQ7=`-%9vvCcd=>2c<~YT+dr$`d5kJp5KfDSTYE5cmXzo06|s|4m-NE8IwPUS$3Q5iKCZKkBa!3WtS7!oZ0MKt6u^AkaGs0zub_3kdEY(>%V&{ z5$_un1DYGeW+%^~APrAHn_?`tb;=8!mK**A&g`l~!3RSL*-k7cytCiEM0!$FCL~#% zX7>9P??wv>v?F+DRs=^0kv1rJqj#`~Xt3%X_{)JH8|I;KMJt;u^^oKW9O0ZY{P-Vu z**9n(xhG`Ianwd56E4F7UbEwk>%|8^xRGbsP0>ERe8`F&C-vsbmKP0c9~)Cp$~*p+ zaM+DJh|MOzr%UjSh4kLW4-q;gb2~*hhWZeCS!}lf&??#d_;UVdj`7&1s(BYIpg_v- z>DgTOdygy>?{FT2?(co%o$CQgmk~xrpqxADa8@xobhxQ^&ft?iBvF>YlKd4$dSHK;u)1PSk6SGxc?}`At8ZZCIpJ}DZb%a;V}ioq zf5xN}wUl{7Zd{86zz9^_C>*i+u6~w==(Ky(& z9^Zm9#9&<_U{Y`v$!A2td3R6vB(-2Us+=oAh*) zRW*E6?wL9Dqt825azmzAW-+j|SSGQt|NqT1E9agxJA^LfeJU5xnz|!4y`AxGoPh%q zcD9>Y-9%+JylTc?|K;%r8WN*z(n-HK9jqI}Q?S6Pmt+A}#L#)1OB7HVDqPUgFN>Vo zr3`in++{|btqxeC@r~)2H3#rS_!{oYTlAB&CHzW zb7vx!Lj@DX6Yta_dN+5ub=9Oa>CTL2l+<}8JVjNpO8Uc+~qg65HAzgRyv@RI^BvrMx#)%p0l7iW!z#Xz_0M$ILhcaUCf8&}`s%OfjiqoKxT zuu&S$*~RNEybW~mrki81vA|bt4hW}fE%dh#eupG+kfmky|6+-{DdesW#5SiOX8r%x z8q@>dZ3>PK{Fvw9L3*RH3H=dqw$T($B73~x$s310^Lo5U_Juag(UaT$e7O$8f^$9+kqXS1wd&`zCNxTkO7!P}1XDiifB%d>s1;K^_2zt!gj z+TXF+x+E{08E2T`NE|n)MRC`mAicib1!Q|mt0(02AA+DxZ`#6#!e;;fW`2E^#`5R? zU(ByA*QbuC4^qFcx84F@Hw&0e5KWm)1c~)r5O9X^@eKJE;4@;}PvV`!a$KgH?|`@f zwZZ?eKjZm|i@eBQZ!d*~Ign0e#<2>VbXv-T*e!Z3t&G&11W%{dD#VXiub%#~?X#2G zi|&vP?R+w)bl`^sm%7`{KBCttKAKHVZvzxKX-SOA$=~joLq*s${M?Q5bWvt~CAw(H=)0wj3S8rCv)(0Q=Depus7Sk; zuxAO%%&@YB5UK0!3p5%62#@NdB!b+96c%S=i};JAgG56zKmx^bQF3A+z)Dr8e{5=Qw#VAB;YB!L&3v(QOwgen;c;9|Zm@X1Gu%#fU`*8D zp`7B)36K=xKjAYTHm7z;B8NC%a3lAw{_gplAnEQ#BcPi#LKNBt^RLiM7bT@^wJdGe z%6F!%^LT-h-eT~6b3aei&&DjcKsXUKT2oXhqBfnM+?%%kL(=Y8q9{^>HQ-4G?4%*_ zuB#VKp}P-PBV>c%FlRfJSEiN z`KUxVY_E-@P{I0Af;zN?=y$m*%!2e4e(!p{xB5dhf3OazrlVY`__%o$uu3 zzOXKKj>|L(@Ei&Je-XxshaC;RcrBhp4_-&r%5|A@?JrM)Z)@q$BI)wm=!B7HoIrG| z7vAS3fxa2;%z0?*K}}~Up)o_(Pvh5{Yk_m>AMqm7L3t+v@;2gccva>H6@()u1~+2R z_}q4S#a3BoxnS$TQ&_bYfy(UfuoiitBWiS@dK4dk&(Lg6Jwxgq0CA=3Mgb{ND992G z!tioSt!$skH95k0%@>mVKXeap{IMp%)8yb;)X19 zm%YKC0rO8Je~2r?)dpp^3coD|oF-u67UnN!ZNE)@z(P+GsLMi1J|5;HAlp}9b6COn z0xB44a9!|8{Rr|*Be^XR%GQ?=8~ZIvGcAu~%IV^LNJtVx)8em5JLdBME_|6riRAo@pT;~c}-($R}b40$Pn`Id0f^>N)IwH zoLKwG0UVJhNNB@3*1z>1bTAJ1pCC9~vSY3dXCTFJBQt2FWMTv-|H}serpV9LH(6W| zp^z3g`BD6J*8d<|N_Qpe$$1A9=fl3LN2g@e{M51T*?SXXaEYd_=xr7VRr}gvnb`EvHTXmA^DM;f@0D!)c@fm*jVt% z5QRECc#{eDvA@M>rI+^qm|6jOpVC_uMOu2P#H;^xNPFoJM<_ih(S$xV3BWEb0jX^4 zaXj-kx1lg2Zxkej^4$@1B+(KsLrXpHLaW0TrJ=G^Bn*v`01d*|XrJx5phEhCrUAvW zU@bZtIR)VJbY*0wZ)athxel2m3dlNmt5ucKLoisWAGUym|J`u}O;i!V?80scB$J$4 z{WGdmtonbOhuwfbf(1S)xHfzLpB5%)D}irMN^)xSl~N0LS&F<&b=@+|jQ`UM1E!W= zv$BhX%`}r!vs&LQ+ku1E|LwGifKJ=HbH8LMowN}z-NlDZKdo;4?Mw%)LL)Jx-2;93 zpy%WQD|pnJT2fT_f7@vXebk`8D0F+df(?UKIV?ud3F0LwLYeBySrWWK*9}!yX$H*% zWvc)7DJ{<79&5R02ZC>OIETZZ#%4u@{daIm`_FbNV!ieSh3JbC8m6r-|BrBs;fN$W z`!CQ4MB^<75Mphc&j085mA!WDW0Y={<*1hj$iV+?BxXDY_}#zE+Yw4>iNefr*0cD( zUHzaDO6fETnwn;bPNIEG_j&kyMVp%(OcT((HT_%sdXA*5Z+O{av=7`b#XuCv2ly$o z$v_B_irH=MbRN1+1)8@WLeB0742BM;E{dc9pHp~9D(}Z{BbjhX7Vx+L)W%yToKFpz z^NTfUtgk*kiDNE1x7>-?No(R!{|qB>=169vXKmDTj^AHA&-K3iAzs%Ed#|VA?VmC+w-JFY@C%6Q;G`O6&kdr|u zkMj?B6cOdB5*k@!dEe{k%?Jj8CIGURlhlnD2HDBzX?>=V%VhtXxg8K9hH{TpX_` zKJvNaXNwA2>|X*3Lz3M3;7a3ABsq3f=1%>YAo%ESFuJ+D9&VzuJVbn>7#_!L;Jad@ zJXYQCs%VBtrD>{%_h}w@)XzYTJsk@F1{BpX5tF=n_-%F5x@y3eq zCs9TRM!b4!qEvq%VeMXd%5zqrXFa1fi}E4>&V6pP(Dy`f$%+SJM(w+GIBb0iVH_ET zGb&6u4(&!UPfn(UJ|u6(naV7IqgQ(1GWEh$b8xa9!X@1&`Qa_BjfXx=_38Qvq1~Uk zLg4h{{{l|i#7Ko_d?u?HA+(sU$eN#?>Kg>#-v<%bb4s3QUp)b7Z=9P>M@NU9GcM9j zQYKHMVkKW2e@Mp!^U@A|DO+-rM|b^ufh0!s89Dn7!t#oKx2HvRa<3jyc6$5k6+ANt ztQ7ZDNG(#=R25fJW2M{OUXt+M2(Jl=^RSWB)qG$kTU8?!IoCKP`yyufH7HlTW`p~M zH#Z7`;66j-_K&K!BPLe?#qH7!CXdUWOnQnAYm8Jakw=935cB7Hd7!0L$n0My*@Xm4 z91CG7LU6?bv{%>h>_WvSnwmv%&naG(dDjjt->VrE2gEq=wbReiznpR zH5unMgPTAyhIch;>q>ricIN>@7y_ZEELl|xoo;^gmWI1z?U84g$?FO{%jv zTNX``QxYoKM-g6qKT;u9qg(yNJvY zrQAt1B7FAR2utpG+K5sC!8SLNK;Jkwo%`N)BwTWO^ctW{IiW~A zA;KM|d}-KcoDK`f_$Mi&k7JdGyWqb-=l8AW-EIfN^QHUpoW`qB@*5S)uI3`kc1j(^ z4m~oL{IyK#AHckfi8ID`B8(3!)mE*3exmhqiZaGrSnJT#nB#i{Ve}$dXSk-Y` z=efGJhLaj(qo~VQ%WHz4FtcfJu?Y!C%(e|Y?=DiMbUC>8mZwl6!W=6(NPkuDc8M&T zWaMROzuB5);%!Bt0UST~exOO2t|B`Rng!fnJiG5O*zZ6l22j!SAcz#HMvv_q_s#S1 zq9K*Dqg`&QQnKZz?~c-AMI+~A{k8WXO+>>j0}&c*aYDYZB5zie&%M^fF`HJO(NATp zD5VlDJPAo6-Z`EZ6(IOy_TJZ~{%D(x1;z~)dQsmL<0?dSjx!sb|isMIHOlhl^}5rjTYb7cB)CM+jW7nH!L7J;#EGO#4WFK<`i5kE@k9hc*Jg zM9-ly`*HJCQEII1T6iAAsTa5?H*V6M6qqXe4{SZRShsHB?cG(>0Tf8daW6&YegSmJhHhPhz2c9|@~%RQ z1A^=9oJ@~t{%P{?$S5BZn31&D^ktaNz&mLu)Q%0irYm(Q?*A&i&O5v~$5Ikh_gP*32Wng6KiuL7L;GNt z??h9TpZey8xvNJP0_^bD5rkjNSftu1Y+n&mUI_@|InbioLOP`HOV3VWy&$9lwoMjq zs?VJ+WH@p>B~&?=%fLd0I`WM>EgM1Chh+Myp#V1 z-ebhB zeCw8v>oV+#=)O32A+cSoL<8NigR4)&KRJ0o>$Xq(16Y^Hk=VY!n4+du)LO$x`hrM(0nh!H+ zxwf=I9Mg20l4kiBg(DZW(1;(*hu(i1u zM`{3hG(l_XG6tw4uMr4KT^|~3)fBK{WM^=$a@;;|TNksLs6-jx-oqPdw5cJhCp|g2 z-Kr6Id4FQVmRoyIcwJoPla7z=@^Yz5@?Zd%R1y7js3|++NHLOQqD~ee4$_g;(Ov?8 z_BDna7yV=Zz-wT)c5_mQaW@7E>RbEG7`|8&V7v$S&;A>ygAmB*)5X$y1~9pz!;~Q_ zBvTHw0DqYz8C+Bml>^~_2jsYB_n)h8fsb zi~D&^%3l1V9p5>H-LBcEJ9-5;MEOi~N~}zTenEFgmTmy*;9yjJ-kW=8Nagx9GX{VC zp-9F#kj4s3a=yFC;Oyta@;I{pyk{VJI&Dr%s{1%F)A0UVwaf%fWtBo5)m&!>3tm6q zdr5K3nLov416=J!y@#%I9telH(ce@V954P>bPq-}dTteKK-;UHW>XY!4e#aEQ*eP1 zMh|5l)kszZ+AC45>|dg7lV|_yQobK|C?U~fQq;IR4 zGR?g;8+j#QeKuakb#>Zgb!AptR8m?K&-iRkR-k)>1}V1c0wq*a^UOn}(cs~z!eoY? z`(GoY3+I6!5@ga%4S6UU=Jo{qzo@6`rR2eKs@(a?MG592;fYprYn+GJeM`n)Up~orK7qf-NfCcUX z+H#9&0FbKP_U#+kZY40)Ac))VMR*yjwZ2swUZLO@o?bcsM8*g!oV*Ok>!hn3mi+jp zJWmU-MPS{O;B`wt@*CS&G$>!04DP=tAS9Ikr|9XdKuQ#Pk&E0_9+1A8-|Z2`jIVu-*1b;p+E2z=}5P3r~p*z`8=P z90nANFat#w=&|n~l21@JdO8fhwgT3Kr}S850kk#{mt_X+NN(|@rAMOe3Tg5yUEgu} z0mGLKjytG>QC5oq<}};)sgmVoWs*MP4xjF^qt`%QD5}b1+0w=j5ehaj=1 zTqg)#)CftlI_h;nb4eiU<^FcHCD>d=bfM@P&IUi};Y1W&;R11*o2Ke|)f{7jyO_TC z%&;-L@kn>^^feyQoO-yZsN!wU5g(ovVm~4Jz)`{r?vxg(K7%&_3YjGt0qx#BhsKnj zW2)FA%Fv_)D;8G(HCANF+;)d)ya-4z-IMRKaM%kMJQ8)a-}`7xf<56_s&cgTW2hGQ-_jR z79do46! zd-O|ZU8$Jd|6eb!x*Woie$+4J0K3S{(EcF`=!}Ab&MT&>73AsLkU~leuUG>1F*e`W z^WgcKFiWJ6m70|x8Q=9T-vyuZDS`ax$A=ec-A(Lw>nBqVS##am^>hAUMk#*Pom)Ke z%zr}WK_gYc{|PZC;A+<`6u*mFJUq989b4Y30x+4qH+I?xR{=0~UEiY$nSr7pOn-nA z%DVWUaI)pZlMgRNYkOa=R=u{BHjQ|pr(*(Akfp}tkdB8l&$J!)$m}_1A2Zf_hj%yi zIEn7PM^ABU&FMl#==>Cm{e|JIEQEggc0;+))n|a{XvN#@UlIcZ0nwOlNN>>b*=dF6 zWq}>mhxq>E(?-}xQTKD{l)_B$maUPN101}qAiJ1jb}%~X-?D8)Pn3h$H#EBThF&^u z-lU(C=~>_wBXm69H4g$oBV^!xx`!HrPyyQ@i5P5!Pa$SML&g3ylpS3m**BOP{55gF zEeLfuJ@Z7zrs1%7dno2XVh}1*Hwlz_FdriV<4ccs$}O;S&ms=#Bgb@R!5V=Uzmw*#@JsW@H0fXk;^#D?ALGtIhUpcP2n8!f$o8$yW1$)qn5r z?GsJ~nGA|dz5~1KJyHg!0wi)-hFK1ep$|+E(v$5ZG(I_o5>w3$py{ zhy& z5*Pz6Qr==NY*NDmMLM**70aHKJ8RvjEf#vmi`=^YQ69;dP;>LYxrHLfaC;E^5Mhq_ zH+=nn@%u(UUi3%C+`hH+Dmbv9G+1XZF{#81dN7d@bIE(dlyr7yGuVQk6V0gC0;Abb z4_p~pP?B#QJ)v>i-7yIM?7NsYPs((eD8&sWlCcG7ZC zaz!+Jc0DSrxK3m^LTq&@6!?K1R5!)<*uF(5eaLE$EWLqf++hcj8JeAZhsm)@@n0!7~ejYqS736UL3U%;<@n zP6~n_C;6GfeVSmA+rVz;=9vk;1hVgdsOtr4H)KM4lLl$5q~RI?$Hez9t1rmM$R?|V zxIT!y4IrR+qi6pkg&Z2o$K;wNdn2Ep(KfXiFn=xM#tb*ZX&tEwo~tlOxa`%) ziTJH?{gCm+@3Yp|U3C0wi|6S|8<$8_C)d8mvw!vZYMz%)P}5X8%r5{)+3s#HPErBu&Uu@FP3T<~OLxDP!oM^xEsJ9H1zu@OP$Z=`YSeZ`J;R0hQ35sPc z@%d`~Zs!Yd)`lq{Ty?>OP(O#}?jQQ&6D7oRv(#EJws2+=0p?d&f95wdX$E?=!a1}A zWTV||tR%iT>q|3f_zU&9+|WObWv6)tfRBis`s{+_Z%q~()ad!8*?t+9G^U48oxbuV zD*U(j%k+rs6q4;mgTn}-ckow6qL6=o5=K7ZBpCz4Ud?~vOn(&lz2ZTEy3Y`sd*=FE z%Xf(f91F&YK`74p{34G=&u~t3EhtX|D$Rq!SI8B?>UJ6|KMSe;0}ZwVoR?pN;8C+u zweKZ{ySkO(ZW`#DDfY>s_X=IL3hWrLcKG`SIO8kFQbMiKt~6c0(t=+-Z1P09Gf2EJ zR?z4_kIpZcZ}pzM=JHC6G&U1Nv;tDSIIebp21`Ja7u`UKQckolrfK~w@a%%}U9Iq) zdx{8_4acj~O2i`_->C}q{Dr>Ev=XNrmwdlv+he{gWT`*Q7fbHWH}{Ytv4{sekskg9 zgU_L>8L-D3X4B~#VSFT(w|6t7+y4HjHwZ3oZa>aaiV1?ZJ+6rQS*i%i;tnJ0iKX!! zZdnk+8D-NZ@sP64Ny@iDc>E0NhG4fDdnnNvkKZ`)U{Mbz<=jT^d;7P|w=o!`s6r1y z(!8C?@MyDes40T-BDyd2AL05w$c#|nM9U^>(np)q1M$-&2wea-3(GEuHcNa`s9~@Hhq>XT#(W&&A4{ zM?n*>BlrhR%{5Q_T4oQ$g+V3@CpC6jPsGMAsT8y$i1=z?YeD%2Lc8C0{B`<*lA@a7 zeFb$Rt7m34LER!JxEXpb!;^12@-m6WnS&tVp*T2t;LSKAt)WFbXiZmszV`;0?5Viw zrHi)mv-sUJcZ3oXmV~9&jLi*=jMOKx32vzm&WPQMkiK@mZ@ZisDlO8;yF@yB8knoa znBlHhpb=uZ?fNP8cq6Q86n^&e~i^uk>B)8@r2$Wl2zJijYZs|LP91V z>lI^Amp%LG%VJyJx7#Ez#*5n+CcoxDNFvU~kvm|zwu{|_E7?xFc{Nk$}-e&;~H#Z*5^ ziBxc{u{#J6MwF#0BJ_cApKhc63B8)Nb2z3LvR&+Z!BS4NMBMlr$-YRM(oWKZW9jn| z+EZ&=9O!B~tRy~prW)f(obUqk!A+agd@8*Sw+f!08Ka z*0Up2-FO4Xj(rOs;SoB5`PHLnym3wxGOmg}3h%>s)dS5a>YkuZetXw4 zBcZnL{bDsE4PGHKmn>#h{PCJ+$C~tULD18hT(Xs3$O2`@mF|d>VLS{*5I;bx`oom4RMp} zW`j`3@D%YXM3S@&-@QvNbC*RtnvaUuja(MFzjWKkJ{s&4a54d>RwE6z2}u@Q2_~v3BCN|oiKi72JmsM%xyeYIrphOo&t77U zRlsD=O-kwEx1SAy_fNC%TJ!1}`#psBI9)Dd8)6%rpi>Ueau+guu*UPSb+XzOBm1K4 zamtL84XQ+nX};UTiVwYYlJ$f!zb60C&(3H%Dz} zy2aZ?5H zTr`c(2c**ZXGDX2_BPzBh)aNvqziI%tw%I3*Vc5aB~}hTYkead3mLK5gHU~IJW(ce zG5S0*wKZtjghy&kunUX$+IET9<2RvOss3xqE8&ca`yxyrLO7_gGEceBH`^!(er6-g z5ZdVK?~9qYZMHTJQ4MQ#jmp^)byX{GHR^N6u9OmLQ;>MvaEj8EtvSD*Hsw=x>`;LP zKwv^44Fk2Aq7S7G@zPG*;&nvEtMqZ2s16iC567hApAidngC9i@b&Ub98zVW|2hM62`}F#R^PQW@0N5tBJsK#T)K%Doq!xlr^gmu5#$9G5bxBF zt(cV*ii5ynBkkr*(b$6Tk~pc+4bLi0^hXvF%XAR&QaJg9d!y$YPP8sqmJ&E4u+XwV zw8uLqv_<)8{NvRlgSH@x|N08HFhb&$!ng1NPkum!Bu(1 z9U&5dHC6(Le=cx)e?6VY6i6PtQeOCqRosp1UQTVElk58+)Xn0t+w!^-3{NE$oJ{U= zhqlT;Cr~jB37hZDC;SDWS67 zF_)H6H&wPr7%Rg)>9B57Fnv&}S1b%(S|n{$dX(7Q5RJlAM1&g}+uk$@5ERpbEY2<@>P`Zj(0P5nK2fbWKgq?L3!c zVY77C8~f&?h!mZe4d`m?-m+~7_2ib)x-G;ITs)2fan;u7M@6K2P3{GWc&Cer!@7|I zht5G$nIAoi94cW)H%SV%<&a|q$M0_nRsr}B@|p?c60=Xij?Q)|D$dE@&b~Ab0$c~m z_zog(n*f`1rRvzE8{*lEB(^uPCSnnYd4=?K-B#KXU?BTc-v~3CD8J7VH>rxClvqh= zI07!n&Sx^I0^SDQx7d zy&8%)A6$0aM(G2!^=~Wj8PtQ_5<#@KexhlVeqw5rK08{gPTBio+v$I_(h1RSGqI-1 zo=LiFd?sBG{4`GLZBbLiko|Aac(?8lO)wm*+|juarw0m5gG$iP`uAm4RyF++k{KH5 zu))ahrZuOb?Vtaw<{_YX{`!mwhjIOIzu)`=(AtiYSagO?-2@#2Qwl6JwWiATr}Eh? zWWaCe;H2C>?s4DXlXDzk3v1ioZ$7$z4|yk-0A2#j81VB_l*A%~O_uRsg#!9mSXuVp z1=OzBfO{<%yN<_K?_JMf;@{U3wW^SSuP{T`D|EP)k`30@-UTVZ78|Z5!a_;`$cP;c z-T@>6%9_)g9ZlDcW(MDQNIE--+iuhCW|07Oif$qtXF1yVKw;va;`JqvkX(7DsnYJ_ z?D4FB&l8!(%Ily~=|4jC-Zzg0!43TEWB-^@;F}apu$}+-<~vX%Yw%|BKhL0fLl$ui zDA!DerT-|`&~#--ALKwEm^Wl*tfvR*AU|i@8IV_C1cW`CDPWNsMo3cr@w`EPu*s;+ z)GeG*uh(V8|NKb0uDAVBljqB2F8)j)NB^vEwZ~)aXE1}8x!fhO)O=Gr0lFI&GQNC* z&QekGe&4CRNP4?Z9zfy?)n2jQ6VZ06!*8m8g5o2fVIbnz0T*ucbRL8lyN$?k)}ft& zz8J~AU4|~Tjd&K{BH_Zq!t4kA9+Exc$CKW+va$)=?iwPGfTw^vy#WzvZ-N*KlW!wB z=8QOj^8uzZ3$|h{kQ6+@5TBUM-?e74)!A#_Qdqzu?K8R{<=pDv>aW*8;w$x1FJ*+e za^ska$;hnDzMVE=*TVvw)RBm%^4fQtBrfken#* z?T^Dec=DfUI|%L(yw{lLj#r<6H%O7fc!rGB;o9U~?-6C{J6(Hc^JdIVgLY2T` z&f%>JT{FH_0VVx(4mn%OH0hGH`vRUp-7s=R`Vcai)^{PcUuBtjjMMdqGV^Cc`DsjHBzVRDDo7wvR+=SvId#wUR$SQN<+lz9P>E*K3Z z`a*dUo;$Z7`$S9FN*&~ zTJ>eyFWr*|=N)V`R%FSjl--b&%5=J zPQfgejD?=Gbi*>T1xt^x(HA&eagBq0k%n>NMwKqpn2p^!-JXdry3-K+9?~OVbXc_( z`(bJ)DV4l~rSBI>$+$2l?0N2mR zu~KQheopBHA>gUq+TI%|CQwTX8YsWV3jAp?X%H{09{~lYg{?Kpr}~ZpC0r9Wc(tM+g}_pdFSR4sx9N`cn;p@9|Xp zXa?g7@wL$hB6^pgI-I{A=bx?28>hiB6_O1l#=ZwXh8o*9H7^t%Y$^!}#y9u-wiW2I z_?wCO6b<{W?%UcLq58I#`Ppndw(&+!I8S5?lCAPv+s9=4W;Q6lH!Jn+v|6e!X)>$$ zzu;yjh};M{(W5JUt?TXF`OI72%c+bORBF#3iXPBn|MD-uX(@{cbqAVuwqiV3XiM4< z_s&%>tW3oY#dTmf+tSPi0W{9;lDge}n{Q`_0-HK!VIxx{Q_#X6+4jMq47Z?35z*#~ zuXujO_MlX+=O-E z0Y$|bc{FLz{Imv($ExVK>)3=_*Q3T3<+k&qL!Pu2mVkYtCSLRW7@Oj8a5NlQ+nuuh z;3tC8{#k(vcHERCFbIjQsc(DR^$;0CKt0Hg96z_j6u6==5Fuz#%3fhD%7){=p)>P# zZHNzYL(56BkaX|3gV)p%s~3Ab2xD-wmY$i}4uU7B1Vt6tEr(FlG+LB!3Ox`Q$R_Pk z*FSCn&4rQwf<}v=nEEdgm7-*CyLA>MWl!tX3Cly5 zX|Q>uWA}UNEj*T_FT+w_wDW`$4RTM!BygC??FiB2x5o?N;LSFQ2zzFd2|kDj{x<}u7Kph35=JKtHj99( zZKc5;M3TEb8$F9S(WRIs&l*m&HV97^f{1d82qAk0ED1?QhFOI}=fZzQy~Z$_Xtseq zfzu@2VD24_?OjVwD5UxXvb+09hGLDCcUrg^ zLy1fPh)x9&Z4?nL6`@3K0#2%z2CIxDYdmQ5OayWgGbv>@i0Gh*z>DLg0NJtuNFyM+ zL~x=*Sx6=Tq~2CU!~tdrbcPNPPGAfGe$78|=TL#fs33S9Mv-f#{*sYvUDzs*%T3Gv z*)l&`k^nV{imyRS6{447hSn0R+pU;m$YN#0olmL5ay|TeWw918Y=TMYm0x`GlH{&d zIKcB+>imY#neJP4+=qZ50v?Gx_rD*Bwzg@&WloTld`t-;67vrdPX6DJ@X^&Ht}jMw z-l@>jteySj|1BUnspe_8c2}L+%~#+Kue`u%a0+{$8Jq@fj5?UU>7XDuDF|M(l*B(9 zR>E0$Mx>|6qJ|}Uu2R5wz+xSPOsnn-$+xS_t$V3=Zxx#mf&yxJ!ub4SRR&C2m&y2D zK*-ZYHQl5!qq`TkGKcp?N!T1K509_=Y?Y;F^^qnjHZejwZkU*7ej4tfBl>XFq~7yLh>+;nbCG_x-8Yy#&;42Ob*X69hUd$wz^n{_-&)7~N27 zS3v!G9|NT-v7xm(_h8orX}J7>C)6M?<~WU2YyNvYf*D7>`1pauN&kj?NW&|}d^W6x z(~_hb1R?l2$w5(S5%P z#gc(6lSPglsr^s)CJz;+X#C_UaNNto)xxox)<`x8w;TWy4PgAE6JS+pgPop#!5Un za4r4Dzc2#O0$Fr0k=kH(_~9@{`SsmRkN*X2Ao*$paR_S5mWH8I_mKP_pPnMIBu_z6 z$YoMWN#9DHn=R(gFW_-Wq0r~2NCfEz)%D`PWy(GE8n9ks$u|%gr?CFo`k2uDzpyZX zn|l)Du$$y%&@AiE@upFFzcKcnUao}bnAcR8OByppY^fQT3my9H7=SDRK(c+rXTpV- zMP$%mcSQy0~|8G~_6(7L@Ppw!KuJ{j(+Y+jR9YUPMfRuc z4Rl|V5ur`)mrDQ&I-ZNAIjw^nX*|SqJ)f<-`%mF)IUvH3GFyn$pjGg;^ujf+Ux*o$ z4FHMqc1DslERgmFc+HQgR~unxP~KhkGvc5@6t8T&#^z0}ozNRr%dMQ@@hz;ro!i@Z z8JNt@tY%kX<#-5r`WE7O6Tr97#WzAs)-u`A@6Ski6cGMetXtM7^<8%=bsCeDRD~`# zCRH7w60!?C+-mD8RLTPYjgP6pUa_oTt6yWNpp-uT|${nvXlg5tfGrxQHu z=S`;3TZ<4JKrSU$a}RUpLj(*6#l%(Sl?t4ewQX zJ3-R zouk9T(h3|1jALa&xZi>~NL$;yk|IoT`rusu=(Qe4?9QU6y0^DP3nj(5lgF9FI+0od zOHpZGb`8|c2dvZl2Di5we$+~MeSan5)Nt|Ja>GiNkO8TF0x8w0ttoAp_JceDj2{Nn z*!E_yy%HMKxb-tx| zKSj{P$jDPn?wmJvCfI(QF&ZAYqq`rIp?K1DZP+>o!J=-+7pX-kgoDygZ{EB$H>@A5 zaKun!k2hAAb)m+tc+I?i?x6T8;SwR-Symob=(wKJA{pWUw0S#kJ+1E%z4ZH3R z@c7+^zY-=6QM_cTa2URCseeqw$%SvVW5j2@+Y{Yyj370=HYL)gk)IMe=*nky7mk^c z9~1h^@4p~t^Pmq5u@n~pn+F%#G9P6t#Tx`4xo}lZJ>~JP(G$sX22-#1qX9p5Jepvw z^DkJXN7RzU1gJ95vXZGd3R~HyXtX_C8R^#vYT*jb&1HEgIm+}H9eiq!KXe`KpD_bMe_8_8Y* zqc?DzMY8N;52|)ivNo~6QQ!dO2|4KelP3tz+d%ZBk#A#kQB5=Csi?)xKfA&v?)>gq zjM8J7)m+Vl7=Hae-AEBUT zJ%V!iSanPwQAg)O$=b?^GndZU6Eo`H`J7zE$UZwhyW$&j_4XF=$1WT?T~8SLzUk_M zyj-525)Z6#fs=;D%#&V&byM8lOZ4`C>4yIwp z=sDJwDJbbv_yo8u(xld-sX5N@ZuQO@hENfuUx%YJ@Jr*>&TedX_n+c7QzoAgSW$XW zd*3?)5d_yA12zcYKC0Vw#=KWVxbmUzH@{$Q zr^#0>?V8`hLp65ic4y*FN~BS>(ame?)jbc?_EFrt;7-L7@Vil-EwL7>_K%RR}FRAD(o(DHgaKf>0gS*On9RNUIVYwY@XmGOkik z9DSsoBsM(k7sv-6uao#TVN6m#O-T3G!sVkMfW3;@h~go&h5ZjFj`Qk?7F*t7Mgzng zLq-qcF`HNNBgm#;!oEdiwUG4#_feda0UaM(TeWK-Hwo>R z#)CPR>bK1qeiaygX|R1UL-DWO6#+pGta#H(M(4qx3gPC{3$mT8)Ayx)P{I+y4^UjV zGFlVXZuaWxp*B`bttT-W$6wY{$l22t^5fyz2&4tg)YysO3wnlsW z*Gi$%G#!J?Z?i1Gdo>y>iwBMydUELjYQ2k9+IOw2skZzF`><)H+^9>3fF5GZuc2Uj z|Ml1Dk#ct7*EVflq50mBLu!d=47#G^dR%O*OWi&S4!ND8OxeHQrNt?vAv&n zX<7j8t*U^^Iifkn4>tDaWJ#o`1!3PFO>bu-dSH+hxFav3Z@_kW?#~YvR+K)FP18(~ zl(#-kaqxz+vU0(B?|8o|73cKbh1btnkFJn4{pPgSNTp9I$L=-`d--#&V{ z>-iDE0E(1)k#H}})^l@GOV&eS^|R_AitNxMi0Fz^LL=%K?|P1MkXaT{`;Z)>m7$Pu zGJyQCVtE7X@9<-R^Q*JT`_82yW@OnyR#oS69$zB{^Q6ATh);JVG0c#_Nm)@ym%F~X zyWY@KOi9s7!=sV>_L$%tJn%fMbajO72f3w?><@yB#X+1=4WcsF*b_u{z^yf}5x;L9 z$$>VJLySF2TkFZCy{+ABEonp1$`BS7=HblsXbkP=`v$Lq7?9yhF#CkRXoem|$8EkF z88HL}Fs{>|xpenoZc&k#ySsa_vhr$a!|p1IaI+&%H@{@fR8&+{>@letpE_TG<42t> zv0{V7lM}XAXO)P;jg_InD6fHhQ%jNTnj?p}8io%`@1r=}q3ORwD|I9%;NvwI3yE%V z600b)sRiBZ5VM3!V=P98LvJAz;yOIJ}ic@qrkf^dvI z3dEh%W-L{Y=0Sj9qJwC%H`_8?Hv6$^Rvf)F_v*8EZ}5TZIt3=RVzHjrMaWvgM8#`M zG#H|-e0u%@&4Gs>zHKk}z@AW^)KHO^m*=T#AckNuJFNNoC^76tx2Hpx8|?8d!c?4@ zSh)0Byf@gzI!CKrT{jJ)=?vFT7GCm%kpxTz(Idcr#EG7A7s%G}^`*fI@}mVa6vHdH z<*rafZ|7}Cs9bnpe&C_;QsPiuvsJ>-E8diWaka)ueU#}mbTqSEanFi8LqRRQy1FWM zthmF(bIfv?#wJwZ{Py?fGG!>w?_b|PX7(&JC~Y?OC6up;peI;}Z-aAntWDBPc8WHg zcJi<3^oqbnon;NDg8St0HwaN_+ZGT2Kq^uhlvC~gNw*-^{S>hiz*;n*#{I6}tF<5dz2K5G4=X(Fez)-HEk^iAv4dtXLljpNDliKbl|(_kp0q%HHu4e4^G_0#R zj7i`qk>wh~>+8BUE5mvzqCe^tg#jU#c^YX)%?8LtyNUO7-Ck{tR!vKgy^F` z&IMzQbn?>}Q>_UFiuIV)A=D_4_&Cr^J@J>Ba0q6S061?VO}H;OuQ&LCqvUJ$VG0BJ zHjOJUYkur*buocBsV*3`_KH^`BvDU797Zul89V{Ni=F>we_C^+_76MJdZvn~wsU!$}sV>>WOiN51Pf zZ0eSJFI#Q-$rr8Tt&SB&KF{45k7=s>@j<}qdq@hTU_ziy#XKLRauehv{#6z*n`VVo?Ht(^$1VV|?#jb&noTN|MCBC@E zBO-1yp9I(I%Tx*`wR68T1T@U{W>5~@WPtFjetd`}rWW{{w-v`m5yG9Zf|BGy@9aqjF0G@H})KJFV9;|zmmZ={M3Vs^z=>BP)+E>B^O4CFq6-&%!);v_=v66 zN0T>QII6V*TB6$Hi~(UPCgQb$6eH>C;Rp1SRN9C&JJ~8rwYx7F4d;X4V_M*4v|dbM z0w)Tss`wkaa=H#in(@CgEfP3<6zrq(hChJD4X>m%i>qwdA$WLv9%D*h;%pV7IQ@jo zS0k_NIJ(4aROvKD-tTs!%xCMfw&rkywd1`{*fKqDI#$F)7>uL#Q+cDOM-*e3jR>%^ z$ez`72NHcHCInU6BIo-xnl#+CjaZq{k`$$w>BG&h!Bzgs7}6QjKnh(Sx)G(jiHVsx zDi6P4+h4yvZZBB({U(yeO_{`UDIkN_3~>;cF=SJoXwOlB_lYfk_)=_cp0IV4x9#!G z)Uo4FHXXoTo$Y$yA=q;%KCM>aQ++#${_C}O(s{y^of>vLkW_BoAi1HoH`=zGfz%~E zvR%+8p8_QEu48#9Bb(eQvz6vkdE2S!wloVR8Un(>*yxMv&_nCKj)U~Hc zh1!-4RYI??ukVU@)e#C$OIWq~v<(a#IyzU9UkCvgIr)sZ^Q*#^T&D0zeOS7YQ*~3a z=eRdB8$~N)`{z8HGXyM?sNLz7zg{spZ<8M^uk>rSEQMW2HVDpZH9X$Lg+4HSg##>& z0XNBF;2e1QaBxtMzut+)i%vcOFNJL@-uE!sxnCVNjGhKz2+oV*v;-(CR!PIUct$ph zUbGoHUz%N&qbMMo9@{73 zu@LXQyMZ?^Ej_Bb2?1uxTo9OuwH&4Z6qHgs2sR5A=HT@&BN6+-F-_T-Cy&TTFPYV` z16~z&u8ue%7#sN=Wx+~~0fX+L*=I~nmrwya^AZZ6s^I?XJgi<{AAK<$hmavN?FBm$ zLCUd^H$@lFA@ARw19XItMUV=sZ8$Q~$XYZ1gUpYOo-Pp0itqiko4L>0g6%r7_H~y~ z{YvDs#@teu#&mVrB2tA*XqhfqScJR6}iz*qM&!R zu|Hp#AL`N3)gAVE7qHP`_5$PfaSKp8wyfThG|Q+Tn}kbt%?BtAPlY9?ZwYP%3S^JbN=)fdsMu-8qx`X-hM zVvc89j)BpB$nm&;PTUGIw!`aJ^}u9c$jZ&-Jr7o+FN*Q1*QJ(& zHG$+*8UKh`8E@c?iXrPgg%%_G$u~ATDW2oDrBb^yiZYi2z`-RM^mgrV{^$2l2xA4d zI^C6Vzpb^YtKfAw6fZ5s9KKLTnU3Kl&VNb){kBxyLri(IZa%;zax;|?=9DbqHuKWf z(XpH#Erceg$C!xzgc&A2zLm7@~$>*sWteJKF_Skl-9!#bGyZ&=nAD$@=z)6Qt% zF!Pat+1N%q$O=RSr91`h$BUx8!xE%@E4mKvG}3BX&~cAc?QYIXbW?y#8;eKF-BLYz z?#{FZ{@|HSS6v4Y({f9v=#HStW9ZqwhI0CV6agmYp^FA3jfl0U zXuQN?A3pNno*gKYq+L&Es)`UwM3cxY|VVp#Fy)Ur!_UlCxR@H zD>&c??o)&OH$Y4IKV*FgIF#S}etRJbAqg#(B1;L`D_gcCTh^)U>wK~=gBC(r%98Ao zrHCopWP7Iu$sS@XnQ6vO%#3yH|MT)$zQ615y1FVe?{dz0&htFyIrn`Z)b3LP`8U%q z0XvJWoXktT!UwPqn8tU>c^&kX?LcjUkE;Z3LA`Anu@%r=?Teg$W?FNmWEnN%f^cc! z@|$dKq$Z+KcHxvRY{=H*wWy%gg-I&+BGGc|A^rNr+t$|ZLO0Wn4`)9YfA*(VGv;JJ zsTRa!IpO_J<4i#c7iQo0@!nXPXZ!h8N+76jr8YNfOWm(Ow7oWVIdz>jBjp2-_8_Of ziGe9xVz(T&UdE#8KhMAmPTM{5puRJVv|70%Zu$9Rov;6VD$(+ z;n$ec-&)Y;T~#pN8!=UjolmED`B7YoE1!DazUp{}+^NYQot_!aAKbU_r@!FkfxLDcR;-9cr|>`&mi4g7*nK?SaBFv<776z4}=8_wa0eNfQa(+oEg!*@hHv?M*> z0gCJP6hf{2V1-*=d3nz-)O;IUd9Oh8qR-n(My#I!^1#<2gROUvxWQWH)(XET2w!KR z7lzjJDfri&Z#7e4Ta&5tJRQ;tB6n`xVot}&CFz7#Bb=i9ug@Rcgux-F6taaiK7|L^ z!*dhVy!t1A>f=*tg-h{$zMnxpAFmvY3uQ>(XVMYUVgcZ*i_=L9l^~VA6n=zX21KLV z`8N44CXKj3Rqrb5G|};T2Ufa1WUEkHQYS9-!ZzFTM3o zjk_TbxSoVvA7zQ%0<+(lQ_TF>l#<|QLf1Zb9l<^DWiOBk5uJma7w+7Rzi6+}bOtu= zQ&5-$^vr}s3{p)kh0l3WN3jyimfB)?6=X;@<=N96zdn35lcyB^_|OqF>-h<9kX>k` zKDqsJnMOuNUK3A(LEITUOb6?^2jQ88nHSEV2ZTv*)CcB!gDHSNgnxBf6VoD!Jgp%b&PIIpG`9=X&vRzBdQ1maU8%KP)uin)t`X~{zF(RyT{v6Ht zRZCl{Y%09*a~ZLRPG6H8m;Xj%L zL7=)gkeDT?^D4B!@WSO6OV%AozdD}l=D@h#B2SwtSkX!CxbJza4D6= zpN2Bd-!_8m?CkOb9|yk@r5O{ue*Cx#N~#^N;WhYJ0~g{lNbQks{5fk+r#=);T@$Z2 zU2ahgXX#HnQg!5ALY8*xgum>NSA4Q^P(Zj1`~AuPl{KxN@qEB8I1bUm3OT#F2zmD-+rHiMvaP&=^+E+lNJ3vx^76S6#U5Q zFto66DXy9l;prJgR`IW?V1F!YA4?w#aV?l)Zz{ex(-H#GRF_S#Z8VtSn;v3?1qIM7 zIN@_NDKbx7BF40<7Ep4Bi>XK}r1{lbcF!|6{y2=qD9nCrywLgJDvC)HMvFS^59<12 zNdZYRV8U+L368a=^GpSK8wL^A2zV!oa}65@1!T)Mc6$L5XX>GJ76_GGdwObmm~AJ1 zyfIT4gXh13zwPS8+FY&{E0>{bPqbg z7T*S3&R(XE?x6%u`>Vp2`@Va5kiji;2KR z3K6Ti^4&HBlPcqO9mRBK2ZjsBEP2>B5U)(+eds4h>J|EfwV6BMF~r=G?fs~?m!;^E zeL&4dy61}vIp%tcvOParFmxGNS>X??C(%mOFMF2`99!Cuo-n-f7PD^nBaeIGl<4lw z6HNrA&`AMSgX-Upnf9n43di70TbquJI{wXDROvGyx0hZWG~K6F03nxWhj{ts!3UBv zO<&c>fuo70d1>z!W!1&QRup1{p}1JT4V{v_4sLt2=OtQCbAb>WyPk92v-`A6Y}U+P ze)_|W*+}ca?=Mc9wFDWMn&L^`vtWS`Ivnm*Z#oB7@c3NslRq8yCx1V$M(goj1bbs* zMIAYZ6xDt0M4-I~4okwDzt?LqCcjvTICi2Ru(;7$kU%}B=Uu&byUD~{@O2#7FYYWi z*fjS(y9E|g9Lqu8o||1skgYP~d4aE&|FQ+eSsfd2(&_qat< z7ObSXizm)ea?lv1m67;I!Y_s+(b@H0mbH2|3L8UHe3^eJCU3eTkfaFYKN#lA00*Ov z8V+TDfA%?kMf8|VVghT4L^I=#27bPm44Az zq^adEcXxCv5#Xx1LQU_-dv$w?0vsihu|c5tqUW+-+K|>`Z7qBG&bY?uAVwz7W&24) z(Ui*(!x|2f+LLE~e+Dt=?s<6Y*>iQlNg3U$)1US2(O!O0h=vB1 z1PPF4P(~bQ0XhmlJ~LD;D@F?fd9|zX?5~JqX_wxgrMaiF5gjEv-dT& zgwv}y=7i7WXQJ|oCh@N(LlxE<@H5!US|E481KF^-LHtcQfu*GEF>~aQsHs34xUaAk#(_ib5pEGAa{%Jtz(pKgQ?!)tta+8KBmO=$2m9qKv%y) zfot7n8pkyX^9n$q@UCK>o!8WSy=2jXQ8-~j}%d}PCgCn=kP=Uy~{a<1VKXY>yPL+kp>|;WpRa3UpEssv-}0h z+M2MJGF`nThm;_BN*p#Tu(mdSW0P~iyfulws`{b4LpD9q(yEk@S7dmv!56Frxi@A+ z2TuQyQ$>~P6aYXv+3f%ySlX(9;Oq%x30y1L5J(1oSsNSqMpaV&y?RrUo%Lkg(Wgzv zCYTME!rMiToo{tHSC3CEmu;QO<>H<83k)_)Jmd#b9bWmv7YJ81*ct92RB@AT@H#S1 z+4^1>A#Wgd@}y{y3EebEST7~vulrvWh6|@PO7TtBM1Je{0t$o@hLk(n-Wlb~-v>ca z=pp?SG;|{Jv5MuDe!dO0e*eT)$vllDo*{A41cFbH!` zKr7I&4nnK-6N!0--M{#?-saV?L=w9BPw-sc9e*aLMz7q~&^YXSPMX1;b@sx0BQWm# ztFe_OvU+{4rF(UDli{Aax?pNVq%bt#%Ri>Hp(Wl^P*8A`s2GWSvBQvoj~zrspzUvM z#Oh1$x)Hj>9ma~-a{U;0JSR|mU9^!9Q1SlOIJf-)>^S-!Kvo_Fr0(IvS#nYPcufo{J1X$qyh$PmMV zj{zZUFDz&A8sNFbU!HM@%7^_r;Ga?9N1wmyhz-eWD(c$c3-;F$ED5F=BjVcEL@s7x z1qW?-^y6^7dpNcGM)Y+PWxyb#e0rv>H|j~Yn14TA;VMc>*s;vxAmFdbCx&dNZu`uT-L+<+Vh$q+IaH$p z;pI~0t-g;Krx33yhRY>p0#>q`%*Uj&^o^8pL?d1030KE#c_{g$f}pfcg*F8_S$rZQ zWOF=ZmTC@riM_(dv??U@3vUy&4l=(U%CWqLmfPC2R^NY08Hi)VqDIc1jNQ{Hap~St zrSm1p_{b_XZ&WF3ae9G!V2y{yB!p2ZocsFZplXs7<66e1TF9ivR7ARhuMeY~epvt| z^PIsQ|AO_?n-8>JOgwxC$U`320q?ND)pgD~0-d~d2?R&$QsCI|87~aRHjJh)8`DT1o75RA9>Os7P zpXzkpX4rHwKz4tC>{cH4Qo*XAHA*&$XxE1g1%GxG{MFa- zj4l)sqBj?i>TKySx3PgYEWtLoMxd$TQ{im@dux9aa8h0acyKxAQ&3T~_4fIpTN*MZ zGt|Ljf`SopUgo*Rj!yFRg-*wFpfnt%Cf(^$a&v=qrTTNudjMEVzI=(7p5vFT${nP%-_g#LZJ0jCC-`<6ER5VNMGyAl}&&53OGV@o~qnFpm zk1<+UTen|4f9nJf&tA>&eTk*ya~FF(8^Y0cfS-4DS4}pBD3#54ZUA2v3!P(n;iwxsQI!GWtV0Cz_teUW9s;VPt*| z-WwpNo>~_aq4h*xz>D2@>bRvmY%5E#ECnUbo5XAT2~1k3;hxUc3OWm~{AH?I7>;Au z&ULY(u(+zg@N*s}&#{J5sN_h9Ox8Wf@Zv&%{P4 ziJbZ&r0Er1Btap`4eV!XEjuWK6+bUu<~t$u5?^5IlF-4G;K^rbp(L<>gK!uF3P|9{-53Q0Xd6xviOPFcazS~!~yTr5Tf9^9*)#aj05ZZQ>KrJMNh zj5BSK($p447YVej)H!4bA>(KN#FJ*|)7V$@VYc=kT^ zAu(n3bUJdz#+bk11d-CvT~dt;Bjm{Gmx?PQH$$8h6uT>66U5^-e@YA_4w5bAi1-u z(TER)IT{g=Unz^@^cAH5t%!>0FHXnqrvF`*F=r`c5$sQMz2T>R=+GonJW8zr=P zc&4d2xME(%}z zrzu3*aHH=k%Ot1t5m89Q;6(?|C*RRqjUSH2aXDcPUjO-N@Em<0e^bWiPhT09q5uFq zEa=6`jv(<-&piFi49U~|pp3nz=%@41v9^7)dRf^awQHT1xGjO?@!^wT|F(O|QKX(DZ z9-p+oz-EH#85d4nzaT@is`7FY?(DEljmv&t;|8_~B9Jd5DZh`x(%^QDKFU@fxMX273z$)>R@fC8fc6TX9!iG+1u|8TM}d=VGY zU%xe!Xw;mD2BlRyFZa3#w19=df#hF}RVj2}!KK~7V_w2V!?mx9CAPOHz#iT=hGe)B ze5%28;=r(5=K6?m_i7D*@g5e0n%Sn>iVKDOZ;scNBQ)18JrT-xc67XcsNd7eT0O(a_7eYkd74v+FAKPu{kw8#(7@=Jg8zK_jv%s=hOZ;mKB z(M+faT%Nsyi`PAv3|u6Ww)hAV)M-2D-W$>*Jplix8i{uPnlDAV;?n!!CZ%X1Uy_{a z9@#KYjwndzwzM^SK+vY(d#5E|3`uYAwjR ztuf9AYmfCL)|)!U^$mK7<#}#ySd3hbOmJO1PMa}@H}kHQCkxwdPdE+)_M@{9^4I4F zZybJb_;6~V!WioUQ|kr$Po3%sgo{;2%1m1kmrgs5-PmgD-b$A;+gRxEJ7~7MEu8NB z*Hq?=p2Mjzbd%`hkyXo(x$|KvE~m&FmQ}^^wcn<#m*sh8M`f%OHiPU>B#bF^%aWN^ z>aqOzYV9P3=89S5z&yVOsz>0{J%VXr(B_9`^Uj-sKR~kh+4sjKUGd!9Twaf(@6N0K zbw^9v_fmT%{`#4;3(tek{5p9E#&1r1daP2Q13(8#uDHfO-z=GSLvd$$e2eF+n)qzl(n z3V~XS%liy2WbCJj))l*ieEHl#s%qB$Rd>Va$R z2QuCn6Uni~U20Y$yT9krMVgzd_0(qC6)3vqa$iE$f8qmDXLIkF1bd_B(F39*y@PO7 zJ#^Fk9*70Y@0>3QOkBCTJer>oP|y;I{-%Cn5bSyV7>Q1i{2_A?*{yc7;L{m!J|H;5 zZ_=puBs(ZoF_{2SVBZHiUq7$$#q;i6uH4+Sc&%QR(409CuDtLjLF(mrx^KqHmxIbb zCM%oR!s;!|m;)?_nfI(GUmME%ke*_tCw;EV<(%Oh2(|c0X#zm7<*y$GYhq^Wby2Q? zwNw!!Guif;L5y#Tn`w5kWc-oMeMZfalVbRzWkyd9)DTP%2qFn9izGztIt>kRhA^bK zCN0egTi)i8^RT^3>#==fa?l&C?LFk^y;MsHLjHo}BWhL~@Om*ht`+aEmxZ(NIxkDE zS-c3<27!weZJXgCmNQlDt+JF~(F#y2*q@Dzl|+n?Jav zhwhZE7H-%wKJN}JJRH4gk$Y&p@Mm#ZZqf^ir_7)z2No z{9@fs@#kevKA8_~{6gq}DEVG@LqB_Y(yPr!QNgjh)SsM@I7-Cf5OeDBdQI6*xa>sp z5X1gNNwf4?RuurBbvv4(TSF&5FFGZXTC@}^qBckr*mcdWK>}*_nqf>U9)z((8oMWh z3$N7*F<*X}m$@dq`YUnj)SIq;PQ(!ZFhkVptitT)x|>USfW<^`Nfg8u7q`1_-BOFf zYTfDXXN)^%-dsfC@!BuX4mO5n_5Vx;3;Wi*WnfKz3pOGKBVCd13}K^dF4siwUlVP- z|Ml^HEfw@~@M!M^pUmm($VE#5{2UVT*B0lorreWURX*htZz2?jTFRSwDC;hd&U_D* z25}nfQ5Q=W#zfMESc!ZrRS`#t>yc=ML$rMQk%5Fir(EUEZUr{R6D9RdD_q;BEh~)F z-uig2iG~BVB>(fgfoYXqAy-_(DN4FN4TvpmtOeCwwnDOvc*O()dJ+zwe&xaa0Xyzr zd03V0tm7SGUX$i{=F%W#7%`($u_%y17in3mJEC&3iei8jyvV#NH=HOHcha#-%+;_z zBg$?1g{cYmSMvR z3qVu@wi1(klt+6Hehab+dL>zS8;=MH`h^Ge6vC5T>Jh67i&o}tB)^eNztPFEJ+Eh$ zJC(YLfcbFj4r(Y+v*s3AO^;Q33WGzngEe!x{8*7nYQZEQtI!8&F0!bAm^s68EZ5`9 z>U(TceqVU$mv4R^oJ{WgD?7*JUP|`kn)q_s^}Ht$=x^qmGuHuyy#+=Yot?&AZps(A z6KXc8iDI$xD!C-%lDqLP(fS-fykomxg<9HP!Dsbho%2o%-mAwC zUGfBbF#Wpaktrq)J(_uJ*^=aG`zrjaB(=iV?gvI;=yIUi>*cmYdeu1r%e92zu#$k( zGbze|CMaBlKk?Mh!tV0e4bykstO%~?m&b%MKTHmE@;wOYIW*LmV5-J0L2!}yPB7IY zIEPs<*xpjb8!P`$_Mt!^Y9(_8K}TI&Z6OAzW8u{wr8;k7O^MU^)W06sg= zYIcdaGbv^U2=C1sZXX=Eh(OS+`lm3&1ZeJ*2#Vqk&Ao+{m<$;3fRS%rO}`05jVai5rRNtsvZU`Sh7k=Xm5ds{9MAMMIdb$_k5^XW+6_h@+c#J_@wuaJ|4|^&FH~3 zCZz=lKALx$`Yaneu?2MVPjRRl{Kcn<;*uv`4FIBe zMQ0&t@Gqb(*UL(*8g{#Ug**u4q~D%}^mZf`PKjdIa$uUX6ZwWYlOc9a zUng2vaTwNpdKp?)ieb9?C@Uz$-1|1$nHV7X33y!W#DZ_#a^AZ2cwwkk_`)YHK!P>+ z1b8AWg|&>&hd;y6IKTi`M}^CEaNqIVR!t7Hs`_ay5Fw!!=`3Vl#eV?CRsy70bpd^# zOI^+fa0jeVfj1qi0p&R&KxGMVN?GHr1>bzXEGoEtJCFr&(0IH45@BmpLjkc$3XtBC zP>Z=_y2^z1wA{Yi!`V|1;{)-w2N6=_X;z|gylFomNr$~N$kRp|R@lWb!9ccMKX~gg zy5JBSk5+dH@b>5muDu}T{0-AAbOW{kd#!_|L{1z=)9t$Y={e>1NtjMP3=Rr#0s>#( zc>n_Bd5=TS*7)n4RyK%3s_Bgy96+!gXq6*Z0|K|tlm-YpfSZHKwX^HNKUQCT2fEkK zki^OIr4d+r;e|E|rp|gOf_|d40cf59@I-E0L_+tp?&O~XFdI3}18@UVk`TfD%@nZB zCMN{L_UunViP8CUW3Pv9qjc;79-!|3@Kjk@*&P~;f|UE`Yop~w{@$(bf>4kHW`|h4GcbS*ewsOdZua$%HcDq4 zu`O;6cOOiiPL1tS2lv$(vvSuL-~J`&tZgPasV!g6%uVOo6?@E4BH(KG$3OcUKnN}b zyjugJxnxYgSUtv-#tAoa=UIT@UGU9t|LALV2`KkDW*|QDzl(rWJiz@j-9-R+Juyz* zVn%D5jt!qx0E@n*m)7)n-0o#6~>4E z?_GUg*L}IZ&~l%H*fo_7v#GaHkvnqauh2-Rd7#$4OtvVo%~vvmZ2>lEpdf!1;_w#R zf{&R7AAY)jcA4V&FnFy9v_2|q2rmkxx&d1- zw`F-C{t0-ct1@P_0p%+&VLBsZ(|>1uRmFaNcrnUhE0iN;$nrT@B1IvmEQ z`u;9(`O^e<)hSa&`tK(@?c<|~Sq#ns#wbuj{xTN?GdBOHPfR{2+#dq^$Tv_2(MqBJ z^(3Iu8wa-1;MF-GU{s7{DbfE=ckMOhFH;8G+*6QzpZvuC`DoOIVW@z^kc z&Ed>20E5HbmSyoAU6K6i^WaS&g7q9NbK6B#`oFFQk_FA);kxe&rVhd5P?*r~zPc?V z0FcqV3O;{JYG0$_|vCMe`*(gC=qy+lDr&wQUP z0eLx))XV8*B|P1>%roPF!CcBg1o+L@@Zb#r?*E6%ZwW?}ZDD|t#m1A9Zm`(EDG3-T zy$)7MG7>e^$NmkX)?Y8~-u2M^G(5y9!9CU6G`XyKVjYh@tO)SJ)EaED&xfn5f32BI zM2~=ByxIwb-x)Onk^nUy$U8Kzn!vp=*A5d;U_>zdzh~AcQNR$}Ncsby&;U(V#VJ)Y zdF-D~xw?-mdfFr%2*JH1bpO-cyMS#tE#B<*r2*0?qc-nTGq4--_e6;R zj0u6-=f&X3_it=yd0qqB41dqehhSu~2Uv%~0AkF*z#4xaNZ7&i4t#tHOg*!*o&~Fq z9JJ`ikEDfLsbl}=ag*etypDA-(5pt$8JD|Jz4`Eq_nif5Z91k$!<5P$Jbv;)vgL45kNAo)V z0A#<>VUx*hW<1=}+fG;wpxtC%L#2THV%7%sMRK1zTMYhBLl|K$Mbkn?|IgZbO#MnG zN-}FKlv|_{9LW$ZwUt#FeGdq+de-~isos&A&B4C`F;+wqCCUpN3>Wb<_!y)(e^t{> z02PfO4%vZUfU_AMwKs_`Mj#_SFD@)cAQ9vDC%eU=+Q0G9yTBO@7~g7o^S#x8deay~ zGAlyvR?{DiZqI<)$>|=m6?THPG<12CM^hGpj;<0LX-$ z0x$tkY7WZ7r(|?H12a8WB*zMrg8%(Y5ZcH?%PQsfo&w|F?|0(g(Fb~yDnaR!a&}^X z9W%Np%no3PaBzTwW^aS<)QN7FIcLw!5oy3bKV0CZbp|j>c6{ireoi(u1yW8unu8<0ZJ%U7Dj}(M26{5BpZh=73N+ENku7>13@y5`Isgx95P|Gp?cMc1 zqasF|k-PVP@?I~;Ji+hQe`nR9JltqTmf0NjDHy{-60V1#eba5Ve&;b?+{RMPv zfQ$-SfqO?JbbCZEaRA7__~mg1*f%PC%oEH3`(l4j2HP`WvC}Fw9+Mmk(*fSY!jk`d zq=O2K1`gz>1xWmjaM)x0Q!9!kq`rH8+5vUoj8glO#iLvH-!PP`ieDk07mQad`RjHv*7 z+Y7HIw@);o&BOrm?`-JVcMh;0IOoiA^2%LMVg33E1i*TX!ZV~@-Q2@-haeM>ubS)tB#YKxw|Tjo?EoJs{WLL@W7G@4_;cAaCQR z6*((L!{1j00AqV{`7{~}4jh~YfZpxT0okesESZ^h-Et+b0g_o;)bWdZ;O2s7F{+D( za}rAyi{!SgA*=8?dcYK#ryKr zb=Mve+o7W#4)Ip!e$0s+Rcl%M@^+uPfsVRb%M%f%*tvZj`Z*WMnwbphZ?6SrW}F>T zQj!{?v@a~QZepfP)xWySwALs|ZO!IagM>ONnn!kQgp_0{?Im)M=} zlvh-=d_gUG_f8UqEW-rWOBq2+X2U?|rJ4qqS1mvvWApAhAks>M^5YTiYM+@)oZ^=6 z7LR|IYxQ82CD(kn#$E2MokfVV%^{t(Ds5c~ilI|^7sJG~bJ+5Pe*27Lo?9;wZh zlDfM+1;*d135(*xAZEXzjjL?+udlUa0+g~oH~8N22PCgu`Q5L3=C?>T{A;gifcj26 ziAchhD*C{b>nPuvVcu^5KOYSiH80(E?K(uf6^^WOvg3f}xI9f&zpz1pM)ZP-8LWC3rA-8dED5EE!(UQBM}jzC-}5k>$^oN@XRum-(t}qgAB7>ky~}AC%QcNrG7<=Y z5>$zT0ZbK+i`licN~0YGXteBBs@e>!b@Bnb29`uA03@0;=a7_?#FM$w>QJ(|9rbQ4H zZcL9Upz@lMs#U`%H^CNYA+`2)7^O!9g^moTEQ+9v*WGIk!YFzoDBnV=F>Np~^%+4a zFJ&`G1eN%8@Al^^$76}A8hPJZF(#h%a^Q1aOm& z-=K?w6{q6$_w$09k9ba;u;+yw(!F2N8dWws=P8N2dqD>MiPt~zY*jEc@~&jx2jaNF zCVw#4&2Uk&<_9#o==huRku!kUPrMa|?52Mzt4)?cr3&Zmjj{$7s(l*O0Fzr1n5=5Y zq|r?-bZelX@(rf%C1h>@M7SHQq4W)wHbKpLW=dtC=9H7qPFdWps+CicPTMV)VDqbDuWsfpMpCym=>_MiaE ziw7nux~gpJfM|vZ1{^$apk#9sP!7dLmtlI=!oqqj=tRs$nkBukv{Y)kqiKIN%+E|9 zR{MxiUhcX|=afO!>Wb!vB3nkhc4sG1_dmSNh~R$Y%@?)-Hj9%MubWoAZGZCQiG5k~ zm5-Lco2R#01d}Y|=GHVcG|F(M-(HSRlqL}a0qpKGU-?kAFFHRn>Fqd-s&`Wn)r>3U zHBNeqYey3Z)*Z1)^=QwN7UEqEKD&Wqe1pSJmz9X2c%;$}>(WaMc0a^ZIXsSat}H&4 ztvQY4!VG+V@b;5^+X>>9O8Z;Lx;@F8i5MBl(1A5{4;{i$#pof(e@0rmM-!-;gP*h~M211qW3u;fYNe15fg#{NYv`f+yXX@qc zog3N(7^8_8YT~>Z%$6FSDn!eK#GbT*sTd9!*y)j)&&Ib%(~5fv*`an`#$?|*4E*gnEk;u#12fQdJ0p-6xK zN*Z9hTom4IT0j!*FjU5x6k9fJUq`^n%A@LEx0PLjUF_9UBvHUBy!}|~zOM|a8pOL{ zAaL^1B}xgr{#01-pan+ zIF$0_h_d4v0d|=m9KegH@6%dF#)Q$$z<6pK8^pv} z1ZK#oBc#CQ^ZBne9n(7}g;7AxCXV4xgXjBz4CRKqqtP8zJRc}is#LAAVcmE>Xtv%2yKqH}OCb#e1!GS1h- z#fg&*tkVG{_w}2T9KwEHzd*lgi1s=x3@D$W^1^AY@JwZI>HQOE}cJRPIZ$o}b8HuQ|#5>TSKJ zAgTc@3U>htiz!Q%P z%En?53aGGO=9=w;-b{DK{oM8S^_x3nFJC?mr=K){%{dNSK!~<7ioIQa`oi#bmP~#w zVUPMH@PZ%c3D`6|<8VC)CiU25aNtSa9AW!8Ev85Ly_84w)M zDA#i8^T&T*GNytW?Ny)gukvOkO|wD9N$#>-dx718#V3_nXgf=sX_MoHUP@1CVc%u` z^PpqU3Itzqi1`=l~BF~A&0_- zzXtZkyp{s2q;w;a!W*2gWf&;Z!#8M4wC{OF%svE>Eec@YPB%ReGcgP&ulrmMEs zM>}&mah2XPYPr#UWAMiCjcI|pWi}2-)R)R9AeWF^1!V?6@Vpw+P$% z?v{R;;^aTQz6H_}MdKfYG*6O6t-I!*Cg34KKOKc0g(6dPREiLd27<;wq_!NV(mzf5P4b^C7J#$)l? z|K06Xq>aX%fYOmV3^Z;2_Ik_`2vp$b5b-JwbI(hJ;2Vl0)L}lY9e5ki-7^-i^*`gN zs(B$ulRl<|dTUWEov8+|Wq0i&@#xYkZt8-u3_}JjPxr)Qp8sbO(Qh?p+`uIgsMLU; z@ozIE{pWxi06(F~%{ojl{lt?&>M?a|L^7VKD}*46U5lyDIQh?u z-=NFH@&TzhM|UPfZorqWnESdfCtKo-Y93W@o_bA(GF_q6IYmyCZ9lRgvl%1IQ9F4<_!;&Gr9h zHG`Kw;%gEwka(`rD`NOAp4nJq*dD7x=TOrD$Y@^BIbb%=Ozke>RlNIiVPu0|mKTCOFx5RJY^G_tg%t>{#g0t8^1LsNHdPP!Dz`Z8nWj z-+y1*8OuG4#8o%h0%~m-l^F=z3gtylfO$x==nmZJm0fZy#P6@BqsIuTrQwu5R-A7I zN%Y8_+Ndi>_|9w(IcP?a$;QuLp6qbMl8NDs)`YWr@N+E`8H8Wl9s>D`ZfdQp4m;0$ ze2C~cF8ib?}1ux*v!S_KEFadSbQQwO2U{W|8Pk*NV_np8T-x#w3cQR)? z+rHE9``92>xq)i%+G^DScjNx~^@tLx5N~CF*%f+5Wp=IiA9<$~urnCnE$Aj6G9;&D zYh$R)+TDGhe!@!}*!Yknp2@!VxMgje>>;;!DUF|U7RJ_v60izI6F2VP+mlh5>-o%YV1fQ&`MqX)d> zJ!MfH37x|a%ibTn);?Wi@F4Z&Gs5vm!V`^hMj|MTfVxNFFbS~aFxp|rL~clyCs70i zT&qa|wdfYf;tdXSJq%gNNc7-<>_E;%CRN`Gqu~7L;t}DLbw7H>%R|I@ndA98FY@dZ zD%UFKUh6ARNdX_eLMYx9s;O^jAd_7te@d@}E+^iGqdWJD%jZe;#BTP0%G(|6VWBXcOFkjY||G zKF3iT#zM?Z-5KdOv4k>GVM?AyL&aU3X|`{1II^Wa3d=f<3PUz>aN~SWaY8Ma9Y6O> zD4;tG**{mLv8Y}PV$pq4b$~z+-N#CY-mX!-%3sWzF~|RR6K$idF;uIMW!>+fzj$C{ z?~Uc_c~0;miSA5VHRJ%b=nf?KnW~pYR4}c>_}@2I2~g#Lc(=Rg;Vlic5=tSeBsVpx zfT`*b@f6O~-RfA699q_a6u6TpWR1MVpMaR!Es#bTSh*m2G4C=TsOK>dUC*|k)pX#h z^=CxPH@DNMVit0*+@;#o|BGX^DOjgFp@`FU^z5nzX71Cg4KGmvIbKCcw zE11v=MK;7SgMK?FL2|L~tbB*_4POm6bIz=(dlzrP#mv_p{YdqJ2x`rkzQi8^&ahAt zK?Q=G0~k&b&euf|h52>-EU8*6jH1B-fo}78N43hw`4%w}fxVlW;g-)ZfDG&F{-AG< zN0q*<1IteOE(fteJbw>ow6z6YJdrxY#sm&fqSku-I82UuXzp@QmZVYnD} z!MAf-6op*mf?RyealZA^sBwv*ov3vvXd#c8$Q0NWN@3BVTaQYhj2GG`K`_c}a$9(M z9>rB8yluOomjY(qwgX=P;Y>Bs-VVl&TtpqQJA&~1)h~#WwJOBx~Nsc-LX3^A^B*D#(qbkO3BxI%i$z;so2YPmVhlc`BjPg$Yra zJwGRILDvu;nq`BM8gUpYKFA86`xF_Xh&s63K0|eD!AF!@tdGf|+8&H2P={O@h%Em$ zj1~ICWYK`b*JPJUq3}`rxgZ7Yb12V%=`%-2sZqqVP)f`ly0yG>Wp3wHU@c}iO#F60 zI65$)2TDOjQ39w@KFFRPQ;+i<$}t%H)O{FHnb>Iwg0#sJFBDw~c^eRL_y=|lF7)D* zzZi(n9lAVawAxYWZ|BpQdKfXykO}#3HnjO15 zMBn<+s|d~C`Jl0)s5Fv-3>bE)fI4m{(rMHm)HI9~E_3KE1RNp`(*mDwSVP=6+2LB$ zQ1PFWDjlGh2!h7ZiV3}^=$5deE<*FUX(f~xDfM$WQW4cQA(l-ozbP8XLVTK~k){+z znMtmbeIP;fBBjp0(m2occ}n0P>vJQyC}Cx1IMTa+)!{iIj6Dmn^Q<~6)b3=bPa0HI z=5<}jV?w+XLk-hho+2wm74oaUBn~UWC0XQjYuP=j^Rv_izm_!AqJID-C^j_ zb%GDxf}2AeHI$b)9!Bm}55{3SxS>}}mS#BF>BRJI%ikiSio}N09VhtaIYEui$M0E@ zNlcx=nG~CP`8-93Gg*X*!8a&+|z}d%>nvZhob< z5}WP$8YeqcHdo`itRQk@VF0)M;{p!9<`3Dg3w6v#M=PXi2JLu=(^0@fSoaMd_vDL- zmRpm@`o6+k68Vd6pJI}cG#P#-?D&ITC<)!ZzxZ2eltN?7>P}vSd6gE%Z&X| z#Nx-pivC|=R~^@M_wPYMkPz@n3~2$Cjxicxlv45l(vy;Kz$B$aMN*`c5Gfx(ka9Fi zN{2E;fssR`*$9yiy=TDn_dNHHd;jCLb9T=A^ZvX)an5&aPo_8u=W?(2Z^Q&JZ0Dxt2zbx!x=BO7R;qgMV3u??-gLsL0yi7U)Rl58}`YY-Mf4ShDz^nN0qX+7c(*H`jw{?EC2xtB}vR zdEA_YiUd5LN}F;DrAgZx!H5Wt4mqS595UDB zD}vPi8ic@0mq^r@55+&Yy`&VfnWLqgRvIondFxi4Bc?&qKO3iFlwK$5@(cV5qJZ{> zYBr0pf;RkO0B=8wBeLs$z-+QcR1qv~euF;$!lIrgDje9O6*%#B?!q&P`4L?q7W17w zwtJZ10oczjua`RwcR##IZqMs#DF5r!PD`d433|ad%0`FE(ten!&D}M@s;$T5bC!pD zgj;I_474A&B=OwNGy`cg$S#$Un?lK@!QP1?xDSvol{b`pi+SkoSk4}r^c`q$6mv~% zV}JTRsKRR-C`Y$tlxa6$`0elMTqj(Ix7pPQ^85AfZ&;vl1ipah+slKBH85IxOvyPM z%G$VoN+B)UN-lP<7Keo?uM_x#g~T{ySY8rrC0MMJN%S>K`9hZ^>f4o`9+ql)Q<=PZ z2(H;E$HLE|W;|&2f3n}CVL>_2xm+>g$~A`vpnaT5gDZv2J|Qzi{Rw99U)|Lfwn|Hs zeLX3zFYS@HA7}oQ$-87(E64BsybOQYFJjpgr4O|8Goa$BtdsmGd!B?-Q;q1Agf*oZ zrbdX?{S3Z7&hIsLvytyVd?af`f# z#edvPC4gA~mpJvi%Ke%kQoA+iwWl1~N4_Wd$FD*iP6goU@)C^Gp~L4z{RS0btLk>n z_d}nnI^6TVLF3kAN8aKH(12w=OuJ8I4bN#72T{!0X21Z}KgPY8OsB5K8*4Q;I zlZBNRDQ_qb-{{&W2eR9>dd9@PmU|H5R?H(dbNJzJI}OyG8F@ICxi0lF%r_C{`!RcX zFim8BqjsWJ+pBG4*%=kjQuU?5$B;S^0RMs)2L(eGN7Kir%y70IFa7^pj2K9~L|Ez(MBLEawbwk99D zKAH`az!4&GiAAzb5D)t9e%xL{jvTW4`;i+AUtf*rW%UM<$(S%VTZ*$db2E>72(p| zG9Mvd0!!=e?-v5*FkI_jRn z>N2rux7$W0`*GtDsISA``U>Tdt)@TUp!;@8mdBIE19_vbSOe9|T2pn}4>Pu{c%)qc^o47OpRyK6b@*~a--`HFkgvLC!*aC(Z@0-k%VC`VPIoIM zr?Gl}4|64WnWesPvA)Afu{`oqd*bDX6THJBq0F zlN+?~m}L;8d}!`b%0GxMbgMe}3wO(>YuYi|B0sT9kIveOkVlUCe(GjaPJQzJMlGW2 z3Vb7g-Gd%fD|>p-Ps&f>J#S4~b)w++Tn(ZK5)v%W2&&h}OQ)$yEy?S`?@ES?8ARE^ zx^IZ`CRBkFXOewcAXjTjA@rQaZhC}jd!ffre7I*-NqO*wF**aduFx=!1KHK&+)3}1 zcAIY3^!<}@55+oI)IELBY&+S0ldF%G@7jS|l^~WUzBJ zkaqRT;52jfe1(~Dnu4VnT%H&2TOKa0K8VcNbWTKvw z=Ie8x-*=3fbl!INN_Y|74r#)WY_T@Kl}Kl5FYvH>S~z6Q+_Wlj@I*jN>#RyP9xdMU ze0waMYw*WdWi!`q>0j@Yq4_y#Zbd-Y(50$aXs%#+=C&zE)l${lkZn^D6Qr0|oJGnz zpBO8#GCWhgOWb-u6s~xFlTzw?`bSHaB*e~~c<{NG`}Q<#PbXo-)lBo`_UW@DIA#YfPAs1q(W-zc(*1M^YmhCJvUWf zLUVsuU_B_1+D3!&2)uV!wFTC{s;Tc_=w{^h0^|mIn8cgL~MraA{-Tdcex%@*h`* z;!W2xZ5Wfbv94LOA|l@ip$;l$X)Tbt#SrXALqtCI&CEyry@r5tZx} zj11gz3%mncxsKar#hDa0^&D!PH6L_u39nKt~mGZdfKB>+MRj3+~L|JDyn4N|tHbEzLHScc;52?P=+!M1%K>xJ5k z)-+MS3QhE8IwEC(Zrz!9D!KZyOuEHXD)=1fg~bM?Y6d2nRxc8#NShQQHA!CmEC~zA zM^0)Q6~Uh0^tyG4ZYd_)b6OO{(n~!o5bmHi0_0(1)can_`l2yUCA7~=-ZcM`ulFC6 z;JoRO+VH^+WVuR*C>@~0Tgf(hVuTXam0jcFDqo5a&RE+#-?Z=g1KfZA-pMX)L*uNx3tIsMRnK&pwv7vJNG-hAD=U?}ocyC)84)Qx^2b%inzHPwN8` z4c-Zex`n?V9JmeHB-?*Z(_AYnNm&ZY_}M8-4w@b223h*l4$kx3mUi2U;VOen4H|p( zEEdZ{?B?*7OBVyo;TC8glZ;|6jsy`H=w-X@J0V~A#)Ls$*;`3_dL3k2^*RKat*Yjo zmV5PQy|%ZL-+bx}P^3BF8q64`c-~ns8L_h$IXT)))(t;=`vXb$O_woUAk@fixS%iE zQtfi#soe6P0nTqGeZ8Esu;R!YC{`0swA)ZTD&Z{=#7vnz-LBx+9ivruKu4_`49Ie@ z!0+hAE34>$JH{J%F<5WOO4ZuTQm9t#un#$EsDJL1Cfb=*iP?`Y*>NYY4IM~Q6Wg)n z*OeJpOqwndqI7|Ai`V{AggU%WMDuk$c-S+#k{114jy_FbQ`3--n70}SwKvMcE4#Kp zT``)hNCVO{Y#1^@`6~gRJK*&|ohEi#8`4YRt}?M*o9F7>oAGXya_<(vEz)Ieu4MNe zkeWIY3Z?@UzSA^|JUmn{MN-vqaAe-T!18Q-{#~t3!&Ue9jLI4V5es%fnATS}eXC2u zE2TGZAUS*lL4ZCud!i1cjTx^fqVji5Cj*5*j<8-Wsfe~n$$94j#~KhE z&){6O{K+D5TMyl>rMFvPdIv)Vr^mK(`ve|Ns15T+N@;qCzH?LeXPC%4rLIidl2TX# zW7i|AIlZNr^ens)bVPbSN;N?6(nW4nnx{aONc&G;G_XAZKyhd36b{ax8;Y;#^SIgX zRx?MCs;^+NO5=q;cBVi*%Es3F^mp}#_}DH+p!pu=EM=WD@a;L(^`^pVr5{b~F_ii< z$G}nRh=d=9F9MfCgzrxg7%hu-&fs)&dUvC6b3YbCw!V#1Px>9m#BoHcbW4@(Y%+X6 z@D3YM&0k5Rd1dZa3LSHY7S6=5H4bd8P;Yv%I}&|(P;_(bPDK{X{34W|0K%&fUzY*g zt>IS0NX-C*xc(0K`A7&~xfON}6mb;71#y7El@Lm zfDAS9k;j3jP47YlyGlU%`!{x@9kFdsIhEaKZfKUYo_=CcQh)XOPD=`8GjCk7vS}gf zw^}?5boQJ-^-=-vu0`d&<>3d>J%+HM_~hDPPz#{lFD@awVE*HUAocK$A(bkf zWBnq`#@dF2F_}^KFsTAMWXsy~vGs3Y;3PK8oGvwGso>rAD_4Jy>KiR%x=iSOb&uh} zvuz0Osas#S$TWT*u&8}pQ_ab{cNS>6GARenV*HTkY4YM_i@}x7h zjkLUrFLw>?g`fU(7Fz#p*R(UOyxLg>)g$lVq$)(3{o3}f%1JNfyGC&h#|I8KOLGU! zPnAYr&K^R!vf4Qs;@=AWwZ~_jf6=~Xty#!IFfXQSs}(#aa1d+#A!yU_fW+yEO%1~q zLwjm2x!0r0!WfBBv@-41N|?d=yRcb*VHvuyvq0L=vs`v`F3yH%AL#vkVCNF$*^`{M zZcshQoMLv>cb&5tB)BZS17(~%U3}SP$*RcZ1$WsH(=NGoNgwuP0pZ=XB>(jRLAwqu zk~;Of)eKvZ_TU9q#R_AU+*7$IG3m;u7eu?fZW4fY7biistuCdp#J%;`67lNosepeK1F{Ll6 z(Q^#2bE@Q%=^1(v0Fz|)b}B2 z&jDZ!6T)6M+<#eizMe?&Xir9bk_v3Z)6v`!SNh^1JNXy7wdl7eZb8&@OHC0Bg zwL`vq)qd#gVmfwbnVU2l-U3{epTH3`YM~hyChpwIUPtY=^jm}%?GuU;hiJx9Zp>g3 z6StyVa`Z)Eh!6#}kW}#(kHQ6rsfn3w9~44t9s-}u6Kq6ZvG@07;9M_495nZ}$y;m- zIH_m|(E62pb&ay~E2-m4Y{4<{gAb$Iu>86vhGFRfj!E1Ur1dizqGP}%LdhInko42X z^Bgsw|G>+qparVXX{kFkUgGd3ekv=D7`~|oC26J>ffV`CcOHfECyof2Ed^4fieKVi zw+)B3g_545)MXX7B@v)~;?eRg_Swb!RA#u&C!vgnkk0GA60=78ZM1;NYSZ|z{LQ>ih-Nt% z+Yg9lyCK$LDDCxCy;SPQBf6}m;n$aY@}{!HxvzSQ2?G=&syc~xcgjuU8$t-@9njq8 zD7$hWQIiSKz7V%*c}Pw6naQh>f3A!;ZiD6yq-aIQz;6E;6}P>r17=7CjRdoVg)Xa&Xmy(+kp+gMZCIG?h#L7b{F&)> zz09##2C8vjID5`Dtc(JBf%L>&VZG&Dz=1Ka$AOt1T= zxrkVSp`5_bnHDu7jBi#i#J>$`{8KW?HZ3->M2{S4?ZlKn6Y7WlKxPLL2i zX4CR2_n3>BA&q~d_!n1uAdN{LFY!+%fH4re7VZ;&F`udt{W2-kwP#DDi>NHX?6Gng z8kPSe%|A{(fr^YIDo5rhFpkCh53=vt^8A0&MBq=@{9ir=VR#~}urPo{BmwuEjMS-O V`WcPE0P$JDH4T0Bw`w*a{|%}`Z#e(} diff --git a/doc/3-pattern/keyboard.png b/doc/3-pattern/keyboard.png index 7042af1c175fb6ad3943698fcec1d16f86e2c2a7..f16f9f697b556aef4bc8845898c05c0e78c53ff3 100644 GIT binary patch literal 129746 zcmZU3WmH_t)-CRC0TQH<;O_1ow1c~ANE0Nu(>Mfz(-7Q)h9H69PH=Y#kl+$Lz}tP! zz2EoVct3jdfUaFtYpywGRju05S{h2YSd>@@2ne_;%JMo02 z5brWo;Qc^QVz2(oYIFK^YH*yVIwRqmRFWem%8zMuK?J z%zHN?SvpT+2g43OP`FeexKyAnkYr~iEv9H9yTqsqAZ8O$fP~RonmeG%;1C!(rf8g6 ziwFlgnmtcG2~6TXj88pskQ~Rfhao>@`rU?3X=fFxU|&gAsCr$w$xO+Fp8JoI&XR@K zi@TJjMXlO$7-OU+w^$lwpc#VN8B4I1TrEp2i@n>RE&T&q2owuy>^^m!&X z6vO97D?Cd8q3lK!tz{9A7ZrzmoykKK+d#X@<4j|f!JknH${=)!3g7S!tp59h!6;GD8`M1${@Q8 z-xphe>FB5Sw_VCN!YO4Tf>25%4LMi6fI>39!UB9Of&aW!@eP0h(3sxD&6Et@hyeCKP-%v#ix?a6py3KO(ka=r znPUku@>+R@O_S;ipv(}!!%(9VmKJ*HGE6$p@&@Z)o~`(y0Ya{;_~AKk_>cVH%1RUc zyaj*{#L!TDED`n(Qv^xx?nOE!B)c%XScEOh!8rdfV6HLh5tK;BXX;@a@?&c7ILkoc zXxXfZlt?baI_SWsG+-gcm9=RZ&_4kTlK9qWWt-2LqZ%M(?F^5zPX|ews1t_4el3f^ zewCUKYSQMzRG%PeXal?6_tU`g;;|c5Oo4Di>@}{&nGniuKt;v*&g&%}U;>IYF5Ip~ zaVHG#j@J-?KX5({m*hcD9jkcY6kFMEx3^b&hMWZAl?8scoD1E6QGY^X_kX=ktwPp98DD#1tg}4LGX9*}wbRET zfWq$iA`cnRqn4ca(lcPZ5Fo&pBhK)Q(*pF-lDmP+IR&5&;2QrDaFrw*OF-UjWjkzx z{~s?IZ0Rfo0)m!N04_##oohK^0iFQ*(UR+|+M%WyH6kvAZlv7v(q%WY*0SJ78thBs zT23Hj^EyZi3DD7nse2}_sYh&tr`GuS6Tkoy%V>l_%f?DZ|6^j5!Ri$JZRLg8PDlU> zt*)>^j4ly;gWJ{t^saKiSrV?*EX%FSx2L%sW>A1wd_KDjx7$bIBoT(X<7vqm+{*s1 zL$Ottf2Zs&1@Yrh?f~9lo#i(U7p2}|%)t!+8_=Dh8ZM$5RVk>m#KeswvY}X{#_^xo z!o3U5+=z`A_+6L{Y5%L;JSaK3<~^`90G0$0%}>}^lq{53B)f42AW|($8EAoIhG2W+ z|CTS1Hh3Q!>>-+A+V$l~Wx#Oko|N6~_T>BvBya}E;v(9sp)!pcg=P`do1O{e@eGT1)^1N@}BD&kyl*1+a}(f!%R83z5YiUIn;(RGSy?AB2NXk-Ph zojG&lN6mhB;v?+v#TpE_m(8z|xx6+>X5sr<64*x_HQW$iz3*kL!rGd+Ax>$c8BE$^ zh_x)Q0SY%1$MQJTmTw7pYVH5K9DGLE%j?hwbOX1_2C^A~$SxgpyotKOyNXd*ZF3rK z55el?Ag3GMc}ZMgjp+pZ#RvkI8`Vqlu&q-l`JODNFP{UWJ9!go8l-BFf61cY? zOU&cLb&ikb18)ln)_}WDIkH+#9k4j70-;&}GKb)8)ZS2mCmsHUt*eC`E+NY9`(ln| zAkrBEAZ^2d+C0EJlR&In9oDQUOjZ7?fOou>t3q+Y&=7$&Qu?}$=%A;G!rQj*!4^}l z)$+3`>39X()XA7%(jzkaRu|MR%PCFOT1u!m3*uHFU605Pn8cc?Vi z@9dKA8Ry$v@zlp2^PtD8i}r8p>)(Bz)=UK>l3L4A1a%33UipP{I7ndvh0tI>fB0?y z`r~rheAV~wP02I?swLnKP8c`*oTd+unwH%61owv#BYsaSPn*X_nl(qBi!NBLEP}KA zbk=e~`1Wdhc~CfKy9|TvxlH}94|4domwwyN5L`mehS~I`T9WXr-oF>3TbLm-*oWtk zGCGcFOrj&;K`+bgBL1TM#j(Wo>L2VA|Hq%VvA>@d?t{!8E%ve#YpxyyvVrYDU&-Cz ze=Hd8G{APp@S}7kh|;0D!~5d1-}~dDNOAAe_f6kMO0e>v4v4@5@2&#N8;Y%STOQxK zKdd&HAGb9HY!_P#eSLbc-@Ch7TQ7=)3AZ=Sdo&3<1graW%!mY23z7mE{4YK9dZZ3b z(fvtguk7sXy&6;meS)#DRBC0Are>bS1S=B}e2RK478Shp1ft86^fBm!MsdiW8G`sx zSxWMx%P?hS)j`ZtvObA)jP1wAPuVTsgg&m?2!Uc%Mnx#D-tQgfxQ{&E1nl{{AGc(r zD-e%6E8s>l9^cxrW-n6sU-<0)D<(>s3!@`N^31z&27C~y{oWM z5Bci4?8nUZY{&F*e{n*CAiI4UPg7wh)kAUeI>l{EB!@-z;p@u1}5(Vw-l@2>Mb~iN4dH&<4Gj0x#>4?erb1|>&&Xcb7?i@AS?WuovI{QMBs9#3Av*jhm`B_68w?=I z?h9teI)^QvR1B^H(7uke@ii4+OzH)fd9H-Z&F(LSWcCr?Fy^SGPt|yzSU#+qdTazCJz&muNW} zs%E#C`V->J@`87BG)g^~^d^jd7(uQvRsYP4=m0rry_rI^0Hi!Pza`tLBFi5xaQYQ* zNkrd1OI~heiG%-qu;x&9F>F+T2nyM~n>~$6$sj+SgT!qzV;5+>|DKlW&rx5ZT=Ae2 z`4^|Y#A&C^Y`P>s{d)0(0BVen{~t@Q{6m(;Mf--iald1EBf8=8gF?m}8w9$r2En`F z4ngl*ZnEdL?Og^&89`Ud6@s^(0YERc;K*<|b`Bwfi0S)Qq%Us$mbO&+<#Vvx&ly1* z43yo!_U3J+<@X*pKZ|{NyVgqTgysHD!;bouX%ooPv^xS^>QspJj`dGjfF*vEGd zpUv?7*i>r(2HMcDm|oR89vK-ckVvOM;6jIUNIQXq@i6IGq$4=0jCyA z(D)%XeQT8(9UItyTweT3aD$$ZL&m}BcDbW(SV)8iNcyqDXzB}9NEHi_Z@JuD%Gxql zt+Ro}JfwH|&a5!lQAOss?<2bA;j*`tx$GKEskVDx1?@A4eE;TPMM~p2j$&}VMzVtT7vmF$;^}B2CoT5j@m(y0l?jBy5`s)Lrd~j| z3bHFH6T2l|L6q*MQiY&jB>HA$7q5)Ju!Nnb&6NiD%jV=ZD|Fu4+lQP8T@BrvXY^*8 z+b{mPM1l;=Zkox^B|dIQJgex0a>4HE^+;PE2e3b`I0Xuxrm_9PW50?KT0`e%_ zHA4t0EQEncGwaEnR`-q`5j!Ai*+w~=giKL$8DwEp7m>=ZZg`eY33eZVPdSgQBv&>$`TZYPRRA1q z0pr$>D28Je>&-B2et>@p%xwnUU9n9P*{0gr%WwFc(P3L}Hk@m(Fw@y9yS!pEZesKu z>MBT&auqbTl>eRf{`~RN{Bk78aT*kX@kfU9;24eG;-tyM%SCe0?NrrF=W4_m!7QJw zHo^4Nw6yiCAB{qv^AMf5K1n_KJ|V`nD1K?2{DrG&$TSp6i*?*14fvaLOn4QGD*3yJ zQGvnriAZzA%!A}rYR?n3$voTDihUuT;YQZej#s+sZWJE-q#ltFM~_Hh=g7N)w!^CS z=Qkf#t~j5ow=JGTS906!b$R&Nw8k=a>o?(NdR*U#Q1{!i`UcNpyap{&y4@!b}`L$H=6U& zlls1GZ!f;NnENbtiT_?*&Lf8T?T2O;3V&_A6BspRy%?wKrW)6H>wDVj+woVO3(Xq* za@ONUMs~4=+k9+WEUT#L4VpGDw7S2wCB2$1yBng*X;zTsm$wDj8=Z~Ny3aSS?B4Y@ zXq}?zEH*v;!I`$Ykw$$`*+2*O=||#;`w#Ol#Q6%*%-liU&%Osqt5Sixc`@KAkv$EV z| zDKiHr1QW|lz@8*>)5ru||JFU+f3B!qm)u-_8;~uSb2F=-kB?(j#HZu`I?HlO1-wU_O0~=2+Nfxb~{UK{kA$d zIam-mrS8^R^Tr(Vl>dCec+MFc`Yy0$(wKyCjh=cw;crmnb>-xD|DWrBKig(+*3>w- zx!WshuCKTcc7;1U3PXIJS|i@a`}S;Xk547iep1mrfXaM5dE2woGvs{1^@OM~&$^WM zke0i{f45lZc;-I978bIQAFw`-t)r)4MPa-$6MZ&6a9uUNl)W+8z%0%cY$x!Sa`WXvyTBgFf(YRH(6=*XfqdGEb%WTXqDU^HjXXp%daZy;|6xcPLCmk5P zPu{Ab79+cz0h>He4t#AQjc8i(m)!dPYVC2tNS9xJTJJNG=okCTZ&p^R<>l)QHnf9e zI^W|q4f-XRt(pCgn-v(-2$^W=(|YV))$Cm*eJ>#FuAo-*$ovs5!JgxVzmR^x!=Z^)y)D^LKO^rV2V<7C3GW zT)jaWj<#xH#ld5G7WlMO+o0sqyuzQClN#OEeWs6{P$p2Q(>{UlL%6n}?Qy%*?GZG% zrNE_V@v~D^dm_Q4`A>(n)EGsj?S78itbNJ8jyf~7Ba;`kt%6}B zk0o9)qUO()AVqrs;XwEYV*{Ne&3FZ5``o2mG=}CkiLO;Io>TaU3+S#c?tj*{)%n^y zd>0CX)s(&xsr6jWzFwsf*;ny8mH1_q zY2ihG!jBG*A)lWvN^kUh(e{RyuYTdZUjb1uFzvKI{1v@UgrJ}vBRVC=97daCo4-ip zgU9M?qX54;UD1t>ihS==C*)W%?dlWRmAJ#z8@QVu@S@###gN;a1WL0 zel#8TKHL^xnlgW#Y5zcgD>FCqLxM(LoR_WU-o?p{8bP7o2l<7TzrXsL~D|wTa{(HFizq_>F^#L&hWh$dB7+dCUtCkvwN|&0?hL7nLaPNLM&6$h5qi zB;0~k9A!tJCk0IeO{Xb6Zq3mUMAyW2v+}NBT}oKbwBwZ>qV985qO$2+W5Tx(di!g! z7)Xp&zms7iGEEYUVMKtjeA>8mOb<5WSVwF2=P3eYQ22!K1@iJ^-(4hITY1+LidXB- zs>t2D8h#A_idDvUYU2d)3-pD?09a%{mB+WXCq*x+%SLqPr zq%IQIEWqs><40-5dM?y2`AvZl74_6xCa}Ts)MUY8i0@-^Z4CM6#CR($PLho#Yk}JC z!Cup21I6&oGbq6tV}Vv_+1dOx{EU~ME^4Fhh`Bgo-+*@#2S!k^415sD^XBvCxkaoU zi8&t$OZf&xu3+5_!`p89{hSOr7(vd+Ws6<#b&hB))#lK^l`AKQ?mL69#QuAm2yemL zLN2Xg6VeUR)R$60ABSiZ__v!~w6C>nkJf!34Mdfl?gt+xM(1)=T2SjhDbH`cqZqL5 z4u_~z_4nd=!pO&BPI55bi5SGV+y+GyU5)hbw2pY7PQ(xw1B}aPn3(1Pb6-PawoNJIne( zMWxd)Qntol#es%ql0a+0Rys&e52@HT;v2N7Twciem$mkn0!4v>DnrNL;>x#k$N7;T z-@BQLA5y+MmwssiuI-1&vh{Ms>a{;m8z;@;j<74MN)zI+{MjH*T^e-m_0HFMem3N& zn{(bMlg!R8{z|vZVR|>Bmmk~Rz0pTGFSU_S9+47K+}pdxw~smF(bCkBK2(Eo#5O^o zSG2ba+W)q-#TY#h%(o@Ek}K|!ZDy!odz{SR__Cm3>E&_}w-im~s-k*Nyg=`^z&QiD zq|{_)8?W(bkn>`w{vUq_`FqKBHr;#R;6Z|`Efw+aX^$U;AVlerPRr;kGS>2afn$kW zd|m~r`e;~+@fTc)>wDMg3R{Q>r@6Qr!5@x1!(>K|L8ML8d(MLTDIJ6Y=32`_qeyJu zQM;Ur1ZF|%8D}YNmU3^GxuJ34=hkr8_}A7OW+|G)IENb+G{c{wBPhlKfUxHCZUtV zF%4@dffp-WRV&0O3otPe?N_lT2~c41KJYBl+W1hk2yD!UV0eaoxY^i}f5%-BxYvA-yG zVKyE`&0Q5V<_hnzIzHo4G#E|1Fgn7OFIMrN5mz*mYsJCPG;8U%JWDcUn)65{$+D9MT{9DkAp66f^iJ&f~ICL(It*j1#zwm_Bk8gtk)O z=IlvAU$a;juM}vwjeys5RloU8+w5xdCsy;ytA;p6R94QB7wMnz1fyHyYzL=*u&U30 z?Vp-;aKAdDtyxu3UQcTCZya)N>u(OaBN{s_tu}6cGntJcXx|Y?pTNPmyR?+|8K|fL z8=_=pN+j93;&`1XD6P3#9zv@kHcaac|LwUk8Ao5HGZW%P1wX>{NM+nh;#iG(S$4Z# zEBBAsc$Hg>F|x(EaZ9mXPP2R`^L0w-$Ybh?*g3S@Zfr4YkB^8{veM-jNvFSWo5l63OPKTV&7g(lv=Ahk;r&mJYl7) zYbhSm={{I}`}$Yw+LdLky8~=JLeoZnWcHr0c5d4UH73 zj9=cwo8DpRGIr=JBd6E2p9#jli)Gg1yP-TVF($W?%CygPeq7rcO?u6sn9kTw~>*IR|0WE zL2pGEZ|4Gt1gLWIhwlOBZ_$pKBxo~|^Kb>7s)F}aw64R(!1Jwlz5~&e4!q8^(vv$| ze~|9=gV7T=>W|aDLBAxDqwcn5f!~wIWlgFnik?h>usG>cW7Zs4Ifl32e3;5oB76Ei z4IO!vip+U${w%7pq&Y5eKZ6+o;%@kRDDLQ&o*1^>4+{Uk#w#v8I}J+HF&7P}_F^U^ zGC6*%qylpcR_Fd>Cicay508!cP97~Xi{&Mip3)PWAv$*M87C@z#u9BiL=6M*Sf}(-4t2|t_iIEqk(FB=IOO8x3@mHs2|B69JBe^j4oY^3?G>WiDz=GP&Drk?&gy zJ8SJXGyJt@V>xD5H6gaej2g8rxQ8=-Gw9D3AMVCWV9IJ9wLvrC9A?V$37GSNJ6(qK zyIGOTrPZ+sK0)mK^qWGQX?#VSqLcto+Ak0=bIe%<@E&suK;ipJavvsci&0=fo(r-kC=ISB@-p5uA>Jk8ER(T zX_CgiiMuO5Vc6hl7cP?f{BVc%4%Lqet%-^av8@IA%^qH-bY4`%BRBNLAviUEYwkHS zl#~qA|0#rF)aSZ%dJhc>bTl;v+o<~l#VHH}8rbQ}XmuwbFb2X`<8(=Ns>{i{1E4v1bA~N11YYdvmV&;?Y-gjB3*E z7x7JFu@*eWsxh&KDXrLShza$$ydCM6zJ*O(LJ0zU z##X1TP%>OgPi~&)c{T70l0Q0;RpnW`<7I-p!o?7;ImW++uA29!+OU?fg=UZGXI#&A z&2%t5E0OyG1C)h?y5@=GH4Ldpc{T%PXlINBvxZ~N^QsiSY1MwYGJ9>JCp&Yr#3qY^ z@XT^j_d9OwlCdF2!O_AmN`eQ6xV0|4?P;=R;mH)l^P%{JbqbLAmV>3q@Q>2%dvw8XQ>|DT= zSKz`9vCW@*=t{T)_xCJzL|#JEgNWLEaS#gIj`{b$mnkeh>0Iu-83Rdd&a4~n@*`=M znNMQk?SBfO_*8@SK8N5}{h)yF2CrVaGfntVp=h63`^=FvNi&Y1X>Bs=&a3Dx+E@1R zG`*B((o`{i(~-^aTS0KcDQZf~_LGdB z7;_IbTMk;ft)iLO7H`ql=9RD4wciFh2Hp}va#~$Mt#+dVcYUXiEAEuQ0T}Q+U~}bK z@%`hxZeQBm5bFm5$P}A75t2p$XoXxyxt?&Qyl`0feJ!p$1l291$<4~hM{jw<+ennY zrv2fe%gz3ih@UR{+d2c|_ZXHt*5&0s>6|L{X2}e~j-#4$ii{WRC08sfa8-Sn_v?X(_w}%*$E+lw_KeI=r>F3WzK}{f z?gnHTd0ec@>7*IYvR>;;W_PN1R2gnz&IJV+#oJ#XUZ7O;?*+!s7Tq%B$6R=E0VCPy zWZN0msltzfVMZ8!_)Db04Oy?%N4j(8DaY-N#HH^INKZLBnqzQChCInMHJBI){c*ja zYS9!w-sFBre128)=G|j{&QSJuGe`us1CO&k5;^5?q>u>;)azS1a23k#Rbodlu9#zCxxCTxmB=H*XyQ5xh z9Ppf&3;6iy8)V<(9KZ5Z{39Ehuo>ngCq`wVLlhe5zZ+O|5}3}y+rKVkoJZLGx91}B zSWBxC$)tEkQ5-CT3S-P-?AT1xA6;qqyXLCKqh=PyR~WXlZhmL3>+lM(w@sxF@5UI% ze&`%lntSe1;1JZ#$}NVDSrT8e>l&72xclKxcpq(z;VuEgwzLt^w1A+AWUU*EQIsg* z^ellp63TD+yVW9gdgjCD->xJsmO1A$yay)s+{ZtOrZf{(keAH8>}br}pU}hR+*l(X z6_8!+GI{zcffidb??;h>W9=KlH;*gzPYLMXJuFc5b%;`=l?QA^QW8mHul6OO3M^7H zsBiD>V;RN85IRk~|0wDxZkFKU@_OQGzmh^-d>Mg1rtP=wt=o{uP0hsBzen8#D{U2D zF3EXF4!OTc(pRmUE=I4t_>>Ae-S^Zl*>F^`R@3$Z0Je0c=Xw} znpxJ^uEtd7e|F(?lm&uwBC_pK|XnVA`B{r&ply=ZBdI?>|Rd zS$-v`L>lSd{OKLIVL9OUNwnEb+fX}DcL{#cmmFB@44&yt4$a!GUmSh=G)JfW_Ox5g zmbQ{A4RuZD?VmS6<2|nPa_YY#MnPwrx7zqDZk6DKjTvqYfWGWZ){ z|H2O);kSUV-HKRZ8rg1(@X_g5{zvWRvoS>D4*$#_?YSeX+k0P*BvSb1V@sgcGPNdH z?my}ynuQCKfkiTl&M|l|9oVNc3L;R30>TOY2$Whege5f14r1IdwhDLaC}B>*cReDC zQXQ(xk?~Y~4#PHjK#k?n!YaAU73J&O?7o~Ye}4!WBEulUwzoPk9|KZ%iTyAUf5y%= zGsB;k$2W~t{%^Fj)|sf$-H+NN$mo6CX3w1_2)02ddu zq9WtKOtXE&jpFbqRl$r#^6}I4`(GuZH49z+yQViMZ##fQ z)H(}q)fiHM_Ul7Oh>YGj$Bavy{QdnV)}`5BUF-hw<5O55RqIBq|I;7FDt|d;p)yCv zsOOhq6Z*kbhd*5rp}rVJ(g}*D*oI&fid^xI6kz|JbmJETr3GzN5$7X_E)D1lbq+N# zRr(*rG_A>uIf#80KU|j@xO#riKyIKHRzy+eZ+V+c_;z%olwL9Zc_O;!6@BpO&>&#- zWK2&t^v+}x`47t-F6~bdDkh{3ZoRUKKOyzgzqtb|=EH z=_j|2j~9dk#a!2zGz0$x0vGPyxWn{jpU$olK9djajXzGyfH3~VOcFHLQf8#Z#W7da z?+p_EKsIVPUu$$e)3p_Y=$;rQ&nX5Br=I}@pSLcn{HM3kpJj*l@L2!WiJXMC?AD-v z53qC!yxO_LN1$Egc@~MCsd@Z~*U&F`4|%$TuE2kTVIU3IM{2^W5YE7B4wndMDjG(2 zctjHJMz&`~`=WFQ&-z0c*rqk=(2R3EWOtZFmYcWNH0+(HTY#EBE zAJ)YNP0DkhPhYw!;=*fZH0v3l+ywpQ@Buh1yCDEue#|$nPJ1nF#ec_Iw6100 zl^D+-6SEH#gelxbuE25S`hzyQAY*8eDzF*FI`=fY6B2s45@j-ej52yzX}QUSZ{thR zo@7A0mT#I`{G~`(N=ix4*G<>e_K}a+tI7aX7sA)l1iZMjdb^QVDwVUhLM>9Cv=IJT zM@2>3GH@-fu@1OJb6Xv09q+jDTKY1G{9DUD5g-p#*+cIBw-li+_$x+}i zCO-bfCBxOrgG=8%FhQL*Wo1UTyW!PUR;t!fi>9~NUQ8-b-u~O!zl1^75nc4{crN=? z?w9SuZQ%e1qwR2-r6$I9VU0moQFhq#0s(~(*~_)8g6kX`^#|0?EF16Kw6y~RU5Ru4 z_O76$9W18SrVvhdbJ^G;6+u*VL^%0eh-{Gcck}}9ZWeo%_38B+uSk09>+1#cuOpuL zrdlN4+0fTv_Uu@prU5tQq{ItDxSS`6Pjs6X&jx~0p$Kp6b&a~pNh2aqi^&eKr4}HW zOg9OB_|1oGBb~M~VN_SP;hrDU>G$I`{Xb09`?@DSLAvvMX;NM@<#z7WPZ7m3->yTj zA%uI$0zuI{T4~t78*p~o$L=*9y)ka^8x#6cxAkqr7qzHevMxY z+n-E(!Gm%x{XC9kzAX;0Z%xsBa2wX&jF!{=< zSW>@}sr8`XL_u7#s2-mu>0L5(@55(&T;P zO*FRHRadd(+)c2?spPpneK>e+(*M)VNA^R&j=b;G(4XFq6w8ED$1M0GR-Bc^SWyF1 zxJ+@U+)_%iEAWy|ueu8GQj4JNhYvLhor@R|S7(^}2_}6F9J9}U{v4wgt=g6dxR0l# zy6dEU8}t;DKj1cqUhlYxB#v@TuECr5Y|d^1+JT!zKKgqeToT-KB-BczYf&tur1aLL zmOw- z{a^7Q$#=TjgyJ1+oOm2Piixgn+wsj2tT%hVR*pT~1Zj+RZhW+tEKh&}2dhHPVCf<3 z%IGb3=kLCib=`Egi6RvY%=a07dPcq`Gi^DQ^R&UHX|{h*u&BrVewMzzb>|Z3RvV8r z^6O&FkqG>2p_6>Ro5yRHt&fd#k|I~H6KzX~qOa{T8ddSN26)SaWRf6AMJlX{WlWgO zBBrvL*1qqcXUU_b^deaMjUNv0&5c-XQ-`a0!_!^_dBW7%2IEjdbJes=>a)=FSgd#R z0fMNL!>JWWY&l;8C%=1Q$6VBh*wRLXw2j$3Y(2YwkKxDPJRf$Wlhb-D|&dH!E4Mj~(pV&kGO-)KUkw zQ%m*^_UjI7_LrBO$|*H2+EAo*)xR&VO02BPb)!aH_r)78F`=N&H`XM*R3LF9)Yqe> zz>b$(((2Xcfy{8vtZ_OOB(<)tSTLJzZ9WDbm+AlVY_~|3coH zFT0mYvwksEnXw~kNec{m8o6HgHCF9pR@rKFo}c7H(ZYWB`UZ{hSu6~eyG3DjB;ESZ zzq=Ig8IMW5_H8f6I{hbZa2xa40?#V#8QWaAJ@OE89lDwIP%u?l_efCP#iTt=;70oi zY1cMyHtRe$kxJo?Zn$ScOZ%%=B*s*oympf*+WjUJR+oh=u_aM-5P9tcLhekvJ7MJlMiLxl#` zb0zxI%~sjX445dcF1o&7n|b@BFtqs!)8O}wKxjvJ=9=SUo01fC9eCGR%l#W zvObw%z?x~P5;Nsk@B$r3)oj=&e<_053e^qyZS9s`^D2vhDmfaLbQ;*0Anp^1cs#i3+$ zr;nL!>MZ%Dgfs#{+N)UXPvu3-yR(*L`nr(czq<6L-$)(EOAN<~kDR?L`#O`{T!Jug z0jle=k`yWTbgp^6s_y(X{5S*phw~uDVlpLD0sK;q{W8C-03nk#A11ul_C82lvU`#m zjTaHO{%00nrjOW4;MKYuQjR3*!9A4GwfOcItEYCi{sqQb*s2uuU32+X&R> zmfx!yDN)iF63Hx2l>ZU)Wel-_g1m#q>I`=xa%b>9u}Nh5tZ^;A^mru)V;1p8?~}mW z&4b!Qy(fOdfVBOk!ma|gGntj=AJRATwBF`uy}potHeSok+tkAcQ!$ICwgT!@=7OI$7IFK37B&Y%J+J!|O%*>$g-T zhd{%U4j@~!sFG3ktb+LEn$KJP>m?J0)t)T9x3J?aCo$LGu_G@vDxu!FEcE;#r)$oL zDP{ddF(3nwf*;}i1j-h z?4mCVg2X0ovfKSak}Ac=g#&jFtLsb``I}`pc3(zJA;^ExdZn+8@`eZcfiBJ}3ju`{ zhK<24_h2lQxxS(rvCWHiIS2|b#op~ovl=&-j`=H5}!H=U=8mwhy@;^}%w z+r0$0^@SP34!rRkp@iODBnqes@GbBhdaJLcl(o zMH-mh2N>sm2F|}Z;)%O4lR?nnsR?5&9{KkhB^Ka;7JCS|o@KB^XB&+32&Evjlkbd+ zaR#Mcd zAYd}eq;7Q?LB?#PA>~pI7>|)^H>UCT@M#grZcLu=MzUs>Y*7L^1BVnGS5;Yih;l~@ z%ZE4*Xe)?_V9c99jz9z6El)VSs}+)70@Xr)2pBE$Pc{IBH1E{8C(t4aG#XVqM+YO2 zTbMx<>Qk4&$!{WAArV5|%WWw?kDRx{=*H}J{ET{?p+i|)-lLvM<*JH9NZm)^tF|4Q zjU;3n$kJTiWth88Ja(!qjA_r{kOzLo*fx+tzSV?pob0i+s1%qYEfh{(-~vqI^&w9< z@WWb1k@cIu=f9PV=4&T5O7if7vsuA3of|IWCw&CT2UL-3I`BZPqTF4djDXw26ThHP zM;yFNS;tO7eI68e*aKz|cg@X&kKTGF*3|mh4Vu+ zaI`&;rcD@_DV%$10FTNWkr_qoj;mR|%ewuH+~es!(bS z=w&b@6tZ+4;qGsR5o?nzxGJ;5M3D`ad=MB9`jaM$oYP1KPz%`#1D+V3@L?@VfIh|e2=(O*sq4nh zGH+Qn!#T`(P$0Up$dOHT$&u!a!igz=^%4-s zkso0LQ%f1%09-+MT;hP^Xm9ERpoCP7lnKJIOj#Vuk!Rr_A+k6iD1uNie=`d_W)uim zb(_ky;!^|gXMOpXj0r*wIQ?&nfz139h425x1<^v`ee!ms*hGQyfVpOvI|cq`r;S$>W}HvO zm^0$;c#XWu-eaVOqn+%EQH%&k1ZgGZwwS-?6%{bf6gt9%8g@}D2+P1(rTQL7>}EKc zC>+oKrltbu6dQN21m>5J`TqfFjO4Npk7#41VJg@~RZy2#2Q!D94$tK_6hZ{Zzn1{6 z2Y8E4b(Z)m)Ml1N5~0-nf4G%lj@8Zbp8y%*$f*O!RT#h%jOtpUtSXGj)JrgS)`te* zCyRp!Og8i%F#6O(fc~1xQ-BfRCL}yi%KtB-ndVqLD8R!p+3$`svt#1@@i>}3xI`M$*6RaH;{4DP1L252fH{DX@T5Ni|&PAUR92zUd? z78>BUAazxY|6w0I7GNYE6o5)r!2rYHKoXe|10Y_gZNB*QFEVg$03jp!s z37E9Va@`L0-VIzl@Il}>hex0_v+U*C!kc74^ym@+5RRGQVsz$+0;QnA2?POKMcuH; zIR*pypcs=F2tQpiiiPZ=#tvy8WAINbo=3nFTNuy>u%wq@s3^pJoQ(2=y2v%oW+Qnh zutYb4;Jyc_EAA=+Zar2Qd%2q{4K7%B2)<#bMmQm3zAONj{%!NZ?VmRPE-$uV$O{>7 zbz#iXH67srCBOsWGN~^|1_+`X*vyi=xxy!ex(J`UEY9W&8jMo%0@(izU^6^=610y~ zGQu*#dmJV=-$v4?J!X>b@o31ZKW3sKxIWgTCzm530QKLy(L1HwnQVdHGWs%kyOB-h1}lSZnQl z&ItZG(T65#UGohKIorPg;WnFOO7w-q_V77l%JSV0876f1?f=-}rNNpK7%x)N>KJ}Y zz<~@QsFWS1{HwngZl%A-XY(9ts3R<-EPR{nN{!l>#RlJT=pI?Th#ZGII3 z{62#o09=BY(^`eKiQPJWUZsG;RL-ulFAuX2a=j4C0S#P_=aKr;<72C@r_+&EU`2y7ydp+q<7o zX)vz-e8S9bH-Gwg`dT&nVm%qy$?ovnLSE>cENAL|9uVN_zOR4i_UwY*q!i@(0YfpS zkG-x|(rM|kAH8INM}XLt5j}h0Y;Inhc{l|ho6LS8CS{Y`EB`UH2~96(_?+`oozea3 zvY*PJ!F>9I=+#k9^%oF=0Lw`S5E>zw45aFA`OXenecePhT5{N-C>vo2{TTA@_R7Il zIr>RBbJ2zkm>E>V^{7-IuhUklVwWF=({guwd$d`wBAt}N#zXr3HQ=y4NOXHHsMCjb!XT} zCQ6ueasVuV^dtGCUJp3f7 zqtUliS;27D$9rdJW%O>=MaS*;sp=nGn(n^#GWf7eIkHGcLYkC;hMJSr#wyZGs=tw# zHGG6RU9knw;d@9h$&q!(Yb)3mw|0e03tjXb$5>qor0{O)%liWSj4YvL3*limN~J%NR9eIAKEIOsjZlS zHSvq0SJu3}|Ehl`2L;SZQKrzPg|RDTkh3-S74!Sa4}W*hvRw zhdwEq|92{;ZM%rHS5(a6eoh{f<6zdW^Cniv8t~EjN^Ww8Fj8d;bhIskN61JDl&wt%a@>`Tr1a6gi3l4g z_5;a)vVvSy+ZtO_PMdY?E~FI7am$?-p1ZTnLyc*yj_BVy|LWa0-1T|!^xNAzJis3M zExp{F?6Z1zTPf>5S|*J?am*u#=p0=CX5^D%QvCj!C-l(>NzxQU1H7A+FAXIlVr#~K z^|LIYsJAD$gXB+IqT41#`9fWV=fzEA6>rnFjd3s#M#Lc$qJplgiKqsK>pH#X(U%{1 z2(^|z{{s}u;$rR>_y!1qI(8N>Kf^l|R+mkYkY~-&b{Y;MPg4I?)bLx4P;AncK=zhD z0loI9`aOW71iffG-7fFOtq%Zbx8bIokZ70WRF8P@^+ zTdR*;o60bXNLamgBQJ0I(aQGBOiz!JQn7S!y$wA)+^WEdIEl~5nu{_$A~i7WHI51Q zivL*kgU3{HY$;Xp>YacPL#H3u?lH7S0OrWwJ;0mWt2(4e>aea(Cn6Ni$^i3kx(Fv% za#E((l^$RF8whd7A|gpBwZoD~;ap3-MnZu_x_G>9ccmb&;Ff?Ads48fMUK;wn7(@# zU(Qd2S-`%w#r>Fk2(hc7VU9%^UOrz!FKj2`CFYY~0MuHO_?A_oRP zSG|gZWm{2=j84q2?*7{i$S(K(IP*^eTuCg(w(G07qVJ3HF5}blL~xr$2JD5@I2$+@ za;m#-s_ca-X`jrz>8$;Q4imEv+P>Fs$e0^R9FFX^W$!Bws8aa02s-mH)sx}=VmQfA z4pU4n_yP8P<^~%;fYVYs`i7I$`>tXOHznYtx}inp1mx#9%hEu6G0Ef-GySfDeL)Ar z!GrQfV=UrFdoUw;#lGmHUv_oIjNXb16T?o_%ut~xG z(JYxzY-9C*2+;I&AXA=V8yKdxQ_E6_&c-n2IrWQyb4s}Xupu#yarh%-5)@bRz%fuF z(1TXR#s}MJY)rup%+L6N=v;G94usPvVi=UR5|Q!*aR@Bptc>=TE27|aK)e^J58r16 zR0-JT8o%ve%shbfjj93(lP`_+X&gd0NrqQwNT`mU7?Cg&s{UDMobJ)A?F-t}N_9ps z`Xk>U3Ul|o`BnjlG!$1Mv-K%;w70bdIZLKj%0H^>jsnD@z)S3b@2LIvq(CSgiO^Dd zX`~tkfXjv-b=hkB$GvEFIR0T;9zhfZAf5S#_ho_XU<}AZ2jzPa#ae*>hXHb~#*~*r zC0t<0^vmZ4+m5}f{9~H-sz`2>62$*t@6@dhQ1C!>K1>z02nW(ApuFN+3gkv=`2VDY zg7~CflAC|m+Gzj^7&F3VzBEZd1G|y}-w;ri0AlI+ieNy3t_JBDc%1woE4MfhZF;pW zg6+%;LnAu>qpWvwz4Gx0e+4~!9EgkE`|z>uH}1g~xZv2LnT7AT0ev|#t3 zFx9zuKo||2{iFguVV)Y0sQxUI(j@_|Xq)j`HVEL@k1_&uhJRe~KOq2kEtbFbs?_Qb z{}T}8GoS4*acr?k0f9g`96@6Ej|9&BkE$l9v;tkLSAOMx`VA*V2W7^NLkc|5z)-w* za=GmPIDx&Y4D3JN@IUJDOLbK9pM?RaEN@e$C<^#BTe}?1SpKIHur877z^G<+E%wL5J&MQjfXBNX7a;8Z3dj+KIPw1O0h|mamo9E zVK=6?ZS?PJPSdIq?l$9CKZC+b?Ox!jtr{)wc*}qErv|Hn6S&K=Kuj=Uijmj1uuB6O zj$1&O({x>F0Rndn$iMfY(=~EuePerNp>L-3t&6?e84Tv1)q#|Hwrhs8U%s~}W^;~u z8W`Yg$iMytEG)~wwb5IK+tln1nQk!ClV-=bi$>OcKQxo?O`0DJk=4~}7xqKrs@AjiCxK1bJTX^({V$i1cAe1& z0V^WpZfwEJ=cBM@YoAV>n6PgrGv5U~)-sQ@+_CXg!lXOXbiSu7 zGrS(_tg5(6hjqGu>Ic_9EhBsdnyZk})c3!@#Srv-&vjdOG<82`Y?U_^XA9 zU^_zuB)Ujgini;{+gI6cyEJ}&CkJk!TY4Ug(a`vgmo{6POA}pU^qWmsTCVHA{hqQm zKCOGJigjHN(hGX)_89eQ&Pw^d4lUa01%GbzE1GF-j!oM6(s6ZPOu6iE^wWhcb>G`v zAzWQ7AEa_^ZAKh~G4kCC|ChJyfcXljW|TGmOT9@@eZTUBxbJ;-Y8BU$tbt;|=&~{} zuYZFvn|Y@nPhZk$>Ud21Vc`98pds00hetqA8rq#thDYdiSby}TdY1C{ss@Q<7Rl`b z21{t;xlh^e_QfoFji0s7r-4_y^6PeF{OfLPj7?+9b69Nh@+6L_J5BFMtCF|P=dI~_ zHew2Ix}(-+?Tn0Ut*p^R+~QW{1u7Y?@1h%EKF4>Q`4fL=Vpm-aNvZ zZQyVwa0+f*N87~gw!uT>+?A>{0Y{{lY=Sm?C%?gjj1LHfr+VrzWec@0N?e`QO6QM% z8pZPsVx@e z9ZSGrYSQ8!_54UT58-@us9QWGU}hDtoWSRV(R6%~V;ZneNq6g>i@E0xxp_rh7 z|5#7f#+ir5Ldtt#)N&pYIu0`D_1zWWT-|huK6?LP{&-2X(%y$NmsIL%*;)ZB-Woj{wIxVnn~EFz>W3l zHjAyAq=>Gb&S<`g?KYNZ{kH!?5K4mr~ZXu?-672)5kRH7!} z&RD?23V=gdB=hw1E`FTsfwsnPcYc8?Rx0@slMzbCs7^DhNj%TZ*G}uM5^xO$rmLU5 zUd3f65b*}m2t^-L?+zjC)`376u(a0B^jY`?F$ z4XrM(kLmQZk<_A1$to7i$I%}r0yo;}^Z5tQRSKu&*MdqnqG(QYt$rkD;p%jV=Bk;! zx;aLZ!otGAY(cN*ky4>g=Msh6+b6)|+qaw6ziY0nOLgNqVgX~yFEi;6J4D|rqR%p; zaGQ~EJ6Sv6?6_Y?i`3V&uYA7{mGo%C{FqN>(p?U9u%dPa-A;IGX% z%hOTG6--4G8JiD#5s7~99#mAtybYy{{b5LdSfXiTD)fkMW`8-YVk}|}((qdDVx(tZ z1YFaFeLBsz_|E+m`7z0U76Q3w^(LP!YEpxQ&|#+X#)^g09LE3A9^x*vfeD%T)CV+x zqCn!c+42kc4}MpD1J8-s!OSr$Dwob=*o`6frq8*F_E<^0h60!tx%;%Fx#&QiWJjPpj)vnkZ>g>_k!vTG})owXy7}P{>zQ}dG zgJFFUirh}E-l3tLzY#*^S&V%_z5`gZYvMh`m@PL>OQ#RJz^9(yPsB4e7`te5NQ8o6ib={r6`|D2acMo?3o*D<(UFOg zd&eaX&Y~+?`3@p!DolafZ}gumm$vCp`uuen840;+rR-CT!r2Zyi~|%33b@{bpiC!8 zNeB+5!o}#sjvQr~4%D)}R)$+G>iHsG(Fwp~RSh2>=sr4CRM>WG<+%!^wzWUYBk{8E zQsZdLL|Vq0wne*7RLvx>&iSoj;?5k2!McL5CZxP_On*EfU2@->+gYZ2#C3LctrW^7 zV`SvO!7JzuMl&)gZf}4@f?j~u2OXI{yjbsQnbgHgzase~Sy@;bNVc7}=DRS9cS5}? zB&VgDI&>_>y9&JpRMtMSeWp?qFCFO8;of>2uLpUpBAgo#tYT39I>G&un?iv%^%;hTp7)99spu6HB&SimzNIJ;gfE-9tN7JY@^1jCz5d=u06 z3^hB|sgua`nsQRLRZ5hh_%k=fx{sUuQ|eKebs z`&l;m5QUfTiNRzUgY4UBx`nFabvmp9l$g>V)4(!l1pRmGuoE9BpU3Zj(Z6ONq6u~{ zb4J}&Lc4DhQcTF&&vQMH(+!yk=_w(qC{=TBh8x%+A(73TaM)p{+_=ry&7Kz|YQ{HO zv_Z;Hj?s!4HuJ{oa^T-OmWA#|O@9`_DrWFBGTGG3-KdF@(62UlS}wP53A_%BNa1w> zH|R5+WP+-IPeCoOn$7en2$()zepw6?vMEU-o;ob1Y;zO~6C)L>$bbq63#SCgf}4MP z!~5i&?JGzI0jpl<*lI+_rNd}Z_v4^-K)Dl{^cJviEWG0uUm4c8PNhCZx(|nXAS$T0 z>)L#2mjBCD{bK%6=3tdCS^XQok<)QLePk9-J$kFncFgQfbO4*07!L|It-%D`(29<~ zapwwlzFk&7zspXw7UAjFb1`5amR7*W=Yav4P!y}OVw>GlTq|EwW3&)#+t>-|5;Qp4 zF`4c@>(keGup1irWa1h+sBe5rW9zm@M0Z@}ZE*?$WVNibxT#=(*A6BM$-njBSdEw7 z{{%q5`@Mhc5UFoD9Ap{SFA#GHtZyhQik4tD!#mEH`)nUvYMoFX; zwn=dPR|R*T0A~PhqY^C-VXfLsi%+-4x64azQjCML5EA=x=+1|}1C>CEjYAPT1D|ns zWrSLwuPI!hBA!0}bq{L0*pF-OrcBNMWD=t5gov3diBgn4dppINen^Mx$H}J7hkD?q z+idd9S4Q^g?)=)unGg2uI++c<$~nBFSd*si8AS-?gzK&lnCCX+pL^aD1~o9U>W4?n z&ht@@^p?}=L6M|)fEhO^Gkg{ZBH6D~*ZF=>%BFs?f(P)UQslN}`m~g@)@3~<;Vhoi zjh5w|%92ZKHza7)PW&ck6WaZ@ag6Mnh1d3|uxZ2I?nHHE>3KL%YShqlzI5-95J^6- z4F0v{CdH(vI6Y7wr;Rc^SKaJ;_Yrd-bTP2;jD;?JU9%{RV7KX6K`eyq0TZG*ryXu7 zYxk@@va9E%a0p)vcYBo+g)6Hd?Vw;S29`sArdOh(Qs}EPFJt6+nth#2Ilp%73rD>A zoK5crtw)jQNe+kTdpsxg>@Kw4RcW_o$bH9Qi9SHo+eg*a1aCW+*BT2`IEQS6zK?rT zG1@xK3wDagbUqe~MB^G4pA>wbR)ok;m_G{${9UXNPh;M>ouu}6g_Ywlf%df!#HimW zF^;u-l$AU)>yJ6qxB1-7^0e-x#FnN-U{TnGj8xZIpoS89r9DLqfSRjKOH0tMU|@y* z>n=0o<@miqJ(6k_yEW_D3gb~yZOn@A&(rEqcokYLC0+r0h8#2v)t;Hp7NxO-tZoOl z-)aWob;TCF8Fgr!=E_kAMwJt;FW{at=*r8GhK>yz&JdU9C?Q;w6xrQH`bl zUy55FH109q&SZItGXVJ4(R4YM_(stoeNx#RD2P$_>x(V%$Pc=cQyE+Ly$FhpqO92N z;KYKp8lc*?wSgCWPJT+e{_(SeVN`*!yQ zf)S)Uywhl^0YTcQ>!{x>nj}`SdZLrKy1J|TJYi*1xRN??wp#0zuxOa;Cv_w@z6AV> z<%`|F0d^0_S#1qn-S?d&%y9Dn;cv8s>DyKfQNQJRjG#;IWu; zb6Vix_AVMqM0~EWvMyE{2V-|;IkmF4kC`u{A=t)cs90n@9@Biyc9R44nyTJqleyn6 z`P^|y)UPr2SU^&+tY+cilzk`!pgj{R@MNpBO_zF+;8_A3&_j7^GwF6 zz`+4-m+KVd;)GDeeg+YB+BDg?ikyU)F&+gCO->fh%ds=@@jbn| z3^X_>&DUk}Mm;yOl3n8xpl!^p779b!!i)yiIh(6FW0(~b80HR(nBaD#F#a)0nB52= z;l?9fq7*qANThNL4FR3}&hMgPNP~DZ70Z4P>-%!B=<|vVebHOlh0Ts#TSHeUKTGVg zgsh_E>4-*ZtPIX0tlr&~bvL^EZs7%YytrU29&bBqgt`E2FWs)?sgl5>p&kt=uiyC< z-lD%~>tOQh?Y%G)<06_-6=5v}Q8{EdT z$iB~P+QPM#g}WsGr4(m8E}5E0yi}RyQe}8Z#iUxg4^f=9XhlORC;jElVsV0qbT3zf zSiPMg9(663Di_K(LpV<^1dKzQw~`MCm^W`_U6b!1}D|Qlk&3tmhmR;ChF7Qwo-POtz3JVCuF8t4a%hen?vv|Tkyse z=EfF70)t|pZ&^cA!9pY+oJI5PDiPTfr67V6Yhk#|Imm`dL&S+~;tfF(zmnu`nOTN) z6ZYybT3d{M0Sr*?oY0QhjO?VNbr9BQ%-?OhJbe=izNjtq9Kg}Ar$qb>SZOA&;To~l z_;%$l0cl^PyBsltAdV?L`h0SP*DGCm7@p8`g(kZOvoX9fxUjI0IbV@JU zgV4x`C|e9N#e4P-6;21oLo1^Rtzs;@HB3<_yDL515Gb_D9=gBl4_N)ZjgB-;)NZ%z zavg$1F_9t3)2Bw;VkELmnvkn7hrOC-wOjzoFbNqm0SR?C<|ay=LWuHrwo;k#`hbE| z%E6%s1{+bz_%kv9XF#;3H2MS14dPzj)A1wsxi0FV`qJK@!=65XMT|2~tVhR@Mfe!dkH-p_;Xl<`VfkWXlwwb; zg^DWQ5q?|nZSIcYAJY&10kf7JX+#JU=&*Hg{sX%KCCnL<=ofsU#ZtdiBGGm6I&y6_ zwyR0e%c$~`;e-=e<~`&uQ-bYJJa~!y@5{lADH2d6mp>5!O=sxqe)Mpx?`?nAae9G@n^v$ut|iWnFPJO0}VBS#I+76oizkyG{|1ICW9A8s)C@ z9@BUaU7J>j)?_Z=d)FKK+^L0o`Y(ZG`oTr2mp!jbV#761U;*soVPPq2Wtn?E(ie~N z1LL%!Lkkx@Yriepi+s1vL#Z7=FBfJ`OtUNfIAAgv;ef~P8%Y>Z+Az~C=}BNB6E_cC zVuLgZ6&=bSfTO5|b3_*elco5=6jj4t?@5Q{|3S??vhipG_;hn$I-KmlBQ|d&0R?>H z58;_5Kz=%^M+J*Lv>O-65L-Xh>*fKE)Eeyug!((ip>XSn5oAuQhpWnB7H^*^Q*R4n z9H%MSc|F+>Updk`o1d9g8KCj|hZDIm{g$ipZ_C|Vi>zXQ-{pTcL8v=>uE$`&gZNy$ zW)`VHCSGDi`8ERP&3V%&j*sL?2Wm46zXy(#eE0eo^2K3~B*qlsQ&o2USx`T~aiA@XZ&T{?rD6lB6=t*xE<>n_Pb= zj0a2zBKFfak#AP_0~m3#t$|# zf|VAB%gc>9)M&g=Z^GA$wW}X0#Ys~Uf82X1@R5o%SJlR*Te#DOE=WwnQ@gw53@@CH zA~1ViEkI+jLpZsZ3`&uhr^crhS#gT~V0~+&v7jT2A@k@HD)8@i3rYs9F5|FjOR1;l;pN(jD)FC=JGZEOFa4j-NLqLo1eEd z-*dBhOC=%QZpqHR5$U(Lm{iBzy))P7JKHN9DI6M@0>q>5&0|OVI>7W-2LWOsk1PiT+HRPGfVBY#`XB*TNX4na22enT&s%qoKW7|wm@AKf7{lugssr+ zS?75pYS3vS^1BH!Q-*4vW3vT-JK?gshp$&X5pZ9epKE_g5o^O>zTVq*ZbveJ6;1#C z(~=}XNXXdQ`l1=Vt)&q(U*e)9{8U9P?RKenHV3&)!|NaM#M%?!TOy8(n)`^x0P_hM zqWQ8+Kp0?&<16r7{~~8VWE`Tkdw$8dL6DuCa=3eHJGU8U3qJi_Mp+PE4KIJ87{oDe-WcC5Lf-CbjbPfUuTfhhOgQQ*C0q?`?>FS!*y zZi*L9RGCdipiBJ=@YwV@GwN0Tns2YIk4sXT#MrJP$Uvt)VhzV&(#@LMY5sEIC+ZXB z#o3)TluHw_W>r-^X|9R}B4f4S;dCeuA^A=PB2(PU{t%CY1sh{m@ojw{gqyQRyU8z~ z0FJik{Cd7ZM*6`HU?ROtxL#jb65kj~f%rzE5Ff~{i6fJ8W6leKtat$gUJgvC~V?=L~?D>4JK2K?QMvWV1Cu8RDnyx3wnhiduqHKU8nuxr>b!AWLQ^R zl+g#rC0sw{#Od(9WPNVky)wzG{}8}oybe;XhJ3FU*#oJEP6E@dW{zI}i ztRH&MU&n-M;98Z$8n!=U1+>f$0V^KPl121+70ox&=VwY5U%DFB43O0Z1k1KJ8W@1@ zf`g>vdCeLENzK-Lp>prMC~IEl&(w<=ZnUR4WD+= z?>iGKbR1C}{6elt6{h;#zHW|=9>_jYRQMg*P|?w!_ORk$nHAD{N}3}?UZkW%Hp|yP zMpIsfDuZw#8+rZiH6^x&nN3H0MK0K)S&0iO1`N1ko=w;AQQaZ2-9cKvOO>JSFoLyT z8#v5nuIticPHa#}wZ~mwobQnRIPj3qU^j6+6@I{Vm(k-WP29o*3Z2Yxs3Za2CFA*W zDrw|kppDdOBa3r5Ar(CJU&LV0cuzFBS01uri*aJL@<}I~d&47T%*?f@B0a767U*c$ zqHIQ`6=WV#;JEG@A`Z%+DId%sjJyt_r+xzVL+t)b>Q<_TNlDqoPejaAl-G=NI~lk{ ziU9`JNcuut-X+dIwy$i~dNg5>XxyVYXX!|L*2{c(e`Cgby-hmCeuE`94rw61)6u=a zYBgnKWq2EL0T>8gYW&e)*`Pd}mKN_h#>iW;@&7HfTJYm_1@4S9ltqmGgmZ2^0q1v~ z?N2$dROUpGGb#nSAGjzX-RLlEBqcCXJDEVc1bf%YMHq0scVEW7HFY@_sk9;DFH>YR z6s)xf(QEZ7qPYOxIN;tp6AwkMCq)rDM6WO1+<5eBaz?z6S17yoBV`8isZGRsw*|D;keQK?rTFeBbB0ynjXn!$UI z`TBcy;(xeV*G!Td*=)aA(GH3`)!5{MayIlh&9 zd#<=N@<^pk9z$4rzP1ITpHKS!77xCq>-xGi&Z<+MX7C{azbSs-(5U=#)h!$T>kQYq z-4-%QOFM{oiKe#JUdGIS;$5u z3O?v2CX~~m-FB|1(VB8VQwhE_ir_`$!kir)jpRqLO0gQO?~1Huxvr5QXCOTxr}t^- zLtg&<^qvqo{35OmoHz-9g6_NQEf$@X`aXpJ#3A_T-)RjvIHI+>kXw&}fnftV1>b>T zsdf6ml+@{Wor58?z^Wv(LUjKS`eV2ZM+=iJ6-$FRGAJ?r)dox@)00LR$IN*1Xxn-& zW2D_MkiMbPB$pDk2S$)%-2?%?;U4GBj(IZD2I74mE zH{aZ&zYOVKPDR@JgphyQOel_)NO)acT?v~eUf&jDg)%9DLeW-^nr!2Aa}p$i(SWF4 z{d-|@tOu~FGqWBN+sNlh>kIeDa-r^tL1VMmgdlH8#x9UF-#YjM6^G+Trvbs0Oy~zh z++`;7zWwBzVkRw=1?NOXvu>nbWW{|t4X1))Ek-?@pJAk#|{Gqi1#+HMBM)HxPkLGTFu>{jAELs?Kd;( zL3KdoDi0Xi6RWP1sU+G_Holw$AyZcCgk^3Up;H~|k2^ZJ^tZy5LGgMpqd2+EkT3?M zTKjQf+dB1*22A8j7&nT-UJXySp~edy zcBqO7muh+x2YohzlcU~-z)a0zNE@?K&AB@VJ+w{6*a>2@TWzF)16+N%YMF;+ zHzZFLGo2Jz$i(;9W~qukGujyWq*qYSf%6IZMskTy9Aae5(RkvpJgdym%pG!0U;UpH9va zM?6&y55_5{9NFaU1l`_D_&#?iun z&Cwso`fR-4??Uv85@aF>lu?@qSsGlzW7U1r~bw>>}I=9`vuKM3eDR1p=lnNC21sfN3I@5Nfp zW!!MEaeAs5s6U!xz8K+FlaFUAW35--j%O4#=r!XZBJNp`o>CJ_O}}nUBve9W{tct; z#e*SK8%k{Z?1R{WYyWS2$M?}65+DnMMdl4M^ha)_%^v@VOk5KJ{r}^AK5`0 z!&09#E{JI3w0yean*KNrQj#fOfCxA_CU#W)f+f}WAp7zLY#yb?iyYKo*V64d5&wYlTq6VC69S{FIe}Dd;q;EOy0*WYFOlaI6f(4HA%wa zbe4w6)%WptN#nrTVa7oJkHzRlARw~+sR06q%$Y?acL~og9xbE?9j%B z7)*_Y#o6ULq!p@b-4pyYqrv0m1kc$>#SjHqsB6~8jc}5N_+_zXJka5cRHHO^<5nqH zF{0p+qRK!$#9>VPs=M{?AAf`2EmddLIIT7Od^;>CGVy7%2S4Tdc-<*ZSJV zc!qW5K|I~!-MMa<`&ErKB^^>z~}Z>hh}90A5r$OJ=W%LNXuRVc5eKvUtzd< zf&DI#kBpf{b28hHCckMbj_lfD7RQ6E%dfQBC*eSc`u(IRLZ+!#!@23PxqFkk%P3|V zvQ3?o3`cowSgT_8?l9S9qDlxs!Q<$V(NY}BMBc|!Ha>b|szB*udn0+}{2bzasH8=4 zDM?-)OT&!-DnkXx<&mQc*C8k`h@T-YR0XdE^ZA(dSHIRaRd;t`y;&@W?e0>8$D&1w z$%w@09L1NF>;pXvvD}YJ9H9QXhK0#ZH=WNC^sl!)Tof7R2MggJ6wuPyiY0_cYROm^ zBi)69lm#g)EZW0H&;ifeNm}LxNXGytA%5X0)gVDjS>V%-rRfG#n0hPx(k*eFH+=1j zLHQn567Wn6ISOYJk&P)2p|LZ@u`KNDI zxkl7_lWkua=G6|m$$So>8D?lE9H9cWH+PlWX?G;r9u`!yC)~tf&v*HDK?iLJg-+g_ z$+SfHrE1)6+l6O8MMm;{&-Vgp&{p-426{=?#P0cX6QE#^@{Lx^#g811{R*+SM0;M+ zcjn3aCUN>OtmDQ~ifJ0!A?J1JL8@p<)8j~C^G+b^a(Od59X$dUFBKuq`E@_w>*V1( zAV<=fu7X)Hv)1zM>MKsbVwOHkuWGC_dFiZh1t#!j)6a{TkUevJYV_@}od7s?6p`AO z^1Y!#Cd6XX>`dsB91JqLo{rz@d(+9vTVmd>XyX{_n}7*w1aLxeha%hn>iu;zG}h&G zW_|b#HA6x>w)1W8i^l_0ntd6X0pC4%!n~KDCpA3TtB=$zpnn_L`4;2f&dKIkSD2K=Blm7gM>;0 zMx#v>72{2m5&Q6wbCXRUZ#8iqoErX>dw0L-cIC#YUpU^CdkPSI7>MKu5GJ``X^}m@-?V&#mm^R1NnxOSz+uEiCLf=XRx&9o<{v-9chD>_ z#1Voiu=BpfeF&hUhF6nv9`nwewGIN`F8=qlnq1ws$$B42;L3$+jYhZdQr3xpLJpN??PrE!fm0Q>$Ejwa^FRH7TBNBdMst}`Pe?o`0{e@Yi+70-Sly68=m_0T zu8Z5~QuMb*cw1auOZ8!j0)}Vfzjk~Iq9Oh@VorxTmO{%(2M)dAKzO;Dq;;Ks5N^1C zvmBR~M~bDfU1>Y#$t^#fQ4fcP%%>x-pEqk>GA`mZHQM>H_0*ju==8v*$OZM6gw<|y zfA=I16*Q6R~fZP1^cRUVKK|++ww$hWE^C2 zQ&P^9X#~Mi_q4xHJ^zTyM_%Dje0Pe`*Yt*rXw-7cq8_J3oI_Jx@_JoFqCBk)6|OY_~BpxzCrn6>~g}1 z5L#0q|GQ1z)KT=a(mEOt#(w+I`tg{%R^v0>n5}!-848AAseuLF@9~xUmzx529=ne= zz;?YO+GYSA%O-^3d}k*;)8|di8V$j$g*CUfX1T(QpozXeM-rcfipoq^PG2_eJnmL{ z4W*OmaeJqpxjckC4&OV0@z&IZqO)bZ( zjc4tT>%sU-Ck@295;`;vPA95S*^HX$G13%BC`~mv`_I4Y!C-U(0X@y4H2k6sFIJ() zl^}0*nMHTaJR zdFc^c`)OLd5K5rucoTygaRCHEa701qPgq*C{(CbK(iCMZ-+Lqb{AIxk^7wWrD$%b* zzmx;gDEVsmi)1H9Xi2mw{#bv>bv0CizDog*k|p2^f6)K*<=yaPnnZif_wiE$>@Ql#m**0ljrQ! zpU)ZZTOXgE=KFlo`V)Q(X)n}wU8u>$Y$6d$9l6`HAga|d+FUNK5y*AkeE*F(?iRU^mb)FSP049Ljfvf>?8KGAGBPpYfBE^*6KBLda-eody2@Xyonib{O7=r1T1C+y)Y<_QumGjI zy837;v;+^8U@k`9bZ{J+Dht@+UK?B{*G#fND8a2YH4mPZInR9@wx`gNVP?rV2ctjZ zikxT5%0onn%S0_3%*?_F5Aa!d&Ek{5R=6{E$5v;dD(ilmD047=kw~@la`SY{3Yp+0 z^4qf#j!j(c%tT#qm633cmEMYb>Vp72+`Ur_7iH_IVPI-^cLl2umxk5}0ds~hW_qHN z-8y%tpAcMKLkuH!0qCnpx-)Gkz2i^a6QiF~cpMNyPSpls5dP<7J8IE6$0gL}xa1Be zCeka*1gJdp%t~+`!=J77Uj7_|*bp*Ahd7^>%vF&#_? zuY#*cT5<+5^jvg6Z*qbc|E6MOXqja}b zX>RrS0c50&)Ynhb7$ko>V}2d&wx1_WAM54i4EYEq2>51u%W z)m{!6T+Ks^QXRnXJhIrxvUf?D`K56|O`@Kdw6&L2z3 zc&OdqUm5RrJ9{y$@=t*r_C197K2`*gZ>WF1AHr4M?SU_-z{2?k_E?t2VpDmEBcf68 zC#jfP;)el2(_wxA8gKF|;voP|; z71SQjvJluIcKlQ4)GM>d@(S&^*m%03gZrp`%pjZCf(NxtHQnuR&u&;Y1(HyOmzG9y zYqsj7#~otPQ8XS$lJEDf9PD!VbQbo++>rQkQ#ji~48i;-07bDE?<|VQ)ca4ilzr$rzC58?0FMYRtR*x0#)$d~C0tOy@`~gIvm}FjV~;Syb)YD?W?V`f&z+DYukO&7$AkqV_p)gLIL!Bv_* z!gt2&h=v;E2TA<;g$)NEGP<8Ojp*^$oi*vC6=esexF{Q;9Dt3QNJq*_SR5P%we2!_ z!r9)%Rk_yjap5qj8lYCo;*8B~o7fgToG+M9HvK!!Y8e8^c!6?oLQ1Q(_|R&S$p+Nt zRdkS-T))1~qK&#DOYWMv?wM}`i`f2j#0`+W^bm^aza9_IrBS^u#a7PR`~h~1(RrAa zkx>vVr=FAMb0ondKwwAnF|EzC6O;pk+53JO%aLPLI3jx1FqgReVoCK(+DRf4{6WiF zF40W2zJKZSncYc;7;`H<{WsfG5;s%xsP7oe2(-=H&>2^=0+Ln+(@GA^8b-}#LH*XP zvsA6l)ljt^Dnk~ZNr+QOrLobqL#@sf)ahdc%5G5uv~PtNWk`YBStKf$C7}f&{iI`q zLH@~YqR^;|$K62YN8Z9IPUroNw_^9z9jR01uk|EL;920&4{||yG*q`wb2A0=b&@og zQMI{?=*$_vh97^<>IT&auO$;WpP7>|z4a8Y0UjAa8G*)_+4hTv_F@6++!DVIv zJu_1q6Z})Fn;uf>2Hb1T; zBnofXLU3b}%Z4QX8i5jodq^g$mDS%goZ~Qy{>~nmWS$MBsiFzC8M7pBdbt%rzH5lX|CQyuL`pJKx`DDJ6psu!!Yr;sIh9^A`D8{?_??vS zyEqa5w+T!u;Lx^Cax|iBls=I-`^2wQ^q=eoX2s_ohX~_SfA3cU*GEND zV)}rSOJsqXb*93cT7vm#(EWdjXQ(hpe>~P4o)mh1wcvO+_hCert3zrNAFo}EVf-He zpg>>0DFf0(Xe1XAO2yK?Xd8d><9n00Rrgc%yWq`x|MoQy-U(5ZP^d$y684l$MW0Gu z5BHxFa#g13N0&VNth(OCY9$V0dKK6QczYa7keyaXFtHs?5uWx4`R<2Y%58;{S$m<#UnAo8ivRbDZ@?S@fvb%Y`u$ab+9)q1ad{~gz z!Wvh1p4=CCJvZMesI(!a{)J1Q33XV=j>gRpdYb(VEpjuo#gK*&>%;o&u$Dxl z#-S38ZHnhhY;)~mC~Q-+RAQUaOF^)WMqDmg+&e*<*G9M7gKfOEOBMfw!Zy`Utx`nK z!(bcCdroO%Jaq5}S|x`$@@HXlXY(j(jxJAA&Y+aq9U_B33(Le_P!Zd{^*@fPEmc!+9OE+>Ndv1;5pOKNw%xsZONsJHq zzS64dK+BCQS2DqqGQC@t*f-9I-byB%=JUMgbh=$wa z>OSd*@y%R=9Y;D;d!VAP{| zD!e6AX$K-i$38uBRG;;~Ix8>ln_IWSxloewSsn)*8ETxrU%webWk@(I*u2%dkMgS1 zrPWo^&sVQ{JUK$KG!Pgd90)t4+zjnAq#+_iQ?$4$>99>ng~T=!qoJ@(;XFBAZ;e@F z8!(Nn8N12rfEvG^491owEjJa#P-rL^ zg6Rm(H1$GC2GC(r1{$U#P|EVM6Dd*0rRAhP0B0E%Jo%&yeB%LzpmwW<)rg)TEvKpt z44?Z9dIDz=puLn)!RaJ5u6)IN4T=J&wSW&8aZ@Litz0Zp)fpIKp|4OI-Ne^GDc z;Z5=6c@P(d{~Gg4343HSbueziI@XD}fI%^2Gc0)OX&ELAfvzt1Or)^GFiXqk&o|A| zOpELy_Q5PJTnzh)DevaxclSiz`OOWRgQF=;WFPBMCWYc}RZ2?AmGkF8kDKu|4q}L5 zoV%b#?|CK`ZrDF{NzHLKS*p>f8A2@TS36y|Z%qp25T$Hm=cxk+qmW{AX$}{4P~Bmn zZib+^4Nh?>hlMc8{j6#zLC!%|Ru1^tv>fd49vUHfG-di}h(+zrPC9HeccH{KV*{bE z&73lcZLSZh*v6cyK@vpO5fcqzAGRr5&?Nmc5DMEAl*#4%)nF9Z1|KxgFt#+U>X$}u zXvj?=H|50G(&R8aIMFbVFHC72n|uXd7Qx{4DtVp3%qeLv8B;?RwYRB!gjyO!o|oxz zR;T^uC8~D^|U{hkj4n3Yg=0$8m8o?Cb3ai%Z(;~S}kXX-=X?*@N7TO z#A4wm3Y;{pUahvAI&u`qYfEt|XmhgIYfuh30_3$ye}n9Ggj0fWGanWe4fU#&iY5;W zwWohkd%L@OrtB`nBK4_KTilg2=#!w6O%k{%WS$*bz?(A zQWz95B^qahQt}Y%YA3UNZzjs&9;p$Wo0)BN`K*b$z&J&+VHx^~xc+u}PQYW#{Jy4vV{! zw05v-2`@@&o?4ePA%}H|0eBJ`RSv zgW=%BD_^|SiGs6%AikuU&y)%oZW%9}os(->hF)cJ2F{!nECV5Fn~oqPT%%Akud7ql z0|eRSuwuK46`m31gCNDyY-ymq`rLEpKKLMffFjjL!n!hZbMql-J=(ci*-)=my(5|y zjP@fV4M%%erMKiq`a<9e^_XQo9PO}U#O7RgJ;hQ z<~rqQ2t-rVLB}p79kx+rxUUZc#WuM`UL{^wVw)r}Q?NC)8QT<9)+zo8g>CXm%5|P3 zDNkM#5}gV>8pf7lY^iOAmVSB9h^92;?~};z$`=hUbtnr%OR6{Ycx#I;Yn!KR6Jl{R z*0!}M9L?Doj)q_!pYXt<_EyQl2oB3(&31wMik|Jt;BHL+(!OXk#J@=lRD_>`c!hpa z5ipCn*VblGX-GK?;in*!d_THh@%g|_k)tW_XKh=nfs(c&Yry@|?rhYzdmFwU&;45id`SXd}9Q0~84@SeJDvTh_f&=jI6M-*s^ zLQHOQVVk*&TNENS6teP|u#Kv|GIn_y+hB+c8pf7l zY$+OYQ^-xppV|<4jRz-M&-2T?^By~K>cWZMi?fU^MZ-Kkl5|+G$dnyVf|RE()Mv*F zLL^-ePH;u!WTH6$^Ewv;v4n4w`k|-lNy2%?G%M|k#==?MnGsRfvP2>Q8j(c#zz?Go zRj^eoC2J-pp-h%VSK}-lPGDO1*x*nMs^I?hp6mzr?_27JG_3sgj{p3fVR=S_rYM+R z3?!(d)WG38-19QJ({_8z>n*Qa5@-M=kiY!(zX|p8U{H~YyAL1Ol-dsCK&s8xSFg^F zyau=E4-3sKk1Ki>D}Me;%H1RtnnD^PC9#bfPdz>w3fri%A(LYg+a!%x8KtqKDd~!+ zv5gu}Juw;z+vs|ZNo@0|g~;IZa=G_0cvwDh>LSLLqF@LbmSMyCr4lFEMqcB=iPru6 zGp);Ne)e;E{N%+%ADn2Zn#V^R1Vm=-cb0d_lJ0FkvSt|+^18aQ>8}p#6ETKnDQmAn zi!9&t(z12ygo31cKJNSJj^T6XQ}N)eYHTntYnCK_+xqU-^<5@E8Z+frY~BO~&8-0f<10rFTJtY@R>qkEOeE(}ZZ7J2* zskY?InNzoX`K$+QTGRQ#TcMOgTDo6=PuURuurS1u8gKIcbl1)q&1=(UXsaQbQW`m;q!@% zt0S{SQzi3t*ktY3<80CtkscyQvqme>GzB`rT81P{)HE{&8;M<6V?%+R*D zqhsI?eR1^|a9ayon!`WjR8_)fWK?fskaA&pDO$fYyP!ZcNcB~#Ef%=H+SZm?`^Ric zp6y7>OdT|r%bn%PCPb5%l8TQ|kdin^h-he-dy&lKB^?n>s*=h|_%yfw&+(Hs?BSp$ zVSeWk(qxR{ce-Z0NDa&>5X}~DwL(+CTtD909P=WTo|ob+icz~zN^4Jd?Bl4i*T4Ve zzl7K{;NlaG75g{C*bOaQ{nF_9Il^L=4Q<}~j_a4=i*2Bm;I|fqpM(WvmGBuE)!P{C zg_44vh~6@Dbl7I}vNg8JE%q{@m2g%H{)x;g8af{3yk~q~rraWT6vmb!H-(0mI(TF_ z(=xWy{(}<@QflcmdF_LQWlhUS$iazL;VoRdy0IWXTQuxFaO%p{8-{d*y2bO>bjnDR zpZ)x|NfvuefEZQD&Fq5wcuyfpsco<|<*s}Momkl*=8UYk$fguAb+yftem~K))XdaD zlWM}u`(on6e5nId!bY-$#&5!ig>`3QVr+1*q^c^=4mBq)fBJ{;j@6jBN>-M`=XX1( zg`x<^wS>(}5J~Pfo!#;oKCbCCi&f2#*bPR5F|U69MbN*=k-*I`c0&tazcgBG1H`CG zZf54B6SnckeHBw0nxB{*wkfIBVVluQNr7$BHSZY>j$rk9*>IPPv87nQ6b%JKunb## z$!m-)MWbNyFlHw5;A}oP(Kd87|KsbM)E1Cb1M*s35dX0A#h&M%fey(@UK8Tb2pK%1 zBd;s!>*M{<1t8L{Yn~~1F+#Kyy7Hx*HRD$Z(gx1<^S^@VK{>V4lNrQC2uXuz2!t}! zxFlx-Qkg2TEykv~pK2P3uXl)c?!yniy=9BcL1}OQ;Fs?>NCdY6PNw5*L7q}zw5M25 zw%JUvrGEdE-Uy|B&_`Y;4Ysk}5KY;0*eTD;KB3iPS8OR7N?oI12(FCO zJ~Euh-#;8f@>*VKG2?B-=IsGvt|fCV5shDM=y_q8WUeK$;aqCsO=<$1|M+9jL=H4A zYH#!8<=ww?H%@4tQu^6PA8jsp?B3lwhUr?rV#QD2KPDP3pFaKa(xo#}hQu(&#%&v4)5Omiy!`l=%_MEpp)Rq&T(gYpsIgLUHQ`X4eRxS*Mmc6`{8dP#5kAs?%4It zwr#$t2L|G7=Z8W{*bKtK;SDQSiiTZXT_+D7Qp2DK^JE6dB}2hIDEODM zDXwTTd^z@L2=U8PzNwe;3hsaN4W&M+pHv5?9t0XT6&Br@7?&tQzusSa|9!)HcM#nM z<#NN^%g)U7I2>AhscQ|$>yK3O`l&x!>nX^;H+d_PsCJSOgsz!#5DVJf45BC`RK51c ze{%2MUEkD{VUV93(=F28Lwu21t>^s@q~|vm72O%1Fzlbevu9;x=jP>WT6~$WrZwMD zTXui%d+)#X79k`m?(F+-zPauPKL|9!n4s2eIS5b``(OXNcV2yU3N}MZLmw9WB7~SH zKlnMq%}^6b49RGyiXgGgaR0~kof6y3t7~(6@_hH>h;4?>e)Pt7EwD{h(~2!`Ok`h z8yvfAjcq>Ov+LL|EU?XrE!$RZ-LCj2D7M-9#<8j=rkC@tw|9N1TM9GP4J{4f9 zinpVKgCbgjdyX2QsMNydv=@a&=%~jrex9L_a(6o5lJ<=~YD@3o!y1^3PEtEh`%+dN z<6otU`oXn<0m>ajq!X-rUII^2)|XJ(N7EdsL0+Fae8kZH`o0l^;7{;_K%5I|r1Gm1uDMnU%YHU;V$G;@+qN4J0wdLf&gPg64;(vrv z`n$K^R>|w4a&Iu@5CM!tY#pWM!$NwG6Wzjl`Z*cxW|*XgAu4?Np~R-_(H zJ&MoE$W5V9nzrc(h^Cy6IDe1!|Lk1}TvgTf<_^rGh5a2sQFCBWG<(idnWkl#vu5Rh zy?Q=}v@&xjw9KcbKBvlZ1WZkH2yw_%CK16(;DTnUO!i7dhRZzN|F`#sbN3y+fWQUM z_WtN}&Ssx;7klls*03#LtFW(}S57clziERf zyuLLzw~+6Qp17pd6IRx5_D-#y{!7Qqnl@N>%vrN{0zhvv>ooq9?6A1Fu%I9hNCaSM z8w)$`U2K)`cPz+L!a+tKBoRspNFp;6#bF7Dnd#}t@$nwrx~XT~gmE!Pj@9@fQAKdb zj5qL!KYI>|a`)5kXp_X!`ew);;-@qYTeNR4bV`nk$6f$} z38+P?QWMci>902~yZO?>`F2iD{F$)8eUKQWrl(Szj7U<#frE_X`~N)J@x>l7IdwNo z&=WU$!ox#2lSw5>#?`<82v;(Gnv7fvM`q|#ig^*W_bK^_0VT6margqpYuTX#4@sj3 zdP?*`jU9SC#l2pTDwoT(dm=RsT1-w#>V74Z6)1Bq1Oz?j%~#!IM3E@|%BE>nG1qVnJ(5)@{}>9;NsA zv0BJ=abCWal@$@RX~JY*JXN6p3eSK2-s8p``E<4J^Wy~OqoozuJXZ12!(!M@V=<&l zoJ9AbZL7N&nsF0h2RaW|uC?%SY>px3ZB@JapkT+D&b^6r`H z+dS5)y;?VK8uM*hKB@L?ax*XqwY5efsq$?kb(%o;@DoX&9y{OKPQ$lJI9qMsrdf-2 z9OZ~X-v&2wX`xnUWyS;4xrwpBi}AAY!K*uhlcVjrRg<{#MQ9!Ha;@LAK@(r!mXlk^ z^!!nbmqo%5v58dIX6jAxCZp!4t^85P??vvds*I=Qi(iIe-nlmnHV?vN)OE0q`}h0{ zKhx4PzuA2u@mf0Y<&ndDCywj0eDUZUW#QqYv2+}RG(+frC~yvbVubCx&M6YpoSf`l zc)t7O@qJ+4w$YeFGm#+2?>~0 zyyBp!rm%&87nxZog(+ZeJCFoQm&s0U-8TE{?dkxpPw$R>dfkk0XIx!ejP$b`|*VpuufXNRh_ zI3g0fJ-in4l?>bW=pmfAe(|EUt({yZ*P5l35Qem_)mS2R&%4 zQUZ}P-p{&Y{_Q({9DnA@i4g9wO(#_^FDWU)dncpj&K1ssN*e}65FZaj0lBudaKOCc z(JpYXcn{(i!%Mby4pN!4YEx4saO`&ORgJ~a{MRutMTYq{vB$Q(xKQoewCvKmWtU!m z-?&oKwChUWrcIBbEjsrCe*MQqlYASG9%|nvJ<*tN^M4)GzRmxxN7Lyl(}FPBJ`Mg` zSGJ)J3g`g$?>a)mw@HZ$&q%JeZ`0OSt+@v;j#CSPZS#UzVz8s&B z{Mm{r{!7N3J{u3C&ibGF@oKA z(@n##LaV}7T%4kDWtWj+Sw5<#;Kt_&?_Awt1fChN-DZ{{3smS6XS;2S5E3b4*GkCe>Fd&XRs{70>0+s*8qv zF#SLoj1hRQ0?MK+%#SA{Aj_0`W20s0H)l z&YVq8x>mD%l$YOIfa0qU48hp_p@5chE0re1-{GmJxOCt&$dg(eEq#Q0p&|MEMDld~ z)FRBqr;g=`^&d+aM;((Bv41}xDy%K+u}uZ9DRX*Q&^{i##>icVJ*W8Tye?7+OKW2z zgUtDP)}qssys=;u>M%eM1JA>TyS49Ljm5BjmNF5Bz|~s%Hh)|WDay;XaZvj<1O3(< z_kX3du-d-Oll{lE>7n**tn3^uO!jT~x{-OA27Mcs)}44hXe%oq;dXEuwX{Y;mqoNX ztGM-WF$c)XY4|%|;U|AGBBbH!0syp; zTc}5v_&MU`iVAhSyvlzv@ar?@6w0c2`SjViRxO-gp1Ah@eI<*6&xgjHICbTRLyHhE zBZIq1#>*l$B{s2ssh9^Kf3&3_mOQQ~uPUar_@jMAMFlX%5TlB|ChY8N58^Fcnlf$U z-Mg6_BV+02FHZb(=Fm3ouH#;LdRIWWp4EYLBpcD#?`0!oWMtxw)SpA=vQ689-X2NzG{6rn#eojgT|Kc)K$1WtWzg z;DPD=$}%$U<3{kU!Tunj#j0jaBMA}v4n*t;JcqAasX8js^Ru-_zS{Cn7~}PuPc?y= z!!O79`nGm<#09TvjKYCW7y;aX5A)H{%t`_*^&~$}8M1Zj#AVC)6yT}rR_}OwPBp1c zJxSU%*U}ObFMW4NU`pX`sx4AfiL`Te=3uWs?HwF-vy-4kJcn#XYN||TiBDxRoi;qD zYDCEO!teIEd3kCP#iE?1t*wKhcZ7ArS17EGe2Bv@{0TUqQNs7UmzG8)DHi~y+QJYP zBGt9owTGx064GS4#e`is^#mmm<{my!d9Bb?N8j%dn5N_YC?rJT-RC-BhZ=n1|#R^$kNjROEWpl6{Z2(eQ14yLdcJQElHwQ$EIg z8)vR(ZicQpH~sgX#dvwQVe$|sM;kW6tJD9B5oo+jTA4)|MtI8)BQzg3x^e_;v*~SLxLG}pd5%R$t28YBlHZGOQVBv4nvS1_Jy7fO+j@;VT78$8H zz^n}pxx)HjG#b<4ZQ8P|Y$c(Iv?e!0PdUl?Qo$IbCmvRXMz_B&ULy68UVC+LbJu3p zHg*^mHW&|yQ7{g^7i+BI#1A1c7$1*~o*2{8$_C#2@e`LB%eGgSmS(5`*eVCD%Aue+ z?1TMq=6~omoX6M1PCLF!WgzX-c3?lRf&DxO^zGu}Ot>BJXTk@4)aB6!cn$3DIiO#c z0ew5edp0*sXJsT0ulfuGwOR>uQ`fErsA_t`-MnuDwEBPb_Uw}4VlwL<)ZDzigyW=$ z2xy01pdT8*BfQ9zB;80yIFyZb%NHQms;E%*z4&5xTW7tAOiY$(VzTW~xqEwS%15Rr zRgms$x%+tYJOfn%mo&+22(j_5W!;6HZ8RoT6q4Qa(j2>M=zApDd}_#zJw}9L3Coof z85z83v+l+cl(d>kJ$BlLwTymR=Vm7Pl#Ei$&C81;SBVmqJKa<@Uh#j6ifWMJ3RvoW zhY#b={2USj*P;qWmsBfr7M2MF!m&RD^Ot;wKWE|uMkn4_U?UKIi+<@O?(^Iw%(k4jQKXM9_pUy3QnDyd~T^2 zFQ1I1#TDBdHWRq25ieVlfYly@(0JJ*_#};&F#@f;T8>s$c0Vf*&tN6Q%a&HS)P&>Z z##z6#{sbU4)uTZeBAN_&V?(ZSPzN<4L}nn$H31t*@+qn!QLoO#N;x~*E&X7ETrRK# z5L;MQUe>KE$sTfaw4tmW8zU-Ll2wkwQiWXA~9{WDMV~9&EQ6_LE+c zvS1P;ck}VUHZ{2yg|xAa%u<%vUNlZ_+kUEl-_Ea19)wR+^zUhRGQp-D5p_Kwkt9Cg z@mYUwPd6OA^up8KeLFDkoZKB3sO4uOaB#2|XhzJT@%1gWN?e}#DPh6lZ*|LS;3|Ix z@0SV3Q>K3z9eWcrYFc+Wdf;8*xSjWV=BDfj02oHFb=Iu)v9VelARw*Yx9G#)FUJ_q z8Xh@s4k|Qioj>@=CpUlj#m?U0L0ALDXgodn6gd`b=1o{ecA+*JSGlOxFocCj7}8)4r2%y# zXC-y38k}zOTC5MI(bnRIrea`3ClB#f1%~es>AQDBL15M75?4M7bw6}M{ zOsIivVt~cTZQEX)JBK$n*eF$;J8yzAXidm8sYHYAnkfo{9o!%0VkkC?2IrXKeVgFV zXU+ODR*M4!q}7qTKK$#q7~@&P-Cv%A3XNLl!vjD0^M^=@5Lr?O~4pSWz?NwurbwhFnfIX{urY)U=@f- zxijpv+RcP1U9!kvf*9Kwrpy4c9=`2YE*sacPbWh?$qz~=f~@U{uYLac>gm9^`}OH) zVbKv4Ezk#s*>Cm^MxrgGpS(6@un_^k;NypxIFS>__S*2DSA`Q7qi;}e#ui00SUPs} z`HxUE16*b%&U}>#&b}G?B8;#boBwHw#LY8j&fB$1tAya(Zv()fdiluFKcZvOuU#+9 z%@s&O+`PIx*}E4oZrx$e@7;TFF8*$)^!cc$*0Rm;<$u3yJrde(Iw(;?K z@B8oYR7Pex!?yUwBR)G8EJ#gIfEg39w`l_8LuGdj7)xKm5ybPjduxG>w;(5{FgFhw zCFuL`cuOrBV!u;t2Bv;ps|L#)Yi$-(Vt zeKS3hclXk>ZBWl5g>~zrS*j7`7OT?bq%{N|<(9BM$#HQW9HV#d@naHxV$31NH35sE z8<+H|;3g!$-wEsECM<^e++x^xo5+g5YxKS3#N%scj$X7&tArr(sq^mL`6KG+U)N*q zr(G{8$Q4LJ9&6JD=r%BJ-C?hu*&7~E4c`Vg;vi{bz6}q#Dl^jSXG@Po5Zr+=L($yB zXY}$1_%^7DY3LGiuFq^+03p=Ow{dE&_HFLoHt5?pG;eKfU#ZT;`8g#8Or0O0_nyUg znQ@N*dDeH0iP+D4AR9H|}Rsvs{R30ZM4VDm$xR^9*+!|UL zNhaZ{_7@iymX;JF5VW11M3nu_`aB_+kdhZlk4f-eh$ zhXHTN$uqw`)x9GwxwzP)da{p~8w~TVFW&^bOP*d;$%yjenAMlB+=jJhild@LekH|4 z_}~mYNIU>8m_yDrDp<1PHS3Rm`OVqvoIHjsw{7#p>J?L77|{dr0lLkKK0_4vlFL^f zaN`1;Kt2JAojNonHPD$dJ$U}a^kd}=#;M}jd(v})pGHgcOTah#kRa}!|* z-nU6O8wfoa{lRWsWEr{D7B8DRCXnkN)>g;2(axtf=G!&q0GSwheS!qcjiI+=lZE3tL zl^IDhinw@f^u)D7%};g-zgt{XSX^9$`_fk{NP_m^ee+OO>h#(8^_xQ!iRo2=t+~X@ zVs2@}NlDDMhSoG?s%z1kl8gAg*m!~RmNYIQnX+8j0X~NHQj40som5^{#gv8r%JeQJ z35rxk8&XzUiW7XIQw3!XQ3;Kv6$6U*v2=_X7;a7MkaB3{AtqHH0rPLgguSwx{8`K!N5Euyj6!IK zzhVV)uH|Gz8w_en+8riFr@nnSlp&F)u;Ax8-z}M-IdD3qO~!DZ+p}lqf`tXSxrmBM zbr(zPYT-T*LFc}GwdEt#%iEv=ysnEgHs<|Ku^Gpv>pY1EmaKFCz8ddONDNWdRCMXr zS3}<=QuA2}t;)+`Gm$xtZKNefkNON5QnS&v`1xH32*d)YUuz!N=&Goh$xfnN{fe(4 zPlco*GTFfyqO}iqB_zbjsaY*5J-`D2`Yn$=5i)( zv58dIV*S#G=}pN+!zni1u$7u86*8t-^*``0r{B3R5lZ1xV>W1@H!4dM6;d{%ZIGO`6*prV9Z;1SczM3c2| z-}2tQY|14=@X}ZhUMk%P7X$o>W#fF z=N?B{qogbi=Ccx7vlYHTN%F6soi=UC#~(l8;bHnXcaxJh`}rk>M_?s{4(hv+Nt*$n zVc{u~4Agl;tAIN5lCOUbaY(G&@aOn5v5Yf>zI9X!+F~hV4naNPc3ko`?iF+7n6d8& z>9yvhM~p=kv8hTsDpS_{`i0b(^fBkXw^tJ^lan<&1 z99-4D4Ja&13ze1zd>e}_ny(j`lbBUx&p67!zr%I_?MGg03a0vSO){& z9Xojgh_M6~I&UvGoWxbFtEn{O|7g!Y?PM0DLAe-Y~}%q?Nn1a$y}{ z<5{VSZC{<5{m z8A6z>9yf$#VnH5MYt%|Gq~MFbx{hAjJ<+W(8cx7l;1dAcpAZ@fL>h*jtd3OMn_{+Zu}$hE{`2 zNnwx-1RNUtu2ouMA{fT%oioG%Y##g>q)Wr5z+BeGRXa`Q5L-t_l(aPx zT($Q0)UBhSTiUmRE{1&1CX;zB!VtQ?4dCPBYyFTzJ!HCnm;R$4n1y});_>T|$KuY^ z(6<47HkVIr%(r>0t)~VxHdUMkBn+u>+GqA($=QFW0Pat|8hSnQq4_qhZN0dBYJ?>6U8f3$ONXwtPm3BSispNV6813&%l$Yt13bmUiu{{6bZfsVd% zD=PX1E`6{x@THMGv7HMQLld+czDC5#kuMY#6@XlasHdrfw(7-}P7N||=st?IB^4b`)nIZ5F8*-)yt$x% z!Cb_|v(=a6rJ|gFO9l)oEXXUP6=@(wbd@|T7@{GgEs-#U93(|Xj-yPkIFFfSZDj*Z zfxgt~6JQaT;^+)TKw)950VpkKfN4~9CFJBJI|r<>=wM}SOM9A)C>daCA(vR$K&&Oj zQfL!+rLZ#x2pijYU55+-5qA6T-T5s&MQG^Vr~F)60RYqLQEL+^n!5A8|5WKb@E{s?X_{pOrnM zc?)H3HpGShg&o9vV^30RB64C^Lbh%@wqXNChMj_O!N`yY?qWoI-!5JL)tot{)K~y; zgy6Z-z6KXVVpZ&&n$qeerpV~}Hb}@lzGen^XIgad_2@nX5O&+{LnKuTXb#}lzmf_3 z8W&cSS1aGM~s&*ka#%^m4|Q*X+{&q^g^_I=x9tqVVRcc>EEX_reVyL+m~W~hY?x4 zENIk-o^*tdcj=CBB&MLC9No%F5iiGn`Z0@_mwvN5H2u%}PL5^~FN=gB<{%!CFvOxs z6NZQ;LvGGeXut$Iv9YQ|xyt;JuY7VK;9Vp{`!5;GcQ|nP(){-WNO==!W!})fgOUCF zb{;@KU}U~@`F8|(%DjT-UtalL(EAu+<+5=aBOHpF_g(-^<&eReCa2tebM_bCd@Gk#O)j|Tw z%4Dn7eBaE)@!xNa09=lb&@(3&8_^r!9KdaDaAN~1GofZQDpkS*hBLuq%2MiXV?72Z zI6DKXvW;8+pS|mUvFoVfcjnIA`yL@6h`-qa0-~W}S`;L-LKU>O1@Y%%|9}?Ms#Yj~ zL};P@BtS)xf^NR7TT@U&LXc=}lDcB7*iEd8Zra3B0*N$Yitd*;%{k9k zjJHF3A3DBOMj|b8XiOvVTSkkb*9h(kYb{=lvB40vG1U{%NIz0fPN>KGSTby>jnsN!d@mnA3xHS;>l!{TKePYQfJI7=PrKa<6nLF zp?mM%_u<>$|6Z^;=uZzXocYtz1(eY}Jyc%*?6${oz4NK=Q?YZmA z4?py&Pu{%;UQQWmcs10(X2PYiA-wZ}`QN;>__r@T`XB;_;dB)H{<9~Sm)~4n`R`{Q z-2dr&_o6yVNikTza`o*8zVH-wxgYr4U1${wUwY%}sWX56(cDRDRDTzXswi%O@E?C- z?g!7Fgg+Ow>KVIt9Xs*IqsRYn?b>w{LImn|wLIR9s+BF8y$Oo2Xq;I?AwEU{NGu?5 zd9-}C@pMH}{^${Us&`4o7*K9Zh%~xp3)ncX6UG}zV$aC8MsW=#^vBZ@6$VdZq)Tr! zFr_U@d;ms7Z8$XEmWLW_VTT6`Epa(D$CK-_D#8t4jOnqJ1Ba#ouf(m$MP7) zhhhR$_9{A4YiA7KJ`~$2F0RQMf(Diq@aE%?n0^Mo;CEszKGSMHc~B`OQ>M&i5b9Zs zNh*9f&d&nzLtx5B84f(HgrlOmlG1p@rN9=2wPKzLhLf|^rxJT)W11Qs@+75mbK^{4 zRcfoizP9w4r|X(qt-SUi?gO+|AUA9KO0#|CwTu>HilQgZ+IY=5diB;}4Md41DvX@F zQ7f7Pb=%TSi=mv7wLryKW78qDA0UpmCd;x~lxz^rid|=HvXKleYLVSZ1(a~dP;j&bgJzMl}I!olh zjW7@!%pLkQxHU(zR?blscm;m*mH=ij>rnc&*DrtLi8;aNq|_xEU=)DS{_gBD1=Gka z4s+Ux)t~)h@#yihQYW`_4J{|pkW+puRs;(_UcT_=lTRNJkiBVeDSq-r72Wm)1?hMa_1K$qN<%1v&1{XQ!6&P8=L@$RipL-{^tfp?m^ z$nr3&rS`2YhPf<;El^TJbW^sGlDc5ohNq8~xih`3rmYR=IwRZ6{?wS*Z3!trI+sZiHRnlhc%-zQ>0P*kGze#OC}D7|wq1_A5BQ*MUjb}*o5 zWLl{S@EkZ|iUi}hTCq-A%TU7rPLW&#o?hxFvk^kn%6pB^&N(nnoQ^saj%ERTD^(7d zd82B&3JZTIOs!fSNCRZaV&T7xVYz~J34TPKQnOsWk~?dduzkyG#WX1j#PBC^K<*ML zqC6M@v7F}l50A>a6Av*q6p|i$XRt&Jns{?&*!gudg`;BG7f$5TZ8J_K@idLG&07*1 zUrlIrvm7dU2TNKa_D|!7H-l1Tyd4QKp<3AQOf-eKrNSUonP+j?s-jj*TX`+GE{pLo z#v%+JYdqiMD4lH}!UmY6HOY-}&K4Y_1BBNN`Wn#2#W1jaG4_nJCEkk$ME$8K)=e-x-mFCyvW>NF)HGIaxoT-PyRL>A*P+HvoZ4d8f}2!waqXIH zQ*V_mN@J~z)+tWm2BEIIE8Da`HJYj+5?;={XS@s=BGZhQeQQhE5f5`Px5fm#Y}N@o z%iby!*{du6!_k%16}7sWDVYZ1@KgqQMv)t?D+V)ymy>PjBudm`jHw+=Nt!a9)!!$o zGB|0_xDcOW38MOBAGFTtp~%80M!$=0cRCghPKekeCS1+6MboDqO7hn6dKEzrN5pqe zhmzjfLXO8`Sx#h85MRj@#W}I&u3VwPU%`>R4|Y${ojH1ZM|lE`k*lKyx%$v^P(n$z zOdfRlw|}{3A0>8&3)tT;{A)r=>kO$p!K9AycW%s^ zg_w(sGcZ)eG#U{V>^Bxuk?$%o_~Q0x5M2u&EwNOO!m=|Q)#{s!<}_VhX`C)Tn3<=N zHPe;WY3bZWQC{DE+xzOu>#D1f$3u>sJAW~GA++bm=o8~iseKd8;M7QK;q0p+QvTB9 z;+oVDQ;==ip~N!MrcT1kF{5%ovIJu@hOvqdN3^>scZGFD1xTyFTviKSE`$0w)3Jbx zwn{35Q12*SP60!b&RVE_X)3XdRsgu^GUH4X?UINoPF@{U49PddTFgPm+hplXZ@yVU z&y8y29ACleF|N>DtUe@Av79(sC)!nsyj7i(%uKx2dHw3`QxGH$&YwbxXMDN;zB_;Q z;$Q1!HX7SF z)AOFSzVF}s*t6FC?AzKGWo!m5Rgi#I3o`!CxIE*b0TEVyva>K_Dz?aB{91ycwD$5K z)W2sf>qkn;V{RI*3~L^1u1>FSHa^jlKZ99WCS0@cg$~e0#Zg6hwQL(${$b`;fm^nb z6LU*<+E$<5l!3f&T)1nGPU&;f0pz5K({5%qnd)L~b)V6zYthczPP#Fj0aW=y5d7iD z${9?6j{PrCqyx-k2d-`RMzf@*-rEgv8go+f+dyXqHIdO%Pq^#8hwZ(}RoThfI@$xXd!A&_w5mp>i>t=;TBaU2XF&s|=?|Pb;5Rpj?1-P_oayIz2 zkQ8;8EK${xI+G)=Vh)@k%Ih#5E2)~iu;KMAt^BsXkjf0gOuuM(d|F}P5kt&4;9(Z5 z!M(GYK0SZm{Oh^i>^`xN0KhRM${B^8!BpSjEQGErT*YZ)D=eMyBPUo@$K$momr^!x z1uTiOkNmlMwlAhYoy^L0{(Q!=LF-v=mHj$;yx=5!e}aAQ|3XO-7D3wfb+wzUx^0V2CQVNZq!m*NS!qb;e!4%6=4^BD)lOQlHa zXymuIw=WoWJ`PXlzdUJuz29Roe7Gq-i!tem3G}}XAFY1b`NeZ|2`-zTpO>=GDRR?t z5WGy`&8mmY1~;s2BLiAe<8e=x>KH0Y z2K_^crEQzPa-SDlHM&?0o8Ivu7F%3f@_q|XeY+@5o#`&0cV8qrk00E@gzTiYcc@mz zO;7zk_IfpP+Gx4m$fN!0Jny?X{pFKrz=Dqkq01XKGUN)12mt-01@W2sEQX!Me%Nh1U`ms^j#Zohe}(F6;o?jS%T@Ep#;IbgwHP6V&+m z!CBE$*6KKtvA^ld@d0TRxRM+V2AUeG$&xxs0YxZ?Un=hYp=^WctdA06Mc9k1kGwt@ z0<4gE!co_fVZE9XRk6nXSkZ!SNF_BCvoU}2rnU$V6=A$ZjWyvMyhYgM-H55Mhl5@r0uH9DD||E#MI0k1kPQe# z4NJ!l3yJ zp$knK>n#isO%W5J6LUE^-IL+*MvKJ0I0N>fEVqghUph#vn5>WRb0{e{9&jmDrxBrUhx4~@&SEyS5T@Rx{$r{L1g3rUyFNCWBQgY& znLY!8uWtL;BP6UyqJ3J<*`){sV2UT8S|2Tn7MJdHW6rv777=#|AXXz4+eE(Ykp9BY zGyMHpXo{$P$^gNgFD!-qhozm)Zz(VC=nNnyh;qhK>iJs{UMg0#7>@|~lx{#shRc6; z?BA)n{!j{Xf>QCV*xx)ovb-ii<2opEz1vRzch3Fiq7ky=h$!qnlpsD#;`bDqOb#|H8-Tt^fr6kgEXA&?GSTHp5~{BDCdgJ~kn zDqeWgS!$ueG_=jj3$V zO9TKOuPbL}IeQR^8~Z+QId?(uY%x0AX)^`)9JT}*lhBi~JqIyoo(}g5nR-e&yY zI1O3ur0n`U+3^S&{aIbWo^T&o#s9}$wm#10?C0}t#h*-Lyv;NO9!Jgqko*CUyO@?U zKuDpgna<0sjQ9mtOTXFWR6PU+^yd1G;O`LNNM#fy#B%dAgmp$hy3{yXGVqEvsj+_}s3AXwXBDTC zTO0#f&284y;Xa;7|eFi;9L`^3wXdV)@XxgWC zfi@nI6e8>=EaB6eMaJk5txAZkhk0x#`B+4O_@&EFY+$AmDavhL}lKvy`Xv zBKmhK#Qqs~-Wz5{9 z>&JBN*8m_;i31P}gAa-}i{fD)SbObyYzSfQQn8f4+egt1E^dI$qCU*cB2M?5(q6^z z^;SAZWb{p&Nr-lcXE^uh#5hMEB!i~$k8F+DAi(ieU@_EgMNz<@z0KimyOkp{6=hbT zv!yW|k`;NTG^@w~snKagAtyO#lkORWFNXSY;~iIP(P%_5ID=h}@JSe9)8&hd6n{f* zChoZXxNaCn8WWcY0+Z2yRwwoUE;fsQ73UB1KV76pK&V0}Xz~32 zl&_n|9ZWa$&n5BvfKS-id1%FV=bh6*37df;fe;N*DNFf&Oe#t;$n}1%Qis?c5pD}~ zs8#jE4ZBBl-DO@1xhERuom!;INk=K#|!;ShJtL_-Lu1_uhR z3%m$Ab}(I&k|7o^P2=}t{&!yckKzYNL<6yGz7v~8c+%YA!DL-C^oYea5U{XAAE*1f z13cfUy*D*Xr5Q{WLQ_O%fN7+22qg4^_?#k$W;l)z0eX{S>pw$;7tPSg|3=(1Y#}#X z^n}IzTeZ4c39+V12R=mA0eA6MqscJUl3TB@$2ker3h7oVRfdAGmMa`|o>qO4}7$_&#rA>-e3d1>PRavTlAMfSsMi99cHfWAe?%&Uu84+KBiygzAEN_|VT zaC9Hb&o@1_us%$`dms|lE*|@i~D6iq8`(Qt@}e22VqH-QVSu=pZ#@bnZZ~HO$*(|9l1 zG9JhchPiQUb$NX(aspDu|M+E@tj`GOyHq54Lke{qRO;O#93LjiRoT&^9pTE3`Xb-C zorR_&jhqaRxv}BD16*UwtlyJ|5F#EbQtB@YBA-kYWe>~E6%h}8$&OO|D3kH|KndnI zU8C&Z`vU#^N%f6}UB;-!ex+Rx*cM&|n^c2d_J=Ji2kQ~DY8WUH0`cij@9A3}qm@0}JFb_a0)5FQ0{0q} zP0s`0y1~uS62fxvv|=b<2qr&90;VU)X9I1GV3ty*6LZZ@)6EfK9)$!T--J}4_?-kQ z*syDfD1QUndQ=O}p1V+AP3>tm`JI7TSW8Do)xbc*pjb&~M2aEwp#P}nmdsHk$;MM+&uQ((CaF2uakHMMtzjJfO^nRN z?N<>s+T{Pxy@3l&7$(BCn8UbHjANM9dbHXcgF1RaJW*3I4ckbFH1pQ*p#1Gt)%G0;o6cLaX*0c{=@L7ArbjOb}|O=TM4 z_Po}6<@@o&WL^KBiJlkp(L7x*p)u|{U-xwDc>n*AkwN3<}Tp~qJ z-e-Y<0XSp169ThN^?<*o-vtRw<&tT7i=ZH%nSg47ifFS&*CN>(nUj($T3O|ias4>R z{ELC#-~RkDgjkmykxD#aqi!SLqIF1*(o6xGf_H_1L7pXhVxsxRb*i~7|KQ4%&=?RQ zvW(Ln^jyu|zwr>BBr=bg$EbJR)-{JbISHb$>cx3d(R948I#q>jPWzrKQA8@3li_j> ziu3h`X5ID}8kMuhEZ1N!mYV&Z)%tDKQ`yRTBiF%^{^|0Q;3{nzxq9!LuIey!w>2jM zTprdH=fn<%@kMM&BzN?Z`_(|ZSNyQ`{s&5Cc{4*CJNri4B^kfLD*MO1J2PiRaU}s@Y_mNOQv6l;i&xvXGRHo~P zgf|Wh$K{Z~M2FIluY%V%WJQ7wC0{q~9{WS!+MB^juWpjkCFQqavz|LV9Yoc~_boLw z0oa2B@v*&1*`x4l@aQVYX|Nm%RipZKXZ%SN6pr(;&x3LE>P0NFx&jC8wM=u242GNf zCR5ElJ=jL_G4fke#I;QBQW5LDD{E{yYa~_8XgCgqDjFVi?<YiYPwk ztqIr)cE9vlpG9)SowvAHjK3csfYo=+xbs9)M^30wKGD5it7=FMU@lN3*$`dE3C{-y+LYv)3W82rOcn9S_zfks;(PmDJhgPjI zWMQ_I+8HwyhrTJ_jHHn)o1T<_r<^8yzdUyV-k+iNGvoUEajiuN#47F)j;8xH3YaT+ zmI!-Y$F=^x4t#UBa85DmTtCO8xmyqatH6lo*u$ zG&PhN$^(a1s~3IR1;;c?1o3^T=R;{Lk=3aTR0EAyGTt&+YKZ_)@HCn5(eU zb!rQIpWUwK?e%*k>3Ab=TpOBEm3}O!qT0=f(S3b|&{YOi#)55xPY(+Vu(r7oJl}+ZzH5(*l%7jRC^GKf^Zq}7P zXoi#O)QMopMnhN-6!&*<+yqn;H0~oVV%r%Q4bHBb%Np`}`G$b$sIRh#gm2}f>YLh# zksP@vTw|4CiSlc94(y8BJ-Pfy`YpT$@n~6}-N!FXxS*jil<1?=8$r!V>lk)h7WrSt zRM54hebpBpJR36%d0Kx?f3uO8=2sKb<`;R|7dsmCoZ50zIqCAA1~oK9j5KHlrCkBl ztj!BD@Gt@rI%hQjPV}&-d#2KHlMbtDL@EHlJ9~ol%r`DL!dz^JJneU=%Pz&HYn=)r~U9bs|?$@8<6|@*W|yNanFC#wl-y@9SScgbRk@ z7WaP6ex<;T^+vn$eYaV9lVf$%XPDQg(Z_s~z|-?bc>`A>H!TuXoqz=r5-+r2d(y-n z|8~>(s85#UYvrdjHXKMzDoZM7QM4K>c9sJyAc?6K?- ziFAHB>}s54VjahgmA|h*p-v?sWLq#?wPi7&rNfrmEdYEj%%N`)_B;S*02-`BJl@qR489cid@d)TU*5PO`SjG0EE#;gTaWC{^I-S&BI zgxlt)*wegzFThj$w{-Hv^Jo^MvkZ6$ZVB@<|r|0O(54?FG9J=)s+@&gsE zk52Marj6xBhhce@APXE%E0W30ZL+YWgx;8V0I!#`)JA~ey@|03BE(_1!7i5YGb|kT zViYTlB{r#XRv@Q3pXhXwT0EPVAoZzx-Qf3QK)0X~=0IMEGpvCU$WeJe9fq1D_q9u} zGSJ5*+&RUB|Bhro?>AI8f$G0cP{E$g!rf;_(gME2zvE1{vm&`04YSJivjHpi?_nV> z@lIn~iFXEUo}Y^~s2(r8W-G~L3lWzhz8Vi-B(E^~H#$OqERJSp>K(Ok6dy&eJSfHKw{iRu_GSg@e)Y@`iSaq$W(9*>PFEw0I=*t+vXM`om2pgz*=RG3Pe9pnCl(U(av%ObGv&@pVQ5{?+k8L2o?qfkrD>$ z<@;@{FkFo=EqRmv$SYJT3Xwli|FTjb|FzUBj@kvwgJ_P-fl3UUfQE|AJ%PI~dJ@5J~cTtS_QxzIDkk<3DDZSvk>t*k9<7f}HEN9eXgq#IJl z*}0p@!|O$%X?2>;Ca4jv7SU|DJ#0$S^ke2TOn`0(%(GD*VxVu3{8I zr-J=PKE^DwTb7itKx%3z?c&pS3jF3ASjQQaTn3%OLrW=n)#_FFse|9X1*NOkOE9zx z?0S|}m_&a??ibN0l806Lay+t8O#+23mfkC}xZpCpAzb>GVL_BF`^NVxYtBrltHj8` z2I}L^xvJwzJozF zQ|aNlhkg^I#eqLH&~x;qCx5sUoVX16e(-^qcyJgC-<7ML8OBvpORsWnFO_-XWZ}G_ zp0mLmR^aRpmz}cZ+006h4W|xoN^87VC9T1saOSs@)yI=8PbHnUg7-zXBhCDcpZCkK z;wCKt;&@69hG7Gg;%Q8Hnxwhwh}Fb{D1r~*aX#uWmL!6;As-+E!UasW@g%hIqrm>D zclyYOodMCrf!&Ds1WPeS0(NpQHun4KEaXbwg5irR3mXX*o$0_o__vm%9taELKg*re z%mIU_!Q#q5(eCwKoZ=yhcT@cM#biSKHcMA1^>BWhV#bzvV~aPwuVh9BC;7Y{=OU2{ zEiS;g0EJNp@_F+rVCssoU~j$a9|0TNVR(<#FYNQ#d>zUVe%`OrykCsJKVN6{X6wOZ zD7u#m2WB^U%&U|b@PDU3jg?v5*Mgx`j%7TcM=m_30Ny4FgX6eIA_$KU;zFsI6a$D^ zZo|oI7y~6|1}R{!oKuV|zYoVTJHh!7a1rEK{fCfk z%jtcT?+sf!M|9&5)Ajz8ZR&`y&wUM_48z49M3~;EU{PVR`tBeWS=OOr@nh(n7;eD<}qZH!`J5?16lvIa{sK z8dmL~q7I_KUOc7LTQu|&gJ1wh5D&auY~riNL8(8RbFN=5L))=<%8vV$NAO34SKYdZ zCLubcUINz~V@@O~%2_)`f{Hd)gOAnFI_I_X>XhUf8Wt878vQ+&JAo_htv(#*Z$Pu@ zRH|&2r>PvRzSu~Br<2b#`;D!J&ENIi-NRh*(`N+hMyEA+Q$YIb7jRSE>g^vU$wx}N z1#OKW`jgn68WJP11~X`W^j@7W%vF^FYilh@uuY=+3+;A7JKS@)g|aix$~r)~Q})2Y z$zh{Eso*$tbHrcZF%S>6iBq2hw=6>6FzrbU&N3_ zDW3YaVU&E8>HVt|Zic^`)=?RWtU#^N)2AW#40{pBZ;9M z@=fDCODm4f3_?KsIuOpU*I;MwUo<3!TF3-7NES@OJmyIw#I(1=g zbMx4ma*BE3s#NT}>PZ$|OyM?(76iodx}%vsog1{O5RPO0W}|yE-{fZIhkG4>{><`R zmNUv)qSem1&GNohZNIOPl5%43de_hKs12kwMuenI$nK}YURv!z9DEiD0I8j5MbMtZ zuG#gSMO$3D=Yh~iBG+f>D1rE0oLteBNxb~+yf5*8VRZvZ2hY6e~#;8S?1ky!jQeXxf&*;K3%QnLitFj`&N z>Q$<6Fo41n-q_r3Ro_BGElg@Tn^0+j53>Yn1v!MM+1xj2ET3q1m=1AFtYVRNcs8f2A28Y*mGG(I1e7o~49J3mUevldWf$_nIg%yJS8>pn4&L1gyGW5|V z#=weaT6R@U3SNr4@b0#msDTho6kAo)%!A}>KnT@b8WXQEWD5Yf`ObXEdG!Z*%LwgP zE$y)8o2gVovDxnhf$ij)dQ8y46<2Vt-NeLUq?(1J0x$AY-)Q8a+^Swnf7!iB=djI_ zL-c(tu#4n+f`N$uR>3IiuOf<5zuja|(cU)UVy)saqI7C)!{t0OOS9tLJT4n$w#{3{ z#Tc2w*GBPv2RCwY$ZKQduaq~@5V8k-rOcfS%VNNVv@de2pe|Zt5(RVozk@lWitIRhs?y)Q_DICkC`%A0ZIObev5sFXmWP%+13TzDHTw$wX<*XeG>D4Tcx zbrk^GAbILZWIf$<5#nyV^J@*ovSH3ra3(t;t~Q_T;nvAZRG^~Qa~yCi{ev*pr?}qc zy&lO@Br?Bviyu4n6k8srJ@6P8f_`C-CJ5OJK=?683^$KZ7es(rOFrs zCeA$@#R2t}uRPlA-i8aSzgN26($i*Cjcruo{*`Zp_4$n~G?4t;{|Ew1slz<(`n1I6fZQt)T4}S5fF)%RgZ?N@WI7Y5d~Oc_fVdKT>yR!@??V+_asqL#9lTf`sx+D`iUw zXHr>`Ozp2=@DL@Cw4~9YC-J|FM=J6mLy^kbRA3zs=n!ICp_+A~GIlLD-KA)F(w>sz`}C2o9f;E?E{T7xTqq@G$~8L{EaTV!c%OSqZ5|g4RPPe_0qsIhK_1M$5RV zDeKSMUh0nI5_TYfZ;m^K#Ie}FEb?D)jF12f<{9HFh+qt&%!!C0*MoQOiM%_R&yUw?%Ed`19H>%Yn z9Ryg(qv`=atLHK(NUUY2En)y4ic0+9&}Pf!gLLQL?ta|WyfqT^)%^#pVXhy-zzo+% z>2e0n)uPhAG+Qr6SFe~}d?x}@+*$hBO$724HB&$wz9`d*aRp!GLPqK(R`V3?!Woe0 z=c=)c9>XYdtp|fn1)oxa5c^PLeJQVJo`~iT9M*!ZZXS3@F#~&Ly4k79*XEAhzW%c+ zd>e~Fqqp&5X4!}f>q5?^W%^_}P0|N{;Kc<7EZNJw(@N8stJ9~ju7Noe>Ouievd6nk zIzCfMr!oT_l8!~j7_+6pzfq$zyVOb2am0M%eXJo0KfIGAr!;kRI)uHI<&JnfZywhC z@7)~N8AVhFJWod6-Xdnm*}&2sunV_{qFNh}NiBoWi+ol&F)|lYsUgXO(0-9yDT4J8 zW63)iTXw~d!$D^)u?gay!=yJx5J)}#ztkZuCy(|d%L$#+)o@p{6xKzevE60_1gxzo zR$}9hBD*xn4(g01IdIVmAa+ZM3Y{l6tj;Uhjk_FzZHL4%18*rkYQ}8&9E*aXA67zDqi(Ym)n3mYxT`yhZ#v8E7t% zwi_yvs{1gudn1v)LT#nZz!Ev9p-GqP9fxI%^FvOCM zb&xUpGi}uVC4;uoLZYHVeG$#qXGGq(Jbm9EpOjcRCi}(&5iSmG?_ofglNz-|{teFN z2UU<@?c@{xz#Syl(d7g~zsWt_m{Tjs+IbFYBV-*9jc2t%>Bli`UJ8&hpcocG1@nwp z1lL9UC{T|h;u#^ylPS-`Izfr{*qBl-i>vlzl*K|5UQEHl?;YDZoyGOmS%SEGhc($z zhk)_QKu2+Tko3RMy(+#P(i-6YE~I>t0*)ga!rZ-A2$+aVT3IFh+vRYDey;vm4w%ib z5F{l0h0`w9)|oI9_hY8LyeuTm>cafiwSoVdEuz;E%|Wjz{JoA2b=^Yl6P-#9Beu9c zh_nb;$~{cq*w8X<+dyw3ays33gU8@&x3e`aWn0oMX+5Lu`O$T<10z=N)QqfC)mWiK z)L%n``o>PRKDe2-GicD&TX4f1M}c-L*vD{MEdMj-j}OxEaPZ-@`h9vVsNarJ zpe-Os$pAnZwb1afJlAhqlQbev+Q@A5ljh9yMo?=q{~ohGpy8v=0Ikr6G24oUiNWqX zcq+nACmzl|AZlK11J%q_Ej!G?hs380Jf-T;o=`xFQQI#5T}xdu{z%u;_#-~3f+ABi zGcgCZnhk!>qKA~VuW2}8J4#xzY=&OlkQogT86E&`u^B_zDBzbs?`8sj{4U;R2?&dL z=OcM);Kv^y-y<7Uh`RLsq?O+vypo(*`o(c3$lAwVZq%V{gcz(??rhYI*3U#8$*UgF zWsZ=e!>q>_y)eYXpa%kWL`lS=F3(apM2oO&u7?&IlEbzTS$mJ|NcZkHT-B53kX6mW zPb8Q-QI4*_C1{1V(Dm|fY!~=*OqTaA$6FL&(bbR2-$Zv-mrzu&)1FDJwUSLmx>5U>oBevHX z5K&JD!$g3FyuOaod3Pd04$ChkCB)f{fsl`)H0KoC+V}CRd%N9M1-i}H=8iL&s!&Ff z)PCmn@O?Poxk{3tsp@!)%))HiSDs6d9Px5v1iRDd46 zspNQP@Y_Zc(9GC4GNU`4pa^L&4W?;|)d^AnO{Yz7L)}VW5z%K5ILB_v4WclI6R|(i zb(Km;@?t_0AC@?Qr*nfefgx>F>K)z9_JwmKG$%bd<0Jpkg_-aq4-06Wv-_-hYZv=w z$cL1;BN;bj*7 zt?HtJP~J%o8HJw@FvTb-$%~g6?urUT3P37jwede$clB{TEJ;HO&udtujteH@lmfVW z#9z4Qs^ky;{_@{mYyWx7Q{iXf5un*PZ2sLh`_>nh|C9LgxWkJINTeGB9Cro-LjBo* zJR0li^wn2uT*xRvJhNHDD-}|Bm*80*PRgJ<(l;DmVAy|X<%M3XUj=m+cX;kKF!LpM zCT9vD?Z&I{JisrU%f3;rsJV<-yR^YyCTA(`10BIkMv2vzzuA+%t3dzPxJZOq; zb2Ml;PL#j1$GU4WnbZ5VGe4gas-npIWAUr19%(EnZvgRonQ9g_E{!MwMlM&ONnciu z&7|WVPJS#nuc3s;)Ev!eH8JV9(C~a}sU%N>%U2zq**mwFgTqu_nsc^%Q3YA^>s^yN z6KzZ_I>@g`_)Iv&LU;3GD+E(4oJ&Q+pXo~dBlL_afqQOpQ?}E|nIJD}DJ2mA@9!%q zJLhsX0zw^^ajrC+nY@7KCZi+lXTm)#O?deU$XoFTB1C6!Q8Lo^a5zD=i=04S)DZuZ zFsm+6Wz6^KI|DDDgW1y+F2pE4ZwA-AN}I7r!Ee2{KWvL9eX(+sc{82L`xXByC}3=4 z1^#WU2*=S3*{$0ly&e^s(}8=hON3Cw`<`e;ZKD)M^hV2Z2R`3?wTS1p@*i6QQX6Ls zU(GgnCrEGmpAVldT7}yne-bJLS+_J6?k0<3UIJle9`KYxX!GAd@Z&A`gxq>@EXxc) zIn{b-;=#vCm(!LFA&wT1%NhmEGOQES8aXEno46Q>Dacu{i?yO$ViHV4Zcs_%BBO0? zZZggmsznaR?X>Rn$C_C0?U}-UacaWH7y#+q4r9J`JIH`8vQ{upR;3Ww!xw^F{Ou%S zGveZcA!TC7<^*IUq8Y=`=}8DOIRU{2$k(WnWy-Ao9?!AUKk4k#Z0c6)Jg;5Z5xKz0 zDIwclwZ%I>VfeM4QVho_?ZFgVlB6G%fS|bQQEAmkW`V&-Th`HrJOGHAoWnAJOfQ20z@$$1Q=%;n5NF z^W{Q1#!Pc+mZutL?yX$5Sc`V;HRqTqYjjj(bVS6w*^VUvk3T0XpW3&-w~e~AE!qFp z4tZH3gu(RZQo2`YtNPuu8DpU%G+B^Me@S>Z`(bGbT9iViq`JEZ=T>(yHL(n@+Kh~V z&I)a=)vMj*ZuCrVB^b)Rz4P4c{mt`0_`Xyh?z8l(ujcg4!OL2|23&ODP{(5Qm%XtR8>suZdNu`yruvN}#RLX&=?oxC5db1VRd7;4>GOHHPEPcoBN=|0bGk z#XOipjmbSoQw!jVf?W_(W`X|9B)L+QDQw{#b=j^D0D;WNQn0ONNzl8yZeClmwEaD1 z0n+iW2@6|fxT7w<_*Vf2nHc=0(*XpPbX_0BAiH9a*lx7@I^_KL=Qv2$YZVXl5wZaH zydC_%B6a@$mG(GGYx4qjPUUMLvF@Ndth-px;C+o}E5wW&na1i&q^O^h`w+Z{)2-8d zM*=s@zD8-QYj(hWTQvzOW#>_c_Sr#(Zq#@w)ST1h-qgf^0UeGJAaZ<)71r!Q`K=Go zF3O>q z0kpTDEVh!u-tI0rEOEf~;B7Us4imZ!h`1wpq`9ZJHvGAXZwH!ki__ zGi?VF5gO%zuO7c@Y9^bo0hfNiE7kEa3F&r*5y8RO03d$DOOd=7Rp{YT72!=E%5tVv z6*5FtKLhU)4AwMmggbJz)@BSQJ_qVEN^z^>#KIs`iRZBV*@u#uqV;k4)C+`Z`6wB< zpFGW}U1AevEa#1Y?!z3z;n)|8bK9M4zedu3e7Dv@&?fl}0iIgPS7{=a?E2>t7S!0@ zlUgcmpnc*PF*l&Byo?@b$me^u7ovr(hw0f>7rljF$^pmFGKaCTF#BP_^rY!QH(vzj(hIL0uhh{+r;5@jA*i-tDZuJtqIC-$yiMTSRXx#Rho&8PO?&ufIC~jrV5lM`I-!< zh#xC|D7p=^K0s$+q46}GpU@gItQN72V#a#1OT4-gg&g`6Fsu%UfI&V6FYQ!@cI{*m z`jrA>A;xe`TM`*42<#HzB2)%*4cVL^3MxY$7o{auc(*XfNacq24$yrpyS43sbU_Sh*D zk$@-keL|;Yb2JKeC|`Te0sn7bEuA(>wOFPcj;XXKkU~`LJmSRbHMBGcZxCFaG1V9e zk8mT2P0`V-z-~H&=i=G*somF78B?Gkiu7`(Wsn$J|LjpJa}{zE-J9sBgKopQzTXuT zBsLv#5X&b9quGn$LQqWzdVC$lC*u*B@PFXRPdZkAGCx0CeLo^{(>^fF^L_2FjU_+O z_L#f=9HM3rVNoiQqq2a*Dq%Ik6}4b4BF(*^QkAu1vu%Fg7-|ox-Nn&IMc83hiq%oO0+Kvb#RrK?2}M;l)y+~85t_^BSYY_aYZoi%uB}jdY>WiQPLo4h{9J` z&x+hDasT^)R|y7l9Y1?)U<;Vu?8y{pGW_$!va@-_VR?hA?y9V9!i4fv9Rggxn!|(<7Gd zKo9YaZu&bHoQb-Ay&69M(O8DqU=t$AM%igW76IR6(J6ahNkld6Lglhz&s@feqV4NS z=jX5N!{TQTZDeZ=@@AVf(ZWobCaNEmJGd~mq$4eSC_L_b%nMp*?k7#`S!;}Q6q2Ir zCCQbRB+L=1_qoIv$iZ!9`kSI1Eaa8TjdS^4r)gzuuD$Tyl_r@c&cdj?1^X7%)ZnSh z_aPD88KL;NQ90*kkLTYp|%4Kn)_Mn*xzR~Yj7`?Fgy z{qC+r(Ry+vX3L#-8ZZM3h1+6qsajg5M8h#Z)WtKz9Bqz|%HIC`D*%+p;xG|FRGkYB zm{K#Dk!)%q>Fup!!~Q2Z{)c>7)^wmI znUtt2KJI2G@t|Fw-C~cPSO^+v$gZ6TAfrwI)*Nr{?P$BqIV0&O`YH`rW3lq%6g(Lw zm$MsWT2Fd>1;jCoNxC&3i7#V$lwQH)=t>l{os#HsO7DWmM#qCi?fg97SzYz*kb@qq zQNm)6EP_ZL?V$)6vP(f_l4+wUMm_RtWp$|2k<^Q`#)fX-Ex@Zbad0RXB_b+Y%K#5w zrLX)-*Ud~Vt_r+ZqB|5ize)v3N{TgWVttK=yiz!;td(Qzj1i{FGNGmgnWjnT@cS?d zWYm*3b<_Vb5hn@C*^E_`0g)>Wvoj7}KLfrFMgok&5uzq+;H3%O;1$aT>b$)rrN8OG zz`(+W!iI)~hKRwy0^xQLyFP}wCb(!j!@(KxF^Wnh?PbK3j@uBS!C`2CFC5o6-TNOO zN31WjK52!oh_o^D?Q}Vud1-HRucsSG)227Dk>lSjU;+t9>A2o##MN9qcskeVHiLXyiqyRjIZ`_XhC+Z?!x&vKP2Ry;o> z#MCP`%3=tqlfl%#LEgcbN2>fi z%@>H+C`)6GNqN3rVx;pIe7SutK}2pEUar+Qdne*@j_r1(4QXhhDY3BDSn4N&bWXYx zr>v&iQnt`nEzeuR=Wsn70X&cf*KfKhSJ8O#^KBqxW`J#b_SWIEuLptPyeoHjUE)_` z^6W|4xGLF86cZa6v)1B&_8k-0T`< zA8e*JoXHlPK>5}A-Z8N2+!w0Idb2kN`UCD+-*r&7817ppD;GcHl&Y1kJrOI8?1+@i1m3oFvdbmh%|Dh8S>u{%c zoLLldcXrIl6}2C+!bCCEiGs9^Z7h2r({S!>JmV+=L3pQmH6#|+3J~*KK~HCl7_|V$ zFt0f3W+n0M>!&@4d3cr6f#~!4rCTs@=CtNolP?A-&s;X%PBThou0RUxmq{; zet#1UF7?KgF`qa@|IOAZPV{VWe;eNd5k84^>=jwHrehfBcDT5l+ImKlZK|%2hBx zKzIJb<9q0*`Y{DR1&D}FZtLVmCw!GjZmq@+g;;W0lqcQQ^73s$7|9whuj<8b>hls1 z?ZWDxu4*%ks;y7E5J|jPuhA_#WE>|1D0fyPx%j&%A6>?xam^ncI}=5-x!aj5tY+d^ z1v5U5Am{hlHJeClML-P{k1LRESEW z`e0!TH92{KeE=DU728C+{SY$$oJWd!h=`g06|$IttW*iJYje1e(P*jY|F#qJX>=|% z2e?z#vVWUO){y$XktXSTm#zEbfhUx5-tVQN-B0fz5PucwG5HeGFczZ}@u)~M=~X{( zrrK$)AWf^5sOj0l7$ZX>v5?A-8{|V0%YFD zNm+N^^Cn5lrk9d(8jq#@%|}dR+rmEbE`wDw$rZ+ED2qWDk{=IXUI3Iyn40*0(jkpy z`CtH!rzWevIm+^m2h3Sy^OS2Vmx_r$;7O9g; zz&j|2X>sgNzt-w@vi$P|stMB&gp;hv9XXMhFur;kG)mdn?RE)M1^r8*6z`5lRH zy|V;UhEebe$Wzxk?#CLym8bjoDwwP;CO%{_T}nZ(ah>e}WK*6LLH8aY6LK1H9~9}zUl+=s9= zJcD_ghki-O(7L~`Z<7`2s#g;`UFDGb?A&(~YDyyWGI!vGavcuFYjUNkH+SM){3|!< zk&@ zPT`By1V+Rs-C+|r^}}7Uk9zrVa> z_HEf~v)fo)n)ln^x=ea+xs0h7cW3DdUEf~ael%x;{6~)f3#`bTX0zc_3t^WC6 zHIW9q?D)kD2;**{h22d5ue|nCfN;Mk*;=e_Qb7S;b(MYLK@Y*%Pk&BQu(8I$F80%% zuk+FTvd8sgo$##s-G>g5rmV$ATc2Ch{IQ_t(w?_ZnI3%}-e&>!+YM9{Qerb^@ljYB znXrf#%)6^$qiwx3s}LHZIa!#{=9Im8ESxsIiG4&}=zWV-KNlxhL69kkJ(=QETkLMA z^KjOqu>swF#6yE%M~;G42bq3wXj&9IMU}gw>QaAPb{mVNdP6MS%cke$1h9MOQ#3w2 z9Gn5g#ZtDlO#MpXvBUKB>!0evnZ?EqP zUKTZ~dDSRSfq?xh%FEz}z+deOGJ+uMr$)u*q+#I6AR8IpD)y7khLd08nJtRi+L`UF zbijYj42wSwYtG!-%00O}jrXXPE$1c=6-Dk7FQ&-ug^v`lKHV(W`m}XvKHHrWCmyZz z{32=HN)1@9qz*d1zBWAreHdoH#&gP?GE<9>_At{dZIixo|6nKmWJ@W(=wt+^wZ%<1 zL+rAOV&h|@h3`d-xCZsXeKQFD_QwQ|-vZK9vFbP7hKy}@W3;PiIhr`pa}>EH323cL zGg;J}*MXQXF>ho6Ia5e;XbpmnUo6GVx9WTbTs$YN<+J%fP8RE|>!$G2=wpg{8p&k1 z-2^*?vTqalyg6EyAfQxK;1zOedw35gJsvYeRIBDJPIGN}FND+j5r(CEzV>xrSq4zm z2C_iD2rw?i87abu`st7Ox`NW?X*J~@oJ4F7iMqwovNB4(dM3sW9BX17I)bR^#xS!V zfkd9*Ki#z6r5C#CNZ4^T`7xIMYxQbzUng>WZnk zDCqw%r)1NlH>nNYkBTUg8|dt3&>)n5@aErldyj4N8S z!=tY-aS$1zgVFd;bGz{}6OBOFQ;zi%@7W3v!stQE;xp#xW?ue&6l^T10JR<#pk}vn zJ$IYOG|72v9ru>JjQeEweS)Hd{WJ9SA;}0X#Z=@%AtR(>#sP@oelo5|2sRY7#~=bW zHAjI>_8W^R)Ysc1ypCM%yfM1UJNU9E+LR1JIx<}e0m@Us&6F2u87y#rLe~Fc%oiC2 zm|yU@`4TJDLqmsbSi!>uDftP=t)+xqe| zI+uWDII-me>ZT}`Y+Biaat{VkdTHueNhI`zP?D*>c}u#SbXTB}A6v`(Zf31e#_9zQ zQ?J;$|A-&6F9baxWOA*C9;6bL*6X()5$+~DE!AeE9NvaA;?F^x&Ge||P2(~f%9AaW z=6vaS)|GB*(($^FfKW{zC`@zPJ$?%JxXH2Zom8`Nu}&KFk-p@pm4Jm)7GvG>xPc(7 zwv3h`7r9_x&mT)`q>@}zXlpScBj2M2x%tvy`$plXnwLB5@B2c zomDLOhg39)#j5NLs9jx=s-yN6mA0%~vBhbrTwp*8i;-}4&FElW2%g6beqVt5)(d^U z#SJAD^iiCc^TYReh%NiyI0`;ro5WDRb$T6-KAo$p@?W{&Cm-*Ofi|7Ntq0~NI*hW` z&NsF`+NvkPvlwfOhhMjp^%(bi%bt9tUT=S{1O~N{NuY7hUxD%hfDI$%sus- zSx4C|u2ZiK^>S?7x^!@m5vDw&H=Nm7FPGOavmX-#?hlRc(1vEX2gWh=;dV8Ca+V+! zHQI@!?lV|h5tA5JvhXH8&_(mR;`?m-Mu*_wb0A$@@Sf$dDXS*uK@$n}5h}tz++%3+ z3TYZ&z3hl6<;29RZ;z)8_4=P0=WT7goL*;Xyp-KUNCTicUwLo;xe6=yHkWg~bzLzo z=$hWykKHCkEUPn= z6YxjZjwr%C-OEtfMdQlJI+`A(#a3y8&z@VK9ewAU7Cd!HTKUUr<-;> z8L%(xq3qa=R0^l=S7hl5G)Pf5sI0F%SAA*#P8q5}7IhlC9kz&Uqu}DPV$bAiivE;^ z0(KhA?yy>ItNp-f#=K2F$l{)vz*3iaL{GiA+5R$L zHs5h`b&~s0Y?=9vb~NfDU2wJ8TGZ~%G~4$GzbqCFayF|CJ>W{mOzGj9Zm6_w!%U~= z%AYgAT=XI1DL3g!Q+Vd@~4+&gy0TjRp+iSZB(w?f+JmH3#I3l(OxU2tUn!ZAi4T7Di89 zF;R?A;U6%{?|z2z8i$|n+!Q}3hf407}{!Iu`?+jzHuI19k znY~b{o8y-)ruJ|p0bpmAvnJk#p=WlJeEswbw?_I+_>sa2*M`c#zO3|d#{~(Fa}Pgj z2Nh!?a%{w*9cPn@^xeG+(}KE8i8q(9ZI zg`S#bF?c4A{;PYQd9oj?6M7#iMKH}=S$NM^3m2vYv!A^W_le?*40W>fStvFr<5l#+ zQxZ!UVEPV2qo|V&slGQH!ylPZy!e#;w^O;Io%X-zMDoHk} z5+5X&*R!o9USY*W0qR*op-~`qm`v>w>xAiHeuQ0zk#ZR!-Hk{*I+0$$^I znI;QbCx`hIO4$I16qA#i23Et62q}Y`0qGgP7jcDjwcHb(t%<-0*`pj%i^Q_1Rf3GR z>Ci_}UI^FFgkiZYE{n_L9tmd}?uXoV$KFPO19Ly^XnT&%VDC9CMcp(j*)vvHat zexk^uB7%|3D*46YNJWt^2EVGT71rwqYx#jF;2=YFN^C{l^LCuQhkd)U1*B{Uvf@oO z%a+SztCpkg1pk=LJqJherGaX;#$6;li6&*8LBkYekX8wJNYx%z2_kD((p7Q{esUzI zAE`^bR3%?|XtRD=ZQBdi!SnZWq^1u~xAm(alP7?=X~;aa{^ZKR<&6mt`WN;bN>mXr zBjI^pG2t}R$7vxYzi6}dYtofhrwTvn8T_eAklSK42+q`<{NDSZM^M)^t)^l^opC4Q z*ldzFN<)yHm*^d9HxedC>)x68hlB@3=h$l|h_tyYRZ))RMPzj0oAG$Q>FLSu*X7E^ zpFUcji+R&nXtl_&BIXC@{BM(g*tP4O?n#WI$tff%YuznbWK0SKHK)k$4~+kVHVaMC zOV?_2Dp;WOZ`}u8(?i=wr+m?9`oOm4A!1?mb73zbtW5xG`FUh*wYT40RUg0OEVG(G z)DU`7RVok#N`yE-PJmVsA)QhfE+_{sOj`pYFFinvqkN%S1mdJLK)fWV7wU#A_lsXF z%+L1O!Z5PgaB6topzz#}eJ&xE9hY45^{Kr?S8MM3cj_2X$AgRa|J<)L98clpNYX_f zY-N*T&X<%zrjBa&8NBba?m|#LxB8v|aBa^8zw6bWst2F)Z7s6z0u6-_Co$UkJf zwSPpfr#BzS$PlB(k{e1{fMZIyNV94tuV^%8x4ecqUQ8I&Jp^#nZeEW4bPtm0#4E93 z3H~fk7hZv^U2L1!U{)A+tqmdzJ;gaXb9!W=->9U$b0pHi!JFipLU*Hp1ul;4+g{#}fLgaPN z`-8{kPee^0%pTC>sgd@TJLNPa{Wt+=(DAe#K$&3*xRdFUgRg`)b=h+(>kfM~k)i6Z z%zplkS5hH*_=}KFfR&X%ZNU+&N$7p=|0L*5?d`MqtlRPS4WW|vB?2YMq!N+`fVL#T zWGJAD3L$Pr(by@kU_=fscoGVNXkfp<7bTy`EBA>NdA@chRc1bK{)zEo4?3R=9GK2t z7kG1cP_Cjm^NDavm! zoR|QQ3(|&m03QNG13Z$;SW4@Hj*cF~|61l0c2N}LYk0Qm8iEpy@1+h3E0TY?@MrYC zn`;6W6}rgD%J$;`d%sR(3XXWC0ftZsTTY2#UwuImNeILcfP^|rO}7xNp|PX=>9_#sv{waRANPxXgYdsp zltoJO+8yqz`#YSzt(F#20=iAlF;Slr2;X)Z_ptY;3RH8W~53v(VTF51BXkAGl|Dm5Yt;N)j0pftYh^|Nzc(28G6dvc!zy9 z7k+N;xv*8$McJUkf=}u?ZxfazLA)*<<{pnxM~7#&<74Bx9a*n`Qd2p95d_(ZAm*}- zCR-c=HQN7&A)7WsdZ#tLGuk$f#(QUtibdFow!6E%q|0V9oPUt0jnpo-6c$msI=7&! zMsn7Bc^*_Q960f=qKK4tB#C=5GrT0|H2!|ANQSQ(XygZ2Y5kqka0NZ@znlL4PZB@)S;-*sp~W1#Z`)D8m0_W%7YE3G=$}8B_EW zkk+2vj-4zuv#1I@EMGr^{B68ybzZ+%k^C*f3Nm=ylhRoaOT(M&D#i+VNt|G0U5W&^1As{Z4-n_roO@BKqBnd1 z{F4oWgwZj7BP4KxD6c1xkw*Q}A5B{pexHM`lE33g8`8Z)x&XQpq75y8+{=;?Qik0F zMtCp8S6yJFN^#|~HGGmI;5r!r5K?Z22)9{H06%MmG5CCmE$9oXY9D|;L_+~6bvtH< zo#AEgRLd{QRRC~Gm}A$6CJ0V?U6KM}{D++*wHF;@`2Jo^RB~O0?M6v(h z*CZ`_!1$FgddGSNfrHQsNo=sc>Y~WMqx=Ad7%(8-VNcu;HnhJH?UaE}(r7Ip2X01@ z0bul~k&3+g0G8Pbzys@(s|Olt17NB+DOx3Tu^l&Ofs2@gBmom-DEQB`uV4UP;A3q8 zQcek3Ity&490`osLo+Jm2d5y%>+g65p;Z9XQ~oI&K)r!VN|zGT0~VF!2nGv{lu>lj zLx?lpv3v$_wFQ&2_Dk3Xp(g)l|AM+M1eCd3&A0Ay@# z7M>44%P~W9s`9O+1QbT2;=WTAi2-{6sKk!haJtdt%fyYs(PSHwcW7ZeB!IvaW&@S5 z!~!(0Io`Dg!tPXUduu6?y9@LIiJIN?k6`aje4QEWRGr(y4v(PPrs?8KPVZeu=|6~x zF!LPFYDc0S3F%6BO-AHa0PRv~1F)`!xzQFgnw_%SpfeGIixknJx}}?lg2g{yCVm8Ou)g_@0h=;bUZB_wgR@H92#Q~P1>}O z`Eg2PE{(HT>_jq0#-zEc4NrRafPlyBW~_^h1bK#y7={m-!9q^8Gc<~PI*;8Fn3)Fz z5@+d@qd`jfnxs`p3Utyp2}DC+tOudnh@k+B88dXo?K}JpRPx;NKGNAKaNo(4120O~ z(mq!|M}FH$SHM&CqC95q{wevx0Mm~GnZ`3F%))fPr8emIj=-y-8Oba#R$fs-q%gn> z0C;(Dz~v?~xd2bE%j%u$mRmAok_ZFSOq23qqtJjF4VZ@`Lh`0D3Wxxfme2SASnFoh z-rpd~l2h`BO_sX5JLyW|PV@N}Cx+0S;17TT z=(Wcj*P+b7Hp*g*I(v`xHgF0AMC3sfG0Nwqu71Vzu-CRx%b%1UZ8Lrdsvm zZd@Fq4MHJp8#AWp5pf0~qW>4v|L38A>i|3*fDgtP!nxrnf)&+kn)#v}XV-v}h4$_Z z0Nke^KsiLXlaT@dnsS-XM;|x3V!PrY-_2c(F%I>$fUZIx<=t7m!vufgi-wW_{Kyw2 zp#N2#E)1wRom<|?px-3$x6MNp5i3A2Ak^=lfrb9Niun(FYn~9H%95FOpi2oLR}!cF zuzANI-wCr*Y+`|+t)Co0=m0tJGYV2DNru7@AQ#`g@$>@2I~Q+_mEP4s`MXaCyb#y> zU^akzzX+0372@>w&r^De5R_5O(?U=Q>KvlyMAgcWoH(=Cr}JqnxFQ@V12{PXJLPxZ zZP?_0L{<`;I0N%19N0)adxv%uQc9Bj9CmllmtK`r-#_lB4Ia5(<0Rt za2vqQN|S`x0TLxqF7rD8z+6s|>jJ=yp$4J3hJBboZzb3@gM!%)0s(%h5Mo>pu(l}S zP0m)|Ap)HR+;f>E0~mk6_xzuG&?D%2QCa{Fi+&e(cx}TQg?TszC;<0(GYBWZPDEJ} z5fv{m9l;P!6erG~v+$TdFg;!lc#92fI7!Hd%~oq6E;&Hg=9YW_d_QKtD=^xDwt@ha zdz-cCLj%lo(=HTw5UT#W*MB$niVCO?k_RV_1b3q_Q9`x8l+Ln77#j<2AOlF8U2+864*v!>MOj%{ zpYF~+(P_0jHkN@av_V{+7u{BmlWRDnLdr#u-z1vsl%>hQp$&fp9hI3>&6{dvfGHD8 zATomeW_Y&T^M`iATLYXrlyv%9FV{WRRz|*g$UvTxM_hHX`1d2+-=$LFr;LV}FBoa% zLz;{k;Ll?0Q#8D4=hIxvco&z@e}_2DxL3MlFuS6amBdT@p4Y?IzAfObhI0+1+=%LPl0Z{<@On(m~m3|E#I~t9%&~Z!yxV!2No(sf2}>= z$S@Y&+|mwHbzC3y4?36ajYe=>HfY+qpZh-@gLz$!n;lQ?2H7P@nZ7Vn{kjN3XKZIX zn0P%d5)7lqaP>VSEJvF%3yp4A_s3|6QJZK(5D3_a%gD>a@3brz~wC4XvN&^5liem%P|XEiD4GLwRdNUGjF&VKEBN`gmskUjT{SRc;~#xgoO|*TAN!bD*e*x(N^WwTEXz=ai%sJ{L_P=ArCY})*D+L>I7BTe?~KX z9`vQo8I7FgkiW|VIRcVW*F$ROCb!2HPh!CpYm>P6YH&Fa7Fpkj^0z?`lTyao#&Kr^ z0na@QwN)$Ot(B!aH<;6m-))dBlA0{)(!pkEem;@N56ac6Nzu_U)SQ?gX?Fr`f5V428NxR0;QT zkYZ25a`<vp_MJ2A%FEeqpqE(y~ErNUmhfu|&ug0OK+6U+@_RJ4jbl=JcWb2GDfO!PtiS=$|< z6?Q_w$-iTK1mrZ6ZZNzx zY{IueCYQAyD@9*xoJo5R>X*-J%vd_-8DHXJATmFc|I(&G3-LG7LP+|C5taULHjE7P z)YPx#($}*k%0yXwxNt!dT6w8vaHA58?osgYjPj>JHRVA)qG^R)-@-gE1TUMwGancm zyl1Mt!_B$l{+$iP5H&u2FdCh)^16d=@%W2u1L8vg!7gxUt+`G9y53M;~?!N*T{>~|8yIBcyk_^52zE)= z+#pxn$hUwyXV=-oJ01m47K9%ENH!6af_kgnGhm6l?6&)(h;6i9_fIBY8vJlZf* z|9lu5TLG_<5fp@4pAbBJ431CiGPh0B@vwY-e((`%*>+83(uXRpm@8A?4pz6zDLeXC zRi#~}U~DYD^UYC4hAHvPR7#o6(9?4O?r&BumimnCT-$auZ>*PebkpY$k%tFyt^#rj z5{(}3+JYu=gX97G38XJc0obliPI_8cMcIswZKe~1DX3v=0&(Yh3mtD!oNG9>#v}99 zh7rtr{;-60p4SO6{vGnpoFeG*!)K*UO%%-uX-SxvD_JKex8({cArSfcCOH<#y!kzr zmX@5hYxwRFzN@VT0&=frKf3Zn*z|OKgk?(#Zlgb&#C@t33o(OJ63;=yg@OEZF4 zK|B1>GAV+(o>NskZZ2@Ve4k}&o5_I7^=ZkN|l`nk11 zh9Oa7>dfvJCep>X0%M^{prf~>K&hwv#zYhe2Qy&O0-U$3W?4Ay(uJOjyR~cSO+Sf+4fw3k}fQa6PLyPp`!-r%% z2@XFa9H$EH(VIE-30qs+9;jmK((bGazraqOhO6^FCcYplqbW+cwCg3y$}qw0qG)d5+4$xwv zDAc<${#Vg+jV;kRDa{?eLa)PEY%aRvt+GdYdjjmE+XOuKj#F8Ykd_sNs2WDq z$FkTx=Yew~j~c`9I&*Oyax{|v)FM()82IZmsOa=a=eByKpIuQpL(DK}aO&}%zq%pb zAR`j1ZWy-+6%g<|n;^&^7Ps1%+XPd*L~iflR`;a$`d9+X34tFr*-Otfk5XQL0!74M zxo*Wm$f301!R7ftSQ4QvB+^JadQ1vSa&AjU+p)|tEy<0PSmx>)*R*I;@i`1jiXcMH zPO_XaUCmQIH>^*jSPJyHwjD1Vhn2x;r*ElH?$%IwINxMs%IF_%|NKZM;*3rJXJ%%i ze7a--;}(+Gy){;}ijN{3FE=7nt~OYwf73A>bxi-I$sNQPvf}Z*#J5L`QlT!ZSHh&d z4|77~oLF%%hoF(VBw_d6xLPRzB+!SmBsS6nU)6F()o#s0RiLQ+mD(}5OebDRf0$gr z@vL`&|M?3s;Y@!Zk(;4^OzFCz3!FEYJcr7V(q8ol)${9aprg)q-`oESi%b)KvzTAt zu*|z;EL5{Wz&$XvzDgpqScx5yq*A7+{j0f>*Q8ToUndP>kRkmE_Gw+PbCDrVy6j;o zs^p#ux{@HV?$}a-PqxTLlq%$V#8ENi3c5Kp=(__4bo_U7yWt-)vbH@`>*gtr`zkGM zKZd0jZ^{o37b}ubjAzpbp`R*Q_jvODY)z>%%at*FnLPKp9W63*D*mh8Y3#01SinKmDEjcX(XsmvAO>BdVomO z5z85zR@hgb-q7f%!anx+jd-E%%=gcf&rm$Y>gpM;#6quOWa`f`%EMrL-nX{#|Yq<2x!*h|0{2G;BGW&#BqFnGJT*ekPKL6|>Bx@yAx2TqKQ zs5Cc39tm9GK*ZnP_{Q2fEVJ8$@}cYNz&}lRifL(SR$V9{QxWl_eZHT_Tif?r|KPr> zMC7T`Kk}NpEM#b&*i9FQ@x(x*7@F5gi`tE$5E|>D+;%3+FFM!#{fjgpz8kxl89kR0 zWl*&dp@03a=P%W+hc%5qTVW^=6#X|W7A;QkS%;TVi)LgtJ@Hb|*K@9&;zmzpU5Qi1y(*F&6!9 zfofQR*C(oleH3~p5pB4YKkWRS7!^olR@jD?KaZV=69ZhH9XzMy5BshrY_IrV>nnY? zCpQ~eL`6GW>D1SbW#eoD*{l9_5xdQ^mEGe#(#ApJ_}<-}%5C|qJr#NdL(?~*e@YJ>kWtf{n|n&+DF5`oy605RnFN-H$0I|~gVqhSStnqiOi%o{JOe8F2a&c=E zW#e32ob~H#BL+@_k)2PsV3`O7hl?|B#YB5yf)VpbWQ&SW95aoDi%j*NQP z;A}?jsmj_4$#r^^=H-@Y^XYtN=6-z9TxT$Z&Nh>tsGr`iMrm!ByAsbt z*ZfCT0^gJ1o)*%_RBXYcGf@3OSYj3T&A8C-^D=GdmWt=ysVXKWvS!PN2{*9omiKpV z=xyzEW(aO$e!gNclOMt)fy9?cv(d4E6~a;kzJS11RfSr#kR+C-Wp#$2WjBswi`9es zI35>&S@0rLa37}qdxL#P!#XzxgZhgX2Lo+s+aE_evq|=ZbCadn_c~~t^to~o5cNmj zuOzAKpt`sCzWE?tM)P@GFHBCx7Frli&!ZCU+I3ezwp0^(BzYT0hhI7*93bE8Q1Y?O zu1t4d2d-i@Uk=l?-Ckrt&u4L1TZkPt{{6dJHX1SE;_AAsu8a}s@$^F*6ciy&K@FFe4&W|-f5>}MtXd3H zve;OuHDk>fFfBE=%yDh1^%!70D2&_JCm*f>BMcE;pt{VUHbH6lLtE+tN-pej) zQ)4#^3mqnjz|y|Dq^qRR6PoE&TAyA*_{>|Ox5>?p$kG6EJ5=c!KRLk}cm=lJ;oaOwoedcr50vG&UQ}a`rM6&k}brd#WarPDe5%O@gFN3)C zt?Jxt`Bw;hF)GcIH{XTXF9?Lg^^WIVM#<^N+~v<}*EklF2kMXVh!;rWQoj?DlafK7 z4L)@#GBNhdVrtzFp2g5>)p7B${gal^zDue+UTI=&PH?CdxLc_`H+x#8G;!a%HzOs_oqV z$>=^dDhNKbSa#7jVD)rx1qL4vyrwg))<*Z);eMf3dPB3pEbI|YmTMgYwr;$K;s4h` z*Z#xX!Nb;4`jyWzi{Aj1<)~#I(V%bv3y90vXumsndkVr^m96e_^APhcJ>&uV>ig5d zI5MjN)@))n8?98X<688~xcDHlc=L^C+@+MMFTx24cpG1O?Jw~tJB0;n5PW3=z2#;! zCV5n=6w(Q%pHBzz1#L10;$tyT7A>EC*Hk2Ki=*HckWe!$Fj%>@Ed*glc52_ zf1;3A8L>wC^=qhxzj2X_z^aDGJt|o7k}b!`R(0p}_$+@#Yb9&ta@2uRcLym$$1eVw zr@v-brtdW?Bm^e5qcktPETb1A;qg&k==%@t*8q@<=2|4+(wr^fsK({A(+0dVthO9_3G?kA%bdAxQR@xnG3HD_feQ z5B*X4BXF^wDn}SQRyNO?8AezbopwhHVLHB{k6GOR4SMEafoq^GZ6<`HqaCH@If%q% zHQ*}YpN5-A=Ts;s8o-N)W1#Zq-<)Vj$ZtAa(yFubcgrs^&o0zZ$JR!xw1*z}^5qNJ z4!hsP=}Hr?&3+#XMGuRMnm8gBD`U$j0X;^ari(RBbYE3%EgcG6jo&{wx^M)u_~0o` zP3f^rdtMlSX(K=y<+PhFbvQXWf@e$qC~e@Y#R6b!D5{U+K~0(A;SRcS^Vh82SSJOr z^f3M>J^jnYZx5e-i^1GnU;DX3di&|?3>`})FksEei{$dfZq{fbt!%ki=aFdpvxZ}* znA8X|d-hUxbN?;>7~tNFie>xBpf`b1ZtM2oDDXU>`)+fmNc_*s{RKLyYD z-*!{XO$0bGAX@bID^e%F>&naPzFN@wjyZVg=fsA@x&Y{1}88Ou|191@DO&J0{cI zDmMB~F%{hSd8Ca(L%wksp?qTsk~V_tl|$uZf8-BDh*m|&kn)4tukr^JSt*HD3%kku z1kP2Se*&6lq(0tEk-|QO0|7zv=Tjc&!oGrJ%W7^BS3WTnVaFo@ik^&Eg@}F?#0e>w z*NoI5c67e{7;!i5(~tC{lN+<$Z4ca40v(Z+TfH#)=pAD2r+ z|E|0mm6ethZ?gCGO1bTg;^tvno*QJUR)td;Ih>$V$~%ouLzL0nW_y{3+gEfSk%P(z z7_DM_?Z(2tOr#@Ec1CvdFi-`b2hT`d{rEHVpyY9K(y%L9L+a_YfHR#P;gF=wX|-I) zG={Pgd*&+W?a`_B8Fiw{@-AyZed{koNKs$& z@}))^O+Le(jyAg|j=Fedf_$nHO{tFF-z#UO6sL-rtnl(-1Y$?hL9&J}C`bB^J-b`X1p2sF=-Mk}6;L5X4$p zyNRqd9ks$kZTp2md*i%&1&+O}R0F1-q8V;NKYjvvy!vBs?_Py*9%6)*a6ZX-1~*<_ zRh7^zqOg>+u5NazSv#-(792Y3RDy#uBcakWAQd3l(2z`jSNEO$ZI=AgLDz@lC zY8h8S$N~imGy<*)ZXh-w{j|ZItR3ZWO%w){Nr9K#46XHe zeF`+LO|=74M-Cj!`DePXG@Cr7Cnq(djohP7Me=+2(~-Vx2n&5mV`hnU<~)OzI2l*c ze+Jp%uJ$K<4c~ldy6no2x}F2N^{54NKSTB~(b4w3hB1UuB)8^&?T@CTL+|qq=z2z! z@4$FT`J_a3joJfu_1Ad2MGRo9Y>YP~JR%z2(qo>4sZMFY#x}{T|1f!|DcC|yR9XHa zzIFS@T#8u^)!No@TWf4vfqjcENw{4!@@MWhufmKKjr)K4@)(oPu(=aB-m8cC-&$+ zpttDtUNl9jTF zZ6oZfMAXh+ZlPlAal7*;61x+8PKVd-w&OCFq(a>7n8%f0MEQ~RH+wOUWHfE}^JTL+ z5u51sRVZ&JpgHRc`zbHD#uKDnAiKJyq@LCxOe&PaOC3{|6pI@YRZxMZq*4Pb$($kg z>Yc3Sla3j&jr5o>-Oi5<@(>0&Aj#_~rWj!v-K<)oc<+L*y*%tLy~Fa=Wz(35Ma?^k zF=fNMxlf3^15Kpx+LFr&hJF=B18?(dh0XwpANuUI-`L53t&Zvp&dl#0O0c_S3{u%b zOgmS&cl0M?26Mg>7g-oMIAn|+=c7g0_lISp>8^7?4tozi$7Dq?=)hrmjc_mDr-Zt4 zS7WX<5fd*jKR>_K%VFnhqL9x0?prtC&hF?`Ic@_nVXwPg!`=EDaZ|P=A{}s`^7nvX zTn&z<&?tJ-lD;G$*Dod-8wa%WJxp0z;#3#P82;>!)-i3qL?a+ak1dzbcqVEbM|Fe{~E*B6MCqbF22`ho2 z5i(SeNN%p1Y0^g+v!8C4C8sU?02xraSZnb4i=Yoaq5bzZ^l|8UnwPyX#lvQnHhyf5+w+)*Cr<=z+*}&>xQS$(G>vK9 zE;UYmL1!t#62)Exl9@n~0BFH~=_Pbdb~ND?ps2wbk<%#!{LP`D@nYXviACiG)<}J* zVTnMT>8#hQWP=&6%U6|q!bWxe*%q8k8A~JMz#2W8|5-TsEBmIt3b-1y6zLaXl@E*N zSpNF@3g8%Hhy(>3@IA@fZ^~ z$^>#l(3wV%%f6ch-xgC2G7Tjb;7t2NHqTKgtv#Sj@jhH94oj;eK*Gbc6BK-lG#-vu z%pLo9SA+$gO;GLG>k|#c-9|v_k*zg{XliN_=L_Md+QwA>uA+?$9q8T_dxbhG+0>F} zadAFm$K1ol+M{i%xB8>q%baH%*BY~%lZ6FKZA%kT+wdGHxFO&MaosYGeFOvXDo`>i z$$+v~o-Ijud67{?LnKjDmcW>NE!e>}U+YLr&~T6$>H1R9p_6YFj_^tF;Tn-4xsZ5v zWkpNnnfuswk9IAC^K4jM$Gy+TduK3igrNno5xd$C!g00v>8!&y^TlMQP|k9`T%U|W zk~_b27aJ=$z@3^$PP0hk+Ha*k%h5I*L8xtD8!8-vnxReAAH3kO(8508|li#yn zl_kZ&@~l~;ea7NKfzzif{N0?q3shX!0j#7; z$L&&|xVU(cf-k-_2mq-9X*JeakbejX^$qzv*va^ zg>-bA>oJbD zZsC;gM36*2(0557vP%tGTCK+VdUsPAflxXlVrz>8uw0a!q0gQcJT~L=-1sVutmn}D zW%NMCXIGeU01kl88D6=|eB6U97*9TxPT{FK3c=6jepvwgY{l_=-Y%pLiV@F&!4W&` zC4=(L_7JX(@u`7$SIUaSwk#jXpDC7zf~vv z7m5M#?p9%Fx^!48Wk@s*4?+g+2cQzthv_AvyB>CXdkdsqB|cXaYqVS5t>wE59g~89 zT{(O{SC?hZM?`%Ot6-z2^weg^P-Uy>z65BNGVK`^DK+wabq?GSR$yDiAnGx9i8-D; zLbxm&UWNZBDWx<`tzYRsTP#lwxAI6Y27yfaB6ghDZJ08>j5L&_F^jT%3e)$M39YW<=e3;v%&CSX=qvJ=)r2K{URE+BR`YfPq6b| z9y8+@*xgHGa%>A+snK3%^K$osB?>=3<1~sTrIq0yhECO7zTbuvDVEgcMiTTj@>)%2 z`3iSnMgusPwhINw%>#84a88c!ipppId=?d@CDM>_TkcqvTAugvDUEK13Jk{XL6Ps} zC~NB;aGFzTsl~je?F++V&5`FZHc+xIPUHXd%wCF}89->kgaOq^3PXspsIKemyz}+2 zi$qnQwbo{71WqBHQ&4q}Xk2w_95#O{dkn8=!`aYKnHZ%v^J+QzW5U5PkUU&m zUH~1gziAU!{+|204iV`7A+-Yb9D6JDM_q`y%WhJ)f!jTklc1iF?dnar#+JbXKHSd; z{oZjtH*>r_DYW_mKB7%zD9lMzBw@hMFoWIVBHk6)ZxI;h!{YopasL@tnNGuY>h=9ww&PfAGJ*YSx&MsPlewHGE7Olv0|UmBmhF zHy*~&xp&!u&QI==*fd? z`1`jvxms#6gB!JYutu+kc#*dU+y9~JEaRezwm?ralnx;=AkU4(6grkKOC}q(nmT^~V26G5yOp`%Ggx#4hFYyok!R9|T z$S~wKEwj=e`t9`f9c&!`w)l&Od^IsiyOgC3n z2ArMpUwP8sX-%kR(>-o@!`6SGgMj=bkzQ=^nDwN>m_e+NNDfL*?CizkxICa zYsGLji8RjN%uI@c^qgbJehi6#WdEL*iI2>+xz;aHX2w&jPq`ZMH(Oi*3S@^B!&aEg z%G*cfYvtxnWx3YGB+pglcu_*J(+*Knh+M!O(R3sSI^C~Zo5cj^4?lq|nba(Ry@K>c zv174VP2WUIu&=7xGLtT_Pao5W=6BwHk@|w)gKo&EtVpMul*v@m6hU|g)C`Alljbpx z-Ivel+)>Fk5XweRl{fAW#m1|CvW3PbA*l#Cu#M;<4Eq*#3Je7c^H(`*Sut?O=R){~ zo=Y-MGbf%SAX5?qqyGH3fu~&2{n}(u@6S+4$qda;UBDPcGW|0~In7o;wDT9;eW#Z| z;A;R9!(Rp#;PeYrWz2MaW{ok*4{NHR@dnH-3V(ZKxrr;R1HWV6CcC@ZmizgiRzNS? zXJW=OMxt@FR2Qx02sTU0P&0F^Z%mdnuk4pl`rNOUNZB_aFFx1KU$7}nXwvx|;}f@i z|6T;1KsNt7C5ERcm>>Ja-o_@fmOWNizgR51#aRYyCU23?S^P{MdqGEcIWwP|GR5YI zm*Q=E;^d@KTILCT;!+-l(D`WO8!=1IFxn3aoSbui*YljMoIbOddgLz+{rG`y;nj5B z>z2~HBJ=D7GHW~oAeszU606z^HIx>A)vA&OoUSq-rz3vtl&P-HpNL`(u*%a>H5}T< z-mMUkKS%;XSF=ynpr;-zwJ?b}0{0#Btj2=Y*GeQPGc-XL;c{QYj^K7UWNklc=Qkh$ zA8If74&aXNgC)!Em-Dwjv&3*>z{gjB|GvAJb^4kmx}n&PpyBZOe*RA%>ree98X+N} z(cHzl0;+?&i*awC0~qGw1rE=ecF{~$kguQIEl-; z>wMwpoFIS`u2?v&Pu(YWqd*UniRg;94krLM(5yjYuVG+UrBX>^t~ml1bs&xypW8UZ zAP``3-iSi9epF-nk!0yG|6a>#sz0IjX}6=44Mp`$B>h>&FAqwwyU9Ohhi8|UucW2= z2RMNElu*F&(5BXL9`ghuR0sea6A}``%@dV;y4Gj_{}0b=1)&%2n5OpvmVF%oe#xhS#FhhyhFu$xGNNwob6oyDePBIegoxbIy(W{ zbT7^`1K8zhaZ$tC1G+vF1vL_W@CL&7Z*PCd4=sht=qq9gV2mo?pb(`tZ=|cH zrr)_Q!s!gT6;k`CxRV_X2su@_SQ-u_`Nu#lE;sL;$D>+8D)$Nkp9PrFdGsM)4ee&# zU6hlTx6Wbqbk&Dyf4v_M2x1HE5(5dz8sTUtyxc!J~VuW}ld5JY0+ zhBh{d>l%RVmvtTfcHFrb5z(Y!hR6|b>=yX&57{}}q zKX0<3sipOi71^4$<-ze)+dCg0;a++%ZU?qf2}|p6HoY3fR9+0$gn}JH?;oh@iW6NSoWs6>`k$J-&yFY3tr}&NO6es7LM|T+UUdMKDEDgDSlbOIu*)}uR=P^gj z{J;xSgO*vK;8g?rFPFMpW|%>tQ||*jS#!U9zUirsD`Dd+einea1sab6V*#PaZ4GYUvw4wW1_Gn5#7DffFp>{-B=pa!HeRMWva^vlsHjSKUgFkmRA1@9F6&RVZ1_$Gh96KdbkQ-of?HYoBbR!#6GO23)!F z?d>P(tpD&){02wb!D&%-Hu|B@PJ}LMA`5u5&t~*)yT3QW!*LF8bA%9p3_aR((>W+s zXbnQ^E=pbh)bZJ$SCt?LwA}!^`I@j!_U1_m!_D;#DG2ANluo)Jlpg0b8U=@<{V66ik9ZnAwF%4<#~ZG zGC>Tl4buV!URN+T>>yCTC(p%J(9$9@dclKwcjNdwn*OcFkCI|9mJN7`H9}k!lJt6X z5byLZfiKEJXoy*>rbv*} zvS2%1qeNXCLm)Bh?5HyTqQ{hcvbBL4?QEKc|F;NFg$x~~VMWgg{&^k-1_psu&NIs%^`ER-rSyXJ?yM!+v$msVjQr z3b*KC(R0=vV70a&sG}Ww(ChIt*(j_LfVgR&H+pJckffUX@oYGpnyQ9>FA`onLt+p zi7djZgU5%^Fn#*0?+_p6k3XW1%{ET$K=GD`OG~&YJxFwl1}7FPba*~uY1R8=1732n zs-#z;2$!YkUJlYgf#DRL>P1NWC$c)-qPMD_&tyJTN_f?6Je(Ve2{t!0TF<`Rj1ZH~ zYF2=8x~GQGH8wYxdnf@A9f`wABS7S?)OeV`wv#~%6J zVSe~MUkgHKvMUYAWt{%YTqB*O^mj5`&nS<&I#FB}5!F;_K6@St%v(l{u}C>LzOD7y zH#!V@K59yZ%#;v6aGRM?w?@#krHVZ5H01@NCiyDc;z#yb2yGG8tu}4>%4Vb58Z_zC zb5ly(KEi29{^9CdoL9ZWecjdWmbxknK7Jyi?d;nUr^QT~fwlDkBdy43zm@Y{72~pF zUaN!KT$YzY{+bit;?4{bcGx^AcTn;@a3d}c#lmfW{CIeFjD{gUay~%rl^@O$=25y& zzj0uo@l~Ot1X->+H-pjf_j6*{J8F_(>o>-{o#u50zuTnvE-}?fL$-7D zYtb1NQ|#4pu82{i_zC}OV<n$D#W;*LQ*lr zN(VhSuf;P7S79uB8Lw+j^q^nN$3}zaFKi&3_mn<77MrMhz)JE09beSF>`{DJQqh|)ABrQ*K{imE?45(Pd^RKPS`TvqN+6K=eTLajUS!wA+I{R|72dopOD|W^9JM|R`{B6 zblrPo99zdwO9rAWf!(j4q82TZ54HKd+t)c?EBss-9{x4!r_^WH_$s6c$=``G{{!H4 zY2e9{lmZ3P-?g-|^+81>BLyL(1V8UKsR>H@nb8EQ+g{IT?LPAJz18jtOCB0n7*@zH z67x`hZ11krbCp}2)rTmt>(rIBCFnk1&ONuSp6yC}7+7dp+fzbOC3Ymz0hzXQ<0VV< zzJJ}z`h9RqD^FW~tgXA^SHpcHatJk)OYXzwm74)(T`{34$U27WQomnF*`~L^SW{NG z@qiE(P*}x@vTA#)hV{@lBrDaqs&)4DiPRjp~eo<{@=QiI0e+c-9_WL;rMQ zMQ-cvoP^)CUi}UC9#%~e#Hfw&it&`pW(NDSqN;Y9F^(_(L_w)Q+}1!h$vGl-Uu^=){E+AoI+;^BlO1_`1ayFzd|`g9SxWiodYP(35O*%$mlYt!&`8NPWFXz$|e01A^xa(OZko7hKYiSIxUiY& zGHrU73fgkW!YKLE;Cm0MPd*xIt7Le~ri7wHv}MtvqXqXDDbNgCpMZ=VCozAclj~Oyy+Kgw}Hz; z$%1faF^gNXzVp0HJW~)&DHwJXe+o?V7MvkEt5cEuS}GVBDv*Z&mxl$s&PZkjkQCnE zX@?@~q_8Cgpl}rkEeyL&dK(}Pv?>8`id=}9xw`T#1$qOsnIRwm(J*e%W-CppDG03W z>U+-%17HEBpe?q0V3>QUKxF5iu;w&GU;-Fc*Yun#@&b|qzmIJ`jR0>bP1=+nyG!xJ z{IQ7%w3mPS29`w1?SIii)SZ|>g@R&SA;8>tINBURP2~u>agT;+Rj;ulKV2Gdb12+e z0=U!D8jl|6Dt4~xQ`cO>DNBc_L>T6@$-xG|3iwNXTG-2e#XmqJdOND< za|8f?!39y*T;(#6o)zGO43K0$rz&`2BDLF?$f zJfUE25H<^dL33~57w{iw%{Z4h~Gqqc0c8b>|S0Oi%X#gXssMs zC>o=7XuyoW#ew&`J{8CaIvCak*0!IiFxE$1u);8vl#Tu4mO6mCiHq1Dc1pswZ-dd1FbAi}qXKXULv18p1*j{Q-^`mxK%cRGIo<%tt2D_E?u=sX`QY#F!=$)EEhZ?K!QeiDc4z4C>bIPARmB6FPjjx<6g)h%bqG-=lGVslqSa(-J1Dzj%Lq;&b)T(eq6q zxL_PG923=ZZ@6vo-KDs# zB4Y<&6#8?_O07yU*jEVrmvh&E7tw%J(8gnb5wcK|!mdE4DV1{Io)<`xFG%Fci337#oHj~0~q$Q&F_Fsu1THegIox%Bt@|mmcc;5)4aT{ROP51%QX~UIAD_z;b`ohwkpY-|40z~CyMjLM1!V$s&rap3io(8 zTO@^h_Va%L4?=jv=TQXy7ZbH_5B@7#-5U>>G5L-kQ(wR`g?^Z*ad2=i3unkjh|1P>u*``llcE5Qd3ZV1?yN6 z{1y2YTeTVHlj+8S)fHcV4D=)Sl7G6&-68-mfT7F48;lcuc+>qKM;rxEu?XReIL_)M zp!PoXAm{fdp||<8X3ioz;m}y+oh>%etpXqvF$d@@mvf2Oj5uuEUuZ#vxLVr8qwR5J zwPa7Eyb&B1Fd$3EAI$kAFbOB%t37wlUq+s@G4eXRd-+FFz@#&wFod{0j-wO&UZJq< z>$93AlT;_RC(6om=PMw(sgw1q`%xmblUS_1V);+LW#l!Xh&^ zGMB3kEkeAM`9liX0Ee~QcPY-*6Q=0|2>YZg3BWrRiu5XNvxSlasJ%f^798ssT*FhqgP4YY1E@Do-@FqH ztd!b+$gNQofV~EwwBWc}PwGL63x;jMlkuMXWr^01tVIB@tlo5?3!vG=xXjejO1})% zTK7SqDJ6urmhAdZ-%f5Zma-URsB7Mjj9t5IhQ;6vWqqc_3qOQ_y(Wz#P&;+}sG#qx z@Mh&q={>m zqPEt5Zhrp$*W$h2ZM`cAa5+J9Y;I6o-}OG zPV|Q*!_SiBLF_ayosoy~W6(&QYcA-fXZTSiPf~eNu;AJhkf10Ylk!)cxt~HUES?Xs zqzP{o8dqfmE-Wath@Bi1{MM6Pyy9H@WqQpmvU-iiS} zqW{kAKqf>I*;u!^(#9@v_^6x1^V_;0_jI`nPo|Dow?SwqAD;rDR$_1s#Tjt?%RoA! z%Cyt|Y>U%k;7}<$nmfF`e}+<1OLUoJuG#Ez#(lVO&XC1U(FRne_ZpNOlI%z6d$hdV z@oLGsh)qdQMdB6kHlH$3lF;;S@mNWv_)ygK<2=(*$QhE$CKJDMG}TcIx?_*-iHyt% zP{gD3k{8rDkPO$|gcqqEh8DYr0SFmTG$v zCs{wPZ@}jWoTCIN%3$Pg9GK?%Rf7A1XVes>u80O(I}Ujde0JRhh-?)w2(g#Z(v4NZ zyoX6p0oi&hadss{#bvLepyR9;lz{OlsS4~IeSg&z^@=l<5=Ngvg6&-w)5?M^Bvg@% zHjZ&PrwS}mX~b~uYRX>z2C=8SRHUH#{=8)@U_B-I-Nn=)uWXq$A$AJa{V=*aJ8|bL zCOMdSq#%w7b3|`(t7%8QF}*svM1+(|*dQPOlJo|Od-A1XbQ-m{k^_@u&+DEPt+ex;FgPzt5pFpW+YVcdipxcdPych9(=d2yy&MDOSf4Pb6Q&b&CL)5(w-ai zbtf6=H4G$#b1pr= zJgen!=KWgS8|75qK6M$CyK|%abA0Qyd<;@T6-lY6Vr@V8>lsHId(=L~y1sP8% z?tWQ4BGSu|JJ?KmjerXxM+O(*W_KZ#7^~}Hg7=L2aRekCm`*b>5{+np zcc$|Xii#Vomb;ARc)u>gGiCfOiNomG#(?W1 zxjScp9xXpa^QJIcVegYQN2M6uK`g@Xz714sjxF^vT^ zyiJ~Zti)2BYkK;;*MMa>!JcFSLG#A*kQRg@B2kku6YK4!*unfQ*T0=wCF|5Tv?d=` ze~RDreCLwIJPQxV*h`^;=g|jz78Na@1oU2sw&O5EB;RQ}E2NCj)-OZh|}Jes*^D zz4Y5`y(_~8RAbt)FrsL%`2#v&hm-(2l&2KQ#O>ChU>;6jy-$h`>K1-&sIDhpZVZAo z+k_;r_^**5>iqORwNygK-u!quDq}dyN1)=v<)#5%z zPe}>HY00+;GcZ{&rqhI$+wAJ*Jwe|4iF~OT%{4ef>+$Z&KGI> z)3)#lz6k*csy13wI<&WEu~95q{Qy#Ba=z(2v)|P&&p}(7eMwJ2qiq^qPRz zXqN<&&M)2A?y%8$r7n|n=mw@r; z-AS=c`-BfNFvb#=Y>ge*JM2W9G>Z{8WP74;_|8shvAU@JRIHZKJR;Pp0o^^;g`_;s zg!oDxPftwpdDH|eT`~vDhIQf8C$VUQO_MI~)KJHTMj5tEup``Sbq=Em%_U;X`sXc9 zBXx3^jDexy@$KSc82%uPE{w?NJ&CEd@6H>5C-tqnySu=c%37CjA)wJ?s%yc2X^Cwh zkvAkdJfn43HjQqkKA^cto3tmHMm&kx~RVdCr zrV6;Me4@Nt`pv)C-`9s_wF%0({tO3Wsy#bqq8PGGfJCtD>!PUH@>iC&Ss#*%?y#E% z;N_P&EUs@t)T5Z<^ff|s^GXPAllFq0Tc9ecZ8X1RvENKd-p&qIo1kR;nj0CCC=$+h z3&;WD7hYQZYTFkjQFljSkIwt=jRey7d#67nuY8(DVBISv8J(EV$cVE_v2;C!4*N?R z8nXL>tMie;TniXnSO%z-N@MYAr|<9DF%0IjmWhFo!d9Uk@y+vcQ--$uHbwH-DIiW8 zc~S)n3s+GZpvkrxgEYTBD&I6<#SPnvTIl*D%kPFsH*T30m&Y{aZ6cX)4@ShVRe}nq zsZbT)B>n>& z$wy3?8xLrUi1`_sLRS=efSEWO!O~r!Av4_qClaAI-(*7s_f2;i5YzOVeMu6^D81KA zrrHdT`Kt3jB}8R_5*r+i7v!0~vU~yTV4mEgS`eu-pxA`iCI!!+JqrkfT7UuA78r}F z@7_Ch#$*(KzurHg(%YrqGewG=BVn2I0M_m)?jAb3*zCfejZ@j;PON zgE$)#6MMQdgMsWQ6{`rmgi9tnhkA16$KH-1Yrh2NcVY>)i{f3kdW}UKgJ$3A>hq8S zJLO?LraUn0ZQ$@;lTu1pwI^2*I@K zHVJPo;HNRDtEQ^uxgpR`gIoC8q&B!9bH7BcR{-tmoCfG0m9P6@hwgCg) z_#|~k?Y%Pa8G89M^p?#o;)jwCNJM;Ueh&^NuRIq$~DQ5ua3l`pn?dw_Ed4i9c@~PZPY0n7~o? zOGq@z;FH~Wp$&b!I_*%3-gPJwU00$FR~MhRilJKT$m!2XZUr9%?CW z3Vhbio66KBUa3g*;CKlE>MRv5EpLFY51}n9X3JkCvy1Th#L`BAn=Ih%?R^k~MI;oP zXMZG9Or^ae1g%l9)pa_gyIhKAqAReVcM;5khA#^_;%XadTO7nJC?rV~$uq^J)kz_} zL}ou;sFfri$7W(@Kbge-gQIPgy(RAF;Mko1PVTjfYV7*MYnaIG-lw1-Bu_4~c!-v| z3a5G)AURU!pcleAJE_KYi@4~0cB5do6fg2+0*OZDir9V+50~i~-0~}Yn-5kV8*QhQ#HxGY5dsySMzmz~H^;{9=QrAA)^+kp_L z!n5Nd>B~Z^D900{dY=JM$B%k%CJ8>gS7WXRYmLYxa+OT5jq7>Nc78M9r52 z?Y!5hs6Q=#ha@--7|d&GgEDSc`rjQ*9UKEFo$dnqcq6R`nLKQqnD2mhc~k?u3mT9o zrB@gOfK|I7cZF>M3?XGj3fE}0eyP6Fu?ZJTi-1*vfU}6=N5+9HcC$r2Cr}DiWSz(U z&4ue`uVGSr{NCdPDaKsh%*+swjwD^5mDtGE>UBPsaIlGGWKP|S!r{%<{A#k*u)KCz z_gTrL{72J$dngt@gK{HD6$>l#O;(ogiBTT3`DOlE>jOXjts_7hGccG3+Eg^BMsT-e zV*Iv2D7Q)Pkd=X8638vysXwV3-9Ot|V;|0!pV#SXV5*O0I_iQMXvIruvvP8`&8_m6 z{^Q)Wi1;X2l_x)&%Ab)3FR@bZtg}0KW^|2V4M_42Vt~=RLd$Rl=`bU{iPD;!oa61n zEC_L#zDBdhym*`K;P`9C_qC3jL*#&4DUKiI-0RM1sBC;*mH(f|;XF)H2sU2e>9gBl zxh8N+H!uBa6Mk0k;t2Wefa?#Y*3O@=q)xs@t9T zW~(6o39bWmL@w32^!2$FTpR&U-g#Tpj$_+64)yg-?YYJd|xW`Rh-ORY} z;->{Fx){_~5_X52++;mo8;L!vwX_;3D1{XRBKeneE{z&5xV{fSg~=J>E4t*b(gIfF zC_KpcOZa$jek;M0mq{x9-Uj;Sdfy$zpHGm)_;&PqrH{yi=Yyjp6;{YsVQ|J&UxnY` zGqZ6%a^fm*bUYqqrs(WOF1ecR0-O77iyqjw^XTf`>*R z79C5Q-j3&~^sZ$bTSw!7;%}vUS^;Th>cF1_SdIPPo3X5BUe2gE3E#*vTHF-pme&JK zlK>pUbART?pqtOjccXc)frzL3+il$8{r+gn)#qDgBLBkL+N2q^AS5Bj)-m+C0G^^a zKks4teTC@80!R=lY)jxTjcLewqxH&Jb(s?25Xd!762;Z^GrAp*{S~m_?Z8^gF`l;K z?WEGTRZtj67j#{r&Ng{B63%HNkRF$iZ_$W>|FQ`)IvKkNTZoOtV2$r=+`($ta&yBD z7CVJRxefm_KE6g@<`TFr_GPuqz%t)|Z-k_d<0k4J?d+cuj@}MbHMN7?2NOEueyz3N_$u~Y55`2l8kg{HbQ#P zD>+lHlm1ue2={`(X+Oo_@GvEDQJK?7WI!ot2QPN#D}n59zit7#CO~g-M{~hT-KP9nVw?^6o5FN2x) zNxy$brjCb@Im)I;@T9s$h1_zZ$AHC7ke8GCi z4y}AY@kP?`3qMYbp%B9V9#b2S;BF2#yoh%;pj%NWOz_~f$&(_{vgkdn{{q5nZHUUy^ zWiHOTQJKTOh0IKS#)hoqwHI)6Md_NiX<&+q@U#2YO6g4#BpqtwF(-O{B%<+%qj zw+Cr5J%R^}jEv6D0Z774!I}2ehy@A|^{ZsG5c}sgA>1YOSRn?Fj1(cT=Mxt4g_w0H z4wvB~y!|`X^5A|6iO|7i(ahNhVB$NJs@TJv(rFH=wUj$?)_Qgw>0g~MwIfSaV4ZFu zE@8AAI<=b`Yjd%*@Ad4D$GdC=`jKCF?yD!|*yxfW*)~Mv6mej{o|(wvfnIulkd2ut z<+JWuV>OHj7@y<0uz{V z`e>;f!;vLK8-93`q^ooJJM~3=W1=zbT}ltpqt0uXv4F0DNIWP5tkyd@iNAP%;E4t= zM>D%;2ZOc}m(L-I0Y|!qr(b`co}Oy7?Z$KhhT7Y^9w=vh^tUmWn^%*0-Ltv))2*X? z50g6c%^`C-g8N1C6~Q?}>_{RW!H5g8GEk^(v^r$7EZNN7C8#c`cZU3I_0I~ zT4!b7y{W@-?}C>+e;e_3iS=iNi`uyXla=W1KGQQplB%%H;){#FANdp zIvD8gA@9xSBkQssUy2x~%+ml(pHXGFT*&B`XJ<>F4^Ab1N5;99y>MFh*XEhgKoEAM z!v^|%FE}hz!T`Stl(aPrKzz>eSAKwq{xpwP3-Hp7awGiii3mmMeL@i z@Lg{Li`HvcCrN%{X4@qGq2A>5C|JjQi!ob4&>2~oR>kbozvsRo`WF!oka6&#NcL=> zx!F28Hajo3cYef2@75Cex#$0Qj{niXTlJ4Q=alka^{p}%W1iSS`Kv#GjT++)}O+qcZQ3Rh30 z4-I}dEAKDmfCFo}xB-18HS){J%j*@b1O{{!nv&Z8)=S9&X*H6aBJPfCkx_%M_dp^W zi^xtkUn;DZ|;6=~0R8O&uPEdqVxO_{*nCohto9G{$2d!oUi@*uO442Ncn>#S^FQM=+ z0mWCw80b^=Imi|yq%0od%QrD(Gh5^EM)cf&KDHz4``w=~$_HTU=*z0mEU?@1f{3X8 z)!QG2Um-9Ip`lr>DUP>q6MY)e9sX8oj^QXxB_M8dgFf>W$l7EId$t3e@}l==V+X=d zg7UKon;>e{=r#YKtX|J2PmLEO3OQP%5POx-7GGxk)4UX zJ?mtrWj}2)jGYa)7mgpF0Fb0mc7E z&eN0`wIX3)17*vkG;k*sA}85)YdymlH|0i>2bD8aFqlG4D%1bijPK}m-35fBqCL-z zP$`t`7O&PFkKoGl*o!r`(k*{W4;+c#%HvFa9OJiXz!f0S6h!ey^53eFBxlEAAhLaI z=EvlGZZO^8o~9ngj00e>QQhVO@aFY-P;9U@^@0hg#$?(PNEAX zyEXc%@{vrXUon9MJX&pPU)aP*7Sg?gpGpQidOOq zLMDQTl)|Fd`xFv=eg^ANa!e~6((JrSuLMknGer+vI_CS?(6E(%r*)bm|NPMAy4kbOnW1BNjBI5Nd3-#u9~Z%wMCaP`}`0Voaf`? zvz`@jnBl!doX~u;bp7q#X;xEuM%+={(=otD#?aSh`|Q(6=#m}hib*M@m$9SMn>QZH zrP1w9Gov@bxB2lMgB0Zx9U&+$9cHRXLQNy7ig zn8Uzj=RP9^8@);8wE>XE2gt4RPF~lj;Gi)74{O2C#X_=)FJb30?mVpQ?YZ6eBQ&Ch zKw4O7G2m<3k(-;ll?vr7Nu<)ekzpg^9re9C?lIsWHoeRFBL1*88J~__2rW=dd1w5n zSHl73;+l$5kCOd8n$KCH@mGXkB$DV~rg0Bc=NLld^2Yhv@`0*05a;x{6tYW-yy>A6_JJ_=QNSQLcvP`P^a-N@M`S7i# z=Key{Ed3uONtz}3+DkhXE6aCmhhR4-4lWj`5FU$*Axj+-iZxIR@NcHgzL?IzOr|tA z+ISN24;GpVo15Dh*7!D{z9B$Rqot*++^oV*)mHMh;m`OSc2;4Zwi{Qc@p*me7#K z&)@>PK;zzxG7bZ@L*JvNwvb_uleO3B%V*m>Xv##`fq^fixYtOrqR53WBAtAZ>9BZ} z!j6#wqf1GS3uk|JYpl$%+kk2ucq6h+7BM&yk~pNFNw-_UK$!Kcqb3+15bYisE7xq{ zUQm?1LgdKE$OD%x<6!v4u~sRVR9RTO=zld?#D^(?aw@$x?;kqI?V3rTIL%495+yf{U6={mFrL zzXJAx`~eGXg8)c(LsXsm$;;dl02C2&s}o;3P!QGh?w)=lG5pWS$kjxd-wQg{?7Zgv zDM?pYuGg^WWk4g^<$afTyP)!Ku+Wg?_K(Cw!tn1V%VoanEMG)x%JbLj)IQ8WLpT+Q zK#_87;DWlK`Wn_aZ(pw9NK(G8HmCGT zQ$do#0ao~-Vy(F{>zS!gfO!zrs|AYD7l4lVZh`H0DayWUTO(rcU=QfD0PxD*jW(2n zy*;&JmA{}!A>4Ibi_)&E9RwhDS^pP=dJm%{b;||uJyj}3rS#-`m_pt-XR1WEHYGMc~h{6WrW;CM9~>03$ST-j1R zK5(?bPJ8HS_~|mSj6YV#P!<9*MfWyva)Dl!zuyaW2t%jMDb@i3Po{Ugj>G<&L=K~e z>j{=RwTJ-d@81JjBE^agiJzkc@5CSWhN47m=Aw!E`o zWB=>$$%~}{Gn8XT1sV^S!(z7Ibry2}_2K#u6rUYhtk|hRt=s`cJ_n|h-7Nww+h^`3 z0&_T5NZ$LW4-aBz?7Q3B#ahIvv{gB?4a*1u+#_WrL&awbpT0TUQz@!<58-}J3n=Dm z1rZBTAU0|ikQM^M@@l|d?hcnj3Kc)S3c<0WMjZE|Q~A*jmUL$szr8KJJilbx7?*DE ztVkprPIZ}=oFKB_fRwX5F6j}!U|-rJ=}VOv1O?PeNsb}HZ1E1`=*t}9c2XTv3VtFe zjy;%Nk<)q?aJ#j`3DoGFC8aDeMD`A!OUrb4{o(y$R8SNDKl`#F`h^GZC%|!3K=Fu+ zDcHD|Uos_4-J0M{c-if(Qvf~Z@m-KWdF~aLd-)7i@OQ3OeLK9>V{3&mfvy+WfdyO4 z?xL21C>7ECk?2u96)zWrm@b;#NjNdTBYGQmj{p1~BS+7Pt@)u#iya2ygR-^qa?S7k zrK`Teu-_@;Q>08TUF19yTN2{!x^Qp~7lt-3&7n_Za9VGn$vUsK#uMroDa!dmX}W}! ze<={7cL(4$*G=c;JkS`ogZD62g^QVoxSjuiQ}Xcfq`Wn!Res`F)5(8Oz!|~uQQJW* zzT-x9TLocm)ggNN%Rlwo0NV%bI8xzl2~$9@%x%$(*B0&cT^=Yz$KypHUO~dqk8Nkj zYPJWpdi*}EPB!Nm9W(R2vfRpwE?d!yRAFQ>MKfg}L0JM%sKsGEOWWVfjxxhj(NKHM z`YOU7oA_!2_wCmg*n)S}>U_k9p?OnpXt5;Zk?*qb(|(b3cZ}8J>+Ue#$+hBw zX7zI{dwzx>p|vEBCVoWD_+>#KOVlobz>)5N<}gr%6$X_p(4n)(+BHK!so}{FwUf7^ zz(GtC44tAQthAwXxkTnoH}`t{|1u}<^j4t28%|%=Hw0*1AY{HrLSr3e-V z7K}Cg&Jad?RIcDw=~Yk=={*uYivFiyaIY*uTSgEF8)VKOq69mi|9u2aywJ)=lb%=`FT@4g(>6Q!J|8%St$vfF zVn)lscGxMW)e)3ZDYj~!{*Yx}A7LU`=SZTT$}hSiWJqQ3(ybWZlGMe(T-Gn4AN=zU za*o)!Y&X&IvF7xSf!u76QkZ-I1cjgHOYT~PcYVlX+M`lxD`i4=?&rMJCwzNbqO>2n ze>~3T2IEx5R!G_ZQkS}lg**_z9m30Z`TZO3i}#=8)kifR<}`?-3&>iR5gZSINLZ<> zoD1k}%xCMy=O#s*|@?R0D9`1@>jRmG?RB*#;4GMCqkT?~ zI8FH@5)4YJaAX0S2u7r5kWme6K9kOgM!dan6*!2eoqKMF5k>%4ARl#}9M#k1hHM$v zxuT#uH|2k|!TfhQzH#RZ?tE^PcxXJdmHRBQT}L4`2u zi%d05X#{>hc;kxj*Gn8mSlxIacZdSHoH{G=v!(xCN45nwPEksN5;Md@2ahF5q*8+v z$Zc$ARZwj{S+pXi^HM3jR_9U-a0GeTe0B+Psl-lRrHLeTDPH}~$6fprwY()Bxa^;h zs*8!0yBqP`VADpBodB00i)kZ3nxh0ZTgVc@pJ91S<=C#nR5;&0Fc?iUs^`Wk+W6ZP zA$MaWn)oxFB{l#Xk4^d3RnH|-g8<=3q*l)G!)bcsIm^ubZE&~P<~s%2xFcvDi!K`1C;6D?2QE}X!Elogy4$FDB ze@gOy*!rrts+*`?x+JAhq(nO1v`B2EH?`>oLAp~wx?3j3ub1hJaXUnvYI#taq_mO2J~3U!M>0+l@Q2Df-F4qC!~{m5kY~X6fX5iUbQ&` zp-aoij%g*3JMcDl&sBF@G?}6`HxdG#2`_*UC(Hkj+-YD1;2iVyGcZ~OPK9eZt>D|- z=sX4SWO1sj-oMDD{Ldk^K&ZZ^Up&z)YWKsp&k23YJ>|&F{?r?$8Ui(y?8T?Xi4`2u zv2>6&ufX&DpJme8ePY_1*2dkp&sOysHQdQn6D^$19ramGW)0C5LJkwZZ;EFy#I(w; ztkWt^ z=-VQ(`!N6IcW^xR3c(*@>cgZh4>WG+E3mt~m$}@5?{jI(=)~ik*NU(aoxE0RM`%NL&*!O2>Q}^fLQ1Wo6AEAB$3W>~IjQzR#q&Zwsrh#wnGyKH!B)`dj zG>w*Q1)m(H#YRz%X3t?V3NtOm1|Fi;^IU^&u!Q)+Fm$i%ZX-#s2{^RI8ockBpFtT*B!@D>O9=%wGfDTSp8+LW9{jOVA)l)lc5 zxy{Xd%$kkdSL*Oc-;W_e0{lOh3CDR@b&20Mwrh`h!X7;Bo+Xc&B?SvC)^@~3X6XP(G7PS5l658g(3LvZ za@ahDRxme84pX9FX|rmw|B?dw!3!E3NZLP-E*wYmUC~co0FxEj;+jAsIv9fb0by9R z1fOirgd8k}QUGK$uUc6;j%h}mFYuJ9^@=FzaW|!(>6euNjYVNH6}B#d9HtKlYE&Sk zD1b2W{cMgK_9m$u-9s*A#y}fmJU^U_K{Hr`;K3Gv4L(J@LO`2D!$Y_K&up|akdhqH zYmZD*ExyKS_2GxVWQ3Mt*N*-HbCb1W8aP0BMh*Mih4No(^<*X&=q4K**&KfONPG!5 zO8;R*c8zLi;o$WGTpaBdXGXbSLd^pLxi!We3<|JouUagf{6_8_S(jpu#yT^LPc_ zJ~~(?ZKxcgyDf2gU8o#vm})c&4%m;~4RgktQcoE$!^D!gXE80dxwvLA|HaM9o5yFGx0Gm;vnHjZxiwvU z+m4o$EwH!vGV1pl;abk@wK;x|fFe8xv5E=&GnR=wU;g9D6_ql|1H8aGWESVzWTTIG zDPq-{6A3}RmZNMDqsSr9UI0SvRQc)j-5c*`ZZCdBVyWI`+ntIjxSr=yfD9CssBxoc z#^VM7cUUO}YnK+*`C{i>2?%ir$4E+oZSo_Yg>16_ZSL~uFAJ>sW0wM_J+=T4QY}7z z+&*uR&St1&cwNlD3En(KxZQ~|e&~0uwVHW|2 z(8))J7=Jmcnkx$-^Z=fuLPROf5*1Wa!nV(ahjg^(?o@gWQ*#ZU`mw7VfDdDMbid?wAh>qrWo$Ttt@wMf1bP%E8n)8cpmjE!@0ND&Jlg*#T|gVWP;uZ&@R8z)z{>Fh!$Tqza7yhjhv` zq*M6tA@YqJcTN-!xpLjq|<6!(+FF&w1ExvT%C@zFEv+>WJ+jTEek?^qx3LU2?OsNdhqnws5+KxHEk> zx};*JYOzpvSfyJxU~pu1_bd$PL#*IGBWX{|K^*2MwuCF+KI_E~Ck%IT3a6tMJ_}{Z zDHwHOa={z_QC}RJr_f$zpjvYW!*$==GD~l~=va!nygpVGAq)c&l1aN_h5kc8zL38h zX__?3d4K)phXsK~a1Klv0Z`MagJwZA=kjw~Jqg`vswf~JE(RScnVaYXUqZyiy_;~E zQ_9@Gzt!jOy}rLFMX{j(R(ZAK1H2#+vplc>psC5Pfk$&B1WO%>(UnA&?|>3-mB8ht z<$gN|Ph|H*y@M3cnbv2gO<2T>oF+tmu6M3H_NdzSi51>b^l3OB@N5>o5%9SO5`9K9 zc$4pWVU~KI6G8WvjD|TV?D`+ph((iz+E}U>N$kLR#qF4MFQ*MGJE|D_5cq=ICgfqU>z^w-UUQ>h zn*xJlF%Y6filbSlb14dltEaQ$&skM5)7RZzT`kq!+uhyYxL@VCeCZSAa2YMZ<<*(H zqYf7l+XFU?)Mt;Nkxqv*Ed6Wt7*iVVxqZ$+2QMGM3MYJUgBVJ|UnV5+)Y0`OQr5P; ze{qB)X%COaN9i}c-}ShAMbxr?ca^x`Aa^LP+tdbg-L@#0HjLnlwsXIcm)ZN)74IfJ+B@0J8ZMwq6z(`%i+_d`vkc(OfA;L zJ~EN=W*?fM${qn)cH?k7#c8IId;IZ;$pYTKF90jbZzD5{EuyE(h8D6fMSNWM+ zO6Tv8^`TEG*xWKQ3Zno1EQrvumuZBJgzFW%LGx7|=hq$e4~q5k!%SIk-jOeBSIp33 znz8+tmHeljie#SLrobVag1fc=_L4~{)m1k;_uNl4JU(D7v`*9ZltE&oX2vIJMQ7x1 z@kFA-=pqREV zw;1IyhD`m=ty&_{8@J)Pss6V6e8$2e+YF#+3qNlcoCYm4H}ZHRk`p4nO}l9Gm^(`a zNG`9GRph%-3YG6@N^<>dk!yW1_+z_!>D$t2m{7{uZV-A@|EH-R+kC8nro7l0gZYI< zJysaj_HM}ovYg~j?ItWsBA#Ta5C1e4Va!^qnWoopf^Tg`*xhu4 z!*r%l`O4N8xAa=G>raK5tkhPpR8+Owh``%Ae<2t97dXnMW^fcAUpNm&A=*k}*q~Z^ z<9ce$I6lfsqT}N8+Nda~hzUuzgDwtwev={JNGO_SGk+^5TDFUBtYIDx#6Y0# z{-rcw%(OQ?dTrmYqJ+Q`Bo2X>AL`shBw*N|t87aDp090eY+zza#${^V35U_z-1C}C zZw|Y%nkO|j>e5J+V=;#Q9Y&5jyuaIM88Sr>!keJSAgD9%>*B7dmfT~6hC;x6HX%1} zhJGX83!a)UgYYI(nv!ko@V`Fe0PN(Tvm}z z`ON5f`-b=BX1`f5je{lylEpmLk-uRvUF(q4RkhW)_?Y~+!aPRV%oThTaqCqFQZpP% z9E-xdmGJgR5={!<&!m6f2eQ75EI(E8zevbTvSOHP+&cYLY8#pJ?U7VCNxzG^A2XUX<9-~E)1b18QkC`gj>p^b)}yAY zV>~>vV9C*wh-b(Q0|gDgbwq=G?`|7IBg38MY;Oa-xoI)YX-do0KY!UX-18`Dax$5V z$MXGKV?Fkothin?j8wOmTB4gh7zAv zbGG6)GLOGsH28!Arc;K&hpf3(swy<>yMnukx$^o8T}PJw;9yeOO%X?D_Gdg1wEW4> zMEqZ~mnmwm2pDn6BRZOHUSTX*7xuSK5s3+by4CY<6si$Jp9E3rm`*)b_A!GMF;UPJ z{Ht@H@?#F;6Ch(7MmgL&32ej+?pJ(Q#{}&apkdOvx2aCjl^MHm zo_^6i)!y|=ttBLK^K$1+N^C0zdJ$UKS|tn(RlsmtLaQ}{xese~rfa1%`@_buTEzCe z^>I8VWh)1NDgHIJV&Cu6jPUR~mZo@6w9395hU<@xzH zC0zOJhynuvYVa6ta5V!FszG-RmjOpKR z!>f^t(T0O1+ygIT^H>cG%j(~qxwdB!c=rh2pTuE?C_IyDpT2`W7If9BWvct8nWnH_ zzxDO?x5Ve$rpkBKNheeNR7TqA=?;q}%}>ZPaaS;|$Yx_d1j{&)Zo5c`D|QiTbxG-} z33lR9HCJv2Kv00%-ytx3qi}YC4J~TE@UaU>uLiOu#==cijp%Kr^t-9dz^ zzdcq;n_ZhYG^a?{+NUjkN2rDMf704~nQXf8mwgj+lem6QU6nZSNC=qZz5-iAx^;Ed zyJzZBE(o3WCnlsSvRMooDnbYaN4Mk43X}m5iLtp^nFDlwwScdd(J7Bf<5L^++VQoa z_?2vHEi#>pt|-N8_B|Mz-$;ai1XP}QG;&dsv>FN$`X02yqWDM@VG1M5p=q~dyPJ^e zw0i5-%hXTx>yMGdUPAMt|9ZgPv2dOy{Ds64lCko;p<=1Q zRL95Nxp*gulRp|NI)`!hrBc4ql6XGbsfyg(q~LGl;!$F@Z~oOVB1$E6dH#6BY)+@f zMP=jT$cyDP?Mj~QC!e%e+ICu}JPgzmmEx@CNgGw$mE}tdTWM$R5TF;QLU3Yf*Y~dy zAlC=l=&6HW*A53_)mhn#(y_1fPWXtPoK(h6c6nBMa>^<3)FJ&v=zYFS z0zC5n#@vfs=7)H@mKyWmhY@j8b7(N?>mw2JM9}R+zj6f3VLkFxoBTB``MqtdB9_;D zjCx>eX;CtE}B0U|=u zhxJ|IfB<;5i?~(L@QN|20=t}cMRW?~$6xAX*pZ;`swjn-pE7ph6NKEodiC$djNTRH zwJLE|0*6nc%+gu7+>m7Fwkl1gd*2v_uo1eHWa+zv&}xewU-PKJiC{713wqZw6GAg# zQk>FJE}rG=8*#qzo{QR4%UX#Xk1EVhwJSW@4~nq3CjvinzmgSNAoaJ&`#bXlA9;kg z1wFxbDs(@~_**g-rt44ZrDPEi#lGLaWd`{*)rHB~m~Q+oZZ0QcCLYgoc&v}5Ten@- zZ>4_5h!oUdcSS%kgWn)3DDixw0Kb@Z5WoIIW6T%;qf$|cX-s?;EmyMNMSj2S#jK<7 z#-6$Gy^-I}iNnoplt?XGg}PD*{*EfbLVa%sa32& zKa=ya9gxtq4z{?zE*^cda}~&_Zmeoe2)GW@!L~nNOxun6nq%Z-v$iLxt}vsbYM}C+ z^aYI@rW^0y*?In^ke}v$dCn4T5{l+q{pOwHM68&;Bv1TFUgWRo3*{5MFxj`k znZTSORqOnj5|spt5Il2ge;z%iS(*UnARG;~=k1>p99q251gN3N?(3CSHjew2!`KEK zvik>&zP<_~ju_m?35++vJ{zB*XWhIJcI^`fyZC2~!&OOn&t5Bqt%R(x0wq=_s_W6J1 zAP_xIoO^`ND7oG?A}wHT%XxrkW~Nc9{kkwLz!d=~H&X~U0P5!GqGK3F3NlJOWX+Y# z=Ap88O&%loqNY{Bi>c-1o2dT=56)vk;~Eetjo=Bb`qZ?_ICT7Z=|-*UPn0?e{Y7}V zEQU8q7{-_n%+e;SgdzbR3P@$cTuO(C^- zyf=0M!HdQtcR#D~2&G+nXC2HJdZtEb2p2+9A6NXwAboG9OX$FGI&`P(bWi&$i>b|L zYNL-dy_NXQuS4R&Q{G{;?iMfa0qnrvM12^NwKun~GZqeLC@GXjPHq8pk3o!e6wR0+ zP09ed3{({zS#Tf^!*(yn5?>W3`0U4QSf+YUYRuOK6sDnH_x>_%|ps$|_7aYR)R+?aZ=#vIByb~Yc4Bw}l zI(H(Jv2ZAv`U>e?hbm$M6dbnY=uhQ+6P84G?=ZWXv)8Dvh!(AuAQj{(1Z+-|9Y)T z|8E{1Z@yZhD9@m%1ze{W%>t7icaqhHBBa6vL4lx9G8Z>PoWg(c_3J`O0*Hirm;%b} zh>M1|_cL0)YO1@FqFXfF56?5doqRP-v{mr7T^~J8WcPT*m0bLYaLcf)FiXtZc5e;j ztxGHwArWO3hlVZu9)Hm*5^bjuENp8u#+m+)4rbv0|sYSqI2 z&TRQ_AK}p=jSzN!n10!5UjqeSk=CNLNqaHn44!ubyUk3L7O7~bWqse8t5tI3j`$_P z)N+SiedfU8wdbp;T`|rH>ZU}ysW0!hXgk78fPbUTcG;S#rn(>zM(^jbj)0XFs`q}R z#{T75y+d7W7g~TQ{&`k~x`02QL62XUj21kFd?pxZW*I$gL&0}_y_kt(HPPAaK-HgAZteZf zwc7euRR=|aCN%U7x$|w9W-DppyXSgkJZnKx*B^y!C>GTByXr}gk8_qW;|~~g7>g~b z6W0tr9QOFk(!HLa$eWuM7NS>eyy%)Je=diMHLmL|`V(tNu=p1rLsSUqs_rquCOC?0 z9R>l;n^~KuR|v!(Lh?P&7yKzIC6DgXu_;3oKx%{sF#YVZEQLP>HAoEl_+7G;{bnMb z+1$T9OyFo6$U88m7%hI}J<@a;b($d~8a*ApIrXH!>Y(~?(TZ*`{r->aTiH@j`X%D; z8FwZPefMTe9KRv7gWqTJ6aR|Ga@P3^*3^yTONsGn%~o8Na@vmV`k6DRO8tx2k*KG= z{2$7d=RB4N_NlhxPYzX>xG4n7bk1SdXM1;hq2^u2FDD-3zQ5Ekm|k^h9ykQWg`d%} zgdaKi$@gcr=4;fw&HF0u6IqW0LFC{Kxrc3BlzqAv83jW)W@Ws|KNjSNLMIzZD%}GvJM;*N6|dlYjnwIoIPi9IKGs+@=9o;G%^1& z6=xI<+qBD{DrGsHoO(I`WzulDN-<<$S#bBj?^u9TjFu(L->dU24VjyXmYOPIE$&Y2 zmEX7xc)*=xVV#r*_L)Ya+H)|zo{1t|yt1eAWSi~RJBtOK^Q|v|3t>X`dfaa9%>Y6N z5)T!6&$9f?@RiyxC`ugAzqcr}z))t=LVSaiD(9EzVsop`2GT`Ni1r1; zag>I?irFZaen7iqMK5a)UJ9uysf{esDRGU^wk?@>0;dyvF)nBsid^$bzhvgbiE^Hw z;x-dxZIttD;Jxx_gfjh}a1!I+Rkb2 zrqpPjw$+-MDXvOoDEvXn z1&WA=asnp!gz3`#y}ukBtw8-ue+LDsrpjhM*TXTwU3@YMp9=_F6}duZ*Z^A6G)Skl{kpC5y<0ehb2>(f#2rn!b4&EQ&0JQ}=ei-j6EX?~gu03jl5 zmk1@;S*cIs{09pK9S2SfTsE;Yo>vFLN)+{@V{T-oCzuxY>clPi9yWPu0~PcPJ0hZP z?_Sxi$=ON7GfYD)>ra9X&Dk3mIOJvYOPP`e$XTAw&dN|M3p(EoOcAwG0d^L!`4Im@ z$(Yj%3z+D?O$UIFzWaKMkWPdakU2(2v$CtUGjUlgZ=A+>kLT3c6bqH=xILxe7WCSd zFdokNKHE3Hsab{ZMisnt9%aB;V|E?sj~(&U(9@yOs{n+eR#fzpuyA8fts3q*vZ=o!0I`RyJyCm}i|rvjE{XlBeNtj%3M#bqyKyY6v@8 z?HxVS&d+)CH;8Vmq!ZOdmqjlsE5nhI^Ax_kwC*3n8ZSo15^~-v2ssmh*s7*4L}_b| z)~!}HsuS;uyS}@TgN`@8#wqFF!wgua>QMgHa(`_yRi8GK-HXUlW9!%y>8U-to3>zl)umFSK?w8ZV2}BrNGO@&vl#c z&SxfQzk`>Z4BrlJ=vwkww~U%TqU|@m9oXFs!JBkBDJ^a|yv<6LHA{W{er7T7lzy2{ zETu_g6*YgV{6}N<`W1YhLHumbD2!avHj+0^F=QnHK`v2Ic2v8 z9X&eOx;{5wX!gCDD3IjVQRjJY$04K_R<#%Ad^Z-M5v2%>mSC!brh1I3HABSIgfVXK zo{9_LWe7e~UlifJu^OGdKVI9Aibo#i##JylH41%!w8@!M`xV^ten=!AWADn1F$z0@ z>E|YV&S!hcA~V!ma`R5KB=Q_BKf;??{mk*{+SoO8vg-xNa>`oW`JyWGr&PE&E{c+nLGyagq zBAJ&n_GHhBCvxo;91e6$a=*R6!8+1ri3y2cEWFqc2>EF4O|977B*OCQCyh+c$qzqh z3+l|-1YV_WgL@xob=k$v?PGK;F;wOB{CxQqf$x*rTxE)#=k9BKA9;yq@}V0{MIy`> zBoyd8Dr#>i&s%3F?gSp4zZuE2G_U+JnH-k=$DXi?ch(!6T4go^Q2adN*>KK0+v3QR ziH0>Z^D?9X3JDQyYrQMpN`XK>3dv7m)a7m6F@NwG;|nc=Z4nqBn}4We6St*xgUk&k z^GZ>^kzH+C8EQJ+n!!zb6=|o{U-iTe$3W`CBP-95Z?pDEr}fcJm@MS(rp`Y@&(8+Tho7!n9Q{jh)ebw^Yso+?6jXt|00C(d4_0y9i<;XOzVnE? z{VKxznH!h$@m=eqtN8A8>A%x@y65j#)&dwJwJP6zc_VSr`=#9ZwfQ*@VcraTsm}bg z{r=%wUb~_!7519JeZp7YUA{OPEwO0-NqS@%(UHZ&ZZPm`a4;YP$Hd4E-?bc*1k<*O zw5HpnnCH_0+wUygRk7q~*0tUq%IYPmT6FJFP7WIfB6L)_k&B3)HFWc4_Uq7eGxGV-J_iPMV7mu(O?~SV> zJKWyM%-x>%&5%TJiV%1$1%w(P)T48H#DCS2w-WfX-Q5?tfp6egN6G_mQ6rrnTB3q1 zFZ@$qNw>vKI)5^vM+|v1&Mm?*VHQ*EeVF|G(_}TH+)G48xjDfwM;DwHju69Qzw_}A zmPwn*v|;0X?I@}0nqSJT{b2gt^}_D{;Vt|#{Vqp)qo7oRiANTLo%H6@DU^9pr}-su zLQgeb7+IoRs7tLPR|>9+gt6*uQ&c^j7H7k@W9BatUEjmO-+eq!8oze3>dy!!VX}2E zw;6>NX0_y|!f4#$0A##t&7p6C`DPvqKvLCTiD)0URx zL-@ejp%2Sq_SXuQknVz~cJ{S@c-m8K|I66)&Ee8;5-XXCm}0QfiKHqlJZm{A{u8a^ z$ERb~P7Hi*F~Q#gAt(b&qV`Si*W0LV%@1f3rh=&>#iM*B&_Tne& z5rqn@E-6wep-1il=E{%kphdvSGb=@GjW;0;kF$ED=c-pGhBg;Pa*T8qRtzX^q41= z+TiHgD3JZaDrJX5wJ@(inW7Q*4>4*3RXqcpNac@PL6oO;(qp5zz8GLAjywH!Tw^@=YClh7&2vFuhg2? zkua_JrJ*vs_tY9URLR8%b5n(_YDZVqI!|t*W3#u|1)le@_UA0UK$@C$wQ5I*wI<5U zU3s^XV*AHsB&bqmT#lwwdzMdgvM&rPV|3uFPl9Hr#V*UF{wGeigR96Zw3j_GFCNWU z8eNAs7b%(&x_&}5EuvU9edOd`Htb6=l}Q=2#N+!&=={K*H;78UX^=_X8EML&e&{>7 zexRE8;j051e#)Ti)HYU1U*A$;tq~KbS{hx!%fy{70lFkBUDZ-b1R4myaiGlC1ABu8 zjxuB?59X;3Fl})LG9I%PPY{#64gzH&P`ozD?0^KCkZXP-4X8LKANbs8u8Bw`;3OMp ziE=lz^2hAm3o&yP19Yy!4v$wHryqKosvO*se__f=c5M-!{bv6$U1>FLyigDu_IMsi zL)o5j@Md{Q(#5XYj`rXypJ27peD%c-8!r`` zx_eXiin8(;SbY)jhreB}v5?AJV9;+S!(v^(7zs(X7(l96XO z)3~1k%4=wkL^-<>a41}@$J@48pM0ryJOt&jw{NX7S8~jrO3$aErC6oJ=%2Q^(>R^G zNnx>JaR=pFhrThsUa$N6{qWIxGEXA&2pWJ7p965AweSrOR11u(wiWRF-MRc2H7KkU zUA6oYpl{Cy0HUAA0)u@U|DkGYJ(-qVY5Zp>iho9 z_k}pFIJ5MI57p;d?~SvVcx(e}zMCy@=!FKZtQr^d->32w;*nq`{3(TtGywols|8T= z(St(}iqXM~Eiyw!7$8YRFw)gHl(WF0F@9#5jONb|ir#qv*RS}jD6;4zX{lcdSxqHy zpedv{15|CnLL%;8+(3=R`tqBVnlcYe#N*5vfMx#mA6jV++Y-->M{ucpuRr@x31BP; zI$jZ>h_gyN^sHJJriuz7fFnLY_n>J1-0VuCx*U$Ofc@})ndpEe$}qlaL?M@n5>LmQ zq|XzDg$=K~TMo?LnJMb=idbACX{p!GPmw^JQ@U!#0vGVmTf|oQy|yowV!MBHkfX&| zfXd{N`zw9U$t?S?#d@}I>rqpS+W|_>Y_#jy)pebe(S}@gdFzy}-$=4CjV#1j(fu(W z>9D@n)3*os7K67wNWfYkDEy|dkt}Qu>6KRk3?d>_CuM{CIw{q}cp?jjY6K?i)QHSqx9Vl|8xBQ6 ztq&1S_-tuu*qw6aE}OjC_eI=q8lVhK?Z&yPc|PdfwXF z+SSpqnEsOlOy@Yi*q2q8W*^=pn4k_pC|9{Jtd(|o%HC;3>vJx%tBnL|J{&81NLNx}4!m#d7n~gat1)NlvcMTK!@d zqd`t9CfV+;%_Zbn)))5`Zs;Pe@up9^2d~qe>}I~*`%-2(WdHam7J+b&*hx&QQ|22NB)N>H zR#=N*oXwRv`4gqLNd=XV6b11PK~B$HzOut`wx3?Y!faqCX`a(8b*At4Zhz|Wyr;;5 zb6yRh%H*pX?~U_UgYO$rpCt&{Z2P8^&tiHG$|BE>>bLEvb6_$kVvgWzHw}%_kUt6` zqqSBJE+v-AhsYNwP^siV4i&o&YYsKq!<$|4`OAfn%v3@_`!AbBy4lE5-g)tIvzP z&K(*hfcl!)!;3x+`}(a&^XD6O5(icU+0$OT$$zAAmFrR|sSTqstRB4ud=Qc*hIO$i z{=?C*AAu=hPOImfI7ZcR_Kyep55B+QRzLMFu)wf~j}t6<7fh72I_Br$#sy#J_8E|U z&QJBXz;J%0gVkn1iTJ!7f)W>uD5$wd^zYlxAaQ851qnUyfwr(B;tBD-iCaJp5ra5_ zKaYgBgE3A37!CFUhc`)b{aRq1GKvCs1Ms6b<4`Y!rS{ul5>Ky(Nx462yw2qm?S_Hx zjx+(*f>BF+JBSYRw-qKQF>F6jDcn8uGx-wiThrnMFD+w4pHp)3Kq1mjSF9i+X)WWeA6PR2;+wKxKflKK?? zH3b+YBhFNur?s-T9R*TgtUWvKULvijYqP4w7q+!LLtI1LSq?QozVOTUr&%uIx!eZr zQJlK25rw}!JBl$zPFyCqo?DSSOZ1(tzvEUVhQJoE5IN?1GdzfVRuwR9)n@&;x6Y$6 z?5ye(M3N3BLy~R3Rrvylp$zNb7NUUniAAPzxfi}3oKP~Xd3K$9b|K2$pU|eWSjVI( z^*|q$#8!3w9nu2({i1jOy{!4AB~~wT@5J42Sx^0dgmFqi||0g z;{p42e~dX9h8G?J1wTG{%R((GWB%NwnlMJZTFi@{uJ2g@+%nYUvTqmWh~m0B7n&Ns z+FBm97ZZ?o7@w9dhy7Zu?@li%{}Q8Xb$Ldc`Xe*-=-9R;C$nD?EQdlD{v0A#2X-wJ zq4Q+}U_1dT_N5OdW>Je4RoH{fQcQl5_B4BBs@IV+6YqQN`bi!e2eyn5J=7Gu%ud1d z{?RKwRNF8>1Jwy*W0yrPZcS-)4ac>W&;$ofpOXXo#YF)89&cXOGTF+$0>)#ppk)tl zv-*1vK>=(!%e@Qt!#B)l1Z@_+3fN#A))1G{3}okv8H4-T8$nS%RIWf56tEf(|Le&M zoTzllcng@txFlpPAQU`QkyIk;e_75hD!n$ktqaJ&LeIvnUcKXY{p*AraCKi5_l`m1Vc zI4(?ZjFeqh)4-s4EuqRz*ty)-1!ez>KUo$XU~elEvBiQp{;aMZ|C02G)H_zi#y1l1 z-ziZjQH&E{VU)0$nqRVuVH;ILbj?0|H~}mTJU%yDg!%go`&Ouce@OFP2fi#FEH0SL z*iS>(0lZUxb8!-tjHmee^yJF?Cq^p*C0`XbpnsayuxJtVKbTfQ>%e~}2UYIS>?-#< z)7VJ&xeHonXuj!83VC+(4zW#!JAnXDvC-0Vb*TKPUG|GzxVTKpPr>78w7;9Jb28QH z)C|VQ9&&*9A@T*`lZ?Qaa2^)#o!oghAos~!dmzaMfbgy_jFxdorUWmQ$#us}T%Yy^as ztE){j@b=z^b?f6g3hcsG&cLq)biuyuG|7T;{=>f3y{lEk8u$toxio?0tmy4ahMe+m z1h4xm3~Ep17VZYJn>{b%|Cp*|#@iJkM%g5WOwVXA8e4HJCVE zUkC5GS4CJ&Bvav{1wcb0Aq&Rga^`d2?g<>O73YIATDh9Tm=@V0uCW2N}z}T}= zBK!?arEo_umo`^3As~2ZX?@3IL|AhV5Wqca*%gLb)Ed-VJ}9PivCfk2ctXi_Ze}Jc z`jS%k+B-shJKNEe6s9qz+$e<(Q*oLIbl6Ow`HfkfQXV13ZkxsD6c7{ zm^+Rfxu;a$L_{dEu0sS@FehVQd0~z8?~=IJDlslD(beM>slhTpg+;eDcKvu9Blh<&s?ndcLEwk~(;VRDx0#kOlZRIAz{Ow$6UO zu-Ega;n9ZW)YFPT-%QB9z2epJOF3rQwFBgQ)WMvzFB4Pzf0}s?M#C^m=$!*Apz=`j z%V7L>R=#{TJb};hX@*`n80|pSr>f2qg6lELQkymimR-Ww@u7chkE0J>%f40N{uYc_ z{|p~03YUVT7kN!<@CIrAWdVem&r|D+DgEr%<8))gv*=UE#IZl?2#3;yVb8|pMXn~N z_>+ml8O$IqfFsJ<1bFGTBoaM-Oa^)AxxJO7$uC-9u8u!I}CIqC-CIImXD$48>>5Dwu^a3Uy$wj zb8hWNqR2UESHwVM{b`0#f|>tGN3757b$ z;Q_|fGC6@o_`)`J6f~vMh6$Lgd>;mi>^w^Zafy>ex5X-FnuKj%c*0#6S11t1m$@U`Is&O$W%f4rgg@MJ;zX|N~<(_t)2 zfT-(M<$>H&7K)7s;-3ElDOO(ONYf(lZ|b@a;Lg6e^6djS`H%ArAJFP8=9$3l`6d1d zVF{3V9t8=%&i(iAH3cpdh)XRK2pXet0tjH{3`^L40PyN_iK71(O^h+fzB)A%Nt(Pe z_XRqagp99GsL&?BMN&Cy2PVWR$^fPe20_;L6amBvG441?TYGqU0ViO^JV?$T1#DrO z4nc^5F@VvH3`yZS8Cg>tFj4+VK;tvrColgezk@hqjQ^yA9!e%|;YN!|??#1~K*V7$q?6n<;p(jxZ9Dff# z(ohHELwx}=-NRb9z@|LW0M*x7-UB}w;1%pff9U9elWsPS3~5%?>_3TjJfKy7`{|W` zOaGu|EKv^(cKBzz-c0`^Euz2)(8w{)NtD0JYYAYo0CRh|03gvMe?Q0J(j1q>iL*FA!Xqzn{eA)I|Gnv54nJ`M;18CWw@K_-lg!2x3h3hs1y%Kx4^v4u#9jvK1 zYb?-(3U=Ak{{;1*DG$o?0FV4q9H&XknU;7IlVXSg*%_O`L$VbLMD!q#!|eF&;qmAUOm0ysmPUp z^2VdDBS=fKuKVVLfe}yG1`!6v{;w1tnmWKabqXQscvD0w-0y$G!)VGo!dL)2`PZ9m zF9IcSE)VdN8mt?inzCX=?hL*{D9tQK5HM+n<&HUx5^tpmmqQw^uB8Jd;EaH?k^RpK zN1Eo^K>x%bKX^!<9$-IB@MLk(#NEXe`u(kY?2O20 zVMrNSCcA7|!&tIpmnGS$kY#A>BtqGfktO@S4~n!P%Fd+h`<|%Yd-VOjzu)yNMjxh8 zf}wokl__+9Dl{cO2Y893_X;%6A!`B;vvDD8CX_xTGK1NgveW+K*rfGypi(p!O2>lf zxor0}v%F}{TEhpUi^-+`W3Q9)9Xg4zK7(%1TUrj7N5CuNN%V-K@}pt|t1ml(nT|Ea zg1~eCmj%*9p6Dn^zSendi$IyXz$wgH_kQrhL%%9kW8=jqeoTic=08FkKNVpbFFF<^8GcnS=RfRt4ApHy#1m-yHDD+_Pz(1Od%T5nz@5k*iTK0fUUaCr|v5m+X!{9N&RK6WV zrg7ql5*o}vyq(`Tb@XS$zpBYE^Do46a8qRVSydbWr>N1j5 zt7`|1M9g(LTloOiCj}K!KzXENs{Yd03#sfFd1e(TJ{J2}=;k&`Rzu#S2|GBj?Dy+=~F}fj|mt(EwKSniL8nRW;_WQ%f%(59?)M1fh zmgaSiObo`r0E4l&Q&W4xT{b)_uG7k^5L8*x%s)4Snry!B{n zrShlqr+<2irZs0}5txLr())mkE#S3UH-9&|9B&`mobNLS2{ZmdgI{#g>t0{y`sCK^ zseDfD^~|@d<_TAevXzqf_I|#O-hvWwe;)&5<6B2h?D(|>y46lnakX6xvSk|asb)G% zx*puJ^i-ZK&Pet0+QKf!hX57%)K(Lw+l|$6+`=g5_Z1;y_1~wW5NaWjh#v_u$Sf<( z7&=vV=U%1|0--SGW zA75W1L&N74m0of|s;778B5E(S>QSqE|5-E;P0esSh-Pz<_8G5NQehC7lV6{0Y#cUx zu$25g{aeQj*_R91`>oq+inIN0{WE&WvfW4dfnk}l_$%xDoUJY!~^g}S_W7IR4I8dG|Lw5(8T4n zwXBJW=KHFu?!UHPxwjrzW%n&_`zsuIOg}!SbzV1PXle@4^x=}f_+@V|Z8|31QjQBZ zK=*afVF|JuN9X&a-+j2mG$|?R*}Ie8F-$C~CdDZe6B+1f zp>tQRT=tF*bbDFWJ>sEV>+)IC;pQczX?)B2M8kH>rPg-M9OX8)fNXJRFEB%4%Zf6U zkqwt~ulf>->U9xBwoM$atao&`Zztuk&H!zDvM1Yos*6+!H}-j5vKwTAR>IRjJ42g` zRmfEMyV;E!8(_lKSITjTCO1ypV!PGN;Y3cd#Hy;Qrlux`zH`^*c~@TuryYb8u^X5f z*MbRzvLma0ouFGSWw3AOkoam(;u&gc>dNu%?7$ff?c_xT2sOn_e4QxuA#3|-M6u36 zrQ`bx;hFbMHQuItFz@fa$Uf!XxIN!?v(cw8TGFBS#fEHT+s%TevGMUIWr2JvF?$ou zr*_Bs`y(q{{*1_6s~$T{5lNq&nzi*v%HA9*oaSKrV4}o+$x?-mkoN#-3$cylR+DW@ zM%vl`ZgQ+dM!QA>U$y#51Dk|tXY8af#HFF1iaEWeXin(^E#+w$s=;&_k4B3VQ72Ah zQPtI3oZu~ZNB?MYJiunO(xKAZcrLm61WSiE^eWqjbYUw({X5NfFz7?|iKv`GjrxhK zvv+MDzsq!z&hR*W>1N{vh2W)~MTND66*{x}2~m_cw*FM9g4!4Hg29Jstkdm{{j_oe z7dY1U4;Xl)Gg4FAe3y+M&9pAc+DhN=mcfaOOX4{8$-Wt1VJsgnT?Rd&d!Nxu?JG`0 z!A#EOlN^_9FYxzdZ+UsMUT0jrDMB;sRB<=;2A$#+<6`I&>&CA!2tj|XD1@Lb#8@@Q zDG`zWya&HP*}ovdb4s5iya51)M%uXPqkio&=<3}ms$>!RioA@xgoh!u6Zx_tXN1D0 z3C^89G}6(*b!}3};ht<1Ut9Dl93Q1m_ND#|#q$P>$c(heH!6&xyL&}NzYh2FG9c0J zd<>kGESXe3ym6zSFZk&wQzbZxUp$JIUqCt+=zntF=XPOwg?531BeN;Q%D;yt+xJ|4M{?SQ|jsfG@V)kNvY;0;reYk`sA}8-x z4^m9)!YqlC8!y7pPD+z56DaL&iP^_hfWqMJTl9d(mFrWNy|ozTZ|xt7y&4e(y~MQ1|z}Ly8pZ{rX%dbRnZqC=3L- zrb!J>?y(NW%_DpFLhx3x?~!#AXbg)uEza{Dzl=#Vh-z|OZ4ZjWa5{D@1^-fDZ@ zH*Ta2DB&o=jdDSoX}4#1szIiVt2pLeC1z$DwKu^7udFhywI{RngkMAR!ycc!TQ%sA zcAmd`g)+)dwd}S3;VatPdQX%=93LD!uM%8*bYyWbF)|YJdrwchd;@7Sf6=U_BePz8 zo#!-rUWx4l&P99c9xQ_sl;D7A=2PP>2KYOZmE0F0ju_Ta*3smTUH4z9qCCYs4aEB^ z-msqI=~3DR<(bj#}GHE$WhOwgF~V=u5xiN7bRfE0P{X+L3X6LrFea+dp;v zljAGu)%pYt9csnysKl>=rfkr+J=YSjtzS3H+?Pz49Bv(q`EHrA6{rOsObiXh?h5P0 zmq7}%bmHiPUHCzCUJz*^0NU8rLYmp9i7kl4v4dl=uGwQcAL}dv8{+fVTEtn;yZ~MB z;*dd$d~P;;Bc;6-T@)Ta=x?snivA2)IvvgU?fMPDTy#dqPopN|`}76s7cHA1fd?*U zoD^3%E?Fufmk!t4tc)3u!euJoIVF_+^)B0&$>@KktMKE69C0}*c?HX$x`;88!#9gl|ia$)B1l;Pqi+e?L;6yVa*1-{?l{@JtRFuJpQQHWr>F5L^Hw^Qa9heN{L> z-zikee06;5O!&~~=;+{h%yqV57oPG~xr^hQECSe+^4R4Ngdp##7M)VXkVM}lo-NSz zvfo@&QX)`A5*S>WV7RVSR}UMBR}*QZZ6WXloTfIHkL8)uUEQ#S82Mir!-SBt<&}?o zzHbmrEZzLj4O3MGZu?o%p$P$vvtHOQ^1p(no7PuaIOb7mT1E`05BRycwY9Z$w?+*y zPv_P*9`7F~C!dvBpK9qAwfDTE)5WNvDvUIp8XIG$9fV{{vVY$uw+6F;##c9wKyjR~ z$Ajm`<=hC016fsd&m$cGp=_IBL7k6%R8$g_mJ!f@ej3_2C(qyC|93SU!(>POJH_;z zpk-N;vD|jD|Ht=@O~0-+U1;GUuYRE{8?@>=S(@i{&Cc#yC!>O^5yM*%;j;2Qc0<+b zCh&4Lugse6j!N=2dx`AXHnKs>z@qvqd@r3JIBLwqYeXXMRj-S(ft-tuPy}HW5wj;5 z{R1-TmV8#0dF#k2gXpLv8tJ0ED(|uCw$wf_#rbeu{!dxG1YTvP_19i(YXwi{*Ia3t zgrfPjhWRYzh;iD0O(gOyI!j;P#Kh#ZybnZcXvOaX{^7b3x{NZYI?!bF_Dy4k#0_}j z;HOWz8K7@OW_Gr4(^r!naxdd(nQu&Nyo$ zs%Qy7DuR{BN@ONDo*U$tLVHPGI=WF%Sa^R{;n%54>`&M?q;-20X-;3h^?qR>&jDkH z!Q5bCGG8Vbvq@8i9737pTs(L7_AG03_|9G7V(ev!V?Kpj6s2Q1lFr}>lMiS~tzHiq zmTYPtR`Z#Cv{QY;&H&?32L?#xF#4+*&dH37LBBN|i80Dl?@_slMV*0Js2%lIbd zO$YVsrG`SL4YQ=lE}bfJ$7!ht(o?_PT;xO6hyVOi*km+Q8AzBFHg4VjO>0sUs4s2S z;`D5CQPTr$0nYmY&(2$|`=l#u!p?%F8gFj!M7dI|z!LE~hT}xHOQ!3);|q3GaO;Z0WzKT0P-?_X~FBxrv`KV=@SngV8bb4|{!v0`Ko> z)ycg5B0wMXy=YbDY%}gliDk`3!5_3j4y%z?hvmHw=CxMTEz-fioBdms zOPjPK27Sd_EZa3R;`&QNZNfB!%+%>m8+|PZ6a7vX>=4UBBF9~|Y z3xAc^alh0ey4p%R^Ml^x&-S387FGuOSav5#oy4XfJb_fB^|>m}wwLeU5cTo)IcDke zx*$~1NPkIt{!_`MsTEA6+uQRS-C22Nq?HvYix0hcNosz2*~Oj(dONvHq;8zPf9lcZ zC{FLrojW2TB9-SZU7F|M9wykHlJlHPe(M`hq*OY)yzJh5-}hGNUVMCM>E$i9Cr!ay z{mQ7fyGPH}wX$!5b|%vr0Yz=6GVp`}auGr%BlsM?a?Ub$@nW3#mR&6*2eQ zTe;FHdiJeRtwZ=TiQBbMYL$SEc6oFOc`JE|CrB_)y2fce^uP6asdTWw!6CteN6z0+ z-rnB6dDDOLwy%U&PFJhuhD`CiPM^Dqls00%<3$?$WV@weN^y~2xMsjUwP9|uc)CuV zJVYp>C#4XxJxiH$)ZlGJ?nXJ-Exj^8wtEq2TKZc`(ccV?pQ_JguIkw(l9xGkbzBXQ zt=;74vsv#V%{gTPVMaO-kUSDk2Xg4++9|;Tx=N}=pzJ^!u6 z#l@QqTZMgpwzqeeg(`$j6-S1M8H&eNv_`~35i#r{P)4lCyFB;+@>UB|r|2ci=CsVr z7WZlS67{bE7O4HHsVUT*pF3Kq4|EH(2E}b5Ai6Or!<6+vUJTg8b;&;pRL4v3Lo)G3 z1ga&5_M=E-*wd>lc$=P;1zBm&85N_QGk861%2@8trSy~H+O*ae`F9u{ zK*NWsD({KAB?bKXh0e>l=Fj3++PbxD({h5OsH$%S%WJ> z$rlea!BSrVPV@x>w8x8!71oq_W8_uAwGq0asK52cww6Jh-VL{K+Y<$cZ{C%4z_`$qm1ml^U z(q)h93EWbpFJ8QOPC<~YuB}x|UeSBqR8exU9g|I8SYOXs>M~hMd53X>OJbAlRbONa zD7aR>jJugP)$$)*e$G=SYS0E>61ZP{QwsWV(uC#z=6d19LNgTLfN?{oD;eH zB~@eI8$|*|ySzxD&m|SXAl7ABNoRCn5y@BE@n753yJvU(6~f{JX}Eo}-`x)z)5OW8kv6-xA#64*C*9N_E$ zj6cs^Hxjcalrr{l<8=5 zN$QzKoEN%e)f#w$_<4Rm6m@tMq`E<+BT1(mp*l{jQoM}4!1(S+2;WaPXjgjo3Bkmgf0^wMnVuKUE`N5l>PF*Uc`ce2rHaq3=(fCl~I3@`WezZ0f zo72sY)FUFn)$ck5MHu4LE64_>*R~IcWU1j}l8W@VOZpcz+nu!I?ke{f%9Yu#Tdqtl z$Nh*+8yahJUY(2wVkU?}nk034O8lH9o-?FN<&0{U#o0a$dBqAis8plf2(vD_2kQZ7W-2oL#UV`V@I5 zjPfw&2`A!JFO&CEb{M1LmtJZ-t^|B#N13iuXpLzhhBK){_M-{`}cnbDH%%gL^J|<|kji)Pp|)hKOpa73OaA(`#AolfONn)D@}wPGZ7C zu#YkX{7Op4VLm@4gYQHD@3*-KX;&A}foJ-rOQcv?{TPnZ=ycv-Kbw~(;mR~J(e$F& z5bV$@wC{be-1$G;&*n!mjPoN-e3vYIgBCVF44pI|-D}$={yAEGHQM)H*ug>m-e%jT zlXzu^osU|WP6e{Ouw&fL;f|(#i3FFtcuu-4CfG-2tA^gDj`i8{n{imV;ymv(=Vsws zPDc4;w{8^r&5t34`!`Fv!;5Qn!4*-}cb_c#&{o+6>FWzA*8Ph06s}j=X+| z)ya&|xiYj_3|W&41M9AObVzpH2RnR=W$@(#;)=qv_8!7#n#z%7XCmqLvj}(}3<7P# zeU+ZPDIZ%U&rj*v>lC6hDngyk%vDUlTZ@kvf>VscuvI7H1HbZS_k_SZz=Hd2tl(W1 znvvvz8}n0_)1$Wti{|ensi+FhSk-sW>(RPE^2jA;e;J^Mn7Cp(@Ud?$o_QnvK_Wdm zOM>d?Qws6@TAmFzMQhEerFJJy`j?2McKi_R>6%i!$G z_(_8Wd}&@flweB#AIvC$zBZ~arBkGPy|fdX2)KoD)s$kxveY8LwLyi%5?l{ix)^)| z9}mFqb=QspaDkhC(s@V>zZ1#w5q<%yf=_I&6R(1E zbD>O!PMejR3jqbqK&H(+UfPM1){w+Uh>ftGS}HFbO=NP3JP*+&G=vyHb#LT&Coqz* zm!L|=2J|ub5|#6q8K(`*Hm2p2B>SANPo6#ZoKob>Vvc{tI6 zn^1@gAyzBWuBR3u+VQfhCnaCwiF(~`g#mr8Mf~jXc2UG|qM@=P*e;Szf+`kQ@PL5V zY{xfSElB|v!~)BcH?uscI}X!RhI~X(6%a3k_9&hQXj)@jBG<~1i6|AEGEaHoU2BZ~ z??TNHTv`H=HRI6zRc^yxkh78suBYCe-bTEgQUZ(h0Z$vh+%G=z3~29eM}_A17_R;eE9h@oYT>syl-7_NaHkU}-5gaVT1wwMz0-WL*aLnmSBqu$|F)NWj`aND!P8z;M z1Vs{6@bKq$9vu{!0f8<+AFeLtNMPp2*f=Rl<);hj1EC2gB*KZ|)QwMp-;jRiYz1yQ zD}^TlKf$^Qt+8j6)8_(wSuocF;AsD&zwGxjyh(VDO|<#qfy{ z&EGk-JC!5Lk!>jINnrJEz`CmFfzNull-^Mu5qa1H;}V6dQIafBLCL;7H-6yWCZ2)h zxKiZfFjz)>piSsUIr&JsJn}riO)ii`gxIMi&bXUuu^b;HMu2R1@G*8!k}B}_m7&lc zQ8>v~NzPcanfq0*;Fi>Dd?jAzA^bTMi}Bc#()^1QS-RJ2HZ?nWS154YDgYEZ#w}Xs z&OVuoA8^UE7+@i?>dN$hUP3>{m|J5?>pPCch>$Kf|9R2}csOqW83NrFLkx!lqN2#$ z*ZOMyj?LuWSgKAaU@;VzpH^QUNnWb?f(m-_2x%+}iF5*QbJ5?!L>#YS0&B`T@HxP@ zow;otTuJ5z{sCq=ZAKEpC9=328M}GV69SGFs`F5|v=+gx41iN_aTg&xow~%v1>@q5 zrHRGCDM)*tTDpg!brov$Na$lTY08IX01ed*QShkX20{k(lojbcPb7kaObwBzL)Ba5 z{`zJ|9s8-J$k-<&+*}~{+6?X6BaY2mPx**~fJAfXX%bGH^kH9U$Adf3q+|EBUiGv` zsGak{xC&L7N&yp$0v=ol^zG~Uy%=|F7j;8`W-E{+i>_0a%5V7ig#^~6W3v7W76CuP zD!cj)-;Re8_0;Vr)=35?7Xr(`vbaD94QH_|>2TZq;rN&T@nK;25?`k-k0iSl_09Rl z5mj=DDzD%aO~gQko{0g)r^GHLdenWfcChmZYx)vRdPhmN6^SUVF`f~`@Q5}mestU$ zH<4=!0%(chaX3)gabybBX`xQPI-G4~2UfZY$&49ZfMu{R0LSlo&1)>Z2*g%pVNHc^2%uF%? zQrLEaWspz;#(WjHBI#H$1OVf)&=kOwkZ1&&T7YWaQD2#)gL35YzF@)7E{F-yGBgFm z7s=hEn*gP8+JWE+9Q~i`%_zYCTPtYEB-!qs&?PMPn0U-wp~QM85XIq5vHg982=U?n zJ%|?AdUtgh0^k)vZwnBjP#~6PcqFV}zz$MOeuq;G-+}Tn*kBId{ug%RW2_)(MJEv& zy7$gp^|(l)&!;XB2`bQT0cft0wjB@BYWxa$Inur{zWkvMVtY=KO(1NLA`?)z zEGZBSGY!FjvPh^9WFU-{x>kKiu1e`uYwZ63vOnVP literal 85019 zcmd3Nc|4ST`}Q@~BveEZO4+g&k$tjfO+@zW*~`8SBU)uCB4kVn*|+SBB4nM(&S0{S zy%=K|!+VYUexCPzp5NzvKlh*SXLR4q72~?T=lMO)<2;Vz`{K5N7SnOg;{X6K-O^US z0|4|G0H6{+Mh9Nm%gAB@|Htr9+uRob&iPUPKrqsu8~})J&n@+vCP8B>8T9wq%(mN% z(%=`a@KJqyEcE&FG4|Uhjf~j1WL6rtCqviyId!F9yl8u1Zy)nQz{p{ME%s`F{?#5r z1S)K|FJ`;R7z{_~5& zQjK!s@Y?VEX9AwtoqhTrUo}50I%t7`i^m=#?U{PBk>f3nhLbi8jsNjYl%EkVXAgpZ zrB`IT&wYXW@r7eoWPVv4?^zUB?82fQBND0gn4|yuXKH@P!(FJtPaFIyqUwkAQGN#> z6M8X6Q2ib0*8Sk%$(sW7Ews}KVP~=E;B6)%OE32d*9z}@1xX_K27EESB1gffXM#CN z^d!0k<`eZVqb`R*;Y;CO^T+&Ipnk_5U-&H4;%mrogT(~cygfN3p!K_$isR)a{x;&n zNmvv)%9p;amhvCeH`KR-ZwHZ&JX=takF2B0ydSQ8EiJJ41x%e*zCgPac{*W@;W;xH z;xpIb;!hSJ`#py5GR}zyBVS#U8=E~lS=QkGU+dZ;xw66DMy6#x*;4_r`*^D+T~0wQ z7$GclQJ#`2XKn?F!+jy|`eQ2)9e)G_-@X4)fAzm()1uMVyc0o%rdj&)3JNSyY16Ae zyKwCB%gc(}x4-(P$!WTpf80s8J0FqwDc$)^hp<3y+Iwf8x{jjz{}slw;@1oo&OxuB zY2E~BCVZ8OI*Yid{#)WOYw?4?~UoN{7GdRWV>r2tN5SA0EGAVTPm*2Bm>#>5EOT`mvCTmo9)@a5{S zq=Ph-K%V=SH?kw<(7Yau3!ByZwVSk6Px^Nq!g2f0J*ScmB5^92_Les?ROYsOSVq_l z7I}Bcn^(baLB)i}ct!>qjfkuKLT8keiTxHo-XdD0Df7cxbQ{-vxbuvW1VR6O1JBT6AMCZU} zOh3|WZv25AQ%KRRTUg^|F_c*074&214>m6Uv{*O4Ogb4LUSD6oFd5jOJ9W=rwI3yH z-p4(BJ-4Cwt>bvDaVI^trDMge4)F+0!^2ave&fSpDx7F2+>d&k(S@+F+28ykNQ`Gq z3+2dublytqeQyqlsXC&eVf<>`Of>tX1}rR$r&-dYewWm%ps4$NYV>hJ=LDLm5<`h4 z2Q7ZhYkq9u=KhJRI@PLMxWQsVP0Trfpshi+ep?or)$B16&|yR7Y}H z&aF6l^?6DLt`Ts*PgFf)O`{V%&B}UiHq33boIh~wRm42g`2vIaGbxAhSXqCIfbYbI zs%9^XdsZ`pOJL7eryO}I7Os2YUU6PO&JiqVqOEG?u#l_?e!K(k7vQ~@E0x& zj}$GBEtp5$W}M&#D0Ri>I=om9$MX%%ru(p~$YFyAkO$;Xz?tF9)JQb|58x#!7icJN z|8?O+0YKOeN>2D%LHmKdPC8Zr{P&wDXRrOX(#EYVC#T=xALy|ny?1_lmGr)2<7d+F0@<$ z$XC#(-vm{iHIyFu41$4R>;S)G0Js!% zlnVgm?cW!k+MR)i$GR{GD_TAekQ%O1^uLt!3l;BONx0W-(>OlJs?nxd{ig7vK zCy&3W7z#is1;>gR8M-N3cApk%C{>%)G5q(90DflyZmNIX*~O!`e_yb>M~k#wFjq~Z zLeg)peB=5ncKqu5TJ;gHDFl5 z-CBGcQy>I{$ozX<{}t{38UAH};6V#5p*pHYVEvvPnKk`)rhusf0W;-aF*(YlqYIKA z)KKr%3R-Y^!8Y;(LKr&^52yb3(nB!d^ZxsZk3#d;g{OCcJtpwiG5uBiu0gjG0K&262a8k%K~~R=swyF_M{lfL{Muth&uxSU(;>lF6Ol6O62h>E&7-?j z+5xuuP1$P4fAWdcNWsll7t>srzj@8e;}MGKLNBx_G5=vcCD*gF5hyjR5-%y&HXD>^ z40glX+>Yvh2k`%y{-S!(<@jgGY@=wbJ$Fv6Fuj&JZ4d+(F+ofmEZR1(tkTG5xJlW z2JCX4r;kHozuwvdAKrhk7();|EGQ?TP3q~GqVLI%7~1FHZ6B9-`+#!e$F4jKS}TGt zB+2l<%Y>M=ipgdl9~8KCt@dq4hTfXXhUY){1*Q;uHCQ`exG>&QbO-yEnh=_=Q{+OO z>;+0vm|%W4L?2gVNl-^H{nQ}?1{t*{WX?f@#_5Bo}==7Lj@o+qfggudR%<# z!lcT%ErigBE`a)7JUtQP!l^w+X*F_eNJelQ_W0IaT$S2wx^iGXeVDq>xNfU|Agcmo z@O<4(7g`v$S+Vc;5i=Hv>H0n-M1S8#8-UFsZ#+_w_U3HkIY8pVJ66X1-QJv?PS`T9 zzWk);UBXvXlkAEA>`~xWp2BymHO0pY3vu zF(sD#LG>PzaxA^_&!mY3pl9RtP~IEsnpA|Rf6f%CjwGT3lf|gvYv+NC25+r&Ra(5C z=E0UvZkQsoM@=u5DZ!TKj?hC?US$eObcWk~1&wz4y}8+DT{4HaO*$X?*-l zzQ$>9pEn(@UUWGRIe?Jtm*It0qj$y+dB^%~DwMu$(fLQ!22?t9QX>@@pc1y?fw(76 zcgiwVxQ?2@zYFfafDSxv6|B&5!cdO3M;|XykMi2aLMTOk>aoETqOIa-5JKsDO{)8c z;h7Y(khX$qlZ}m?x!&lP;ao!vOJO+R@LI9Y|+n9Kt5ZM;h|Kn zcW(MmBW}1&d{bU_+W63HvA}jobNE7LnWmD8kr7nS$a|ik?hnA+fWek^=D!7;Xuan|SEF;q&={Chezf=;;dyir2 z17A9G*e>?!Bt3LMAoxdP8DSeIFs>^7#Lfq&zFm2?TT!Z$ZLV`iNY+lZNMMMoz0L>Q zcz3hY{`j?!i=UcadpAv>?Ne2ncv`B`h)iOa!nE9c&SGm6-;#@^HaY*^DF1FUV3$5x zQ!8#{e-J~g%Fjd6UQnrF33I0uH1|=GzDQaHdrz}@&;!@rMd7LOcke9xNH3@}YSweh zc_Wy+d&r@M0_yKE+Lq!u>q}CtV;(G_)F9dSmy|?qJ>L99jkcFIE{Ob+M@5N#TbB2k~K##~;(-3EpGkg!L~uQ^8btTPxn~ zX}7n-N;?{y}RR#iQ54&88<2zXtY%W?H0S*13qy57SUqs~yoq4jr5`X3&NtQb;l1#NBePCX?1#lH$$&oD-@nJQ4CiH0t%;uIHJozXcf& zbH1i{ubrTUKC@e($CJS}`AzDnIzsOI#tA+hTI91j^P!vDejxIuY^)1vziI(YqX!MX zQ;0y5n5ggN=`vwn4S^BZt3~D@O)pLn;no$}g2_F00F=M=n=ms4^4Nm z_Jrj$y>4n$&?9oIW)MC-eLJ$tI#H`fOE}kv{E3Tpcsa)I1V{auRI8fai1+w5YB>5P z#miF^$u{vl8-s~ zCi&mF7e{sJL4)Sm;YJo8TejE9GihWauqG{x4X91R9Gw?y?!-Rk;b5DRT>mrV_o+FMe?4=Ggw~)t$neM6_&UQkXzZ!EH^@Wl=g|#Dk}5XPkuwr-HI~HbLq^sxkiTX z9l3LgX2+d+>C)-So)9x!iv1bj;^bIj4e6uS=vRIEkpT{gimmDcQMLz?4`(W-_Xy@& zp9YCh)rt~T>y`GhV2tbRcxp*=iWyo}BcI)^i4FSpnO{&tV}X{X8XhWP3uSy@S+F;) z-imHIZVJz=A(M(=W51U_h(HK` zNa#ESmjfcC@%EZhWAggx;m9?Sp|Ne7t>_WNS~_K}iz8NAO^(=9E%71Y+E| zB0Fj{_08jr5^JmCY%*5`adwyHi`YCpEmy);%L^N`kWH@vSbJWe)3Qf_VDWhKycf2s zs&SuPVRyIW303|ukD_ofdL)~xu*x<9f4z*1*KnuwI7S*|BK_=lpNK4w(vVSCf-8Gk zt+`0YX*zhi&3HGTO&{CAwy!gtEXlOEj(M`4TOR5Lf1jN7M-b8s&YY$FR0K;!UHp%V zCzoY?$-b_H;4=t);Ap-zpvL4Oew<=}#wS4iTsZ^t_1`%ABzH8PhhXwhH9+cup4Sfz zt`vqJ`p?4Gf@I4wDmn3P{++b(oaY5|>{AXh_&z^*7Fe04+sAgdvxx!7_*ysQ!v-_( z6l$!uiLUs4y022rKZP34cGbXWny{Vcz%_CLbE({kZak5@wlc!-NJ{xw+1uKU!3Ouu8cLb$j2gjXWp!>*^{aq7C6qqm6yLWvEz!L zEp7*oRPsI`4SI~F=_~BpL|WmfMDDUTDSS}#ZYg;N?w*3Sg529oC1-4fMjthh_gnoR zOhz;eI)!y5v0`O=&Vl#|z9v~R68P$Fi7{@Cr03{y-qQE?nP)|2DHk2Og=2L|6%{z8 z0Z+sGwhz|ltY2pA&hzHd<7wV(hBj^gCOG=1e=21V;h8F@V`GDQCwYbA@@(o6gT$U| zJ?jdmeqN=dB`qCBm|T1E$IVFkD!}jYsOnSAD-NHxU?!&VW4A5&foeKTURYMCP2V4A zHb?J+?n>98%WNP>%HTq7Pp7J;rPm$=7hc|LIF7zd0}ubMZ$tezBgP3Ahx(;KH1kZR zk5eHNg;!b08E(egOBQNp^en0IA?!TGZzTX}%eMzSCX?-J%GuX3m1ClaLG^0Qn)_4@ z1H~ZvtYd||KZQ)(_VP|-JW$jvPv;<6(Bf$to}}cIc)Jf5eO#edZQMv<2`Fbo8s`U2 z`M#7M-eZ2bhvx1cMnG1t0I;9wwxvt4{TtUu)Nf}EK2K%7RV_k$_60X-gjRB?dezhe zs2hNsxl+cXTjiVZ?R9%^ntM|x1Xn{_w>peFh^Y+?d9k|qj)Ghz!~s~JuXo4Z`MT9o zFOsWP@sBYDKDR0)L_Yh0_w}%+6Til%5f1WA3~BP$HrqNyR5}C{9rew<@LQO-fc)D> zue}(v8Tq(k2R6}Re53<}ZUDZ@Hf$=g5H=i~PT98HZ$2tD`l7>d-q-53{k7Tr$T{;a zWQ!O2h13)5p5KW-AN>)3-8^v&E?#L1J?GVE6Z$*11rqY`-7YNGzgpQ8`|Pe%)A}cW zJRO)gHunK*d1WmJ@l2THk1NoKg+avhNC)qga|blWM3svgiee5d&|JcXRRD{3;6z!O zTIkqB99^BV!tcL>HIVXDbfKqCnK z()qfcX#1CcnXE1V8_YzKO^kBZ*xV4X_kwypl{jP*5UuS$c1Q#W#?O2Noo?^JLW~Bk zk=Z|Fx_c8JnZpf?;E@-no-#=fx*<9sr!PUCeu>bTa%Z})Z49`?+1?X{)ZN#qG~9~j zu4EGOZy=E9Je4181tnHh^YdQ=`#^{h1TWXclep!|^q6S9nRNb6S}(5|9li@kMra7g zNclCH6yd(x4cEM&7yp5BA0b|PYQtj9fOOHf$*Jc(&ZqErpe5k^Ti9W=3))gFk0k?~x-xrQBZAt|9 zq(vJK{9vWH%AQ@d@zMF?HK+D=H`?w~;IaWcJkfrdf3f z2w4a)%KvI!)LT~?M^K$|12Xh}rwS-z7NoiEu^<^GG#o3_Oz)V*9=okC;>?6(lzOxJ z2b&vGa~W#ux8`dqaKq%%W4Y*T0#}!&O7P1b*cK0c&af5fiCEZ-l(<{DYsu?ElSN?A zN%%>f(QCZ4kT~^Og}9}v%#CJ#xvxR9aw)X<*m~w^I5L^biRFG}-&h$Y?NPpe6s_53 z&K?b%oIMx8x>1X0U13|BZOa?f4>2b#YT@X?6$})D=5Cs-E0zx?vNR^HZ|QQ~`1ziB zxIINAf@C?8D4mlI7~uy-LLn}x-NYKK#4y(>;3lf6Ie zuP^gIT*Ou)a$TvKSr>}s9JxG#Zyli2+GD(6duDU%3Nbtp%-{_)LLu_I`!Ck)zwD%D zjn)A$>=?WK3sraAEzi$lluCfB%*&pbxnaRi2506GVIU+BA^hq0tDDC$w9KbKI+dcB zQ9)T!)IqcpVyQ*#w*h~DZ{Bt+rl8$X1e%Lq$cYu18)9PIUU0K8R^OfoB>A!|I6fYC zlK|MV*E~+)aTDD0Z-5NNM~-U&#paEo^lgk%RMtX_(A$UY@meE}?vl835c$Y=&ui3U zQnUkE42MDnJks4Bu#P|IgFRpB64EF*SB6MRzDp4Sc>QZ+B&e}j2N?s-zw=T zwtGXe=T{htO^y8{ZcjYQZ}K3>JdpEClcRo~B1_*$7^^-fWk}a^-n;1h2><*0;W=P$``aBOy%! zq_<2yKja}u4qG@P?QN08m{7s`ZDw^#%Y#9Q2p6(I&sC);%jBvD!=a@Ymun6%?&aab zDu?;X7^Q=pus5Aj?bhtbb>&S|PgfJ+XT->U2FctbN;7{u&F9<2mD++#E)-KvabnZD zB56{vZq-GJF9H|5H%%cv2~_m>zDETh*HruGy32ED?zNbzxS83?6MUdN#c>wuT|k8? z(EbGuKosq10BB4;4$ZZ=Tt>@;QE8HSUS$4>8D0s-HdMOoK3ECY3_eQO$$=NOnW3-rT{~_+wzJgM)J6hglK5nEwThr*W z!ImvpM7jdsIoDn?7pi1Tif1Jeh+>|VIe~kaJZAc{AdS(3T=E|B{Kjsc@#x#1)lg0p zlMs~+P1sfcqN~)}o@{+Qae8Phg|UFI$~)ohOgYdv@rge_ zu`;A2Owo{RBoC{6;OEY-yy740=zG~%ed6g;TNZ0tWHpbRF2X6t-(}6lO1U9zW!*JO z;LM2pt%`HsbE+!GP&(F9C$c=~DG*6BxOXU5w8HHjLSs@s1ubBPJv~mcYp@3I&dpHd;Tc z^0q_3)(p1%!t{oN+OL<~AV;7az?%Yf2rvMXtF;yPg?+5u&GVy*iBwSkp(oF_C5qkE zh5~JuI}WyYh3X-DwKEgn+02@h&K8Y&?d^ zvn&NBX{421L98p$e@?H&>ete0@3-JkKpv*`;CbfG>Cao-5iqIbi5g5hKVK3O#s)BhP`S6$zjRK#dY30e zzSB{<{jkL8{RjyDgJ;^n(?3U^O@1(Ve)pH#Po>XCOJbj2>zH zRFxAuQ@r&|-aWj%#5Qie+<*$%0uri6&I}qT%OHUN)60yBUtbsMeM~->lpGu_c~se1 zfC3T{Ip=dCc!!$eoK3=>T;OFluRM{$)5!xjI9VN_w-#tz7gQ;;jpO~%Nf%-XyZI2T zSxs{M!qq&1yFS?_5c%Lgg#z|_VU-=UY%g%iSyzUgA7)yxXM%U$cLEs9rzr(_-n=nq z;-{zQ$HSdnEWr^?jLxvCkYywy3`HuM;L{xvg!JtXt!zo~iWWF|6e(rGQ^jzbZIam#X| z8ZYc8KP2Q%{0DZ@W#4^O2R^Kzc=DF+@Zl|b3C_Oljliaqt%=}y3pFsxR2V^?8sxiA zzuJdDa6$5DD`OG;(AS^KjWRGv)7Z;sG*hzkKmF_rES?`r0oqJ|KHaqx>x~pB8k?)f ztz8o6+$+Rhe!^W(QbX+(PDpm_har;ODq-Xt*ZJqm(QVAMUwThqIxG#XO0`SYdG93` zxOfl92ywA_n2jC$lH4R2EPKHyED_@wq_JFmU1gNx%ni307YaF&`SV@;={UxOM)Sn+ zKS*$(y%*-igiL&L`jvFtcD>Fgg;=>*o;xXBra>#I%oMr@)VXb_k!A}QfIaKiB9N=p$}ws`Kr6QM7B zoR%4+!b>~UXqw#j(!th!vw9gYmXeecQ)S*YFb<(jYk&&34hU!-!@hOa?GNYIU4Cb5 z`}1`z&PcFIQK7DcI^`C;N=2iZk%Z~$%D_s1LoS2BE)7j5UF2sTA*O?cYHv=AAe7T2 zTb>J~V#2no39H_7fWwgd1W}}9|1$kq<75tZP~Q;Jc4|_q=9Zb=X8l~Ai*tR3Gmf=C zg2v21BS}^6fjI~;Cx{@`@}^Qk=)N0>I6(klCCs$Ajf3+6oey?p6L-h$+_lKx*}=&mf7ZOtca_)f1wIgu5i zMA7!Vo)-)iW((<0*=gtdQdQ7A=raBM!`XW@aUJh%VUzZQ zoOs^0US9F>yTwYT)wyGEW{O(@EESGIP?l+LbvHn1d=QXNwUMEDr$gNObCP%8)w%GC zjO^~H^~Qsl1;m4Pv8=EU>@Lx{8u!#CvBxnT1Xu_;(2JwB@gy5qAb3<75aQs-x4w7} zGbaAFWnb=W$riV?oNDc>as&3p+pX#;gZs=nBE*ev2I48r8FX83o1XCX#jZq5^r)Oz zjNu#owCpO@+HmboFWzsi_!!0oZVfwI=h)-D5`jS#PvBKua zPCzO7g!2-2<>9&_MZ<`tTa2s1v>`V?waIWI3*Hj()kN2pOC*H z2B($2NW7aI`R#6RtkNoIV)?evUnpRD=k0cj^59f zu+l}l_iidiv+{%JWX8O0Cc$I-T3NZ+jBRSnBEb zo-9+IaI;MN0{xmXR`M>Ta5T=%%F{Zdt~ZN&Uif7omD-V1mwBfl!r%RQi)|gq1JdF}uHPJL26U1pVM{S7*NX~Ebc`HB zR)zU%zK|1&Bpy^jY^U6GaRZv(p@IQRA}{JT9F3V93$QjJE2juZ zwm}O|O1-vbLK?T7Xq-{`=%w~3Lp6W6&r>%}?|~Z+zJL=%F+`E-_v}b50hz?y1~K0E z>*YL}!5~5BUmX!fLX>7+r5*Yo}maU+CtP zzuVzn%MG=3oP+)pk@WN9+ufo|y&vT~OJJB_gRjLj`7OM~5dxFYXa@u); zxH5{aEhBDtSHE8Ty3<*!8(C#LN=b3nMclFUKWk2AN9R7`>tw!l*yIy#Jh%}Hb`sDu zL=mN*fCigbDtzgT)k%Om07L|fgV|Byn!{$*?YiRO*>Y0jKV|sjF?T_Q6JJ5;hrP#6-1~Z%s%kB+73Pl6R`eRVuhPhyksx%!deZR`8 z7zxEvxDI(}71ZcnibBnXjtN$;yrHH%f_$y&gPO2ubr0?%+Y@L+00sWP9vB=7xC8v* zlYv`2F_CY@D~35Zzaqcw?B=SNZhaOs=p0JC|H{;IqXy>Uv|1q`(eLT4&TV4`!8>}Z zM401DqDGUCsTZxLROs38`u@tHuESaeuWVV8zIcUF7TAm$Uod%CGXLkj%)^g0%1N}2 zLoc}M+9SH``kk8W#$Jo0d2(bP_6dUs3~k3w;%mB|!xUR8!_8rV{X$X=Zv6Ej9`(7t zX{C+_vHP7L2q9NZI5y?&!WrsT3uFxvD@!tll-Cyz^|zIaR)@p;?gV=}_g`1{#`%Fr zekh!PFuRTwzd;hFXfpbf8-2ks%a#k@vOxHx0*n19*nz!v$JH`A+i)K}Kx#FZ_Z-I{)YDesvF>}C}LrO)@I80kH+6qp7iy-c-7Lgx*fTyRl@)5uq&0ya6eM^{&)6Q(w^$_`z zwyszc;z#wl6IO;FUoZ%6GO{@C>e@`LA1ApHf?okL!b@C)dF$7{B_V9bCMR0@FJf=X zpL;T7Byz>(VZoG?(2?wAlH-z{)KWci0?^SU8zTR{0V%0Xo zG0_AEia#$yZ@7`U-*kRd^F7Y)>elzI7y6#=m9V#Uu^>&YZvhg3@t?66d)wQi%w_*M^KgXTDSpQpap5`bU zGaCGdtNyM2#e<6dpc+^~?I-+6z~vRfq2C8&EIZH{nmtUm)u4v>{eV?rt8xtSs|kY& zcMhc7lp3%%dmU>C-oZyJ(Py#l2mU6 zvIHMrNGZmC@o1_v>`K10lZ>mIzEje$!8)9aiFlSL;)gA%C5nh#%p<>-3%^L&)E7ZB z*8W{^b&baYPYsv(m@q9vt99ef;Z2M0Tv*000py>o9Gf=Aja71L`ZLL?rr35FNte&A z32%%X5*`}nq9zcm%IUgvv`2(_QijB8O&Q4ievP%a($_}9-+n3Q)cRFC5YDIoQC@D$E|8uJxmw4T&*1F3$ z4J>0-1H#pVM;eII`c}Ljb{mvI3dw~j-c(>a6X)?& zD&#F(cYhbw8OaDoER$1IdW~&Eamh{V-Q)W;UZg0q*>wdI9e~ohD6gj!>_)Nv|K(wu zfPb?V{_)5ezINqQdhrPi2+n(aZQ0@LeJlYQ(&DJ!lph55+uqo6)0w3$(TiPHxGx?L zq6dkw6+K(}p0EF%U>c{ zTa}e`cOQ_D4EG7n4R?8#G=Rx77!KCb`7Cb=8lt=?e9T{r%wLu_uB$caO5TaB$oNY9 zTJuA&mab5p<#s6)PkOEo$^!>;QBJUwlJltxs84WovO|Sy@3FE*L+JfTZY@eSfxKJx z>ygSd7Yk3@?BZIKE9iRwRgXWbA@^2dYD*?7>Um${a|W;$y=e{Ix8Z9mtUTu#FnKvO zehPi-i?xo=taBDl@JD+qctu`Z=I9q~IX`M6GI>-%zY{MGy32)u6ch(99JP@;!#t`*R9(?d2?UYpg9~OYAlFh1;MGE3C7r z<0i>Ge?|0v#`O6&YbSYQpOn#MMt+TYo6gynMrG4`!9l^6`ejymn%uYCd{}w{OsG*e zsQh#W4Sojpp$Z1v3rEA7D;E5#15;nx*{fuC-7T$)0JZUCbyP~f$1rI7pj0%FV@hgO zTi@AE_|%f^o?f}x+SP96!E06Y)LB8tWwje^T%_AXf>1jiV~+u$gPs zeGIBcpueS?A?7^~@>$zJrNS7Fz5kI%*EUPUO3hkT4#Pdw%(>=#iwW8A$hlUcA8(&% zzoa`irFdCShD<-6+ax4hrDgsRAF*?3852>H{Mj8m zq12bQF?((9v7hmmWzY31*Fj!4N#3?Ztk%MV`<5va`1Bccag?eAnoRAEyqqAQrTWWq zx=O`FF99Nub4+5Me?Wfx{55Uw9-85pOVHe;X0I#$A1cSTEl>rwiG~j=(1FTeSYrfIBF#ll%A1ZEC`dsw$(>@)4i1DRtjZBE=jmzHQCYAh zQ}P!(N1-Eb#926RUwH^W*r&H;>y%SdemECiMuR_ybaH|X>*@@8)Gt1qYFIyMMHznn z^QO@$6jgwt>N*?f(Lg1p0W!tt2-?L)8}ednV5l&ga*cFc6K4z2%e!UX!1YI^d6RTD zcue6;O2ilKBF^DDDInw&J)UhacO`x4&EKaZK!<04oK@Mqg`rC>5Tt@I)LY4|N-ZKH z=364gc}0!sfXsDv#bx~%xdFC0W=tk32>Wr}t3?nrr2zQjC6;fRV{;n<1t?59rRp5j z96|#XL1CTXpfHr{9x|Mhys~o;w{ob=xt2gbR&6*pv><9;P6O1jQWHSaqCHniZaGA* zOtj+enW08w>GW$kkkG9s;uN##*nn1i@6YncC%-X$?4Dzv%wAXKv09>jK>W(3mE=?V z(CpIC%f1*zjYgQxttETHs7RgO9u;_kLm1{I!qI_dTd7zQgL65`Pcbr11UU{hf& zQ}*IU$K7SwpA#ybNncHH<^^Jdrzs6O5IhLtd2lJM-LJOvO8H(+$ing3u%PRsb;H_T zd81Wey*FUO$WD?|L`E;v+k6*1XB)VeH@r%P2LVI(~N!{b-Qu`tXFT^hMn<hPZdBmBwuwu$wES0@Jp!o+Gq?fEURC!;0r-tzKSk2!GH6?AJ%&8= zi@40CjEq}s&5E8cyD8xU(&@`YUve04vFDs*1jQKG+m2Yg;O={%9$2ie=VxBl)3Kny zaSJ{v?GoJ@@ZNv=sT~=uLcVJ0yE?2Wp%T=!x$&js+2mk+@no>xP&j1>_TYny0EDgT z!#9H$0%zR6otEs-jo{8Ld9$Tq&O{hIWm0;9TzI2jv@!8w5#Os}x#Ctv2$=i$Kjp*82i` zjCYr$K*BplS(v0}LvjZlK_l@g@tJqe;(YMZWsT2LWCJDq2q&JS=@qMVaxoT^2>r1t{x@yf?1nBGvX7_(;Ar?LHoaqSYeT%%AZ*_lv1hR# z4bBFjyUZV3G9nm(8}-@mp~9*F_+omDXOC&ZK!x)eQR&pba$R;H%JS zGPpY|7c@DbCl*hLmM0i$;`1b8I44xBvo3&TWG}osF~J{of=Xq$>HH zH}ei%s^eZ+31nHd3GX%C-NYd_W&N=d;nvgLa;Rs$Jrmk{dUzQ6xmrug8UL@*2G2Fp_2c-sj<* zf*QqakIErs(6m+t8^t`QqSXgS3}MbYX{r{_0qcjva0KZ@Op$jl@KdqhE!6cTENiKo z5`}sysBPdT%lz7uYw;mi&%H=Yfd_Vo?6B_ZSxh=2*6#pVOGcAeUtj3%PPts}8fRk2 z{#p||d4V2j_UhFs(sTETQE;4+qVkhpOG49B?FU#EicZ}`bNboLW|#EMU3pHHUR3_> z7OHbj;)~(R00+Q*?L0EkeaP^O_RPU-y6nb;#2|-+Q=REcbieST$6L}3GS~l&bckWt9Eu3ql+{hr9)=iDPQO< z4s>YW4jk|#wVOHIi>j-Ay=2mI9+;2;O$liGlh5xkE#w-$WHTFz+9HUzvZMZ_T9au& z9aP*_;u3Zbfc4xcgROocf@xH$4sHOKaB!QS$oirFp(ME_$I_bK8`ozas`HHz&U}Ug zu1p@eI~sCgq|~;WypmY$v`Q)r>6-(&ruJ!1P)utGh*FF)$*Y$b&rf?JEpfz?$vwDC zxVe4nQpq#0VQ48m>a!=AE0}uv56wCylbqX)>PhB4f85P^O*p@g&0cj4)u{xf5jiR( zda}H|yun1(Az(f|Vq;uT3|p%4RHKO^loe#QiAl9O6$}@xz2r5V%l5JN~J+X4ylStFYtrsxWKZ&+^JNYZ$do6Ab*o$K6l{; zaRqmk18+-cDa0xamLX({A}&4N)(4* ztlrD)^;FnXl>Q!1l1(KFR?2~@*tYkSLV+108&+{!y`GN|!;r9(EbMai?0^$-PjPtE zG^&!A^E7vC@ecgv;&_ope|>03s&QicI|g{KcRT1s7Tw$YBheE1yrFmo9MQ(^UJ9IC z=Is~*B^2=R%^5EEr1xt7Mo77!7ru)3%Pz8z{H1063%7eQ9>hY{F;tNn6~j9$9F_vLlO(gDRD5fR;lL;gIc|w`bVwGFEN0b63Ci zbiA~)`r$;Ke5?rd=j!uCo12DJGuN#8cC66i*GKe39G*UIs!@Jd1X>ce7Htx-%t_3d zdmrzE=MMkwY5<0j8#!FSnnbdnsIF>tQHhXwva{&)` zn2>U|luH$i{cAJV;%9jZ2a{R(G9q?vlOdT)VT_AklEk?_lQUGp6(3i6nrryW?Zqag z>8`f^NCoPov0o3`$Hp4om&W7yZ|`vFD`LhDraolX%9tGL7R1_ouLC(|@hcBI0tRvV zm^8lSl}NFqI@3`@5WRU&SUHPa5YoTdx{80j;D<%u2-hD%;p!SeVTx7gcGO)>^)t-l zJB5W!paE{!Q-$!8!)aAeX`3C8H}L=sJeOmlRuMMi(Y%&YQJAkGcA%9FuohasId@5P zt+jG`v>W7iBE-i0pwSC%!}Se--^-m8;#JaHOVIh4aSCZX0M4vPMK1k%o~Om$AWyVQ zN62s~O5Cz5lGdxHwRBwNXxya*6ESl<@tbuYQ|?Fkdh zI4YQO!#MB%F+w!c;=xlCo!rvtiH;B}2F-pKPzJ3{%^gN>PwAZ+Z}yQk9Scv*A=5qX z{1RJx)2TlM9Q2xea#D7#eca;v=sZRH%J~#yL>7lkTI+bR|J}8UqqAro;DL-7iTi|g zLej%ig^ZpLa{P_xz(W^GCb4(e;WUtAjcoOx?Eby37u&txMQaX$4vS!HEujI zSMfEN`00s@AS;`b&b2EG-2pP_$iioel2cGyOdNwc!a>+qh^}mnAx^d*XO#pG^A7cK zQv!L}UShPq^)F)h6H|50vJZm7G<@wiXhtr3?sn7CU7~0DlvR=Qhr)_1Py(EJHMqpO zKR!{LHe_tu(ES#M5n;GFEI$`5*6CqK12yE8zZ<|(A@jO>l7a*X-z*W;BLPv>JU%<& zxuJnJS+k&_y^^T!tNBid$;HsRrd5Vpxdl}1 zdzbjL^9^49D!2Au?dc68d839N%{zm%5J(&S^i=V#--j*ykyQqdq@94^joW2TR{R!L z$+{}K*WHqJnM>-Ec<5%f*Z94&u`d{Ayw5xi8%dF+!_s1eS+gXGr%m&uu?^*Nvd$U~ zW=W4;?|ni)2-B(+rM-u7$!?ga^^aI>6<=xYni!?HImWKmK70?|7Br)?5XI&P&yAnZ z&Iw?_^G!9cXUj+WLGFpTWLZ$-nPx=hnWLHFW{@=- zo7sv%%nZc0Jqg*P_2iK6rJ77v$`8Zk`|N8uGQA`Y5LoYR~ zkPhyuC^!L$%PVE$?y;wiyb4a<_zAzr6a1KCmOZNHI$ho&2qnSH44D z(M7l_%h%L+9ql6Lv;+;-`sh&RT2RC~FD+qR{P=${_T}+VzJ1#xsU(z??3ILs?E4l{ z*6ceW`@S=S(IO%w%h-wR`_3>_ijaLbmc*E`jb+S?-Fs=d@8A8r_vd}ye|$1%<~pzQ z{4U3Fe2>eK$LG}a1JHI-yhznI{~Vw;I@iBayL6`&y}!#C+;Uff*hm(hy?q!EWmTR$ z)#bSFNcrw7LF+XaS)CiG9~dI#$V!=M%wMeS8R|}D7jpY5L3QoZ8MX92C4WCw{@DFg zHwS1B>fBwlP0;PKcsomf>@pg@mha-PHhProCwo^LG|j2=3Z#O}ob`zx)g_7`)*l!7 zBM3npDYJf3Qqqm_SPLFuQ= zr*_~g@eyXb;!!cXuwJrBA=lf7Z+=LBay>7g)vfY~MA%e6Ym>aH@7xx}1efgoledl+ zDRQ6X%Y1+y??`*OM|zrl6FS4Gc020_9gsD7^+C)R&inedpsU_C-?|_<{vgf&bEWYU zU^2Tm6h5R~ZZ!M`^>pk9NVGObLaFPa8+aqHZ3+n|mX zW~IVC-LNsZ`MtO9>PlWhcKTh#m)t|~F@Pj$U$V4X+My2^avlk+pi?VcZL}|4WzeAL z2PSN}S~d#RW%>nj^Yd+h>0*-pwO5$dZ*p?MYO&@3z>P)e$q zIN&8r@4u57D|p1$d#1n9drEMuD9@{Y48RTD-4pkOPG!N!sjk4>#&cWe#uV&+bE;nz z#Y}F47rp}Mj@B?W;b}MD;gg{%q1fob7K-jX%9N+VP0>O;($gjYP6gSXPo0ZrGENRU0}>=-}yMCU{8y3<=fyCW2 zulIFTCj!WU4b+04&*=20x(82myv2DGVhN{*Y9|N$$P4Sz0EykppkNSKu|XCDW*KTE z8a77(4QwjmXn>1!{gnNUCqFJ_UbyB3fsC(TVvdLvpq1h|4>x+MpM-xP1da z-c3ejpAN`y#qzfg!rY)vm~|dH=iu4S@AUSyL0`LoO^`T&0K`J6Byi!&nBaA_=&w5p zabKpaw=1J~ggZDnuQWZTOViFq0(4g`{37{LYoD?MLH!f|eF@(?diE;~cPeRs3}xZQtkR zPbPUUnz|gwUyI4+zlzvoe{a4EbXzW`FaVB0>y)&DmvqYBoh(fBG`l7$=_QoPJ;eE@ zQj5Vy7{EGRZhVBO$ZED`hScjTO4`JKkYhHs9W3yl#`9c$+k_~{0KBd)GyzhW`(A$J z^SF2JSMSL*+`Eu&{Y*K4Lw2*5!-!`4%>7E-5G#>W$Mxe4@@N zZ-J43a#lMdK&=10$)}`u#OhsL{#hSLJ!xqsfH9@mUoT-BDXQ`jFEUcO&bp*0DS#?&ga_#mWzA|vZhSo*@H(mKDb zH}y38B^AQxMF|sjX2^FnP{e(Rq&V(kL}XOSvtJ%yk~3jtvOhlV{78;$WWew=EmVw7 z?^Ue6w-$fW3{Z8il*O7-qE7#^4TA?$ZhzNrq^D#MF8C&Ig+j+yYK4#1jZ||8*N|t) z_M8nKpJ$}o`<6vuD^98YR%GK11C;5yvw?tw+p4A^;CUWnB;XanJf7|_Ff-$0Cc!}t zv^eRcS!Yd1Fkr3;GJ9SWH|X24~|H=geU8?o1)(*XJTj9+z-s?@0q_1=n5H z^EK~3(lE45;Ow1JZ}yYTLZR2|5f7*$tP49Wf4Z^DRu82`WK_vUOq5&|t#&ZuQj$K| z!%T7pr0BscL#}xWt$Q*X(&Mp#e7c{B@o)MpJe?`7PZwT?GnmqTY;?aLs-kgKqL3|M z=n+fl+_h_UZH^s}Y1bbfHAKEm^S=>sS)JkoO!ukY24F>W1K3GiJaHRx#b^AuY%T{a zE*WVHA{sM*%snEM-*?U&i7bEIk@oSJNF3I=Pkw397-ZH%o6MpsBdR_Ml-ySfD=|msB`?cyT*l<#lg0T5@(49iq0VHE!lnPk73GAfw*-*w3;nsDZtBjP@I*CTTz$Vf zrtd^@0)5bR%zk*rQI1~ZX&=raLR(TQo{gQ=6svU|g}&S(Si z&={G*V$K!yZd))VN@XKW=AHP7cg7h|-tTL?AC&yaFmp5vUEi*< z0_yU<)X8v@GNAgPm%D0y8{2}k@S13CWiDpuTz3WqO~0e9%xltDzf;Kd*&?YzuJzI{ z`Qh&+Iw3>;;Vy@2*#<`^;Wa5A42fM0dLTT6Z|53r|GW*_Tepb1MEaCdOtIL40jfhd z1Vqw5*WcOd=rSr-oz03XRLp+cYbN13Ug`2d{TZ_{lH}w}p334TJ(s(x!0mod_mkM^ zb_2#Y)V!zL>9{QeW2GR8XS(mi;O?s~m7VD$rR7L;*CyxCl@2Dol`=KHaO>!$=3IJ_ zJHrK}J*qP9riTEuL^v@m#N(jzw)DV#+G@QI_{*pLv9zGW$dwmHTt_z`z|2QVMT(=i z176XNHZE&HCF5nllGK9!mec$L7bInyeWuo{BKTimh zT@FgD%`laAD}_Bb$_w+O8c&{lc+C4fC0AG982N1Q(^xbYUoji%4d^g`pRIA2Sfc^h zz@+fqS~!fUy~08LI3=)Ftr!TgtqIn6tB zFI5(y{(!~l&gG0W2hYSW%$8!Ti7cwg2mFbjSiKw$9>Kn3I)8qBwbbh!`Sod40gCR{ zdjM5n+oIhhKw@qh<-BA^>#?>n|0zhOL6gU*%R5C{WodXxKIuC52rY~kvg;jv4v>9_ z8Kr!79C@B+<+#mJSCfb^4D=2Fom~s%#Lu#SOl8Rkom2xr=tqQfQ9go=I*o#1&vK)1 z4_8n8fy(t0Gp56z6Cyd$P8#B%tF zlG0Kto`+(BavYgr{jW_7jLR|KE4gP5G>nA8noQ!gOZ3@Ad-egW?CxHfiDdZx zoA$5vrYaKp4?ZThk701Z(pKa3G}kmHXdKic+Mn4^o6Dy0P`F<@<%E&UCE*a75r$|h zT)mtw***$*rC=eUB17%M7dtK&+QMrN*rNE!V;4(P4C=l;od8k=|1^RY6L*5YeO6HQ z$^<&m6PPdka@PLJ2375kar;M4oNxB&YWuejM%eKJxln!sQFp)(>lIvl`skxGQ(yMT zDVv0gh{pgA`6U9Drpt7%d`7I)EtTKP&f8)evn(IOG{x_ZdzMZrf`z`Bg0=}ZiI>k3 z#V(*bKl4AWayK3D81nE(WsUV z4YR4;eiTNueVsYfCh|-hY){1DX^-s7O3o)n z&vUcEM<=rVs^c@Amy*&8aiQdAw&~SRyAx=!gNH>^vNhB%XE~FyvCy&b@n1TSUk-1- z1S}^)g+JmDlKviSd@@Be+vh_bf$M_}hKCL3tt?JQINVi}&{vw*?h=SxkViOmwmWea zDDCY6WD9La0q1yVd$0Y0254nl^t_N3q3NmbyKxSsp#0lm9xIB;Y=sMKWR3mf+5NU1 zd*1wym3B%Owoob_^D?xByie0Vc;o>#09sKsbT^knH)Z!UB3kH<9t<2f=F$L3Y zuUF~vwQ(;2^~MDxzS9!nEKmr0#?~HX+5jGyT7!u4O$NDlJWt8{Fv-#4cxcE-}i0Jf4R(ocE&_^`l8&F2Fsw z_cEC~OM3i_(`P|+hLOionC88=Ai6q$SH;1^%V&bBk9CWTDxyH45aNoCPZt}72N!5PBL!T(#e`z#+2W#M@gW$y^ z-j>cQ+p*5m9eLFL}3NO)9{uiNn- zT0>hh+g}4Xy+wWdZdxbhS9`Bdn?Bs`D{MVh02$V%akigSS1gPsLz(Nj^^la5lmJKB z4E*W3B(b;kXvCuRX8?%zsjL*3xF5qRzSrKev#^M`bzNGECWYIHC_S|LDJoplAZ5jT0s=?3;!Q8a$ZLK<91->hp=FDGuOnbWb9xrq4Y4AKQ zuV8Ti7oe4PL}muZ8J`Z;68;-PfqvmvT)p_`1;A%1`d*asG!&ZUy4c#B zB*4FDa}cfFIyC;)c%fc+$SsQ>-Y0YIgE(M?3UQD;ux#@Mpy@flT2MZM(;KQ3l0}oj zu`xU3_+yxCh-!9^z?(tx#BO`3{P@TPH{jK$3tVh0b-c^nP7yPu&%}d(a4hy=NLeCW zI!U%MJ9nd5Uj=YCnB6^6xWM$W7aK)nIg%t4juAH;rgdm9N}KYlsyf0*FcpWsS3=B9 zPsVtEbv%E|^grgh3cy^7)5Avr*S_=0au%Yvqb3lM9^y6 zaZ_f>NN($?Y-QZ4L_?N#Bkb)5SGwg4RZU*14ACx@dryHukKiv^B&#YJ;2i|p`EZu* zvHkw%Kq2v~zWCRc{rds_ym0dEstU;Ld>`BHk)nUg%639d!+KlU(;-Mt4E^*zWvtw` z*rT^4kEzWbqRc-J44?;x*M4?hVY-5}8G#({GLfFC;0Esy*pNHk*hdk%)bDA+dH>$D zljEWA&kykD1t2$G0GJz?M3NgHPmh|~hmoSg4!<86)J@r%fm=hc0iXG%qSKw{3#XW` zhVl-}5Eob2&JCak2IHKtg%CHZ3Xt!#H}?2wsQGxu2>~eLVsQWg7&L)%B-`+?vysuUc61-F|l#&Y%Y?kT{HWHX|IUu6ZVg2!69sp?~6xd zU=K1m20KTy1nPI{3w;T94t9UMHO6R%U_1J>$x)h* zZ|q6E_{etV{EzG(-#u`47{&KzxqnMv{>KscSM&kS1aLdsG*0)A_&+Ex-rmikkF@=1 zPEAK9d;AG)5N@QJ-Ji2F-y+r5KnW-{x7R)$kY8;>$7+^p*K3uZY~2^nPBn1)FUJz2 zaU6zKDm>d4uY|Dt@yPylO@EKw|Mi}re!StU8iF`JxpIGdpToU8Eb=*^^xl|Fdiekm zZic%c`O0qcQ}n}mjdcHw^gBcKL#y%IM~pBSJjR;{+;H)$eYOKM=}w6ocyTIjBTQ{+hxXvB~a?dY5-R7g5pO2fa-ZAvv+f) z(w}ifEa=KgZ6F;mq-*nh=2ft!f9cB^28XF!(Tu;Q(Z9wi{thDi-he=v@T<4H=6gSc zCHvgznuoLI#qx12(G6}bTT92|d2M#*Y^y3&MJrx&*H`31%%4hHAie;M8eaxaM5AUf zfx<6P&8+@KR9b6Q!9-8Sw8e|#! z^`P1G@^!%Zp)K&B`y3q^1?&#<$Dc^z(|KZMTZkh6cE3xQ(a4^Lktr*)PZA^0=Su_+98~3K!f;VW3 zEO8hvjoaeQ?eyvbW`nEtsB8f8Fx2ji)nY#1jBRNX-f|{45P1JP!d8us=*ppZgNzpI zbrZi{)n59r5qa1tZz$k59mk&{tEHpXYaDpgof;5z`!=U}wjy~CW$q%_1uih;cXco8 zBOvE#v=7(<$a?FnFC^w(YX;bBmH2VaC2X&Rnpy1ndQVD~^ZN(P+wKXe)PK^&|1%V^ z$x$b4oHsheS$ZY%2>q04L?5W@%QiNemGn)wz3`zIconJ7x4M4-pwv}LP1K$uH_tQF z2`QyO{K1offr=wV-BhNHZbYIKbWK<+uubD!Xvdxpn8BV|A07D)QJ>?fKX+A(He zm~1N=!8c6NJ7XuWS7hRRQFC3p1b{`0QwKsxQL*Ie$@yJsFSq7K#t(*A>6WwP!W_82 z;vEFRyk}G{5MD__t5eiXOjh@QI0`q+zxW7_T1fnktglf@?X4a~dCis?cg@DXynOe8 z_E~9Nk9ojMA^$}1{5L55iBZ2|^1p*tTLm*n`m06=OVO!*wt&$aRS~f`eV(3mX-{Og z8~^dgs;g=3eX=1Vswy@P%uUs{$?1k_feKV9+{h8vq%S9uy-;wbTdJU(DXWTPj2)}> zw9}M#BUH=sW-Kl;TO3zE8p+mF{oX5W|=TChn$_nn}wAH1%!Ed*@* zf2ZRAXH)~UeQf^im%0V1m-)QDOkL^5c|ijYqNtN%GNr!7%IyMtdF2?(fy2PjPMB;J zAojIEJy&?zJzSDmFv$X%#v|OvNFr&Ebk`4n2^hl~Gr*Scs=hi)VEO=}Tni+fWHjRRlkQ9e&ZaqrMl7czjPPo}m0*Cco?E6fq(ZN+NTX2)j{ zI|i8tsvcY6Ws!@?-;b;PSbu2gLRdR)T%kGj@cy}rG+^P==Xkbh?#bD|2cE{U=={te|QhD{i;wynH4#cRqm&+OzI5eD- z*2aOu`eV3MV{doBIEnZAB8Ed&9I@Jd$l*Q8;Wp|%C+wq6wk8T&3iP<55LjQonKdV(36(7XqQ>Dub0cbE0t}f!r8|r(UMj$T#;)p>06cr zIWVqU>2?v3)hCjrn$3hs!<;KiGKT`^s;OGbrSd&}7+zcDc1L{69>`V0iG!7JEUW)}(oz6s-afK}R{qViPY8{VM&DECYEES*`bAbsKa1 z&97F9Rw&18J(#)GOrjYTS`Yf;+ltljfu0o*QErx+SWMT|U?)v>Q$+}iDYeAMOj7Ey|FM^O$NKkh4d02+G!*Tk~9yLGslVkT@ znCyXHUH7clMm$EuDqFxHXj6OhXLORGR@r2FwhcO?D-h+`x`;=Y@$fajuWw064LZ~B zv~Z@sX$sL>RVn}JhU@#{G-}C`Cogjm+nW@grgqCMqDuFB!0>ceKCOXDE6m}(^C;`l z$7=Di;+{7z(5o^rdh18Zw{m@YJ%YqJLcW|+Mr@;~l_pVktc{rMBOO=U$7rT=RODB< zXO3pDo?Ev=;OToti9N2FcoT$RAG9D=l*T@DEMB@CLfSu10vtHdul4@N_64-l7}}G= zcs~%-KQB#*S=*KZANV1IZ|~3IbEMk%CLNv58UYYOX&Bu&u7BDykYL2AL)s_FyN-8_5@A({wXv z4>=3}<;%JCP57#<#!eQ@B+K67H}hG~aWDj#i?|_2xaj9QTbo)lUS%GYNbd_Xa^JGP z6!-Yk;w~+(x%R}X=UPh6M~NbyiQQh45CKnC`^=cBGbf7yk|6oD8UI8evVZT#b0JuI zIX;tI_*ctGJpE6Cj|iZe$wjc_+_r04O;U35THP+}SaGi;EakAA^(Mzv)i>g+=E%-R z6lD92yD0b8@JW?{>wVk?mKar!Rors{r8EcO+BrmeZd6-GIV|+l)(A@y|aD?zdxZIFxvdJm>j3& z#+0clSw1XXxFe68UU62z)s$#t;Ca-Hr}C$Qk!t zZpH`(zjAf;*`2MbZ@^!~E`DSAS$1KLm-uXTt#mC^)@SXi$HsDfqD?fA+zdB1Tx~f0 z*1R&jW^;V&)q9U!&2QJLpUo$vPMMPv7V!&p$Q5>0dOb0U57t&+U!qdKymy*idiBg= z_lu=gnIol{@;oKPqIoT|F;RN21bgOnp%aU{L(rA}rrir!s@Fza_c>3!h`M zja#5~^&7<%ZM}C@8hL{@33J`dwT;{OawXH;61wmMH}bFI_@fr5)n=y5^3y)z9gU{u zKfQfrx1Afd!U_yq8>uSR@b@jYCJnmMua{jglJQw*gr|~^25&_62t@6_sk?hQK>1DE z9HwW#!5l_nV)>+f@^j`@T7FP|jQ-`n`lnf{$b&-R~u zdsdNr^Hdf`0|lmS-5lON;`FnP50O*d*t9;4CHUxP*EUK|6eDK@XaZ4FKaK67SPNM( zXRm$kb#4T#r(3iSlep$H(>!%>HuKx&uB+s32B+?af~r967q=&RC3%nYm|e|#2VC76 z)%#k~gdKhC3#b}^X--JD{ivSPxLKZl&LX^HX8(we3MskQsd=+7*t&a*pItT5I`h!e zM8;`t|A*>;+u-y~v0KFoHO++|&0~UEPkECgCNJL!`h35>HQouW3rmI^r1S`|u}Bp# zdOm4?=I7;XKzIP_?wadHHP6t5OOs%_g2iyT4<8nB+p;1ShSSz2*BGl0Lz%*=+(g{( zN3V%6-{2u9Q{s7ySHfid&DQZ^kYnKt0pOb!5ZYKR5^WNWV^ea1^ zB=?g&oCVBN{a)smz_60pWmMpBS+7xsj>I;jt%K&NB55IJem|wrb6QA>0Z_} zYOiG6(dhOa2@xck2U16OM}Mm7pg=jJ&zHrcF{;$L~KZzV9)=|;yi=k2r+zNL0YT;aYACSuoc zOOv(5o`Q0zA}$A3kneAAxJ7J-jTwi+)zvd^tn7cS8*b*tjZUw781yUkcxb?RqiA%m~lU!rz(ae&2UkS9FkLAQ=cqECB z!FxO4g_!rkj3W3*mqJrPC2yP-EFi?*%NIBZIHyX%J>eZECzb(o8jZ`WmnO$} zV*Qo9db)diwrsWiz=(aOEKMXaaf~Er%9_JD%lLlT?m7Y{y{c8y!;{rS<5KP_UJggt z=p*)7FVS3eHPYyPk1asN#z@&2Y21gO_F1u^aT=|^JLE9Rke^x1L?Y*>&^9CLN|Dhg zwVfg0+>E;YJc&jG*T+cP5B1%?Er0ID%ZvB_et8?x+xOt~_C%^5n7O|c0$Ud+7Gl?l z($MyKwjQ7;N{>pjHr+okz)Hl=Z|u-~MfplP>hvDEniG1#QL8_LpU#zNz>6~idza8n z2bH7}!-=@YSt_~uDXGV;9%X0?qPSe9*J{KViP_B{U&IUtR%XpB#5_B2((Z>^__Wm{SKebeSNIOgkh3S%g^v`hoSS?xad)hZ z!hb<*_O{%yVp;WZCDluRVN~}F{Gn|x^46>A*34_1W>|Ab@tA`nHzABfr(nEvfOD@r z=*^*J#kWGMh055W`U=@Mu>Mn*Zi)%T2U&i+RV=;Ch-c|My7y!uhGwgYa{seGSLUFi zxZgrxV7D)p<4oj%rB*NMTgyFj8t&;mb=9MW?xTD9d1Vt;OB3x!_sp|Z<8`C^zN?!| zu%1z!eO>K(s4-iaGu{f7ylK&}RZT7E5ho6UI+m!tV|KS2HPeDyJfr>A;6x^|rOKci z{N_I!#J6mpHxgxUgQGKUs(j(Vu+$^NUuzJzh(>_#-5#ZMR*c0D54rk`eb?3Ad3bA| zRN#zz2=71uAvV(LV4jcLPM%@6Tc7D+Hr?nVf`-_1*_ZnEB4sTUFi7bh5*1tmMZE>$B+Cx@6My9i8P{9e2>* zru!_OXlT5!*{iO{ayq{iPdc>xIHk2bdR9dItiXPo;ZptHXnL`?%D$o74SJvv_8D03Wp7MwnxyBE;^H$A^IKVHp=S2Z)G4FZSWm2! z_W=(f?9n3XAV@8yUl{zMP=)nV<*ZsE;0C@iB&^HT8*;r(R#xe=w< zfOZIz73rqsHq%eGp1!o`e-o%Fw0 zadt_$S^TSD_yW+nkKY}#`>fVI%UI)TSI-O-A38IlF4HgS@rSR%-_DXvOrH%V z#R$;2^e~#rdc8$jSfMU1ED~<6hgXu!k*xU9Q}uJKN^oUoTYKS8^-%TOEcCA}+Qh9d z0}JoiGspw=+kSyt$hW|alaF|R^e;c~=bwA6ll??@w3%}2?BpeCmTio+cM{yW_AE%6 zWWC_A8}{^Y#qx^f%7hbq=$^;#(G+Xi70tq}V&a8L9#hjz$$%m7M|5^psgWtA;pG>vGh@_OLobH26D#?n{?n@Hai6&V;fY&spsxlEQ;HZEiB5R4SO_okim#gwNOv z6|w>S@zJz3wsogg6M?Q<6aTn1rO@^{fsrSWq`w$-rk@V6mF#L5_QrwR^N>sg0DFwC zx$RX6p+mcU(F}d%0nY-!Np1!pvtE*NvSR>`mcU;t1HlDK4nsB*QK+}rMJP-GZZer% zc?T&H5zpyjq<;Wi%K7VG^M*TxsgD8R4a0%@L0EP&sU)g^*mLl+R+6;~GZio_`iB+(rr?q-fGp z?mp8!GuXHk${Pl_?$TxY_$jv~Qo*8%b=g)l-pmP_F3)8QU0eV*)$=&k6^&?1;=12* zz?a10Rhld($h0yo*KHBgT?P6sGpZ%mmI=9;Z*R>QYmvn7_jhLr3-O}o{QbZy}_IDI6Wr_O?P8As(-$>taWqQ;wDh_V?#x@V$n>zv%y{3(-ljT z0iUW>aq@ABn^g&4KIHfMpYFGDb6E3Y@m$Yf%6xIPC7sNhymvBSs6%EiTfnVh_qaO% z7_m^0^lMHNHT&5rxUWWa%nK>^ONEwiNlhKb-e*~PCH-oNkQ#{aw|4bed8%eosZF+R zoA&|mNfG;Bb`pSyrjg2NYOS$ZFQi@J_JU}r83ncWx&bA|&%wQ_{Kl(~fK%YDkYJ)r zW#C$w4KCE6UNS4UG4ZfNJea6kJD*h^upI8%WD~Q^4z>Q6=(X39YKg{?hxLgEab&$id-b#7z|F<5ilz{IND%k0YHXns_l)7Ujl{}};f zYH=BAo+C*LOc%9vj-AP<1K~!I)mEEs%_x+Mg>HBn2I3@K;Kc4q(IcSw z#emDuH9-@2sj+D7>yYo=0TW)UyGIjz@HKB=lTu(Ue=li;BPi$*{^``=loa zGh~S~)T-Z%_4~q!d(J0w*vNeP*f<#{btmtoEA#IBb3s{0RsXtIh7g62atWdZS!pe< zW=v%rTQR-mlPX4u5!iY_(w#0eM;4zk7yT**c8-OXDI0RZjwEQ*ixop~`c!bU9vm0; zGUAFGbX}J*&bPHFRkp>u*4S!!Ms$^z``8R3_$+Wnhlp4jrKa6FL%PNvr*N!^Jg$4L zUUpk_BbGl1b$)2RPKQs00FtKqciHeaVEiL{a&>(SVL^lXZ6Iq8dQ2KWr{nHZS+MAB zQ>CNhRqmE&tpHE}^6v4MRMrUjA-eGAv7z28xAH}FUWxZDZB7!H-r8u%%Uf-{3H1$Q znDv|E&JC+0@Gs0uI2!yc19rW>D*+-TqnK(Aet7kX>nGoLrl3oiCXiH#$9#ifE?3%oYc@kos>oq{z61(g6$`?S>R zjrZ@ffg<0keyKWq?cU+>WmVtRE96&-F&X7)6w?VEx+I7=$8j!Sko5PV5EdJiH&?Pm zo5(O0W*&Hof>nC+B1iRUjf#){f#O;+xs~sdUY>`$0w8T;53HGx5U23$xbVVZshP!5 z0S8(Grtng9Sz24yWl;a6@`I(s*E|O7Chm1C=BA7RFGBEJI)vu3wt(c9AK+c?n}E3) z&Dw3AtF@E(ijewMaT)jdI1!g_Msm-|MF4_x9*sM<*2TXp4&yzI&=O+G_LNNQn zY0T)WOXUp8dZl`AGFrjOP3Tulu99%YM~tblEVub-mZLA{fZQ368{3PR*39o z8JV=4wYhm->GhdXBo|^9iD$X%DD3D;zc(e2;!{03(L2DKnaO7Dm^RaCy9>0)ljDXe zIH3W%gA2K1`yUH&ijJvNi2+DdD`kqvY~I?Wy78hIb>o!G3Vu%`4K)~uUqYN+icx?3 zw!pmpJx0pE1|#g7vXv}{G&vZ3yEkXGmO(caydIAmaYC1i%C-dde9Kq_%2n;?LcD;$ z2^bX{1NElt=L_^v0^&EoljRuKE0ahM$3Lv~2zaMAnD&G|QWNTNL)7BFjplZH&;w+a zr*AAGTL%*5zT2@%X>j!T#~4dHQWZdtfzEBBRvfnx5Y{)%lGXj~#&G(?%%EPJI&$a5 zR<%l|lOv?$<_YFnTzj-t`nlDaY9oiv+BDUaaQfOWxvnjkvZ z>g{GZd9nnHy=6Zb%gS!d5!-T|{rvX45D@a%`uQgJYE*%^Zaw@opQTj`c_G*D@L9L0 z($l${yd-d+a)?)5y2SdROkDU3fKX~cO1`W?s4}+3_t8MbL(Bg2vMD&|Tj~t}eRgwQ zG;7V$7;tV``tWXVFGj0Wau_%vmMS&iY`y-pCre(@X5#a`FfH|sj5R6(uTcRt;c27$nR0HUwE+loo(i%&iC)XitY*Q#evT&6rUUuHm>b@#wCrDIBK zVZJ++`ep=ebyMGhm#d6>_VgUlE44<;ZzZEuk{;+OV63g?WAc~Pk%_h{MO=@7wYNtD z&*l4568#4~^7nku+UUxON^r3cL@@Y0lUmWGWx%R8OV^ptQnJ2i-2;dnn ztWG_P(@6^SubK%~1vy5%6J528_Hfs`F3Lr979lox_9oPhw;f)9eCqb3;~}b<$R!+M2Go115W^$i1WMXmX4F zZ7mxU0~rs))j)jqkXJlf>6WdVI9UHKbT!0tJ|wL;kSICkhMe!VuJbr1MuDphhm5TL7+NFXAYa`eckZtF@-ID0#1`B zd)~!q#dYVeo~x|!ST9-(fSXyEG2EUBXsmz7Xz+>@lQ#cvc;GKKa6-5I>J-w?E6R;g z&1X2X*i3qt%6t>oIJf~&`};9pRP+ReahV2s>XQ1xSoe`;=`)zcfPS{pMfv*garcfF z@YR8pw}an8jj56a20m@90n7AeM2Vx{^8I2 z{$|*IcPRM>^!@XIL)U|OdXcsUBE4u()spZLHClWVzZ#6*GjbR$GUG7nfwC-h?)LSB zl%=0V6oB8cJH86AAFV+452VT*p!r%%FI)5;z>e@Kll#rFi&$E(buV1#?RKi{eICH} zT3hYF!%OPl&C%a5aKL#YcKG{gbXrI-l~ihNQxu4z_PdiR{tHqZEonNlM>s#gYrDOO z`KgXjTRmW|y*9Ah$4oiTl9LfXX~{B(4L*926WDmWB_+z>_yD1iAIw&@6vUDcioEWg$dg z&yZk8aXBQ0de+tL8u1)nF>+`s_a=L*!vIaL1P>I4bPD`*yG6_!a$FlzCX9$S5l`Be z()Wt3eBj-BdizMa*!W1Y=y8$&tby;;-gA09z;^x*lJg&kiEb7N_zm+|xX(R0aIq0% zeVG-f-_J<#z_tAtmH0bDkJ9(2;KYEMJYvAxd=lvM;ett5L`3 zzD&(DsrV%yxmLocT&1JDHGhGh@BlB5mbV&T{`H;SePlQkDkRW>cQd8uHJ_T*>rC|F zQJO2b*X>0=Sq?|JEgsCmti7CZFuwM1gd{R@vn@_(jOvPF>Q(Y=i#X{EfMD!qg-@_+htum3DzwjTSrTy`Tg^;+fF?!jeNgt@F&|2>~^ zU%?C~wDj1|#z2H}(J|w_5Z+^iPqjcIv{*~n7&soy03h=@Ags=Yxwnn?G6Tb{+tF%Q zWrHOqEhROjybbDH4AIM}q)c_}^*%!pw%HHoDx8rIA4OlWi@^iWtoa>Ie)G8hfkA0K zIPDE-zvLv$3a1}1sa+R*x`XvA%ghy(;J1o~9zl=I>#S#O9R?ixyqu&&si#?TQ+z8# zH%*Zg(u#udkeZP|@<)zz0jOJ%ygGHb{(Yhgz~vA5J$18a35;w0o`RE|PO4ikeNp;K zGt(IyRHvs|qFYQ6Ls+;|WUhO2Sh1)avPRZkPI8FQ2~vFgIJmpj&2V&hJ|uXjGaByW zsWPJC7v3j(?I+N|A$n~zz3hFUjSij=Q*7_#3PJ- z-i=5+C<2CaA(~0zs5!b>iS5rEveOGeAqzGdGY2bB&CLCUHFhM?l~*s%*-jlj4rh?k z)a?ti@9AH=F@p_qbpwe1K+I$YMtC@`Ms#}t3?nx4_meeq56tiC5 zVrRb1XEGW*_zoqK+~CiCL*r}jigHWY!is9=_7w_7JiYLZO4~!3<Fg*k&hZ~U-HHFZ#l`qmPTr;P_wAF7z+!QMSeM0TS3^%(?*FgoY!u)Ur?v%lbMlk z-nHV}oE%^|uVy!;r$PT(KjU=Jz@{eK|;o+}BJIZ1MniSSsb@G!-ygn-mhJOH{p=wfusctU>de<@enwI#F!LU7eNww*RuW

V=HxLL5#XCcPqZf`ZTp9Dz9l?=mo|b0*1S&ZlNda#(kKgzn$Zm5;bcwy0u`XL= zGqo$O<*9pdRBFY=wlpgFP2h@1n~5@p(@f;0eyvIq5bmfzke5suQ7@unLw<5lZ&{!E z$~;2P?ftg;_JxFaNQPB3_Y&MC`>%D4_$nh1to?$yF5$BIp`KoW@S0-5q4&d~HD^RU zq{b&jhO7OlF5);5zy^6Sq049?7Dae5IC4W#6zAzR!vBl!F1?;ku1xTzqcGCmK9{)- zW2BO7#R=oJ)kGF|3{Sc5ZhRH#fbe!NAj z*mk`A?wb(0&9<66Oy(A`L| zZVWe^bi+%PKC{u@;%6O@-Q2h_@%(<&fVW0+Ns30_C~2{?r>I`_S7Avk+mVI<;jv=` zsFKDs4OBUZTLYP(>~? z?g9+Kpj$*n56;6)LZGTLtQ_6CwrCxlAT^r3-*nY7z-x(osvJ+Rtq>yJN{0ebbdMN> zJy))|xo$oX6jv94MSOJXOU71hkWuiydGiJxK_JBfJB@s$JGZy3aCL-2lS_cod8(i2 zcUuR~r)-?`bWh&2 zN@%Nm<-uf*{m<4w${5*WS)tT&txOy;jz|9p!k<1JvC;}1z_iO~ zP^G-kvz4sTnAXqcZyoRPKTitz3NQ$bOq>-63T$49%{GG9B6LCYBL3`mi5%0wowqjQ zyYRO@6{jbB@71;e9aQxyr1lqAp2TS#cw)ueTjlJc=R6fo=STBck!tdEVf$6UlkR|w0!kK2;$6Q z)zGkW_w0Qlrr1wjkU1{I9WfQ}wy7M7ly_)F#mmBTh5-=Hz@irh$vq6~h4j@18DHY)_WpGHE6v79IY!tk1D*x|?G|$h1$5ZE zYBefN*Aa>ydghZ9varGCfb<_Zl_upog>+xqJ7O<-t)l<1_=-&m1O>e;*Uw~N-9 z9C6s=BzbQsqC(DAAkNs3g##7N*kIC!I3LL@D>LX~G$@Qm^4Hu=Zd7MEkKTNn_*_jO z`X%o(_m>r8YjfqY?lskS&wmWnzim#LyA(PD|5{jSTsjN-a&+}azH{-60U!8LgXD%L zx<8;o^5<9Q`_}1<>%n-yAyit4;!m|wn^7+GR~jhA2~m+Wbn-K|pWTttaj>W75|cDAY>(yx;_q2?@p4*p@8qeek(+9S zL4rcr8HtAh^|G1lmjmvf<|WE3NzWkBytvGb13ri+Lxp0lt0&ia=z)!iL0wy$e?dP6(8hzxCX~`qF`p8OLbX3h_#`1xI!q4Dhj2oS~>YLN4DuEiprgLGL{yLN!)UIL*fo$ z^x?WsqyMYIPx-;rH9gQ@7O2?%gMBCP=QA^9no2L+*34&?$;~`YR?x=%+MJ6GcKrzd zy7g)1ukxX43K!V|rbS|m9P}R;=+|u7G_p(71DwcQTF!=o^YD&d(yaVx&|b~IuDDgr z)DAY4XnjdE;&Ki7W&5sv*ajt)$ zi$CbObselkpif$*$NgJQLPEt3Bbs`~hbB?>1pdOiySwd`Fo^k$nMZDj!{mP8Ct=6y zrDtG?dJi_vr#`NZc&3R+WkIX+UOP$uT6hgIQq@okI(YZYTuEfV(c|`=1z_wYbIcNL zyd}|ej=g4iy;yav|L4S9WbsSK^Oq2H7H_%AfkFVMT2#byE-WN+t7&dYG!wl+*7-%_ zmn8Y&3?E`-ZH;VvJQ&gs?#>{ruHMu};yw?fDaj9JtBs7ZGceCR57m4xK*;Zb>Re=g zV}or3@MM}`{hKgLzQ;G{!5>UH>mufGw+F#JS>1XK_elxI-X%C}-36923O^#LzfZY2 z@);{A>*buL-s5#Xze_pkSUwfH6Qf$v7)|M`&r9vAM?^(1OPEUMp`Ap6b#!|yEE>2G2PNrx~btIcIK_vL;t=6%0Ex}P& zK*$7QZ;;B6?A$_kQlo)F_!7OR=&@et_#1eS8{%lapYH?EGgZ9K@+lx&-s^{+3md|PVArWsM%(2(jW zZHi%)x#w^@JrDkimREP<@CVWmS@*W@MQ|Zj4U6BrQ7Q%5K?uGB{e;h=!v@rgs09*hGFa19u`Oa$1?PW* z!XmK#LfQ1{}_tQa*2L=G1FTO-GvPqxd)LKDPkB5vt*BrqXQju$ucKd6OKB%|uzTzCi1|6Z-?TDF7$6k-W_`}_8X_cU1{WZ!^l t5fk9!pGhtc)E)qLhW~fJ|MiO>1l=JY6optr?13tfrkajwjj~19e*jA{;7b4i literal 0 HcmV?d00001 diff --git a/doc/8-advanced/inputlatch.md b/doc/8-advanced/inputlatch.md new file mode 100644 index 00000000..fd7acf52 --- /dev/null +++ b/doc/8-advanced/inputlatch.md @@ -0,0 +1,11 @@ +# input latch + +![input latch menu item](inputlatch.png) + +input latch determines which data are placed along with a note. as in the pattern view, the columns are note (not changeable), instrument, volume, effect type, and effect value. +- `&&` fills in the currently selected instrument. +- `..` ignores the column. +- all columns (except note) can be reset with a right-click. +- **Set**: sets latch according to the data found at the cursor. +- **Reset**: resets all columns to default (selected instrument, ignore others). +- only the first effect type and effect value may be latched. diff --git a/doc/8-advanced/inputlatch.png b/doc/8-advanced/inputlatch.png new file mode 100644 index 0000000000000000000000000000000000000000..3100ca4fb08cb09c849403d7f73b2ed2898a1ec6 GIT binary patch literal 7986 zcmV-2AI;#2P) zxd5IE;JKxgbzO&HhzHrWt=2kCQ`~Le_p4+a$G8BV+gi)a<2d3$dheOJEKA(&JkQLW z=Q-X1__AlmC=NnF7=Xv;5-=)unpPz!ScE{Ds6{}*6GUtzg$RQ25C&7{CPFUYl`L&{ zSevj}BrG9hm-z~L%nXnBH&0Lolkif&=P(TL#zawcU3axwaU2J)Vw$Gsd8(?y!w4aS z!K5@z<2bIW3f`Du7;W2LE*Hiayh>S?*XuQkB6t`fgnYoHzVExPgJ&Rw5W?W^o6qNr zvDbglfafBF(4FfzP8f!kWxuEc|j1qwXia_aAvG*HXHl_bvzy!W3nudUElZNw|Jh%beIKCnCCg} z4>!iVm?cfq-EM~k+qT0mrfJ^43AWp9Q52`s2@g5OpP*@4k|gKzIm@!;atZ$uA>@-? zGj=&H48wSRi$B2jQVbUVfDMyk5}9oN0T`r^#h?rZ6UhigQ6Obf%0dYS3kItw3*h^e z?>n82w|6+>+qtI4b>E)*afa)@ocmG#h+3`2U_GDDi^;)Y0GUdqoK7d>wby?<9*;_; zqSNU=fMKhUR$&13KYm6I^kFmnpUfYIuwh=8lx7W<%cW3&?$T^F^?Ln$K1Ykg;c&fPC*N!~=W;npNJ?YO@zjK<+itgSw;Sb> z$)we4{g-R*Yp zyoZrInZ;sZFc<{VXf&=?EBMJ|qW)b_pg_T&zwDi{Ya&4u#-}ilDwS<=TqB2-Ai=a+ zScv!!1T0bnt_}n&(gqF;7$l|O;Vq}DpAQ#c(YBmSb zPqfY}guvO@czAetdwb)vg~MUv4YJh;=x8(|mM3Dh#y>tjel94LN{-d9udfNxt%3LV zcM=-hQ2s}L*?^)b>RTXgMPZGNmzS6Me2zk~t#(Xi=3p>z53*0^CK8Frj(dZUr6oRf z3=$EOVc>=+-gtw9L^QhDY<_-zIwo{F9rg_@$PYuE(KqIMnzFH&Rw64w{*a9fyKC7F5{>r z@k;c4adGi$0S8ujFqupoA)W-A{|0Iwj*pL7`sC!KR;w8s zSl@NI$FAc~@BoGXb@w<}h5;?wC=M)3J^$|QbX_x9f>dqXrD<;her)a!M} zgjH9ROfD7(@!`6^g7F(gQB-qLu2?MQF0{>LGTcyxfLlujDZzSuedQ(|k2|_35N~d6 zZTXbUmCxf?k>x_6kXeOBqrp3aR%h639TTW=z`Br1rHrB|s=0oX&1R`MK-8?%0oB9U z6;LSJFxqWr_xJZ)Nv%?nu(Pvcg`#ZyWts!A!FtE-){-hR&haGf76?>NPfw*ouJ=W8 ziDB|3I>w*N1Fd}V!NCEgC5-rP|56l1H5aYS<#N;=P+P_R9g7%eQ-CI{ zLZN`qm&RRkghi$wOTBJ zP_p#X)6?bUCFg0+&(A!Qb~b1(}*B3YfAWSh3 z6%_@xc7fv+z(O3z9R;Ic6pRA;mboJvjDk@x3Pu5dojRrg0Dxft)YQM|x!BQw2%0Yd zG=l(~3&62w$20)I01U%Gj7XVQWjqu+CfOkJ+EG41DiR@-oYq z)W_nYO)mfdXJ@D6ojEKlzpzH>@o8H020Bj9`A5zzKYPwCb^eoA@~cz4Z-VD;znSZP zlissra{B7(FM=VMcZ2(-h+xbJej(ZJTGzeS4fUsfb?W!cAb9{lSfj@0c|}GN$KWs= zNrUQ??VCZ80DuTYjfn-}3y?B63`gQ%8dRrd-wcuk04Ll~oRq}DIG&Ex$?uy%G5~-G zPiY*Fmt$L1nYjQUf-%Kkx;Y+))Sx=aeUm?P0l*x77uQN0lA~%+oy5M$pSb`aEWf5v z-6hy!g=Q`QZqGE0>Mp?+D>HKe!1C)Hm6w2ob1nejoGTyBxd32VI!EOtU|Iv5xk89| zJYFai%H?vUQYjXTi9~`1CY?^_AK11ngrL_mmRd@!?#*o-rt-UiX!ZryvmYmy)-&IJ z&TJgSRyK!50>t_Q05HiLpU+pVR&%)=xv1T4*J`y=sl*@XRfWUhdc7WrM2M)_Y-Tc< zZnsOG@wlAd{ymvO2A@T|8T0AHZ7t}r4`Ylje?M%>=Y3J^;d$3auJG4x&aZ8ow$mKOGqJ!v`0yM zDeZerH8cI++qrj)MkLcUo@ai~d5+I_zH|D{Fz;ZUEe@EH;-uGPLsr;5OBkzYjh3;;?OI*qIhe zoubh!y~SU?g`P61!yHcuODa@mC&XXa7<X4*I-*3E3VE)MbWeif0pJlECLRbOAfs;X+?!iCY% z(L|@8pP!YL)v;s8Y;A2HJa};L-aU5B)yT-m#>OTuFK_?;{l31w?7D1k^`UZl=4d?g zwye%iL8CD4UU71m(Mo@6V=a)Lr?s`+DFY_2CW}Y_a36b&Cwg)t1B@I`Nhs;?2?+n- ze7M04V_l8ys~6~Ns<-Q}s;OJI`{Z8{F+W6=B*X@)DwI{$q-PiW_qS#?LKjbwjx@pY zsv1wPq@yb?K!0o}uFVRmMjojyd zGCEu7w3t&XA8a1+G4bJ#OokiW=&vk)dA}oxvUE3fb*7Njk z=jC&L=xa4Xf6z@o_lf_@R5D*X>8_TX6wzsz*GGs_-XedOC@#Dv{SF*sq zvEoC!{+5R7ubjhr3ayz9HTJo|jRTwi*Hc&sjL&r8rsA?nW}fBcx@^%*vz_Y}rKIP) zOnmq2-eYBT{XFMA?K+j@rFX2cojk_SdCAP+hf!JiAGvz&XEAo{SfEuzL_~9QGwach zA3w4Ya8*=PoSmJktE-_G)9G~f0zgIPYwRV}40%c9&WvxRQK2fJA*C^#y))qkHv|R9 zx`S2GIDg|2GvFdqiWc&4@Dm0Yk35fRYKhdF+rxeBCgXW-Q zvY@Ao3L9s_4Q>onk>}^*LnA2k`43Pa9^Vl-5h`hF4hjD`%fpKHEr{o+Nl%!X*F zbhPHi%iCkDkOLn9$IYtqs!oCCWJjZN4?+hqm5lRMXFs3|gcLgrrW z;fM7==FR~s3MY518T9$ck7bn(TMxfTNNac5ITj|nU6wFEpIWH2gXeA@JR3~?*N3`z z_JrkD6Nxsk``liaHb*RVw9UpY2q3&&)<6lKOQCeHh$$f<0g_9lQnzm1+I>H(t~jfy zu7a1JY`p5MfLI?!e=ik1oq3+bCOc#Tqra7>wIVwnfA;Qgq%(gP^XH;wwu~6BJ$JjH zxb$~TgB#ocG!&Lpps~zqBJ|BpY6U?@wk)1y1_^kdf6x-QeTU8kmsV8E^pUuHzzvwf z7wdD?bk>5+5HiEGab$AJmh!rx$?uR#-It8vN)*T?6I6 z*0$W*;-NnU4NlEF#g$q$pQQZUp@Zst&J;*O8LaF*FBq?va z>fE!vv+1R5HFPi>ENr}iR{xar zT$}Y?1Wms8@3)2kVECaaFbT=-;yM9QGb-7ea-Idz0a?&6m^eeddG_ zAd*eZEzjdV5mJaPJJ#BYiU`5NvHRFn!lwny1!o84)E=k|pTloHX9;Sor>O>>Ti4Jy zcg>!{l5+HfJbuZ`dwtcy>HU@azKBm{CeAD7O@@QQc7xB;m}H{!^6l_3!?e$PyG$Er zeC_U&uosEwgm(=aM3~V-wVIo$VAh!7f34>>H8s`N))KJzy_T=#UkaFcSqJOs=^>LC zk}*<>y9oe*s)qJQ0Jv|1y~B2xHC7b%8DKnQ;Xgl)k1T{e@HFbs!rbRq2_~yGXDa{~ z2^7z~tr9M}q7PKJ&%ogX=JJsRAy&LP?hx&}xS{;J{g2$>1|V{tvlmbR-z{Vcobg)s z?!oEMv%jxhJVQl6wtZ%1FR_&irh;N%Jc>(bDZyr%s+9I zKJ#WX-So-~eyiAHoN{33bewigWF9+pBK*xC0BBo#^70F0Ke?D1?2Qg2p^e#m zM-|jHFDWbhJXu(r@alr$GBVKA%VQ8+e z%71l(r>p)7Zg2x%du)IYN1G`#Ck)5hk?tT>Y;G&&9=~$e>%?`ivwtB*39y@6Sdx&M z-R>gLC|)L}W3>k?n8@tmoPg2zqR&Rwet3{~`CYjf{Fr&NCWe~ec8{VGSlQ*hXR+?9 zY+&{PDCZOuqbI+p6nnUvmE~o#3px~A;X#02LGWePqcKU1uR_ZRX6Gof)}k(V}6)hQW)542y0803pe~Qf>V7L(FZWr!pt;_370{jwgpK z*i-Z2onS9nbfUo|M9fA`L}PhD`TJMIWARPk@1k<*a=PY5PX18`7YK++Rc6KV;A#A0 z!3}P_&By~a+qlKiCPeZ{)<;#~L;$&oGxVyV|VFEp+RfyEy@{CW< zIv5hfJM9SoL@k=A}gL< z|G&Ax4X6ZAA+hZ|60mLcf_YO$!_Rd7=A)>%R2)@Sl)+xJzYdQAb%p1`RxHuyu67e*YT0;9;=ea`4FZmC#`p&KQS{ zAitrJaadrisH!D~0h9&EWC#dnVM6FQ;2TTL%;yx$4GIbZERGp7Mp|0Bq@?{yk+HEc z3|_~NA1^8@>Y~!z=Db7Yu&=D+At8+@HrBOUptVr`CA{*rh3SlbXuD$a8uEtIKw5Jn zud*hafgrcbSc~>uGIr}dY0WXfV$^D2I{NL`05`TQB6d{@ltz}XRPXiD69+|hayUH~| zaEGx3?;NN-gzB1|IR)u0NGyc^5C4A}8X7ouVw~wDdzF+9AyQH9AD%%-mUt__bSsQT z`-K%PpVNVp#|%ZS2}|=)fByB9`A~NLM_3e6-en^L!mglbJX_UtNy0@pI-NRbx{IPQn+u3Eu4}CIuWk6P zp*pmhu1x0&D7b)z|N@uRBrKeJEgPXowdYyw;%LQD+uZ`S|!C=$n|Bn3|fR z>OAL8?B|8{0OeldJ->Je)_o*jp^=$aMD$?c2%;o-oXD35$}d#=5sdOq_)9bZj_uq6 zMBc$bRYmN(aF39Q{jY~YjloFB;zTF>%jk!;Fi=&#qsww){ajQlN0D5BFNz`Lv5IXZciSf{O(?a%v2uo{@HFXa)_kKOf<=WIE?}n~8o)Wk#Gt58vngciMLPns5u@sy-$Q@@S5y;|uCbfQhwqVn){7eGMRVd!qq**jwvgx!T%m*?Dx{fs~* z+1n>}gq+v`j~DCFxfUiwwS?sKY@#PIEgK~nk^W$9VZw?UdtH~KOc#jWF9Eyz&fK8U z=x}|(H^$tLdN(k`!MaN%(J==y9Uh(EgS-z0L`q7Cy4qW@<~;*qA+HFKNDTK_(EUg> z9Oh4DHH1wvF}Ux)Q&YVk$6+o4n7exQ>bGy-LVh(cFaWtgjmPBVWc==&8#iw7aC`tm zAGwb$dGiD?3Z5&gGaml1ycgHuUO-QMNe(<=@Y||S_#YC?h*Q$<`@QtDqf`~6Eh42P zt!3I!R`4chH_k#&=EIX&kI&*##;eZYDBJ351d)4CdZ59Z$4d$)eOnDL<0U^L^Rxo3 zc?7QtV&6@Hmki=pb=1_zQ+Z!S1mFrZqsxVvHd_A1``=j2bmDGV(#^FC;81jP)+C18`duc;p;Z)zHvROH2N?{3j$Pt~i+5)KHa|3_R#e z`m?a40$gH9LW8}bJ0`{|>CzSHeDA)4vi?nVDSzW{w@wVoDH!5+6*b0qNJB_I{Iw>= zmTwAzPkq8yj>3e1>;M8N0sYwp#aKN~#~)(?H)X@Ip1Tt-oCeeuQx3(a%UZ!?e?~3!pY=^;aT%a#3+_7r1y6RT>cJg!329 zGcehV+z;_@vp}2;G}U3qg&;G_c zbUqjj=8|tO0}tYrqNc799VO+Jqvmb+`sdaq%;of8KY}2*6XQt^0 z!MZ;4&eMD{kiqB6!_)JLqyE3T(~B|r!Gb@Q;dA%pPiCKe+Lq~CYh?jQ;ZSMRc?q9b zX66D2W6e>03Bp)v<^o`!tAl+mfE30`qs~kC#4csmdceVh)=UV)DlsaVaFdoT+RZyKk-z4|B0Gj1ZyS!ES@h1(N3aS(6oA}HH z(495?qUq1=_uchYz!XwASQ!1C!f4a6g6h=!_R~%s6afIhAOKni`*&RnA0C7tEdX5B o0XP@Hxd1p9z_|c87r?myJX}XH!|PlM@c;k-07*qoM6N<$g0A+6TL1t6 literal 0 HcmV?d00001 diff --git a/doc/8-advanced/opmask.md b/doc/8-advanced/opmask.md new file mode 100644 index 00000000..6e6ca725 --- /dev/null +++ b/doc/8-advanced/opmask.md @@ -0,0 +1,7 @@ +# operation mask + +![operation mask popup](opmask.png) + +the operation mask toggles which columns will be affected by the listed commands. as in the pattern view, the columns are note, instrument, volume, effect types, and effect values. the effect toggles apply to all effect columns. + +click any area to toggle it. a `---` or `--` means the listed command will ignore any data in that column. \ No newline at end of file diff --git a/doc/8-advanced/opmask.png b/doc/8-advanced/opmask.png new file mode 100644 index 0000000000000000000000000000000000000000..fd5c350e7a48083e75779e696ec4bf13e87f361b GIT binary patch literal 77408 zcmZU)18`+c*ex8JC${ZLCZ5=~ZB1<3w#|u?iEZ1~#I}=r-ur#^*RA?b_1RT>pVPZ` z?e3?0J!`EeLP1U(5e^p)1Ox<8QbI%t1OyBl`0a!N170DuR_p-*Wu%f65ma&4yI5h7 z8n8$2-AiLrKiSu@w`_-_l&0b2glaH9&187cX$uar*6s91dV-;7s=_^Nf+46Q3(wpC{MpyJfBoPru*`f3LjmN|PfVF9uecH~dD3q{NNJRf#5;GLNF9ZY~c;t3DCW3sxTd5i zVw-jL)vMNSwihg^kwfw0QKU^dvo>kqia9+Ra(ph@;7&a(c52j9NioT?AlOM3alJc} zVrLcC9X-Y{=bc|MDn>}i7uWpEG=m-DT@&-AIhjh)3UA^M{BoCKiYi_p4**Tc;ZbR? zd!13LCi>Ordp|8S;)h}#T7JFbw>w~xRS3m27D{qfG!Sb@DpR&7!IJiLKJ{p$dvK*T zv8VLo2g4P`+7X6Q40KpYNlD)^b0n(73EO(j)I`|BdBrLSH1*amaPQB1SMLl{ zfsB-lWwCSu86TUT{q#Kzj`weRd@}vQJMvla@;Y+42X2p)l>z6VPzJvqT{~Q(e$xCS zBYrJ3Uu!S1+xWXr!+vKqrtR7;N?^f=f}2`Zsz9A%Vq|UYb-mpMjZAps&U+d6_xDD# z&->HO#J*$OOztnQyML0Zs!LR|R<^d=HM$-C{vgQ6ac{(l7@r_t zSdoj3`dY0z4tjEHy7@s5{3zY*6ZcMq&tY*rWC^|#oG9FqNE{CoNcc*f@fMFd=Q{i# z(X~cx&EcG1XZ{>HY5i%CI6DX60_?LA%C`?xAe717PB6`8^~#nL-EyvQs4oZ_6xLA) zES}kBm09+afu4T3R-fNtUqn>DDljlGK0dy~?fQ(9M((4$oLV5w$k@n;6l!d2jD%6U z*~a7HMDdm0$s}<6+l16_d}6{HI9A)++mXUstq#Uln{B{p%UwN8Ek>;Q@yV7i;bFQ| z98ZCqq>mEA^F&0%YGm-QR+`F>=i@DBaAM{9%(}fM;0sL*d1{Pq6BpzD{f)V+3!tR| z9jl9g?(t2`csOaNQ~?oleU(#RXZ#GeJ!$XwOvG|*IQb{8O4zy5R(WOJ>u+r(qgNdh z!g_RofAHYX7QNk~<(r|ljBvQa_Fui)k``2J55kO;P-vyq_8aZa`J;Wt)BLrTWC`g4 zPXw+GPKSAKtV0VyEslz2H}ZU$HahP1J}-EQ70!>8aYOgp=U5V)I``L$m)K?=Z|bD9 zSO=GfF$i=H|7vUfN#k<0}4NEjKBe7i(NMg8*@7Z;~Q3!3is2BbgV z9*nH5t+fu4Vg%_L85!AQ5eHYm!^4k6k{#y2nCym`JfrD1~-3f#}lQ55qhN-vgO$_Y(B4t?Hd-g<#j?Mu#%u&s7 zL=1`1@`&31*6Or<*%3WJMK$S}$N>sPsUop^YXaeKF_GT~Ds^IB-cGH4&+P2%R)?G2 zRy&5dgS-37zp*6McW7v6a8VEtW)4AyR`1ulv!zPIgUIOU;EJ;}ec$@#=9(}_@}xOL zYRBDP(34-Y(u{hYoYu=#y}bhIi@OJSn3zzRXum-5`hI}&?gBm|Chm`C(1bHGGO9Hj zp{N^vZ?Fr@ZFhMw>9qR4h#TO^XfrZ0@_#-kf0EFPv4FuU1RI)aj??h?JvN_hP4N_k zMZ?1)?v&S_nnC$2Ot&zoWZ$pYp09PznqrS%jHs*FIq(+;ynD-;Yh$ew5R5;0jggO0DV%Kd4{E!HUOX@Z#a<|)*;Y@F4? z3^q|+i60>21rdrNGii9}5%l0(Dl}dL>*MEf{is?PT>Fw#HNY`kxEI8fkny;$97vBR*_ZF4*XzOr%r+@uBIj1(nBo%TcuBeScmt{x(pKEB`D z+=O|VBi-dfIeXa~2m{VaI0!Hgo>?%305~>)K?>tJ^lpKq` zNZCq=oNT?(L8o>PG=s)-$V=CeTCP|XpDxE#z4B|nxE3-=zJ8}|yxX+gGfEn~5JjoG$!v>(i>t zEKZj(Q&YpF*OF?ncjfnd2*+0L0DzzeC?&?ngG{8%R|DTAcwYXRz|frO=WHbN%H#RU z`Po^rt)MDc-CpfL<~hy~wODL%1{u7mpkhQ{njB@V4!)7~lt!ZmhlT4WlAP%(DuyqG z_;2XHXzoR;LJE{n7z?;RC=@>>b$KYT^2NH21ZYdyVB=9RWRW^VH8AF6H4V=GAzbQb zrrNgAt*c(c2c;(E8;%5){VVLWpDIu|CU9~@fp}3XNCXWt1Gh20KbnH=^)KI6b+HQb z!jMw9l_KW~wl6&c##5zQb@KWW5?C7TEM9pz7@#$Y{rCDwgRc>zLBx8&-Q69t`lm3? z5Dd8-68&05AIrmh*uypK^Zv0Vj+c?#sgFHwP=pdGCaimk2>j6eaN?m(ZWc*OxPzwp zP|7VSNTOdzcxPn@0i6QL$-^)fG>#CAXsIKSK2Pavm&fIO8bZP(37iRdtRQr%LdumM zT51H}1gX}_&qC4ZosRY_n~|sC))PW!wioHnTxmn-4&M#p?}wV28tRGhac8$CAy{$k z!3=Bf7VBl1js-H7QI!mfv(l(vaKe4n@F_$lg^2VqMi79@+*XMA#lCqfi2%|DN5yaj z>Oe(!xnWPDym5nqF);%yH}=j3_Zen!6#t zq(Q6%eeH_~aqmDOBqt@GKEs-0+KhK(r-v>y2w(6Bh(Or@+2ew19Q#lfOc{iAD;eMo74{wXLDZcxK1%Ws>+^sDw^WtMQjVY56K=i`XJ}L9)Ou zz6^U&BxI9p6e%Dz-&O;b_F;f=y}kbYM%izoyP((3lt!r&LLcl19E1PbinRef6K>Ad zma;^VqRBf^hanv&8QRozYdJM0Vi<|OZ>51?qo|QP(Eby=r^n{JZ-S|qac6d203N(v zFxcAvFUl!O%lp@-S26|$hCR~Pg+0GUFA7(BLV{qvqA@<`131_;+~WN(6;n zVl}=_BcWbm5sV1^?!n5#*=Vpgji?Z9$t)v}6%QP8yOkq6xRC*|f(Q~V48CBStF-i>2is9Bi8~=4tM`cBAODSJ1%HhkIg- zN77s`{{<_;l+nId{=%h^|97dTrgpwu4SrBPo&ZUh^KL?FdUF(H~C z0MX_5&9|>>V`GD2T$@e`Ne4YmataSdXxPL$#|Xp+lD596QlzN0BqseF!lr-AWSvb0 z_sS6?dqSMb_AnQQabfWt+PH15j-6Ig@B?9h;oC#6%}k(pHy%|0_`tJpJ;Mlt`ggSy zMJYwm*{GAVw7^`$=$+gK$+qt}xT_@6cJKc05PpXs*0bP+=jC16s}#itGuXS=)cGI0 z8;4JWLhy>+CPtX2GJFU3{S2YZDL^BjvxkhTN&HL_Z2%q&Hh~!av@u_v*nA%dEDKoj z135gK2Ejj??8U&jP{w1kDay&x@qEKZ?V|w>bKmXrUMOrl~fSY|E$UGV978Of)p@Q~5A z5w3y=%J;hoRz8v^dqxyMRLm9v-Ra!blZLDquXX@yZm42$I@SF(hD7= z5q*^t7Dm|)Kryl)3Q?zV7&5I#x=po}Ebek%(0E&qByM7~tl=6g^pj|Z=jpD#XfU*G zizYjR9zDcJo12YmUAA48gy7J}hK z^JHLnD(}JsnyvOE(-!{}0>%SXbS*?eF{q(?gOZccOC*pq+INql2D>8LeH|QFoQb`s z30k~O0}W9pz&Js39ooh-ImALgFDq-i1MdV)#1eLda4{^H>C~#Qd0eY{WDL;2{}S&y zOr$dW{Q2|IEE31%1gjqel326JDy6K9O3tct z8m+Wg-?Z>4f%OMy2`B=Hmj45kT)AkD&kx0}5E_GDQQ_erxFih_mU2>3Y@rxrd2s%+ zQOZA*n(1}h(GQ5NAWB&&sQ=qB&YmfD@SHri8a$lLqR}En@W_yRabsNZLYLkS`N2d{ zo^E^ipZuO)PVE}m-kpCa8qFuCog&VpE4|Prj4+7Q>}->45MF5a)6o%Ypm|%68!YlJ zHUefQezpGF?u|?f9;vI4>JX_SS|Ws1JnQ~9+U1+2Q?p}4V(0CI_0@Kg9MSlxK&6pn z7m6hs+#~pl`-updksP;A9t}s%e)qcJH2i+{01mYdth|x~rrxV8lB`2%uQXc1>xDvk zu=Ivlq467_9Mr%=+~SbwmwAmJ1|HcR@a@MxTD*4-Z9(H#HVDkN=X62HiD@yXbGZ3A zsZG{bv1)g~bUWAoiWnK3Ox&k_WhT7t?ezQvi3l!NFo|w)btLNiCxO@kqE94VSCf!;f7jO`lZdYdsE>B55F?-Pyrj#U4uv7Bg*~KdA8m z9L5(^JS@=IA(52&1W>RD7b4JP0I6$*VZ~d3G$bfxC8?Ab#*?#mbA?}E$CK@paaoOb?ojY(UQJXnOJIZr@Jo(ObJgkkaH(s>$TMS&mF2zrcN!7vcWlPG z;b7sd6HbQvz4sX^VCDSa+4Xf6x73sUhXAMT$>>#hweiJkIHWjPu0&l8uiBVMx5%6R z@yf~Rx>4Wpbs?i@q^GKSFtrdo(J9GevHigb- z&;PgYhUaTLq35O?86dA$PhZ2mCo@7GB%AEi6a)qU0s#vW2=Tv1K`?(}P!PeynLMTR zer}M-buAqon6Uo+>st&sW$b)1Pyrw{3?y{P|Mv*&o=s+X@Mnuq>6g02cM!FVVa+-u z!PxMycnD3ER{-&U9e}s|f4ceC*Vmt(o{~%UGnOdPFfLm))ggm}gC`{=Ipo=}Oiv>rBZujZAKpz)P7V$Z@&%b#S<%|&P8?74D8r5TSLkfb; z?(FDSt7#jqK|#O@B$D6X-#a-uojP+na|wch`0Vw57+uTc7t(KVeDyL9_+P+;qgZL| zgVA19WMo$O9F>`+zAIH|Xg2Ssc4#uL?W?6qSy);zX82l}Sw-SScC;1*Rt|^HQ7_3 z8LBP1x_w%{dK1~r0HX3O=N>U~rg=rci2ma7Nf#(d!y~T4$GaZi%@GN~JF!ONIpCRA z3Kn!TbV$QzM6mcV;!;dPW?iVwHu1;~AKiQ_1H}POyHs*{n5`bacGO;BnY)wVT$dX0iXy&dDh%D!Sfe`G&`j z&;v5gh%hj%j)&tw_JXc6Br8kX-rgRV45Km^78bs6x7h7qB8PiEp4Cel7#R5aeyuf{ zuZa}Rg)3PA!OZ1)lXx_?phDDtkKwjWIbgo&K~LyQNM^UtiGazEh{PI*Utf=*Nq<$yHSF%Zo)K-}ds=s+t)pySPwM+wEAN zhTK|aqFjqt@0-ekWw@+pua%^loM~BU`+MvCrSj@Z{R49<@5h;EqC-=1JR6Y1zXa?> zatBE3ofi+S7IC3{s{5CRG%C&FE4q7DdC)_&b71ti= z?}>i(V}uEh=v)m~X#bqKSf)XQHWhzaTiHg4jB3Fsoog38suR4W!7Y(ejkYG8goZK5 zi=bLAkPS7z6x#ZfuTt)KsIy}#UwR|WS*lN(_9JwKN18ml&4vohe)?kY;`t1$J&*d+ z$8+7uYQNii-TiL+c(&yAeC6WckigXH`}sCoAiRg52&Y^sbGqJi9ta7K$)IIYw*;h| z_7W`@N~?9+=CjoXhRxm{PDw~e8o&bT^;+%sQW>;^VIR&{;@~CD6V)RlBfCGJHki6! z3hU|=RKRFcq{iu#X;bcuJUzKJ^){M+C#fIJxoF-Mp`#@6S;q5I;rkZa0by5*{Y23`vsYMfBn^(`wf^Z%PO!`0{>y zr0~5Xi(YK|iKN3`27*KPY`)t9hnQ}l=apV19hTOsY*&zIfV3s{GW_kgr9*x0P}cW{ z+4a$u<%N-W?OOnoEGH_TbaLSQbiwCnThpgDx=9(qI|;g7X=L~yx9aJGFIrIm)094{ zjLN&U@HUi!-Ba`Xn79g#j_zOmsSdcPs`rvBDyQ7}bI2FNuDCqAuT-KU+}GpjkCWk5 z*t8EF!172_N+zgVsuj|3o+bl9f(be@98$#k6(!#El%DXcdkNKwZl7e>(5=L+U+wC?5^^_4)(XLiS)S zJ@-Ax2x)pq3s@tA{~vRZ^Xh(;lS# z@O$5?xVRXf+c|uwxR~67&dck+xOP361ywf#2IBE_PLMm062Ce<#UUg_Vqk%bDiX7) zHMN5_WX|9{ri&0YvDt28vN@2WWa3>BOoz29x4nUvj#l(kEu+y1Ne*|6Q#tTu=CR6mnq5X%aK- z*br9Xf$B~!Ryw^E<;#SOg28b!S~}pjnk;s6Lo_jNP_Wg|QAoPrNA9rOQ1%HUdUFg6 z3S!hOT30)Zc)ZNe8EK5)T}t*qG{pqfT#NjPcP13v-2BE!FfXENG@CCdol4)Q$E4SZ zy#fKgQX-A@5@e6)1P=!?7z>Pu0h7CbWA=EAL(e;Y$f|YQEq40!-F=Vpe6QqunD{|k zBN2V!Kz5vv@nWZkpQS{v%To}!khIPzj_?;u2RrtEgx(Misi>p!LFU*)}jc+hbM73sA*pSB(6l2u!;)aXVJw%!~YaKglmrq^*= zg+pLEIG3jsN^ZRN^oZin>!B{6FU3nPU}jd!xSjYP$>t3Yt+l0XWS~8+caORsFA^$3 zRkSb_c;|=k&YeMeu$1rc)O3TcjkzH+`Ek(Eh^g?S4|xdhrA`1u9F~w9BDgbLhYgw>QxtFNyCW7qS^4uvHO z5mw2a??qit|_~M z&&9|{q-Ou%AR=A0fs%*?R&C+@Q32kMx)uu^efM_vDqn7o1U9NDRHB`CA@g>3PB~obE~Hx7L`9^EH%?%uMyD&?=E z??^*yc@I*pI~Gj|+!*Qbwuemo!3by}0$jVLbN8!|wdr#d-Bh8BcgG|g ztD?!EN^n>3XkFWIhqY(D8_t?VGQT0jPdj}y3|$v9!1Z2V*TFQg3Jbd2U$+j(++WwPi1mk2wlT8Tu- zlzv+Af=FiDihHp^A**JuUsXvem?Qv8BU(d_1ZBxIoIr)LQn%-1X?5#rxOMsLKIx3)c|P2>wT52zw(IH{2V%n^+FP%gbvo zPR#$MV3a&>kVDS)VWmOYVRAjfD+)DXvOm~e?QY~`pP4ZWyx^eo(69u-mgQbPe~rwFI^ zHY*Ro4vgtf?nAaT`49Ec!7nnJ#d3(((E&i#`nUS>EFHD^tACbkVAsqG+>F zbD4-gufCp!&uP1UrLvFSs!5FkgFi$9zG2UdkLDjcnB93VG14haVcNk=3}JSVcHhYe3nl2mg=sxkU{-Bkrqn}V=v|Lst;|0wc!uwT$7Vpf-7 z!hA?TUAPP_dMxv_?z}%YvSLiWdoH>jj7``c8ms2P-DMzMa6jjFa2y_$N*7#+qraaW zF{}cnUaEvdT4IBvWbhCtuEkb=6>%-BPnHEnM30FfjyqL6jyXHbpu;aMZWm^;2$8SY zLy+a*F6wA1g;2RK`>at~7;~{iL4`eo(x-Y}E4IX7azv5+-5Y~ge!)LvBQdQlTx}kv zIk{W~b}ZBS?V04pia$qZMtaY*qO0Jg!QsZ3EQJfVPZ;1P z4(vp;wUE3s2*z+JimIiMS>mBXVhA}YPkhUiN7Azklhs4J0P_5dFJ=o*+=aM;n0MtX z`x#XmC%PGOR4R?NI_@Rv&&iYYS&G+ezdYwPRemWfCSW3jgWfZg&?=gn-}K8JpvW*B zuHA8CPyx=eaSJm_;L!z>VA zbCHr3DwoL>iN}@74EhVLW|#i>2Q94{b{GUEPb*=;#BVYh4`k%=Y(xcN^&{V~C!VD$ zB)=*8(&jeK`yxH5gNT@|l-6c$V3iRzQQ;0Y{@S>nte!ITT%)OiYf85?G>Ad6TnTZt zj;Cp2LNvkrhHda2Gi<^y?*Fy#S5917C}{zhH|^c1Bz87J*dAN-K#XWtr-HF^z~(w{ z5z;kTIJ>Wdp(1(^+f6uFBL5eEEfRrmqR>7uT4y+rPOOvG?Ckgb$pK143;Lgi?wcp2 z7A;EyH&`meq=v8vNu#COeh91f@_L+?$80F12^q4Gs1Y*o*ZEZG)iawa98_8ElglLp z%1S(=MTP;GVxo`5C5`Ax8>RlaUk!&qINKrewuMk{ za&l2ZC_Ja~HqF#aU4D4;fm|nWM9{2d>wx6(stFyCXj5`QztcW!imjjLJ-q7vlgz!s z98UGBtu6ADXj`Y}PUv)|-HvhDb;)t+ouow*R@*gTII3SJzR}n1;@Z==1u zxew>z^OC0uvYJZNC7@e1ol9@2qW9%6O+UV@rlKDlhXq&n*JvyJ*lq%!r==vI+T#^qNpRU?a1v3rQ`wq zpAQqE9~NPvEdZ;>G2J5@p#IU}eoN}1Tt{%x{|qSURo}fr?{xy4Z66*e?!`rkY4UKC zASJB3Oh<&yND^Zs5lijm`F$A@)W%w`hBc18UvIP^GX@8*#^2APn7%(<>R{sH!U^s_ z>^8te6;b|eI(+_I^&AKMvhJWL!4~sfZ-Tqns$Te0r+st63atIR=b{UYZtJ=kKIV$mYynN%6h3O#cW+ z!S3F-lw+SWbo^hZKaRF2D=eiHyL!L&%?)Hq^lL(}VbDf4 zf|}t@gg5;>QxcHq@_IHi@mt$;`aG}giH%YyfI}=P&^n-qm!;df0*YfQomp^$1z%ZEKiohX6m&7+Rw>sK` za^NQh_iX8{l8{OqP~=``Hy%bvw>+B6$R_F%sSzuF#H=0|Jn+XQ<-$j$aoNjZep||b zSI169k@NG@+1NGfcInzqXH(`y7vjsbwlmkkvE8AySf)Z#TKEBk$D(XW4=G_{lM%Wu zna=K{FRzu*{qRm#Q5nnDTUiYNh{Ry&5&Fy6K^EE>u3Oa9;Wq{s;=}+S0F43%b4SoS z)xIBb_65($*EM|D@vX#xQIJx__id$gGUK$yE)nW!^vBptMmp0uhn$u5OqqAL=KYi? zR#{g{#EHm8Gj49y$$p4CH4HG zw;Fvho1|DW5y%^SU8rFxI}Ok76D>mJD;>0#1n=<}iRDH%o6)yZMLa`-0}UWhgpFU43NF(MsR!_){G9)-&WoFm@&VIRTR zi=(ny9~CBt!2qsfcU$$s!eWT+_J`aTfEyKXT<``8r2Fev#}`eve()WM&lHq9@k2f|0j-9TF+0hZ~2jND#qYQ@lch zcc&ehmP1a7A;OsuPY2BhS#C&j`nR z2RgYN0`Y@i5?Bc(hbbE^<33$mSno5#sb`!0TVBr#g_YFdDm^bBf`|Q6JSEDX6+{#{ zqxXx~9S=vRAB|y(>K+?rm%~yb#r)Po&-A#$?IFc+^Olw)Dbo5K@{99h_bOTLH*-nG zE`G;^RvR)Ux2OrUxZnG}!o+xl-FnsCZ`Co6y?L&S2#C5~EZ-!q^gc73el@i;)>;}m zQd@U(%yL9y+dk9AVGUJX&YhPxb4(NPr&5YxeUVRt4fLM!HEu56mD5dSPu1V|5uYy) zu6EW%%^c*VOJQ?2`90r`P8W@jG3yg6OVq8GQ4-wstp7YZ^=+i7TqA%~{a;!#AFPN# z|04_X-U9|j{8@BFB#{4A3jWgz{)gNbh)jOkQ$FhleU5SjB^Q*5p&Rz<&y1f0N&WynRpP6&GrA>{r?dSfQo~Fy!_0`iG{hj z`2{EpzyO#k0o8GDZ{CT9RR-X%)oM}UF)=aJnaj(|eSLia0Rf(3#DNglI5;$E6Dd*@ zGxyZVv9Ylg6%`Q?5kRU*U`4G9#M;`Lm6bKYpZIlb+eP4~hwENiFgSzZNI=+)+V?f*8}?o1&-{)XPeCL-dE0@3^)HeJwvz%!7yEeWf2iX zajJyyx#Q2VJYvSF=T;Gz<(ID_Aw>jRIVcM!?KM>I;KXvdRx&YDx_xMKom9STiNKBd z2(oahg!+1i7aKV<@wwHW-%~@h7$g{g-#a{m$UwN={qkU6E=k2a{NOd~_lgNqY`4sy zCq<^U=fvmEkwb^XP!Z`jY(&1&;<2&c$rLnHU7Ax)W543`M^vOnaQCEode6wfmXw3= zJr+z7Ass@2eaSyY#ygd1W}EHC0=-Mp7stQFNX8iFA6&xG?Roxry-$mXZc4GW#uY>j zLmG8gsIQkGUr{&7oEzJM^qO`dwcI&??7QEab3QmZN2QOj0_q|D)H%N!UL0_0i=$zj zXDOd?p03~fvKWTkU^W+qqdZ>{K-5WKw|$tv1kMR^9p(+!((k^|X@xqr;&b0prmgKNMj68)ytl1jWb4pKVArXds&i{76elE&()tWFj6Ft2>HE42aDA?jthd`+&yLf*{@2kT%yylJ!;k)P->9h z8e4_A9*H&CIrlz46Q($X#ut_(o%bACc@jrxY!B-+eSdnt66y@eiN}H}^4c=fVtmHEP@T%Rx z^N#ns6+8bT+e&70U8#+PdY%vu1OPsq@9>ZRB4Ab!ZE<7CzVnf#%^3U`l8_DG2LXer zK8}67(z7rjMp^1M{`ACz_G?S>I-1w%2*KpHCH|m2&@PTarhx^T;pUuv=hXe$j35y^>MN8IinBbMuDHN}9u`i@qmXxFghb(`w zHYOOnZ?DCS20DKWn6zeomcbqe<5;qvoWecZ#z05m^BcDtIfKpo?jfya@AsZe$Ce)-8*JE8tpK4PoeS?H*#WF^oMh75&_-N z4-z%XwU~Ku7@am%y3N5u8;%*x<)=HmLmXIv&19%G18P+7<0*7N+N~~2CY@POUEK{x z8{^>MXt&swFbb#E+3oN#4+6&sD3zsTWUN)HGnv3@*ll-m0gdCuKU7$NQkF7M7MYot z;PL(7%w68zCL|BQ;jUOhjVD-wYMTGRi_%>+q+#uPd_VTcUq^~w0;U5faH;Ly;* zW*r@!Xm|;<{J?SX!iqjnLxV=gdp(*cg_nCNi`g~0RquBfyCE0+2KHJVfwDP{YwmP? zh4NA7!9%=EZnU=1g{Y1`Qk716rh~i%Z5Y%n&Ij-{1&7pBJ^h&+gM@~_s+(TX*NR)I z6a1Wbnq~C47nx-j;rab^PTH)oDlx_e8#0Yx1qnIR8Evz$DSLIk(a^=9wT1cYOX;iN zd&Vt`HjZmP5@l)WQ`9 zwIy8-vwL(DS`1XNKu?j%Pk`oX0~jnUEECqVvooN5NBH&+kGctak7Ye{U>>?jEy*YlrdY^44x z4!+jc$j%YbB6N0mU1YOaJ(gLdP1!|p%!?0r+|(Zyfr>l$d$3~}Xhs<`PI>2FWm>PU zBuV2FlZPQuZYod~<>xFPw2bOJEkc~Hc-xp3T{i8c)l_1O?5d=pJ%jx&U%dQ{@jPvr=oE(DX^Of2pT!BmY(AP|y(A-?YGj#=7pgxzDnJG0? zU`5O$XgEGPYVmZj)?kKOHwP>b0!p;`f$>NFaI~a2t7Ul0b>V&xcV9`SX2-*PUb5)H zPnc$WxytZS(#v)`OaPj@7el8<7n!QMF<&g{eV|c6&G?KgiN; zTRVw*A!&I_9HE5l`y>trXH4teU9Hyjd81(eh|6zmy25r&;CX)RV-$gkSBmeJ7=-;f zzt0{Al(V=|VGcNNNzk;kw3Gzv0@;`>SFvFT2=AcClYk&e32#)ZIMVa^YD@BWJ`AN~ zP$n8}Ia?yT7;hDhCfC&0^63NOM3-|@Tb3I^;G`Tn9HzQ{dj<7A8jga)jqDT*MFtzu zqSWc6#4D9cn>ejRjLSrP>XZsxf`ZRq5yFOsj78I?03|BFR_m)u_o*PkBau0QR5ZOA zg8K)FAdzlF61PEu*1k^G$|X`dwvr8XdUZvXhx6X zgU~yG8rPjp&WmzB zZcJ+49p%M}2Ot(zDqJLOc2S}!of<=hyYMS}B7A}JG2g~aYA79u$MT7uOb?hQB!V?U zgfbsA&M!1Rb{6CpmxknXoxJMXWzM^HH%`+Q0owlFlPNI#?C|Y#VX8jIcPHbDdCaw z$MNr~)B+Es2R|B2P?115-sHSk8A&YGO3OBxCzqno*#3i~$0o>yg*APfMFS~LJ$l6a zJ8;wfKR#;}{Ib2IKVt$6P$N|g@cY_L-Mn6SCE&r1JpSGU_6nI?Y4{MP?R@OyqlZ3U zcWugvDO+Aj$jNQj8G_3YGGp}n1YQ|dI5Pnv3~=V==0ML!)v%IFL(22#C4Mx%5(5~j zXQV$Ai#<^uMcDPU)EKiKY@TxBmh5H>*>E`0NQ%gj>AcBDx$=`$(2sv{{%yqsZ~R$L zDwm9?AQ57M0Q+7Ai^?{*^T5Wg`l7_?NIvY2*@!y`0u42N6497#O_f7h#npyD`{TC~ z)R9Ag-L$T)uoen)$7FhTz|?IcWlV-M7{_}6fn8|Z5hh0E%*z-FsaV)w+x%e2IFe2R zm;I2CkVO4F8bkvKc>Hi`SHTQ%9y7V$jlfpXb$(ty0|b()#r~{&a)!2(EoCrt^`4`@9RD)*qE8@s42>7<=&Ds<+qxwQFpP`$~AO9>2 zofaaMq4ic>>4!G;<6dJUh`4}ZaiC=2Gg8jz=JqU^MA>H%s2NiiH(#5wKytD zOizy>^$s-8G~4Z59UKI$#bQ5(N9}Qo7_&gxlL+A=c48*x0?~BAhQ^yb0;08)j|?P6 zf=;U^p7}4E2r;O)wKkWe{aoFgP~Jtty>v9@H-x~tBz&X&J#;P zRn&4htDODGXEGbg$hPysTOTd#YqfazQ#KjS@EMHl%V+?WolFXHIIMRt>}oY(=SXD4 zYCHfXO9CMH7$-&Zb->%cN#>xkoe(L4q z^arV!+p^Gmr#I9qKWHd}5RBjo6M6z6fH*vxjF}mQwN(PKce&ei0uvjcI2y>YJvoWc zcX46E3l7Cp7?bXeG{3OG@q3*ODE(<@2xw(y%Ko-d1}^4%3BX#2&TZB$_6wLQV-lSX zcUxpEaVO=2wJ7#@%0BBgOvG4k(^hr$;~2Wm`#bou>3dN)`BOSsVWo#A_NT6mc7%v; z9t{O839EeFmc6d*5AD04Gwogcqn6o37X91D_pK+(Gx;npnK$O z(a+b=NJZruuSVcOik~Cf>UE4Y&n=PBOM;FOwXBLdD|VUARlf)k@2BQ`m|U7F8TDAT zTGvY{5E<{u%h3kiC;=WIXa>QBHoTOSPy_f5nL&gGo?#s~2P1KhF$$d&phx_HAo=aa z516@XSN@pJ-U(0+I$(vswX%DY(1r75e|d>-yKysERh0)Uwg5M#6-nKCWBuD-)ZqQ) zwWX-IBHS-c7d8kNb2Z(w4qaG^6m1v>3J?8#qZce2~~pxjaIe)o#QX{tGWK%^ZL$ze=JU+}?tdC-9DCH|4yXON+*(64sqw zDzy5U-BOLCAhInffD1}ZicG{u{(snd3!u8Xa1HO^?(T4KcXxLS1a~LF6Wrb1U4lb! zcXyW{A-Dy14|M;x?QLgTW|)Bi_7Rrs^{n@M)=y}L@{zG%IdV;=Tv6Y`vd)#5OXDt2 zIJf%qRnp+VM1|Mt^}MThO51yoderxUu%4y>_EcZSJRfa?72PH zYT7M(c=6@vC0N**`0HL}7?afA7QZI51&l{72|P){KrsnDh=RnJ-1p^Y%Gs;bppOt4 z(>7B*n&0y+QUd6tjR)?95+#~_D)8}C#fb^9m-tc`sAYtjJdR!rq{XD$jPh=9Ew5zW z?o;cU_@NL_oy)_k{U&P63d5g<0}AoQ*$q4R`1t7f+S?FS;v{(d1GmYXz9YmHCPRyh zr^A=X&_P$iFdl!}Q@c;N2ZxxnZ@dWk43el`B&19BK>fY47CV^0rLYd8CyTRoLIT>*{i2F!c{UAsL#p?kU*?FLXm{D$&39 z>hmTzk9J4P5sk`5t*EE z7g`cel*)%t7hgQ}UuOCL#E}0BBY|jeP_dR^1zRW%kaRw|@31vKJ{ZvkGRy&S(Ep1d z{}*u9H468BX??57&Ch=SFX*gw9u#Nc{n|Ky&BOh_sPg~w5Nbdy`KMO5k*WspJ6ze* zDrJifts3>fv%z2I>lQsTgwxc}ZKVkb2|+D{K^Iq3i;C)w>5iXqbU!;_r<*BP9Sw@& zG!rN8=P6p1k-lpcbn_pMTF}H+gNBy+OMQolnbhTYP}6Req&7meZ+vQ5Tiy5|{J7yg zD_l2|-rd{nPKO8Edaqg%E1s?k)TRpVPOz;*27VCejUWT`A;u{w5YyxCBq zD^W&`pB&!hI3eW~bjaH8VNjAH&p{x;I$LS^OZ3x ze!hu8@l{F;3K3kh+3*#)kV<8;3Kq(1>C|xhul}Aq4H?zhC2vyeB<=Fo4+zlR{r$2K zRIE)v$hZkH>Kd2TDrj8A&wMYk(I55Uh(B~*7=@=%XpO^F1c8~BDL>TTM{3yV)Q-Z2 zYD8UPB76FK@!Q?pyU1-RM`p}&FLZRumzU~STM9L*Qw@jk2R8+uM7KY(9@AHgr6-z) z*4X|YpS6u_thR6cvTO@@1#P`ekUP`g@U|OxjZ5_wr9Cx))QU^WUTR-8Y74MI2Q=fPrl*# z4GxMcoHtoLxO6w{hhDqO-QYy@T;18)lgxU!mk@kCeh(!bLNW|;PlQ<8Irn#-Z)FZB z+z0HV|4gRK;pLhpZDguC#$0`zNrxp0WwW5VN&|s;H=_ZRbGU`Btw#nzz|l0!3b49*{N7W;d4s_KCuFQc_btTi0V_ zV*_<0=;YUyzQ`y56NZp1E-ya@5G4@b2Dag0%U4%d4LZIf=s>0!6{fF5gxRgEm_xD^ zRBv^}i(!0X`O`+`_YRw)F8M-#>WmzA@#|hxy}3eP5;a|0lVOqgny})hWH|H9;e-RE zG<*dkqsQ~5{c6*i0~7?0MQ=^uUr@eDft;bV`ch%)LA$MI!TPGYI`r*8lDDZ$&fb3yL^2oa%}CZec|qwhU9`l;=f7K7 zSy*6cU|?V%gj(#@Aa&Mz{Y9rxpV}f!f%=FbUnrd*)LOjnV~5k(nu#vx8dypV z>;bSI3mtv#r#|nh02d)jI+QK8mnSfuL(`er6c|s?_g?4IHdsrdhQ?>^bT0U(H;^6) zd%I}2s<1gbYz*$D(4Tw9OTIoI7_Mnp=6~w*2|%)4F~?r}%kP|7k^5CyUS+8tXL)!{ z&z@CN{a>{ZT?~?)LNMX(wUsKpnDRE+$q>L@WOF*VAR@8wJQk7+@qnk4GvgjNF z`4W#rNz}Ww8)?h_6_Oq4RQY zNH@S$%X@h6rTn*;sw5X6A|y2Nj3E;xHDVp_2ZrrodWKYnOn68Vc<4%~L!`B})m3;t z-CjT8F4Lcx_%W%}ifP*nqN1Xx;gS!*UHo2mbm@~YTBZt!-CZ(VVjOM$s!j1fjBob; z8RO!n(@=G5|7s*Yw@-has;sVgc0Cdg6wCaLHaItxaSmO=M=5?0uzRO%!z{RCNiU^( zb3fNLB&!~-6`-I_p<{7MzE%PU@Wno!u7Y-3;3?Q~R!0>x_WP~-^@7Lfg zh(4n(_+-)}hJi?L@~%l+&~HhJ27x9~h;GMUjXqBDwpgaxkZx^hDPG^$m>dG$z;Z+A z+peO8orG#adGdK0b)tiDWi{@h%D@RVGNL4m8(L2aZ7C?1I?yQl#9MpM&Canw=mO2v z>Ec-P)kcPHgCXurw}H%qa!pc=h5<)`IhB;rzpN%d9Z7Am+q%$DNgF8vT|on)Q#u2O zT}eg;WhaKjC@ZPdpKn9uFII3W*78u)^VFoY_idiEX_fZWM9|S+Efi`Jh-v$tF>(fU z0vPPTaD@k^ptHodI6g*vFf@W{ONfx~3pNjQMiS6dfZ}3K4h{}#>QHh~Jyu{7&juqm zl$?F7QH&Mz87SPw_EH5}^w2~Q^(Hr8W!_814ivgkPD+v=*KWgt;|v>@G$S_G%}Heh zX-7}J$Yd#)*N_T(yRNW9X(}3BtjN#y%wX_R9YI>DtV*0|2)^I3IDx&#s?;ak?Oy&8 z8RIELG6BT|k4eWzx5K;2m}bNd`MZOSWPFb7QC80`jv%h-@0a2q^OF2rS@3>!W3(sF zi|OgRA;w2eEaB3i(V&H67nJNQpKaYG}3Y=oO}m}N};nrxq%rv%|ha0zHkbKBcpB7D~2yvMSLP!_j|K_9on#-m@4v$)T za*XJ4eu3uCS6U{5%WhSd{A4hKZv443fz`uNecjAEPqJ`v_kVl<_ z9mouc4dER0!~#}HPXV@!Cm|7_+56LnBs8t0Rxf5nKai#V0t2;4=`cb7iMYPHI>e!I zc~l$xf5Yv8eC5|Kfr!{@b01Rp9e2`=G~*A!a$A@#I#I4?7dstSua`GSia`$_g++hD zwKXEsd?JgMR+G>WU~%2nu3P1?1K~Xke3l&Jrl#=bL)S~bkC03rwhU;vDTl&n+!7$t zewiD_X9c6~JVS8q?CZEcU=q=RGx6cEDa2tSDQ#NM-K6ql^=t5xjxYw0K%FezX3B?c z#PABCjL5gf9WCDqPju<1$_IPWcYh~7P{2^p{fu}3%IoAH5?#@nM%4dH0Xi-NJGmH6 z8w%w8)qO$aH#)z9D2}QzP!i~>)U+WZ>9E0Az@HC5_a_j9x!vKOb&xW}+ICp*{5|rCs8n75E-@^4wL>f&B z1ZO;dcZ|1owwK!1(b0j1gQNVHa29xr$OeL0`%A?h7b4yyhny1A#?8U8-e`^XNuWT{ z<;Hq8&#={j#08Cd&>ygl!Cm5Fb5JBQH7sDuHkgm1@--(E!BfK0z#|xzFF~&R1FSxy zOM1_Qz za#Y$F7U?uWA-!xZYx!8IRP1jWA}ODH@(-u!`qFQV-2^Nd>3c(BUb_8OiiQ^k+;}z` zO&tvde-&L^c9H#Q6fs4$r%Gov9{Clc5D|dr(<$pIb@mh@j*j~f$}PnpIAPJx8!GiZ z1{$Bd15dgh3T%VB8_)v?im{=9!PEq8V=r`W{B z%gB=Y@OJlihuv+pZ*T_>DN3PtAq+ElGtW?fTk&z{t&uMuP#HjAAH`PFLv z)RWIcGEc^cy0E<*D7wdg0SmM7Y1-mozCKoh1_6fm)sJcyW+sICw;r!lFPm;tk)kgD z&)9VA616(+cmht?2#JLK)#*UQD-wCH604bes76f%2 zTM~S8kS03u8H2V&s}w6$bj5I>|J=`evaBi|fb zz?if6_zplcq4KePzX9(L6$4LT`9SCQotWuPRQVEg2fgOD*7VNY*wn{~X=8W)E$-iU z88?|bJ;FjzznGaBYjc{1&|=8?Ykit6MzBAUW6y4DrOHO{@`r1cQslLk`o zU9%}YZEWRbo@^@gXAD|k6O>4dL!ezN?A;HG!K;AB;zHEw-+kyH2aCAKn%ED7kJa>` zrD5aX95bvKQ-j?jr4+pTY}u6N(K632{_9sktREwctxVc>-)+@o#|c2NQHcRCfxi*y zf(WDOl)$`jq(b~pXz1Hc)}~~%-JitG5{UtAinZoM5af4pim`=-Pw1qdKYwm$g|SvK zV$rUNfPp!Jkn{BPv{UXr;!12P|w7DZ9B0O8Y>lMQ& z_I-2P9@5?tWv0wtI_PM*nj3PrjnY=4_aW2Q){4;U-Fmu+=I`mL=?XAWzLKQO!%CN& zjq4VdaY~%;OA417Vv{ZGH=I`M0!GgL*}RgfO%CjF6fSG%oX# z6ojAMZe2aPi!XwMZa)yCsL&D_{7+XdQ_SP0W+V$`)F{d2oXXuGWI3GuR-#9fuabjU zFGma8OpPc8|1^iu!N;%PP+X~1d`?h_AO`dmE7^wZ31FpCbhQ=>KNb;XltI;`Gm)kD zkJZlJ^wI&oxV^1!m?iY+TvhU>le#QUa?sI-v22hMkcb`i+30~mVdxVD?D%}pj=}GN zEKn|gl-+PB>B_SszrgPHVRF%#SViRa2pM^CG7BHIJx0T=9;6TMJfHE;vI5~qeqsUi zikcc>Kabwds#`rK1{q7gzq;@3M&GGL3P)Lce6NcyHy5`{GVGd(Orl32 z{=>Zh#r>a8z*+I1;o?8JMF2ShtR%LKDGO*dL5F2_tX|D1zl1G#0K^7{_zx0;02u~= zYX9f+|GI(+nLLU1`OE4wBZ*4{sPQi%xm;X*Y^);^63#Wi7>u^?WK0iP(~05x%9#KE z9qZyT^!|8AKCo+>^e;Q~2*s=~UU zU>s#$ne^f9GmyWP4GS|Kgh2?9qe_yaTL1lf*git$hlYm6@)uVR4-ao|{WvK)>;*h> zV$?}-+X_HZ0JtwQm1s!gc?}XkawVTaB`7kLqJAe#TLGZ(?uXV-t>hmZe0=4sePd%0 zZ&1u0E-ni#=idbYC*zp}@XFsC+)33w8|vcwsZv2=6c1zKcx#`*#-gA|a}r9&STaSh zm{Sbfm?0CY6VpgVQb%SDAGzX%Rfww^3F5vW6`3DfRL?so9__lX+Wc^bNl3lx1>wbIF zudy^lI@F)dOfBE5xm)4VRhj75InG?D=)2)>B$YXZVHzG!@rGbKFeLJp&n=2N+}Af4 zIyyS^37|rmaAoKvU}(PI^n5^9)@@`|COn=LSLlnJ+8rL*`Nt81*l4;4GXKuBNfz8iP`7DMVbGth`6oe**K0ZE` zpQ~ud{&S{oZTSQE3MgQC1`Mg>8{9a@o85wv?HwI1#ucAR0BVa_NR6phYb0z=*z^8! zIv9o$9T5@W8SYo1*Ie153RKGww8+E~ZgjS_6(F3=0p&RJi_rZ+-41}^%Jh6}Y^<)X z4xo}r-CwFX*HH;LLQE6gH$6uuCnr}oF;3T-Y&8T1m4Ovb4WNewK01ozfk2A-9uQc4 zeZ18Ee)aHR6&KRbCaZce9{+MRh$iTIVqqp$It%W4-1JsgR|gVh%z90Ajg1<2JFl>C zaF2i&;T3>EoE!^Xp5N}f<^qjz!XVG_ao?41O8`Me7cgl9YR8C9owdKcfG7LZ?1vt& zBcR7T$9s6tIU3h9c7!?>9 zwp%^IY;M56>9Y5O^uGArN$To-m3w%IQL`K!3kzTsWS*4Q=j`SqR}m7i0M_aLcz^AS zF+eerQUHi#8epI3H0cilMADvnz!l<9<>lo&jv{Mo0m=&$;Jjz#=KefpbKV_l-SW$( z1~A(tz`)dH7D5avfS*1AuO&*Bkjtj86^iK~7Jvniuor(iX`7k!Ta?zR@7UmZy&ujX zkf>`Tn2<%v!69yc7pTdjjyohi{|XtEjU^oZ4y4(vl}X3TOeqTFUiN7FDQV;0Y`o4Y zQR=IBk%`De{9LfVvNwGgLvEee^sjW!SFRQK2|H}|@VX;RTKqJ_$`N$E4U^S!};xS3Xp40bjISd2SMZud&@Kh{Nzo;hRRAz#2_S$qIr0D zh%$B73Mc}uylWc3MUII36F|9uPGc}X;qmV7E@T2ux-S@@xPg*@9=edz4_1bsj!tf~ z-T&jgD=YR5@EX(NTLAq9*tCV#1xoB$;rQR!!eFFpjC*Ikvkd`_(9HC@)dRPDQCL+6 z!u0f@D~+%m=&HleKn5#{aN7V-tpsvOs}^C4q0$Z91R>t3%E^INu1);@-D|gxA~;+R zL#SVT0QIjIiG$&2iUQlEY^-V_`Madtw`_c3+9PLaQ-+VHsd0Im+0%dB_Bvaw2iTI_@d8j}2yF470vhrkGDtGGyk-FLz7kzE zen;Fd#|mNlR)F!ys9jU7Q@>lPoQ)4hCXG)%kFtv-5c-d!k6cf!7APaXMJbwzCK2*r zTMbT4(d%Qt4!9Yh=-W$NU+XoO&xDtA0~{RVvJgdc5c4}|Ux5JWga29XH$6~+v@5h^tbO}2%tS15{)avLEFnk<*0K_Rw)R--q0bC8b zSr!F~U78pMMFu}I3$Fx|@;Sgh{X;ScExnPT%BuiajP4#Gkp+b((#>nJRFw**B806UAKwttFQiOddQ4np-jp_BmRaJ!Q_UvCJ z1t6i`jwm#Ty_C%9*oPm7r-;(OT4yS$M|Cxb2WXHH+vwD!;Ag;%D$2?z$jDS4KNy41 zFZ=hDR8{jiETe=-GFjnAI9Yu}nveRmC^sE_ot13~L@OE*1eOoF^Hu7DOP_nsl5CQ82s`wQ!7Egor$fb6Z5d zcntj@W@b%aUo2FNcuG<==~Vy+%^}@7%(o{44A90Rd8wqJ3kD9=KD$0jLfscgITxp0 z1q~-Dun0kRdOBK#bW%ME2WL~{LtO8PsruvOLsSYbeqc4(laRmsn1gJ>aX#(vB7s>SFbJL2vxxh? zeWJr%_sl}Z%h8f&0F?}re6a^A&s8Nho%{C--_BHqOQPfjbaTZ7IEqPV0SWA zdEu!#bS5-YgOj^XRPhpf$3lEqLZX4lmL8YPm_=TZ-TG*tg0A%X?>z=q-<>FiFqO5%wh82kQNim%l{QcO_fo#iiAit z#M}lnkJgiJYg=MMsZ1m>i3!}&962R3v)yk5p5;CPA>?pEsTLN>=z?GuF*2+gs5m@= zdJih-5S>6^e>|c9DSo>a{~IKJ9o;izn{k~ywQ7AZG8emS4b5S>*qqrEQUj735_dJd zjLYRaR6wzh=k1$~TxH0fH8=dw6jSpe*7KT2jry%tf<-l><#?NC{{@aL%9u}5<@q@7 zeu>+$R#;peoFK!?GU4aFq`zH0*iP%#wT7EQyhUs zIB;B@C^bnt4S@#(T;vg!WnmJ=)gq2|`D9^b7&sot-Fsqmj@5?|S)f$(Gfk)!*Y7w7 zZCC`+qdN2-nHYscAI{`fU7=nR>Jq1|bOcbv4iZ#p-f*4LPizNE&|sI)hF;t2^ZfCD z+D{{S{a(T3FZPjRZ5}yPZ>xX`MQuf7ER1+>yte?(7sHFQ$<&Bld02=&R7YcE&D!F zZn`UtiJe<-1A>y2XGfa?`|`0xc?mRR*R^Npo$Rx3Ni9YCC!BOR@5%fnX1K|NK(>-< zlA#j2)kfroU%diH1_vQh8cDR1eU55VUNxRqIb<)yhK1{(L(nXKs9Y>9432=R|6XK$ z4NV(K%t?+8nUL<{16nMr3|_}mumJJkN{QPONb-#d(5PS_Nb^iZbHPP+6&)rHE;>Ew zfVDoW*aZntQSXWCVI^#IBo8!e#a5OSUl$aR8vOagFvJ}7>AT1DU~H8>3?8b}5J8*Q z-XWeb%YyEI<8(?&qxOLhvpR&z0yFchFXCck^FV2WlI!6V0mHT!h8zyEfQ*!8i^fZU zF1t3_898p|2c0coVjAioK)DS0$z_FP(r1JO{kLE4hjF z5#WSWLb00y42*INU_@{VB(fY1XHLXS)>ZFU5UkYGny)!oWK;5DDB&BtAR~%mSBPmv zddO)oh6GAqa4>2hSGg!^j3*0E0gno%5yngi-$eE5Kqj4B5Y!m&Pwa;`MFFj(X-yb1}Tb8WdP1fF1z$k zR)EE1Tz=hs3boeV_ul+c9Bu%SI3GX@N`gXElvL6MxCPi^^zcYRM;nl-DAp{iRC(#Q9Jg%W9f0uxF@IF`?}b4 z(CSxBhBEs#D>a4b<%cl@KCK7QL+=o20Iq2<6P+5nhaw1*E@%ytE8U>LVd!t~D!1w6 zs`wy=xPRmf4RohGRpxj4UAj_1N&k7QN`-O=zD$ub=ydpA=wOEnAAS)5uebVsISL z_4c+F{cHd5aNelD{cWqv7dlGq;d*&s`NQ-wPCOfakS(rP9ty#gp(%((zJ6GyJXo=h z1+~9$n}#LxOg)^4MT3*hLI5s0o1%sVh6u0ICJ~uL3IijBj%P_Txd3II*#c3=xaSY% z*WL%0e;>yi8yI7Ytg2g&`voV)J>$k1wJlP{cY|dw;~N=}HZEK!-v44Ba5>n7364<} z2&Poc``U4H`_lWrBlDyG)01BzKfLuMk)Nm8`w%5LJ6*~aUrl&@&ik%9Z;!Si(H58O)uBLOC;;G=z;FHVbZ z{pxhxP{S5F>jA0e^owxed7N&)t)Wu2u5U+;=(_ckQAV6hk-o8h%czKvU6)914>%kV zR2hbhaE|9A8K2}3?Wvud3=uH%>+e|5I}R%a^ece&tWcK5gUX?a|A#^ThZ+B0 zsPR9{m_bQEwi3E*O_ji8fRBfZ4-z-yF%R#bG$w-qiOE9#=iB{%2M&PX5th$#m!mB8-0>1jg85_=HI|BXTa_agt% zP89-7L`q6ZFV;w@-3Wky)-^RP+41;p#Y=(BWEoNyw4DvLMGuA>fbtWCk_8*C!=t00 za0nps^hw(U&8lUI!n)R0S~n#Xm6OXyAy(YzNXtJCE6zD?orVml9GOb+!O(rcNktnQ z8$&}O#Jt6ee}Th?w5ksHRC>44>yEz*L`O#x>K(Xv+SxJLi9`0?b~QIQ+wm}tq70j{ zlg7XHY@Oay8GLD8pO{eK$TZN=sl%iK1&E&I!hP5LOgPGkz)4@M4M{PnfHXuN0wyQ1 z#f4VS3V^_&Zy=s;CMOl|ZvcO?5XYc5>xV6Z48ksPhYG<|Ymf-IlaQlHp0e<_zF2c7 zz3k2uGLdjuZ6Cdz`Q7>Wr~R>$f9vD*ee2N>a2G=6TyFJ}8T2yq@&UE2XJ=Wp zXpgR+l>AlU-=O14&-UHq=I8E1!X%P?2VlR8wxh?SA5z+8-woU*^6~afXq!SZtP3Dm zpJAvjU`aQGUBc4GWxv05cf&DX)+TqR_gK)g%xUd5$NYrr#a^r|JEohG`^_OZ{`s_i zBn`XAf8a;M6vpC1T>*kP=?7G2k*)P}9cJk3_aJ)4y9YsWHj}>_SgEMLn}qF#p1uYY z{}%RaEX}j`k*)7)Y#aJIQ?xofd8$8Qkl&3(OuSI4d$rxF*xtwMN#0Sh==pvtcDA12u;8yvduUUumqZ$thBT% z5X_{Y2qb$sZ`}gs>L2YAXIg3BM@igM($j&Ld&xv8ENsYL3Wynx>^T@$k|U6Z`j(fi&zd;;AnHR~+W>P*qW}A{dJ0cI_@Jvj#-I z0FoMS!eceUUd)=d5+2>TyxgkLC>t1%fTlx>}bY&56teScvDC%pj2 zoe8*K#CQOSQQ&B^PS4vzU~{g!)xrHtE(wPV+f2Rq%4KMkIEVaH{m7DV#fHn-BEC_H#n(;&wD^PXeYA?J5!LM+5JCyTy1CaDtZUU|1opvCp+M zIh?Po$~F(GVnn~@jl^KdL|~j_qXSAIZs;nQNlQ~ z+P5(Ogk|7-k+OWhBq&v2yvYW_(2%!F0ZTybG(cn3N-wvXYYS zx0}hnNK*1lPHWV0Jiw2-zux@y;9s>pusq%#0t~gS4u2~9ZsJ8=BDTW~qzGAE@~$&TSYR>TIiF!@Q2ijV`CF|GZ?f8PaCihhHkwCRxjGhCA0dkfsf74U zKPm}sRAYMH&&l!$HtQ^H)i?7>Sa+s1F%mou38BikR;YShTFwuK?-cniB8r}Uu+Cp? zyFX?Zr^dNON~r7m0&XbvA8&3J51lGF`?fl+a+3?j0x1K3d|>2f+9$nO&&rW_7#|L? zAN6kGJ98`#Lu(oty=QTM_2}Oisp?yh%3SaVPEQ<`Q+of;!+VO4#lgy|n$cPS>24WT`n7^8>;$YrrqyGps=BbzBIbTVOzqqaKev zy}G&r%7TA5^+RnS-I1gTnyamKiQZ0eZuvguay`wC1vIF`5$okfEyyi(bPpJ`*sgF{f=lBNOzB+c(y>H?`G~0zQ5hh zA|^;a3H3f75{+Z%c6)K(jQWb(wAoY#%8bK9$}SDuezWfch8A%Q)I?(`$hK?%6T@M{ zp%TOEfvOOh`r%D9#7N36y~v$gUn0AOzGhxY`+YdXb@lQ+F7&fnx%pa|e)pm68~J2F z_tO1OQb6chOHRqa*>B{6n$>7r2};i4I%_(ZMSH2m>oB8>_jrQXwRIHM@Zqu5>LBCS z1l6xzRjph(r2XY3-B>E@LPPo+y$8=f5dS#3yX_4H_uGl(78YhqZ*GI)pyafwbpnHn z_%W;U8({OwK{hA|hI81*OidO*;fJsd`v$z7MGD_b`bUM8CaK3Z#HUzs%1wZi6A}(>RqKP2B#S}}<&(BHOl=E(SNZ!>6$>n)Il+Hv;dj<;sXTkRBV<`OW41eWO>^Ehh z77`oaMo&+l6b~s?A4b4w1&RWa!A1E$15L@n@8SE}fw*P_XDSzMbMYy42iN1r8-U!o zTDNi2_tCHpw|K}K{Ve&%$k70Mk~>(etpMnbUVyI{k-T36nrdzm8C_U8i%UF@FOkn) zB8ix8w8{I?!KL%M3SOlRSK3Kqm5?sTIHDIU(C@d6B^!0$XNGX8wOJ?R;lfojyYAB3 z+uRv{WDLh!3Ag`#1f7UxMsctIm?of)oByQPsN{Y5>yNN_#SDQ)XBUNl-Q>Yh$oGcD;A>}TC!FQwI*a+< z)od3RVotKYJ{~yf{Tx_W4%H=Mqad$JG|@M;f(V<0CprV8K1|IPsei!)5_prnC0vBW z>#dIYUV1qQYEt5b5gTPd(Ls?6^&y-iY}|kBIRw^a+Yo|+5BFHamLNf>%Wkz!Ts+9s zVe(%Yfc}Ggw&AviG(^seq@$hoBC<5~mJG{ONb;cbvHy93+<_-s;IJNA&}ypKu7e0fq4+$C z=eUr!&Nnfu6N`wBpxN*Y^m!n3+#`kTPl;Q?l=Z_5F}w443lJ75aU5hOIcoNVT*F z$CjH)8_$*JBfmf~%sD5>ov1F^!JeoF!1YnqlYx*~Gj?7IRsJA2hhxGmuq8;N&7h0p zf&Ky$0)x6fz$&4k%?=eOK}`2d!65Ea;P5;;yCgG^)A0IShW&bzvj{>|YMDUXbkkJ8 zB)r_%j~6}xNUQze!X@lNdctDj*C4VzM!X{U>>#H-mQKr2nkbE!?4ZWQF}20;4^3YZ{a1-33*GVh znlSQcHjnxrk>AjJDI$t!|H<#91nBeeLL(HoA7hY)jmdw5b_oeuMQsD81#*geWTNT9 z;*WDIjT`a_ROe$#1SkkIw}nnZX0V6l3Sbtj_IQDWHi5PmfCQ6gddMkuWg-=zgP>sW zP2vjE0+SzdfP!<*;ADAM9IRtBc51$N@{!I*phr>S zm{N1_m+J?JF3i{`UgXyRVJ-n+T&YTMegz2dD-_#G+m0mB=&@bO- z*$El9`~9AqX-XBKNdF_{z#!hc!-q~*bxIHQP0Cm}=zCWTb6&V*rskxI^q z!=vwtiy9d=Nn!0t+>VA{5Oc#AaWr>?)R0H}K~|)y2fIq?f@>3p8yu0tgtIXaD|!Fb zs4(I;M6=v?Lb(JVZW)PMdLev#2{VZy^Q%EF)4^sj36K1AZDZfmviDe|(TLbKVz~`c zdHh+#ukCa~$dR_|t_$GcGV9G}jo(M{jpj<3ByvH*LMWsyC-ut97ji$%Ax131t)#Dy zZx6#cmi|Hd`SirU^a|{j$r$w!3%M|Dqhl_vNJvgdDOnDt)h$|oHJB#f;FS@8_YT_My(Dol{{Kr@4o5^TMrf~KC60%IYpnwZEjR&em*_!jwh=v zalOM?EXDas(rxOIyV!8skuY~$wEof zKP6psoU*v&k8$*&QHr8iB=NC3VUM-%Ok>7lJah{9LFFMNp}c|QuAofbbZGn0_|xx! zyO#hkwnvg%Of)4cOvzCPv6S$K8@y=XRu~%eUbuXre_6G0MAe8VRrk5F#^hH>1hWCd z1rWX%^A&ZIq?>EQw>_*Z~0bggZ57tIGl*$phC1M+W z@?POj$atwqLE998!I3fD3&G1WtVvX-W61P$LCF`GFK3X$8K43oAV`$E?6x8brmyzA zU`2QbKo9Cpe8miFlta+qebMDN&(WFifL$`*O+?x5Wlt5iOh9ALRtagk0IBVZY;+648T_s^7cyHEvX{}K5ov!0~R z+aL5R@H1sRsxMU}(gEnV35s1$)o~AXe2aKSe??q&t0v+$Cue8NUGHGwWF1%A7lE%n zOVed5wGrLe8msVdc2?N_K+vps@}{^S3_ zJN+Qo(j+rdI>8aOl35&jDl>H?c?-xivU`**vA5tCg_IxPXYpS!`b=a)AlUjsQw z6;{KdKdh1!A*c{BW|B#%rZ7MWH^y)!I#hFtD(TTkn16m2v&pLI^ia4q{ai|r%Nu^q zY=h>en7Zg@mpJ;UUw_+bNR<2~m?mGQdn<^N*@%r0eYEnNK%%w0fjHBQgN~1rR8hBPs;SnmCVVVt_eK4frFMOBtIl+OOy?I6&jUY)CSP^qoQ8rBe2a@vaEY>YPRM4Vg`fK zQ)J@_=+y!K0VsvnLE2I#k@la=5Q-@XE?7;<3J3>MII53#o{onM_vWY_(0BU4;5Q&} zl$;M+0*e`dyPe~OWFjO*Hbts4Fn{}PEYO?56HZ!AS?hx`e#ylQs}66)nDWx$;IN9I z>|xnyn6MD>EHwT))aa*V6u0{_SAcJ+-FV*!^^sN3@@%z9j!Mxc*SN z*01GBr(2+;6Ad~(b3=&t@|WS4$eqX%v{=e7Wv(SsEDl1T8{kQog9)^+E9!5>)Oxuxy7DRfVWP+lk=`q1k;4eGQ$C zcUtcQX%~-imV%gT^7duheL^kS8js=dGqjv@ks17Ax*?=v&thkvaYcxq zcBE%V+Ru{i7=oUaW zol=e)=!IZ9xY^O!@;YVa>Y+myZ;?xCwv{=9U^ZZxiB^QeJV%j&R@7l=ff>+Fu)B(_ zRv6NBXd~!w@4Z~D|94_WEbFILrqT_Ji{FXFqzw!tzQl1_g9YC69^^R{qi_5(b1m8# zc-z{Pdi*EFHQ*EMa-Qkpq6hQa+%+3T%xfMApJ_~CaZ7p8TgglAHtqK2`?e{5<{(f0 z^Auefd+l92wyIRpS*_3*{c@px@^$jCY-5X&Xsc*zP74QT=E`a=I)}F=T11It`odOr75ZYZj z{#o%(IywcdA>#6(4;w>j;_9W@|H0NdM#mlfTR(2t*tV_4b{ea3V>GsH+qSL7c4IWQ zZRh#*fA3xQzIgItUd_s6WzNhw=ezg*Y;50z+i|&20mB1Sjn0kt{NBNRef{MqsmMF} zZ`@2M>fk#U!Q@jWe<88JRm^@XTd;ri+)3DO+&(q?DBF4#az=*rS9xRfa6v^;MGLlj z>*Th;5gky7gf>Rol?Lmpfrp0n%gyik9?B!7?+*R#H3z#V_1` zp}42=yI66e1t`#ixkg}uMKswFxlw=pxm&HR6SLLNb?LTgnMT7{1HX*I#LtVCfwNS( z`97JFqh}NGJ*KFf;$>R$c}Cgf!TRXKA5GDCgN)wEaQVlbgX*OfyBX2gn&0SM)#kns zxZ=EG>n~J;`wbf%d`3<*GH&Y>?a*Y3gRBl;%ZLfeOwqnY9P;5;vd?9E<7tC;_JN?^Y4+HjaCvmT1yl2sa^c}{P7)oUi6d6KJQ11{)-7Bhp$d2#@(|$ z&!m`N=)PCoApXH`UO*CHwrH!CCZ&l@{i4A0lW41)ZT52*opzN^StHeULXN|G-cV+m zQi?%m-TDVYxkzblK6ip9oRdajc%w07lCz4~oVQ#;B)Stn6bzg9^>xxn_O7Wv&p11w zSWH{>!K=6HwXM-s^t-b4%-dO=9qXJi{p-cDeQlkYuE_4BY)Vsy&nA+BpAfwzjZz`@Sr%GZ_6 zodNrRq}PcIdM9*pWdlt)5FFAq&#{VGz2dt)gNV z9n^X{h|d5Bka>Qqzu;_SVE^Ot{-=-pAB|*MA|JFT8GS?6yS|AM3%=?vW+s`yZ$Hf4jv0_b>3Bot*_puL@KHN`d{`0BpFpnBw*;1dQ*WKYsvMq#4`Ex9BPx zV`Jm0swyD4et-}B2B2-j1S4kH3KC5i2y*~stXy1N&0Xe@jc5xC3#Z1^z*7(q5bp2q z<0J>1)|1{I&n_-5PETp6sA})&1q8ZFN=jNgEsOSVrRDnz3QQJ#3(`Kg18c zQ%c!5eZ<}Hv{_s)3Wcj+&HQ<>mg%zD>0%RqyXo+8xHj14Ty?QFU0~h+;$%yc!|UU8 ztVv|WMSrNUxHmxgK5S^8X;Asdi>u=9V5)#05@q|-dQ9*l0%ZI|p2M{?s5N%GA1P!Q?thM-BEMJpv z#xmE|vR;)~@?@@ZN*KxK5vq2XVhB2`Lt|K}fmY zAB{P8pWTryh-<6YTw{9wiQD-bK2m1gfyaq~q7HWeXm-i1KfYua_bQwioMj!|1!G$w= zt$p%azDK`;f-lR5^?z`g@=d%V5Ir{<_s#y0xAaP6sF9tT{?RaKd|F-pmur785b)3D zBvt`l^5Gc5h%vx${zFSk0Pr0TMqpA)2jjQmH-mqU!4XC z*Yoo;kp7<<8xx+Yy}RS&kEp7$+8v7GJ&=Yr+o;n}7V z#ERLHCxON~ z6n8MOOz72h{Vw!`a4rN4)W91z2TWT<_W_uLUm(Cr)}IC{Qe0%abHC6KftXCB5^J~_ z0W)(TfPt144_MaW`kAhQD8No2V7Lafue7+hxKaR#@$&;{>!Z@}0e;w04J+wA0-B)m zU+zy`KHl820U`%FUjsHSF2K=1PN464I?VBrOJ}17D!i|KD#}&=jp?99XoczlyRd-U zji?grPkNK1*`kOyd%!_&xmfwP-Z-Mwr@nM>{wI=u0TRCnkcPM8>s>|dKX427&ow&_;Z7`=F0|VVus7b}m8193KQdOgu)I+Gu)yd3 zqW!4%BZI$AydpjuV= zi&bcs$h+t162%RkMkzWORNB98f^hp})gvbrQ@CZQ@q>w3uW{gVm3hl%b^%E`rb7A0 zRG5Ok=Gy}Ra4Le)g9yJ01Z@dPXHkie=zP^7gf53Yslfr;Lv<6tOueSS5OicVK z?e2~g^?iYY>+o+=z_56vjC|!5C>H^0_(tdF9p_6G0q_vuy&n{NdRVnd0vD>TuBNjx zHcraOh+qUe84<9W&IM(yWyXWU$H&)B2Hd3oBp&G`nojq7l$&XYpXkjYmwhO%P{7p^ zZ2KxFbsHd|=MqU$Q>%d}PWwMYGLiwHy{TdV5)JHnfS%e<4f;dO)_^`58s3Dfd025e zP9Pj;6#5qnb^P08iTV1INkV9aSt8Je6Hw14^`(p#lk4J$eT7U9cAUV%L+!7g%J^Pm z=8oUY;hg9xlW^#=xfCU|Os`L@V8WzM93?uq%}-BuBi6V&pwN)-kFVo6eYE^%oGUkB zYmx7vD3a-itHH!(Q41KYufIe4RQgTKcq>8-V0 z>ITUS%U4L0Xnn!fSDrsD2oZDrU6kEs=Cx-uXyt9zO@*4!p^^51+=hC(K)%?^kg>H; zS;Q`eCZwd~mKm4K?GBD@hzEC6|yXYG0be(p=sqXh`5ZUaw%B>;q% z$K`@dr3o+#Xn&Ea6Bexo#OEyp$x-6i%;5GR;S%{AlbnA7+c)r-KPc*IXrn?YMmWBqoJ`l&tl*`%A(~(TQ zkymEU?U39CCX7z9JnUZ+BHrV4#?dPVG7GITc*d^ zv~vpi&n~dqViN(|<6Ei5-HPT4UzBMCgdJ?52CVkFUjw+meR)D%b|(r~1C{)f%?Agi zUkN!SE(FpHAaX}7v3#CyjJZ)MWRdDXTP>EV@neTj6i9dU>3ER(;E~Wll&)Vx`1&ru zb%3ske3X$rDu`zA!ni~^LH1hH_m}%CksAphEEEP5+1f#SdwZu-!&O1VH|KcDdR&Z6 z{>s!N4+{^2>v)y4N|9>)_8o_ZjGEl(V{FpR?SxH0NtxC`LYsqG)A8IK>_dgk|kB|#0A~t!%XVY8J4%s@WMXG^xi5toGiE{ zI@Pb=Tp&9Ot;SuGpuA=xO-+j3EL++-T#ZXNqWIw0PdI1iv=+jgtQf(2u6Q5Le0B%6 z#Qtd4g6Smc`2ji(b)&W>lMgzb!Wb-$Y_}{$|FTeR3QLd_5oPEtl|a!&AjY2`djz6? zeBECSid9FgwoZNJTNxn+AQ1K-ST|ekz)f_2GT}`H!C^iT5k(b}fx70tpeF+O9LT*M zl|JQoC1_bhQNAqTOhGL$$n*0SB*SD3i$kDR>=I`h~{>K{_CdCozpAeJP z8>0xaRe^FQ^|H@prC)6J*ujjIs`HbI3kY*pluY3fzs$pb)Gb8MEJ4RYXXT?f7yM0P zl2wFu8vE@O>hZMfx=LW$WCPE5Xn;(~k&GIE`Z3H=K2K?4lX3n-AV>}xW)fvm#=_#{ z_Hat-h$O9?ZI}3WkhX^K&%k)JY=cm@K>C9+FthzGuVV5ArXFxyQYRW38dwAfc=|9? zn&E;kuF}VvVSn|e={=E{w;0bDkT~S2v!g3+`Gsvk#?bb_87_B zkg_mQ-V>TB(K&w*MEMZWt$h4E&v0&37!UlTy*|92)j!pK)kZ|XEut#JwJE(ZAxnIMxj4sx!;!QLJQXn~9rUndA-w-VB=R&X^t)-E~c)M{*OLhbXPsv^`2 zW#$SJG$5W?jNfAwPayeC`ukD#-hU!o)(;;$`Pr>;Orj^|uJpa#jf^WOvA9`(5$AWw z+hfb>@86Iumub%UM?owlwO4&Z+_)A(Y+iR>oqEEtPlS#g_Upn*ek=#$wrZvxSDZzj zkY;G#l2A=6^E}B2a|J6vc$ZyJG6yDTjfr{GIwN3xzr5>q+#_jRqbM(hj>k2MD?-ac z0gfCV!0vVhY>K1DH1Am^9fS5vTo@mr+%{1VmnJ`t%q>65)oGrAe$s|85#O zGpR^mu~d?+x#`b^va5kW$_jp-w$D=VmnNM#Jqhdy9Yy7vpRls*RQ}3fUqITFsx7w} z^cVNb@UOyD*7HYf{Z;g(!tBUG-4)0vD1r-hiA1|fV|goP!C~6LB)`Gd&p?Yc?C7T{tF+;+I1y+Wb?5a3UkLfRPf$S=0v%SuETytcC@Z88ENI6~$rZHLFq~4&C~ABNy*IFL zxqIr)9jxSr7&bZOm->;}H``_NBx@mT8p_fGWi{`IzosBC1W?OFmq*Z`493XI$mNd_ zdJ&mAno7x#Xw(h0w3#SxSg>28D@y%}DqvwaQULS?z_1}&aZM7vJtxZ=AgnXa5cnn7cPlLm?4|o z$V$vtMb2SK$1^xbhv4vgLO%;$V{Sa>jwcGDqUKQ9`=Lbb2{BzAwn{|?b-NM;3>3(+ z)?e{u9Ohsa&a`}>FII>dxWrrrIPYuLm{L4z!CGWl(48pJRC~0LW)(}7iM3KB`p)T! zFs0>3o|HfefpqmOF(%xOW~Gy<{p?VwF)>Y1ETmu*acO{$0!c4JGc0S*@9uNOSaI3s zcc9!5J=%X9va~txC{P;;0YuRFg%PH!G5UeS<{rcN%1h#j=F{t#Ri+m|kEu(F^btti@u!eVy8=b>4$~y85WTP#%D<+^g-qxmkAY8pq402uUMc8)B#BqL zZmB$1%7&E1fppbEq8ISweNBZ8n&v#h29=HnDN;f=Nst{xDnaK+hPH zM5Gue63!KYr^jcB-%0#}^;$p#Qf^5ilg7ygMkmg4%G!4mt zTw3FHoRZK?gAAVPg=ph}oa)=qFg-O#GM8hqYd0Q06ACSxO_613`iaay<)$H}l}v}` za+~x)SJmU`qV(>@<~HhDPPY*vVQ`PQsHkXJAws(#I%ju}q#|e2K73EA zeE^0D{vQwrIuqt3-PW}5aJ%v`KUjspF$ZF77vP8qOb1d3NBL;iq(_^m07qt@r?Rqt zC&hTO#JnbhcB{e$YpxLrmaV-#$p$1F3%!Gq05E~3L`lS+I^`v)3+H|YB!^qHK+)Y354owVPI2*_652@0}@2nVhVa1eu6B73WtS36{1Lf zeRsprlK+vP6{RYx-H{Kswp^g~s_JGC~UFf!raIzH}UT|_qJ zXbPuGq5_Azz^YJ;#zi%*td)Fq>e!#u!fspT-!0mSuM;T3nBMxx^#^0f^z<(mJ>`CEA04SgiCggmw5GMYrTrCyy%f3sGFYbM!dL9;7Xr0uLS}6WvTD zaAm=G!~E=*F_pi;W{=&(fBregkUw)Y`TcwH)H?=R-r4SJ6wf&C=Wa=!fu6BhgjfIp zulFOaay|iM;jo0=l8xo1lX}aPtb8b%2CBE&K*J;1OCIR(AUp#zu7)=hv7v^H6rx8B z!OlDvYeg1-L0@RiaR|jRR~0vPHTV?h7*etNMby&HrJ)`g8-EzqA8Ypvbb5!+aT>~C4573EYqrh!UW$n zkWX)7+50Oq>cQwcFj&>KhGk=-*Ev4nWquv^_5$rh<{tE{xkgXuY0)BlJZcITjeM_^ z8UlAHpl7G4qCoSx^rm}aClM@et4KNz4FLli1&1efS%dRt`l{otbahZ>scnsgOzu>Z zL8A>lwSH3(reLl@MOi40VzH-DM+rr3V3vxQ81+e$*_|JJoj_*Utn!a@euiRN4rR>YrCRq+70^4ope{_nsJ`>wymz^!O)9a=RdTwxsG1CwM6?`?q5B%r z7_`=*C0&HSRPBAv*PbEwUKV0Fm7T+T)!nwPBQPhkOG?65xiIjb?nZ(#waqszfvavC zn2=!W4$WsQ1etDAc(L$~jnJNDTxCzTF5YAX5O*lmB`ILvRiXRIJndLkKL(ZUh8?*noqB1DZ&aCOruTCT4*hk)$s$<)Ry>Ng7*Q(>?<|A_dj6 z5(DFi2SOkoj^mG?!+KKbT9@YT5o%4A-|vHIdeoMKCp{6sBX8K}{ybgd_Wuf?h6>0t zVd@XYwm9>zLTjW_m5wOay-UB_D3(g_%%RL_$P`bnCjI^(K|p!vrF)nqpYSyOICqMJ z5wU-Hy3WN`>tOqZBg0F8_E1;}R?Pxm7!3Sv#jUrrMm>|?MXX>Z7D%&0lH>^1*@RC2 z?WE%rN%m?lCksjU^1{g2MP3dt><_9+T*5HbB}dJ(GgG)@DCiE*>Dw*-cFcjsILg*c zV}YR^lS86RgjU+$Pt)#Hb{9WlQ_Q8?dF(1M-W=pZ9Q_p`&gUI8K{+!#`<0Z7i>+hL zpC_2JZ#9mex7wsfrv57^dl$cx5;o&)-uWQe;hQjj8Yrz=r7#H^baTzC(UmX%JhZV$ z{{{GPa&=gM5XGP>-HWwlWy*k#2ZBX+vK5H2APr~@Cy-yTVKk4WNyIi zC$&yHuwEJe{ey7aER1fR##;#~@-f*t+1r zK%iZ*58&jCOiq&1Pc-lWqQ+baVxJx$A^=A`pSLGwY#}lfAUuM9`~twG0s1pAEaPB% zT^-)H?{9>FfZ%n%gI?MM7#4X7v1xS%=qPm&w_R0rIy{i0`}ekAt2d=75$+?Qap$`q z@1zO>g?mGCsuxn{@1M;M&`Kp2cRMgw+!Bt>R`-*xnBkW3GQuel!!Pw}=xH6VVQ!A5 zb@t}2_fw-VT_!3jsEECYxYjAX5B}Dr)K~xJ6_}$Z%G3l^!w*TBzRRmQQ_FK!tyQ68 z>7kg5&Y~nDtu4}aw-*0Ozk%fuGrX|YW$N=$?_k}PYgsY|>NUQVJ8;>~^{`(12F8y~ zneVVaFauen#1K>BXDM6^4raSDf`7VD)wiyiH`{IK<&k*#e$p(MYpqbnpy$M$afCvk zd2b;=4Pk%1CebW>U;e$z$O6EVcYl6(jDVY`6+uGLR61X*!36YgR|2ELx2J2~>_3VD zaJn(3DfC(zIVTd%*Uu&VYB=QtWGAbeGc=#zhAdXIrY}--Dh}g5cwQF#u!Z*V#5H>E4TGt?Alu&iSoITI2lyjA|lu_ietK$X?}ID+xHw%{ihK zzvhN+6-BK>cS=ml+er2N*N-Nd1HR0UPoW_L?Wf+Ph|7i_>Bg4thg0rGn#6Hqt(P25 z!&eNPAFW`!d*cAb*OD{Jsu$te;XS0`||9 zfw$V+lZLPz!|wFUetE1PW;Qi6aW~QIzYY7Ll3ah&B6Vmsk_eWNya8z~*qo*J<_PD*-B>=`{`u@*G9v5!xGx zb|z|f4r#({_3NpIL-o5f)CfTd>8+nOP?T76%+Vk0Lv-`Cl411w8h3m7w~Pry31v=x z!r-mgK-DP<2Y?p@a++5_VAON3G93BEIX10jldibfcZr3QyZv`?!?#635+j0dc#}Sq zdkvuv1o2d8zt%r`4GDHl0~uaAyiV}H%uK71^FhD4N9e`#LzB6&Ev91?MWDu9!_(cC)=0jnf5v3wc8!pydH8;-`^6Y0M_zqGw zrX?qxd=lq)KPO^>iKwTeGISTIeR{{|qUj?`Mm3Is|Udk=iipO4B z!%^Ag*A)mg1bp`JMu58uyjxtup-wJ?5r`7CtzIJ~O9Y#dNqKp>?P3ByQ1}e(+^chS zb2Dqzcj%komy5AuScvG@$Fx1uVxw317htVYB}q#W{t}i$LO7i*f=l~CyNDN7(vD>* zq$pM4 z#=z%#l+Hv$Xe?83o&S2iLG}fU7t%@MS8R>+?4K=OHJoWUu3TZ_7t4-o%=tM!F0{X{ z@o#OF10mIWM?{5Kf54AG^?ffL@dxeo&FLjmJYrmFwu(R(Q3H-iBOv`dPnf!{ptQ61 zR8XYgv5ePOSNgmJ4*%XE0&9cwGU6gNldB$nD#os)>^8$qWEOU?FUV?5t&`&Q-p>g>#cJ8EV9d2y{A8H4&1>tIZdS_+QZY ze}a!f;ptf$6#e||@$4Vcda%3f>&_~^C(NVvIKNS6^WfIhacrjGL!D9~gm*TqaJBDNUZiWy&3*lJ)Wt92IpSp5DL3yuGYK^J68N z5HdpScLVf8=FxB{)7tRWKeS>dz$V{bkcp11ITmI5JW=p zeBm+`pc9(#93L>{d|R|@B_+N*t8{ssW*4Rxcku!au1cpy5Q(vAB4U*qL4m`&J3^m$ zdxrwqtW{+`oA)wHL)c>rnMYFm8}XeXa@x{ee`&zRF_lR1$UhX2vE;14rknnJBL^Am z#l!8AR+PXHqgR{n)&&!3-K=OEC%boLdq;Zgkd3M66RK0wPm|fk%U_k zC*7(z-TRfL#bhPSpP7WN_mZX@wLTEu(&+L_B4Qo6@$~Yq=b_l=3T4onyc%Te->~TD z;P70|TEHU^MQaz=iN)i5rY!7#20iACTx*es=(o`y8VY?h2h^mF)N9ni!VD#-s4fYj zYYgQ3`1?*}aDULVfP~!{pEe8Ze|LdF$YgO|PUwqQ&2Tm^RaHCgX6K_k^0&+W7V-8nUKrtRw`>fJ`E$ubOs$vp&RKK>Hxk_hOayl8 zo%<1zE5r>&`6(c0mFC((oF1OOPag`IKuq3JZ3p=d;;u0p@-gUD{SHyU;`f<&iw;U2 z5oQ)sHe>S(#}WsVB>YAzOOK&MO+~@sXe~*of^KLG+X>I?B03( zC{zw7#W~`ezQQe1V*2d=ST|(-1SK{!&plFMtT7`2mII!K;+AyQx8!h-rlB8#{%T}S zL~MVKh0-eoL!CZnBiH2%FOTq35FhQ&k)m?{U6vgBV!Tqdwdu|(Wa=iRRJ3JmM}` z#{F|KgtY?8_2z3ezZToYOi~yLMT#Y8&>gS{qQtyFHq>utd3KNpR#7+r&*X+ z7|FAl%_WH)nwb-3B;!g5l9ZPp4!3py3?l0kc+ry?oa06;vxh)HRu-3Z1qBFKFMRvi zWw$#-q4(C~2Y#jU4}v*Fsx%EBLrm)m$yN*v0mg!;R!JbWkw8*8LA0@`zZOco(pWOL zL0<hH#IRK(E}TXtnr04>{tTC^)zXN|9qKCc=HD|5Lks>R5J_~ zvCNi8EKL)GzgTa2wC0xHrO_VM1w(c&%l3UHrFit&I@uMQ776JpJ2H;4mbR#yksS=- z{&`G-=!PI=yhp638nfP~dJ7_6I~2o!+!dJ~2sv5Kt4Sg&`GzB&pi4*A>#{g3U)s&W&-iq!y?BU1uRTJ$1 z6R#3v^>57OgS58?&RE|wIJSSV-~zYQcvteEv_4!`Q2Fo=QFw=vd)EYDfiQuWmY3|-c=#I6L8@VT>yK28PjE~KdC z#3|A$T&!dzPIpWz-f9ZJ^|lQxrKMJ%aOPlJ#z@?{H+bCQn+^x($o-!BXa&d7p2$(> zgdp$68az5bk7|wy4-W@S7DVQ~jvYSbL3e)kxr`9R)UDK4lmJh0ypaJ17bG&CNfz^C zCxQ_Pv|nq>{jM?L`QM#0b8GYL)qHTc!Js%=k%JAeMtzwvJLBP6u6f)0%q1~%~#O_~)WrBT1>LFhY0OLBC`5j+?6oMmx9h7EA4Zrz!D^!D;&~Wh8Ifdd#IgfdA(xEU|Fc!fg zlWh$S0XNOk!I6FYNdHfX?mjNf5r2)k=(vzrBE{?N*924f93*`9mxsD(qoBaO#DAmona1HyHSsxE&iwT^`1EeQn zFZx?=K5AJrR=KJld#3#(X!GAkD5IgukjW&0Qvq}7b^;Inh8vt7wd=VfN-*vLCaf@6 zMVjALDt-4?WSR(nXW=_Z5_Xi$Gkg&xQ7V;&P!rid{Q;4_HDo`!tJVQLw_$8)!MCql zmp*tvFSnzL^iK+BG%^&S;q9H->FHQonXtxhcxz}o(`!^;g(3_ywr8ofkxan8E=J;~21 zYN`d?-^eg@f+9n|tJP#LiVqQDFdz&c77U}OTE882Pe)pQF7}5VGX5$4$nvOvUFy8<=D}dJd>;m;kl48GIyK!^ zjFeC6goj5*RZEsR{eFX+tkpXBW8`i3QIpNxUWLau$9uaii@jP{9$PaO$0G$ z)bPno_%iWKu68w-Yf~>4^1qh(vUwg}PeW|yd#|o0J--=wL>*+f+{Ze-eu--Z`?6kp zWnREj4RS;}%JOft_@5Ere?9(JkD@OTHJUquNiCW$e|%C>ku6zeBVSjaJ`{N7|8Lls zb$+he{#Tvh!RbQ?-h@fDeM_{y;dW3T>J;z)4lsdN`Oj-%VPU0aW&$>f!m0>^x^I9z zm7N_unqCNOVrps%aG?S7A*tD35Pu^RlVZ&(g3WbQGQozwku_1`q(w)LMLNt0dO{_6UauqMNu3JI8>F3N^_(y(t{d|u!s zI8pHMQD)<;bB4k-#}+JY7+imI$htDjhoqzYs|KRa4q)1f!~ONP-8N=N$-Wy&14dye zCuMCN7Mu0D4(IWkYt}ho>l%7`d(DqqKlOmB{7nyOH4H9#*g6CF0b7eKrGhn%Nz`(6 zH|4*4r2IlSFY|Xh=%=An=d0)T3DQNeC|L*!*8746Bnee|FCrxz-&J|`xwtZ1&T^Vu z@e$U)n%bB)SWSAWV-EAiAnBDj*#rr53W5=^y;vmssZcn2&TIIScX`^H7x*~4*E>^M zo2gvN__X}C3W`oVs#TVOl5sSGeT+=Zxs=%`B9)$6{t?w2|JDFyK9_T~!-IDrX=7e_ z{yJ4_6%iOUewmR@6&U?_7q*Avxmv#|Su;RZ;9|v3Hb==zxOUkzeZ62-(=ET+dFa^# za&Y@+80fykJ$l55ZL~=LW^X!U&^_;AA(MRfScal?&0Wo2gv4Zm+5I~?B=tg3@Li8wnBLd zq3=mFsumj^Z2;&-MMcHTjH)Bb9PMTK#)Kv93;zJ4r0Co+imabWLe+w-jX8=gg5&(~0T>RJ3!#kQJPxU2!CnKxMX)FEt-ogVgp$dJq zUh9gHu+-ixF+7zQhd(hkAbGVOir3Ck%DEi=3M!V}3H{j`pCRg^R%gw#$^Y>J6+Bv# zGr-#wmyKn7dU34MnHS|TiBX`g{br>kZeO`VD=63R$C_oy5ocORE*8kGa!rriobr>1 zuVDRn9G8q1AcLW4<_Qy1NrqIICS6Ch7tOk~v~Jn9e5Y+&HDy(P=P=Lk?N_ zn>K;k0nI(7=0_H{&FN{@N|!1x2$$E6gEH!VpB{~j^pJvt`~As1V6+8J>Z)g%EXJ@K zZonZ&NJt16tMc$G9;wqLjiBsNce>q*A3XyA5-IO@fcrC|J?rT9CI@(*yfD)(DN3_{qd%Zs$w#`?ncRE$W(FbX{ z>dgDv+?*K14< z)sYniYT&fndh|?r*XL&+$47HVZpXifJkU=~s?I*2?eHsWrI?T=YkpIGxZrrP*s5&F z7oj+a`ea?&D3DXr+}V%%s7*rwLwScF9S_Ua1lPMR2{4nCUjg5+pjh2CsGn3-GB7cu z*JHj!rmDYxgx#DfD_4=Ojat=NUuXGTLsMEOeIJ&Rmv~!Z6@W>@#FX`^tV!YV2pitB zn{t991KMu-o2sx1*534xqDU#v2Ib%!22aEg1>-C^=|dtb&`~MTUsEPv&o{_TCZ^TD zM04>%vnM20bMf%({zSy*MngdnZj%D&Pe8Qi_2C>B8=DJ2)o-VHy*_}F0Ut&Vc6I=S z0g&he_yG4Ox;s2PJiu+~WsIc>76{T33=W3JzPTPIOz@vT0{TK{{U3p)q}dd%2M$ie z2?90Xzu8z{Z?W1;-|Yj0E2=dBceiLpmVggG2M2}{7*QE9sPYNWKH%$T#&`#GLjZX3 z0Gn=LK?2~SCk=7GcVF>b8Njfu+codilga)Q(svZNp}fC8ZW0XxcQe0afTtwLlS*t! zyF*)gxwQqtaZ6T-jM9JSdIs3abG#m~=L>l)D@IIAEWq@qQ&~)dd`a@pxg1IKmxyuj zHQ8qtY+2H-GpP*`?hp>>baGM?D`mKMR&_TOU@_a8T(GG~9!e-hbvL;%OU{)lu=PnF za5Glf@arJZq~yc-yPbs%o(fn-@XoQ5EQ_aOQg8^JsNQEhoFd|+lWgOp8U&HU%ozKP z3n&%}XWFcI^%FKv`=P|2T#JDZW6P(;@po^*DJlmCv0ojI-DBZii_D9EnE_w+yql^h zTCdU^JjZ1z2oer1trmw=V&d;!4)JKb>t%6>=Gku^)X+ID{3C8&x90lsT0=|TBs+1`O z5us;-gAMcpK2gk2=)!OpfZs0$FP%RbA0H3Yno{dS;ahZs9N|GbzCGE+MI$lh*A`nY zRYzbl2BU*I$)doM?x>(Ng}@J62v&8yUhrHQ0`8n#9A!uXU*^6@qs1`97R#ltXvBL@ zgP3~0e3jdg*sQ+4C*2-^-iVvK%^fT%gP+zIm^rRTmksGIDb)x^^TRfMcZ0uite1TW znk-cVcJR3W*#2&mMrgP7a(hobs0zeWv%@f+FT`6vvVX|7!>a?#}{J?eYk>;{%>&Lt>x zQZzQ!o;M#6SQV*$i;Qz~*K)UIxALdrhVr2rLYrycgY*?XoNb(V6^?n4-t|y$%2vAt z2rMGQfa!8`#5Sg67!r|E1PJP~;`%WuJkm^lh~(1y>n9_r9|RGsz6i$UKp5(v_jMJZ z^i5>(JOX9B{vV3GhVh{Y`nahjP|1-I-2VO~cReXSeKkLf9QTNd;iNySXo6@ z`|A7)H;-)Jy3<3D`u4sHiV@Y5yc&p`mlv(W%jhg;nqoL z?A+l}w1hOZP%SiT6V8btb6fC1RVBwYY>RA|_SD`ZY`hYu5!BR)jag>9LBYKrYsyhd zy&-N)v7e}rXsRNK!AXkD9jhKKmrm)r<3{K z{V)%onWF*2SwT3(c1Txflo1acI~rjn1y~~#)lnQGP$mdEl_ZfwoL9JS2x%+6 zmOxENZZE7^xNIds7F}iQmS<3pjBoNyC4E*}LjnF0;L1kH`R&&mlki?iPk%u7j-IB| z0*!6(=sMYMAmCcCLMll?ZsBeNUnsP~=QNaSD>(Vo8sHt-DC;X~wwF?iJ6Rbx zJRhZC>Ur2~_ehvlg=jnXJfP2Yc>ALcIiRnNfd2wX^$6X;e&nGnNt!e<&_wDl;D-Qe z=cYj5AMVSoQ#x6-?&SsERnR7o35Zaqq1m9W6&N!q(g65`eb5SskrfO9DtLv;vvf2= zJ;MwZk;VuY6J@Nd?O&rrW-CX`##m3`zbrrAbKXED=|s$&}Bgol4JC87!iSX zi_T8nwX`Iy(PrL#;Dh6MawOu|qQyJgOSgZ-`z+yQwen7?REivNTavZRY%#zE(}B}- zoUe20h*M;+t4%N!Nc=ptb4%xw+ysHK;1wis)mmXYQs={0k~|U1Va(OQPtpQG$->?J z3UnSEK#)O;fY9i#hM`g-DXQd%b`fy=!g_8Z!%Vy**4+BS8>R>`N+}>Rj*QbY1W>#% z=ru*Bj(82<~eQKNKtgdBAo(9u?*|V7X2~gp&S>DwNb|eJDQ?bg6Nh6*t-|C zdScFe=U$mnxYXOU^=vJjI+Q+F+~%g49uM#%EWZWyeCj&P0n@|;U zjA}q8(o}8`9TY>GaI)TWC!Qx=Nq%%*)&QkdUd++CU0nh|&~}`y}GhCtTu2qjZ(Nl8Mn?1f7us$WUM1cfJTfh7|YmYN~>-Y_PYet(q{dQE_=+~lH!m6T_wqL zEdpXFw2%dAby{Ho&~zjy4c%9|xQ2|1dIUGxWV~}W!X+L8f+#5sB`JtD+oLc;ZKzUp z>x=D6!YfsuT&@Hbt}nJ@rLX$az*?WuF$&LkOGpXG5xE>-TgA6Ng@AOuKWNzoHFDZF zjr$dv4PBF!ncE0L@=47cl}u=GH~P3tdI#S9m07BYd;Gn@9(?zH=A?4gr^k^L`#35m zb3o%Qde@)3JluQm)%vIUCyFA3vXSYH`h#QP=Baj>l7Jiy&xuAX?b=DQo@Q;Y z3&~q1e)S_jRbbh^R(y%(W5^A)_(?=X;tv}{s(r}t8p@3*MU69fTX+Sg|7Ag)ZWAX( z6^;-E*6^c%atVzs3GpvPCk~Mi#lOCrY)zzX)rH-wE88pZ&G0! zgpvl-K!d~{DH*A!fJ@oY(NGW&F>^BFTvJLqZI6AY57%}NrZ`U96$n0k+sBn;VJPcV z(gagKk=(+gB2O!W!Sv30TI?I0Xq{!GwgeYuxs4`{JGYNB!VKJmLS_N%(w2_?2 zG@wv7xmPot!7m-(xzV)^cOwU~{W?jxV&FRddiAt9#sn&Ty}*?+JY`7f%XAcGfBij( z$kP-hBgKyQ_Pt7Gsgmpys$U|ZP^Jhvt#_bxi^L4kBEzhM=7&8q|X{30+;5s|UZ5>DAa^ebb@ zm+p#jX!^rRn(&F8X;xQyIxP!F%nV4w+to)!#HW3JPH-gKdKAkY9WAoN(YllA2>LwA zol7f7H?$?rr5`;4_BAQmP7C@Qm^o$N$n<;ftMoy>cr3T7gbve<4LtQN$BWB+7K14R z=5YV;$PV3kF2`9i`|P3vHZ?+EN1L;!PQy>YZiVt(ZT47Lo$xzUGOQHiSjbx?)u7KW z_D~!d`}Y!$+~1sjmK2-HyB#^OXfU1m?F18Vo8guFLF#D+7$0GHsXLKhBficc*v(MJ z*>klXAHaO#P-!}N-UxMqBE4L|6H!@Keh3@ZN+*GK*vr7@J_8M;IH%1FgUu?y0F?^l z6&yXxUw5p>5$3%3=e18M3GBZeiNS3GV6Sl}yN(PeyrDz3P+$)o*$VxxMM`Jl9$5iKqLwIXmB<#ECQChZ(VVPxiEHK>GXiqes}!?4$cU|BU&dzh6Z) z9a7hBGVpL2-1-=%=}cIMgr{8h;gdQfi0v{VCJQBW(Z}wI((ID+wc3U+SM~qMoGE6s z-?Jv*J|QWD)a29keEo4}%P~~1R>1E#W|NbX@FX2ff#J7VD~jhfTrZGSkZ=>_#Q@d07X$?qj`Vbai}={qZ2}%6xNTwcFSI?|LZS+epqM1M zq4Zn@`SEPMF!rP&Fw*8WBc9AZ@i&RDNKl{?ckgH=Km~D-1mlLluF(R2ZEfYX6XXpd zSK3fyYmA{%K}_fCb&HrV(tu$g67s4!D=DCmtfi~676^!_Q_Ye4gPK7$tIZ%48*6N% z2b?EciV%Tv4>=mC07ZgdlhBaxWmj=TvxmQiZ5L!<{L{G`3+mEzA{pdGA{E`nc zGp#hk@Rx2g?%$6>hW0;--U=FmdR6S;yS#>0nxw_I+~Pm5)8DDI?=?zZa=y?BlSFp< zcT^usL1-4O{dkl9z1sSDjF515f+ru?nYnhp^cC+T(9vl(WM#^J`QK8^ z$1nQX9n<7A%WzI(f|i9{)mtz-THYUUBUux4m)g1qXJ2=@{dDeU=^b%XEp2kFTA%+` zCMBv1(Iz@l*!PFcnVrhNhivWpdlnLh-WMX5fe)pXs@X$RDf$!2Z?vmsgcA;v;Fg^? z3+_U?pJ0I~YLXAIbR&>~2LlW2eb~>Q+tOJ4ug&rN)WEbegILjVTO+gK)ga)rF(($R zSq0LtL|Wr0cO==9b~M0^LRsb7oqn%-;C2&3csQczGQp36GV7m$Z7uiNyUa5kl)nVKmBhZ+|` zXVI{tOznf%`w?kX4F>@K$SX59L>SfUq^QX@M~#>uMCnos7etAKv!m#XVPeie%nM@+ zL+eAhen;sq(fwI+UMx&g9vpd>B*TJ(Z-ohIzc+m{h?*uO8}X&%>GOM7jKgFC_15`& zweb;g=f`*5n%S5|zVLT^{oTT67P<^FNpua$k2`b1W~mAU$Ve<&#=KYq?iKGB4@ECC zz2n)Pt>TfNct3Lcyu8oep{qRMBC(N~Dox)if3$*Y=6*b}R;)?7#F=$88Orwjm4qU~ zCj83dLSCRqC&aoheWfG35Srgl4N1m3sKKPYET_i z*gPL4NOE zIID%$qmL9sQ*)_btKzK6A;r4waePKmgSE!;Z2iK)iNl<#ak3#^r@=hd_UyK9 z*1M10o6AF^?mctdN=i9&;N(2ELvL}DbFTBk(xzQ|qwQmgi&HSQRRS6U%sXubEh~co zp+`Zx#~F&u3!$W!89(pphy{c|s@n4Zs#bxB`TxfF0KFU#h{&a`+yRI$rB?Ge8dqCL=ob=y` z|D!+nANzt3GfvE~$&Tal?|*$Nkpd-tB0zco^*`SLVzsK(x^<@Kt~R%XO&vl{Qe6)g zL8FyWvbzahQ}nIIJ72IQNF!Q3I?5ZPYBAFLX7E|Hs@ydo$^^B(FoGOD>E)*OBDT#{TWoU%jjx{}T#+OjF;mvA!{bAe6s9KMtlXUHiP zIU+$e&m8OJpN0{zy0edU#LO&X?4Qx`X1{;jS^tbRCb!cRl`b2DzB}mZRO98*xP7{d zX$$kGNdLRfa+fLOQix8NP@n!Mcuf-#cUo2b%MYozyx#6_r=W798+f?E3ej_$^%kilhkV!V8h;yl2nw~$f1kM#w<8Dtgdp{DPruo` zE1$KfUirJy=A`bG5INh56iu}m4&+~!e7XQ&DFNJXVxWQtC=05pI6k2m7#NIKYW4Z> zVjlqd`#++N7+I|Uatlza2a4%{R{+SK*BSJ50wzQ?+IPva!nxz+@wK%s0IGwFiwl&8 zWu>J_dqd1-B9WxHu`EzuIo<6J8Bt(%2>3m7qp)jqsW1EAy`QFVrc7AUsyC^q7w(^} z`|0zg4qnd|83?7ibFc`ZUgQuK%kWbCyi~7Vq}9LYh8A_j+G0EZYV`$kfB)`b?P_ft zOBs;OIJdamdd^r|OEWfB?{qyA)ut(5^2^HaZa7EQ{0CW-8u&bp8P2gKo2{#fM>CV) za{CTs7iZaw^$oW52r^NI?;m3~J5A1fO%{Tb(Fn`r1Fyq<))TGG3g4AxfIq;Gm%|ut zE3z943Q}m((QuI?Tf-neJLOQVxs-I(!;~(UAtvK_w|u8i9U8CsobSgEVm7+#9!9Ty z^o^Q980P05P|O41LYnG7Qc|8?U$=U^+n_i}6x{*7kR4~%^cw(+2V5c8jCxu?z-C(v z^T)uzz|}aX3Fb>l zYd<#!{Ed`mg8AFKcQ_J{gVAa}eCH4@DHzd*`kqjI-udDoPsDX+s<0Ucj_U1)M0IL= z97ZL8{sUBe^J|pchkcnIV=(J&{1yU3d(T^2lYMr#1O$~twW_{|DXx1~$INU;PkrIj z%#4u-n+_P7ufT*^L)XYp>`McFsyi#$uN>7D2HTAvwsmD3EL`Z9t0_nrJf4deWr+4> ztY1fGR3GAyf}(#V>E~1}GWwo=7J1vVFw(#A?6(Mr_xfesHilk~LZT7naca)R8mE=H z@3C|cnOCSa#+DfC8i0xu336n#Oc&)x)XqETrmPuW+Kvs#Owa$*sss!g;Pil>GHMox@p1t5YDo+(TH2GDB1yE!V!*One|LMkckNM7 zP!N(4ACKHSx4ew=Ap>};wY67(i+(3l$RFr009=u)=Ql58K!w6Z01}a!kl+aDhXK(G zphp3`8_ht>aGB5$2GP1LADwXJo7pIskx`@1AS0M~iqnpb+EYhWy3a-(pY|F{MhxOO zw$gnCek(CO;}^;~!fV`O2cdALo3q(Eh$s}5;i>oAKN9?_dhrGz_$9f?*`6E@MVywQL}$YBj7*$1rR0o0d@#rXj+*9rv#;>q^s-oY^7<~!rTpEENXX^N}^69T-2tf^Gh)= zS)rg?#x*!7_KraNzAHM&FVE&c6K?q$C3@GlX@~7uctTrsHjsjQfG~Ry?Hmepv0Rb4 z6KR3y&!+{*1il7E%1MCUN_Gb5P~B~np5yT{QtzrfZAY7?`j@d9~g0g7g#qC4*o91HGa+VMWZL>NA( zK&iYPj|=1G=C7=k&8+gl0TmThIskUN$P>?vjj67#PM4!P7~*25pa_LYPiofW3NQpC zBKl%nyb+|}B4lDZtlW*qZ%^zS;hPVtgf}ktu z4532~F0?+oZd)9L;u9{hxdz3ZemEq5F3X@A1BUd6QVLHm)`3YG*20uwW8xD8oSKxq1rT+wrzr4~ zrRF6GjF$t6M5zd0#o#8hO@oRUMuTWcFq3oOV_mP{X>pwiV=MunO59m z`Fv@ai-$9sS6Uw~cNeHaUrGa1M)R>!>JSfvK`(_9#PqgDC^I?!#!lqq#%_G)zv4pF z1GA#wPQ`cU&i83{VXnuP>J=fvxTzEB4)!~A>JUl;#zX?vfmard^(GuWNR@89#(tou z_|rasnTdu*3b^0P%Tvmzpb*^N-`BYF)C-fK7&*P%S(_m&;feUX{vg2k_Y!mDf+rBG z1;C8VrwQc!^I@0l`SOu8g+bW}2-|lK>qaOA=6?4uYgNLb*Rm-=psVs>tJR<@z(S1m ztAU59scm%AknKf;48pM(=QP%h$IuN)-ui3%eYwKNmsZ2vmi_5tw7b$e5I`GVCURsA z+^N?4Ii=${zQ9s9pcYmDdB0m0e8$4wNjFh4`CyP3cY>%?!2;gDaa_Mf+}*DsFJ7hbd2~!< zvfrp07$04j>UjBzVV2;e3X1vZ`0Sign9_w;4{fE=fF(vjJhAuju|6jQZ)Qw|Yz|sW z_ZYb@vzCS4{;89DYk%ff9}Y^98@08Bq9XAYlNo~i%(T91mx!r%9V?N0WkI8 z=eC9h;ZgXDNkBj3>R2fINr@^I#q4BH|2adO(jR{huktB}tfxFkC~WL=h?I*=^tHo- zLB{)0#PJv-N%>afwhdV5_~vmqaXf2bhcm;7)JjX^`C36^n87?!|4K1ARPo_Q$YShCDIGE znY3RlwNOZ%M9KpLyzm?96*wX)^k8(n1BfOvHB=@*&HPyw=y$Q+;YgUm2!Dd2TwVKd zC(C}{9~~brnei0`zJQ@wN||!GKzR4E-gppZh)mL@@K&l(@UJNa-nES=kk_fq|0+K? z$XWGO{Qw6*9!&p4J-X#Q-8-4CmvCA#=SLyU7u7mwT__PhfeJfV#Ho^j+eUlCw{jbi zuadaCpj<#VC%J`li3rX?p%3Z|4DJCjbz@9ciowwfp#{SA8VqCA#*vI)egNArEa>h$ z78Yzs$Er4N%S25>5wmxR&F0FsmipkF?YltiZ}zk^kM1KrQ-2=0gWVv%LBR2C)8(T; zRPHzzRN_7#ug=&W1sFgN zex_v^&bK2*Jk_jlbK@UUVgdS^?H>;NQt;m4<*AR4q50r zr$T;5T^wsdG;bG1&_P9Z(5f}GXRtD);^j5CJ)*1$gZ)XSQVvL>!@r2YASp1=cvKf! ztlPaIwu#}B0;IW?j$$JOD6Ornfp-ST*`GvsE_Oqr@ujikegm11wYpY z4?*nX20V-q9N}Mp?q9w$v+)~1`2@20q|ykCsOdNhCaCR%Kk=J2uT^03VG7tt=HdwX zXzA$2y8msnC^!Woj|T9$ZFg5|UTsrQYIWZ9v56I-`LcL~ZSmWLf6m5+(NFwwY>V4G z^@H2TPE*LhM##(A*2H7bj$E?e=xV#dP50vxQPZxpnQoj@N=XeM8I$#$U%!w-l2~;{ z_qof|RnTvSOo$=QjR(8Oo4VL%dA<@9$`%`T{CEi-Yx9{AlLQskl+bkFWk=P7m>&VI> z*~t{ddymARDLne)#q?I2os757B26OAY?oohiVC>Q@jtsypz~pV3;3;gchBG#_l>Dc za)h@RlpQdxZb(Z3|b= ziakit-i*6H(9+MSU@~|Jz0e3!Nz(z<$$is4NlsF#avL#2o zuWSItn~aBx)u2_P_4l2Sa#~NU;IW#Av4Mbq#h75+mXesVd+aNk($}Z`jFAy?9?a%1 zEz^aZK_Tk-+eR+3P#xqXGA|W`7g>EfA{14x#VWMYow4#XZ-LdhcD(e?@Hw?f*u{w{ z{vD(j7Kz0T1));B0;iIO0|FJ}3#C2*y;S7(zS1!jxAf8WnIQ?ci))qc$S#FAbG~ed z3SA0TB_!REO0rN)8n=b@@Qug$2bf_}{~XESzo>npSYu zYi}R1w)3yA*Bmr^L-eEjEcJR=GbhY6mpGw59ukYAsaf-SAKe6B&C4A*{onTECl;1f zD3r8TH?^qHqYmA}6x*`KMZdo+?)aGY_4y(|6Q;;;#fA8`-d5dT__7u2_n}5o*RNq? z3Do`FgAMSdu!j0ibRVdo0$}w2o4ZG$2pzx;{X!3Fw757sYr>8418PK*M$R}O?jQE! zztjGp|2d?-$=7k=+sj5L``ab4m@!FomnLJH=xk|O+2P?KHWpUYu*otV1guck#>KtY z#<#Y){VVyoY`Z&HW2L3}B5Z!;ARO#J1 z%eh3VhcCQn^kI7o_dFQeX?#6%b^3VB{^YTYjftt$czCMMT?%)#A&dU%_i!`tS4g9P zc>capqa>+|_hy3MlQUpj^9Y8@LIzrqsqD8a!i4m$=19>^Q ziRG$URr26kI6~4TD!L}m^(^uUno#Zh9J^6F%q-gshA4=oTTKz{dZhzk=#TS-W@dxo z{eW<-ip!o@u!;UaFrr3YQ#doS)XDLqE~0;2AtJe(eHBkB@^EN=<#sz=ef@#8 zlC)DLU|b#8X8#&njgRf{P#TWRV!L}w`feZ_CR}v-ao?8gA@~zCTe2ILdXgLQZbFhG zuQ@`Oe^2@zS>+Z_bxuyh;corTc~~wraT@t#zGCm--~p)J0614^WHT*bmjke@Da{tS z*hC+{EmWrV^nAt5tRI44pz4itO7(huU zLS%b?f4zg+J~PEIC=3-rO+tOB6P|IxuxNlwf@`LAzm^Z>Cu0Eq(% z3JS#ezk1yP@1;=T|6uGuUF0vdATVq~+sJL>;qfH+`9|(#sWhJ)xfgJzM^>qOZ;&3Rqv#5!QiCBdQZX!3&>Z^m+Y`)+5-5o+u@M+uS-b^Ov z-Nk(Ab3}g+J$*{@VfCK{>!aI^<%0UrCTvn-wHuU9(c$>j*Sic0JCjVHoV>qxTJe1+ zF1JPBmecL3`u_UJ_4AFLl7UoaA39~uV|)FkC9`5SX-_mbyXyIfa#fa1IeX^fPCXXQ z>9;waxorX790}bXig|&sTug?C!`&HP$G0(2qWw#OW&!uH5B2g!;rF3dhCLXixTmc% zzI8tK>hfRDVZ>BOZ>;pZBXR|!l)zK zE>*sBddlZ{W5&nV)xAQL5)72=F|Wcf&H&JfCoroD1mQQ^9Eb&U8uwa&qVf}<8m6M8 zjC>RF)9Um48fVa|*Xxtvq^k@g@U$KLZ*(zbOT)%A6P zn5hpQtK*|Zry*MWrQi3RvAw&3f`)FR1D(g3(ZAK-naO!BW*4o+*u2Ql_O#g6q+433 zdQCo%jEcC`6|5Xvobs}UnJz>e9P2e}bl+gGR<3nJFR?Vza!uMUoF4C_w))OBCMqj# zOZf?}?av3C^xk%6wc}%Bov^E`d0pR}H_)Wzs#hHC-DBK4uD3uq`|{|i@$IXd-hT)N zh}Uk`6Y};dm^F*E)@2jV@6C;}3>pxA%smSyq)t;ib|pdog1sSO!VL%L^nhsxaEk*S z8gxb>>UKXcFruptBLHyTIF%}_bTbI(5P?KJ5CXZny9+5HASCoOCwe~olR@_8-JVdZFbqWAeINI!aecbX_G@@V^ns2n6A`*evMFxW>dNYRKEvAys%;t5C8U$Jt znruFS%R#~ayuWY_z*yp0~0%#;aMjUk~1=CRwil)Gv941g2Od!e1?YedS#;!!lcB;$a*Y2YSml6 z#|*r+H_?2*JHe_@+DD0&%P{;}_ii5HG@qjL%fD-;L?6Kn9lz}B-Lscbx%>HkvSkj^ z`!Pad1X_PU?#|-kiZV--sON>&qk{5ZeO758jHD-TftrwF*XZjWI@KkXnRVFw4GTK) zs6`h{Fb9d7ZDQ@K6PhYCq{ z$yD-yVnPYE^6=6IgSpjVD;HVezy{!~I~6!C)#{Tnh)@RMSgEk01qx3M`45RgxFuvW zbN~MBZ;Xm>TXmG)UgV#HiKo@_-SSYN7u~W3g?C^H9H9A^L6J_*pj(D2C|6vURWsGS ze_=;?-4*x^ts^xIMQC31c(`YjxL>Y$$R!sbE;cqu!Byi=ga6lnt0*Rk>1^anc*Dil zBU*OH_aEm))Ed)rm){aa@FR4no}sAA(*NkFq$-t~8JQ%#)`1pS5%N8Dnp@zFKYR_> zdyTK;GF1iyxOQTG5StBw*emnbG7>X0#0hXxpwF+bv4j^2$B19uP8QaFp!SOf26B88!56vq(IU)3?NH=1F(A(P^ z$`1gD)2^YRv0vxWFCLB6Pv&_^yAFja62)9jgGfW3v^as^m>(81+W!kim#9F#tyh{OV<5Nu#&36*E`Pz(ugLQN0^PofVvqINstr{fX zes@KC`^Kej4?Z8WB1agC!3^F=_YVthzj)hX1Aj*FyIqXA|C$z8$a4B7wn|C~76MUn zI-vdFQlc_SdmN!y5C|?#27SPjB~x-@T!n;!A_U#$>tToUVSRd5R##_dlu7XESXTen ztG~bo+>9?oj0~P??+=-vl2X1dCxj1yE)Ib?p0p=6^&=+HhG9DBFN(X(E(fE zG>AK}YhT-7mPjl57y_1w23C}>p|y4L)KVK5Nvo`A1eqAzPT|m&fpYtjsGdED;(Bc( z0zQf#Au5Jeydl=mV7J05My}@jaU=VxYe4y7y!933BB8Qe9ga0kx{4r`LhLT5w~ z4dG~IYPC(mPbeOJ9I#27FeP)`F^=9iq{`ozbKka_c0h^2O~8?Utw@)Aac9bYNU^nG ztoWfEgxlfOl9W|>xs2uixXVT8YJ+ZS@k5sAY+iftZH^qfsEXXd!`u7zh`|Ng#iPQn zBE2LjMWg}HoS?3fs6&$&Mw{f<-|Xsf46T9OTLDi9F&qjg*kZW(Zw(sdN(C^-L>8_9 z29Y^21r;`g2~|2Q_t!Q`#GkC)Di!m@`NC10=vs0#>c#al`ikr6{vwOh@A$DXv3mwz z`ELhlIDVDSiiIr~vV15qJrSmKXG+6?-lOyuU<7a=xWse&u3 z@I2Tl?f>RAIM4n#LYOt_>%&~BCUFv%Csz!)!c(7j^a>U@?Q%UM4sxZWphk)qkHmX;=TA*#Keb?ervG5^|4Sv` znR&#wJU3{6xLJf}TwpLsYwzt*W9D!#m|UM{iJiID;l6)Z0|(Zn_vBAmFFl5uT~ zfgi1ZA+CS$qd)$^5LL08xVonC25(wcNnm-tCe_X+Nw%15WkSw5^?=9vc*IHT>OMdz zD0SGjGKB)4kI(!;X{#YGiZE`v_VYIW(MC<)m++f_w>F0{3<-}CB4l(74oi?oDOgYV zr8Gr3iTXkScoaJHPh$wm{a?+GFlltuJ*6~XlwjqpnWA_pjWNDs{;(G{D?|)cPtgHB z%suI(Bvm6w@@u!C)LA{2YJX&TK9QFo^(^?1HsXa_yw$eX)2niGLA$x+$1yntBcNk2 zG#F6+D{yg=s9*CVK^wx%vh>#U$?;#(T|Dl4vxw(<35{m^U{QUeQS#F7$8YmW^}9h7 z;2Fdzk(KHy?w8KN;y0X717xff)7%4{R<4*Y{9>>{2c9w>r1j)@?$z}~Y4_tqNpO2_ zMP-X3s;FTggc}~7V5q+{n#Djap&?)wfxVFOaYzEmjp#SD6k$Hzl4i$9s_W5{2tDk4 z2S=z%4;}=VsC-!>3~1^VUigVXhgW+iCVgS`rC?0Cj)=+Gamp( z{6xOxPBTlj?BchAQLQ8Bwvh=b*fIL zR>UeVU8sg8rzjK;9`SC67@)}?1V-%Q%KUhj#UlgsG=N&ElrCzOwSr~hX_EEtojD-= znqrX&h9SZPuS~e{q0*2YsI2iJ8^~0ZWy^ETnUDApO^VLp4;7oMOhQn=(mNyRI;G#) z`?)M#Ks>6`nV85z8}WehdsVpiPd!P6xQ(pRy)0tY4hhFa;-@I&7y7IaDpGx@bv?Gy zSY#^RjE;6Z6%VANFO z+AsfugPA!2G3Pb{(UZf5=mCEI42+jpxkkB6ng$VvIRH^YCfA7k%dnVpn3{C81G7+! zhKvWiNpwsM{hPIVXwZQ9-RB`;A{b)PA5l_(=ToQG(Fi<=qoqU)B{PG}!I#;v-VApA?9hl)NohgcPW%0go=&Ob?s1kI8( zWqlsgPh4k_KYlqL#!TsCimFUar>)MhdVmpbHHNaA+`I0t``CSPWa;tmN3@ ze#~$&QV}8e8~5x#=U#ph!R>%ivCUq@Ws~0_zkC`)f+gyAxxw0#Kon4hB7ThrxT@*A zuC!(V23*P`?kWxn&nmq$00=EO%ZghI;U=C5y1^ML>K4lK{2Dz9%E+TaJaM&NbGn`U z3=tQLb`QD}pV(}%#UOoZ`)vP`t^2^ou#LB7Ib{8% zj+sU+cK6Ghx#`X&BLdt=`1FvZtKCwZvL={4N0W43YqYWRQ+v}NtZIe0N~D?wTSybF zq4P&7!6v8ISX3ZSBC3J=#A2$izJm;ZOVly z(a0-tv`6ctmXw}lKu9++W2i|NNk6O>t25H@{_O3VKO5*qh*)SIxqs#w}OKo&fU^D6gq<7l}(S&FC*Ul(R49 z<`q6$yv9GC**77*U9cKkOeEPk;+BNbK->kQJ1fzN(~$g4Gc#USL`;Ofk})3c`g21o zCzY=lS{@{T8I~edbOu_4qut`Rik`;o62ghW9kVDx+tMtv*jG-9ALXp@!o%J|92VBm z-oD==Rm2dD%)6#6Gdh53H}hlakK`98T5%e3G;aUs&%j}}U-3kX;g^KkJCAHDR%dRU zi?Wvf;L+0$*Y7XqyZYBh()Ha-nX9|<4jbNgALyzB#1O?JVQi;ush6Fyx@Bb}q0*JG zhU~lV&mIr`ppt*#7(4K^_5;;e?23&gd$2nJ77**|(5QMJ)UOIQg+H-%N4b6;hczEX zCyi-p$xNLR^cnZ*UqvPN;TWM}uT;}<7_GOb40NUsNnN1vH1a#SI7vBe)9V2w((jbx z<}$_%0%}YMoeTfC#DX`)6g&}!{D~`I=jO1WaU+6w{Rz#^kmQeEf@N;HPl^^2HD=nI z$!rpEnZR@@-~-m>pZk8F_l*#8a1q@32=*W$G8xcmDS^+* z5C7K913JjMobEr4gVT=Y`gH)!jlb!yW?}&=jTLpVB%1ZURH8eA^3VVoG%Wx}gb^%6 zxk{y|;?j6Ae7FD^7~_uFd%EqjXyzM|U#QaK)#QE1WRRJ(@EH6ffeHf_!JJ_X0tWIO zL=-%l^k+uGSQINK-_kCQ<}ZDW{Z{LHkN(6x*Ng787r(b1(A=KoDbvrMcp&7QjYKH+ z!q=rpB&ert5VgVuz!uI@bNi;nHCZWLk{8zQ%Vwab2A0mA#bx7>^j`e7KFVTiUSzLR zYbU?9_f>@&EG88sj^(RLJt(WCxvh8HJ0JfJBzjyNT+ys!diu!WBYvr2id_<6vd!7{ z69u74m1$ce{_w;<%_zDssjJ!WZo60KpW9+1tDMzi6Z6IkuXtdS_?)2MbW&SnZKEv&T zq)>AEK5#7UJb?)Gr$CZ5)W4p=f9I}&zQ8~H_`gy=&=~-}LI%^UVn%#G>f?)NmJ>3j zmrtkDqenoP6aSw``2RXXebdHZ<;EAc$1vA7WD!&LkxKo5NHhOW{MZ>Bkf42t|L3^> zNqifvxe4SkVZhB;sp+lQX#kA6FX0fu{c==$+zKQpD3HJ}ML+JfN1G}$Y{EhmA5E7w zZk-`hvY#MErp%Z|mnuV#g)RsJn!yah&PCjr^3TUR0Q_Xe12?`BMr)o93Cpur^do#ntPB`>Z1%Htx% zM|offh!Dp>Rrq<8(C&z9er|Ls#6chQYPNrOCwm)YZD8 z8D_SyJs2M>kptFSL}c_a&+HqNTz+}rP{t$IWwz&m97$bx#_c1|loL)EEZp5CF41h0 zwS+`kd9nJ{yRs2ciTjUvyiJ@%7C z@9tc@ENtQkMyB~mCfxg6VAd?MihzAR5~Rx<$4<&s0EeNu`BqG%Ra9F;;_P_l(Nk7^ zeaVq@r-pTyyTOu1AHpf5{k-F9Qf~e(r9)xyi%lkv z(jr0aIHaE3`0UQG!Imly$FBWF!A#DjF#&sw6z`7QLr) z_W2Up`iv9TX9UvrAVvE($rBDQnZ1~ZL^cxR39xQFNZDYd9N>~8z`D)0C+V|rJ@aoY zWW^m$cJ0fC_IRts;S>f0C%GKWR>6}Ohl1JU3VfxrRQN)leEsA@sE6K2fD$k_QN8@L z7t?^q#(oYHf3}Z*C%H<*ShVK{Pu`9X=P(0nx6QmGE`-zIZ|{e~u;qB!`S(W<$vB7?3GUmPjDrgM-FuHi}ZTDa6AJOL(%?_X&iQ%j7afhJs;yuAHF4#M!QAzfDis+>TsmV z#Op`rK}v60ATPJnZ`pkdU{xm+(#$bE*rUH5WvTU{&MlBKbseK$3IFxh?;d}-f4Z0wTd4pC#^ zHq|IXmVAqqsmtOsK5RH^^yacU$o<7_{=)MUN{wQ#aIV{YL-zO6$TQ6r)pHm~64 zlk^lm50aTOM$`>(S@q+5^=b|d7WMM#RHGe!0|KW<-?ckkzT5=w?d<`1uK?!V z4Ax<#ad={a0nmflp<}hi4fPv9^anJ!jWY&E;XFSK9fu5|GaKLtB+!Q_(JVJUxH5)! znOk-jb`K<7NbS?a5fb-~mRt%lmq!HZc<$s$wxJzUY=jHh#oU5PF7-#d35nZ`N2~5? z(7-iTY$(gq&hl9Cu=Z|SD%!YH#WU^P;%`S+M}J_v zgNo~Mlk6*?L~SY`>poB+By$y!M0 zKP>q2Oo3b}_jTUZXh_a?SkqF8n&tW&`k^3PbZ?hSFzmyjimlN?m$OH*k#0f7B-oqR zCDdmj`eM;b?3iR!roNpMVio<&yhe9Vb!o;HLA@NOPK>ZCTUga!1rJbJPNXAs@t(=B z{^mU9TW$e=x>5!@l!-Q8V4P&j15(i{SOf*Mj`h$q1; zJokz%5~5TXsVU|Ly~0?9ssUS#;&XJBm0Q04bsDx~=aMvT&OadfX*zPG4`{}o;fplW z1jG=IJCd3uGw*F>)}2CBR+Z?T&T|eWBQ(PkU|q@0bo?=`ac25_DmH}ENt;_)J2m0I9JF0>N45|TL$8mcCyI9@+X`A6h^2I3;X(P?4m7J&NbKj3>m|5x_`Dj}89T*U|C1Sm(h38nL3hlq)3kb}>W0#tBW0zguVZ z@uqcIyQV}ZdyG%M!!#v}qeg5XgjK3YP~jXFaK&1puoiHJRqWi%{kfd~y#hIuu$@9( z7UF0ioM^t(M7WFoHa7cnT-w@V7|VD#!jJ#2_WTLv?Sq=6 zOI=W6aEOJM4$t>ph*f9cu1xy1y0kRR4iRgS{QALV=@O6C#&jfxAm(m&e92kh>m8vs zUcoY^X>hB7zOJ;_6VjyAqDUajaYa?q=^oiPGyHk?s>Qq7fIvrHZE(dN5vvni)3~~< zDyT6XH$B2*;dvr$)Og=}j#a}M9w!hFjuD&gR-_bp+koGNN6UO=}jO-md zBDo!Kae3J&(_rABdSk#NZK~-#mgSK*4*6Du0DZAb8P8 z^6C#=O$=>rp< zp@4^pm7rks^#sAdEUGg&E0on&T5Wr7!Hj{iP$?nSAf+IWbF_Mu{hfzq3eRpuWComsJ z0`GZI70TQzm(pB12V1%FXy3FK zZzrChg}!5XXc2?RB9oI=O*jCD7$g-Fc6>5@V2?CLAO@$nuGMX#$KD)!vCmn1&L;}j z@xT>bbg|W|xYDm4ktKq=Ig%(@B z9kHr)?1|a#>AF{ZA&@xSsSIpjJ^47R2wmpi@)V?561k@wsopA1&h=l@S>DogHQQQ9 z*v7ki&*@=5yYNNTPEAQk0k}Vf366`^+CaOaJt9p9$lsP*gd62Az~OPcJUzJ_&qC4y zQi)g*@ffo#;C=IEBi^!psfd1Ne6XfiPC@>7i_hmQg7g}83j-@{#?+XmAPVMuR^;dB zvnBM;D@W&oUnK_Wsy=KR)Gi5zPt13*T<4c`#{w7g>MbiBIsNE~D|)aI4|@ z5y&eIdj>Q;3CCt$nRmNVxG{Tz-gpZlTU)AZHaG_pb9CLmm5AC+6-l%sgnh?RcevO= zi?D=yfA08dzWJP}u<0EzA*a%LnAyh>3libsMZtsvlSeW_<(T=#OIZ%Rkyu~a-=d6h zimi7RhXL725hC*nJ$-dTaj`jG=M`fHm+Ra^d$xg-D41D)=@)*PRoJ; z1%)%h5h3dP16oI+$;&Q><36h4|7q$hfZFK7uOHmq-QBG~vEc4dv^a&L#ofI~fEK4X z#fw96cXw!U_flK}{I}oxStc`?o!rgMWM}W>dG0yClg~tb*Q+j`4<{lcp8GsT% zlW+P1NQh_By$D4*oByho0?O2vKVQPGe_Cx!3jF#2X}TyjSgHf8{7N(N4Te5AzR#HP zzdT;Le;LZ=)7XW^%F&vbAc_c{h4X}srDLeXb6cVFF(Px&**Is2hok;M0FqBzH*!>)N|2wHc| zve9r)I^;23q5Rf5zv#F~Y_f-`sqq>x#$Gv#uMywRtEY(R3>@ z?(iWy#>HJli4WN_;4-=koc#7=bp@u^x~&2ac{Ba#p0S6_52Lv}As;JvKl*cAr*`=~ zjzuY{zu0Kws&$mI*b2QumiY!zzK*_LpDSCTeB~?ZU!dU+1);2fqLbQ1ONIoIICqqK zB-Bd^Tk;zehzYeEZIL1Cmm)4w*18&M(WHvua><6qJn*tI1&EQhf3sml=h1&A?}q(M z=j*_D>s)}Ek_IM5BD+7#Th7~-J8EmSHO=Oz4r}hW)|8(InHnKNNMZxm zB3_@6@BQyK?-XU{o?>dZ`6U)eHHGXMg7(8UJ5qvPNK@D z-k=3Hm@@zq1O}Q-;XoHi3Km2SG@AbR3pOrfXvz$I5e|e!Pl!5Y#fgvPmkaWd)z!H)vRvzn2}Eai4;u7Av!TA{V6<+Ek#&=d9^%SB|0eo3 z-~Tt!f1j~=_oQ8>Lz`5y;&7SfE!z3>r!8?LdYUbN`62;k6auYUiJQ5(q+|5Z4h{V) z7B&5m``ZkaUuU;?G$U5LK#M9ew*0$c+V_vMQVR3MdSu%-z9=Irpzeb!Rdw~WvAu-_ z-4`Jy^O~fz0n~D682mM42sQ^Bw{AekB_0 zWqL;mWz)N7Mmw}X@{ik;sX&(M5%!lAPe)pJF>Y(BtMj1MQh)u|+BUk9z^?CE{`o`S zaq6R?<&=THjZ-l{wvyhg1PI4=+ViBX&c4g7=BMb|(>Z=V)}BT2{QbA@2?;_;9`{ti zW?wkQR%rA)!ObL5Q;%-eWb-8X>7-cRwT*O8*i+@3!ngB&ZbG-K5q}1u2R?3>>kbPb zqr_X2{ONjtH2|G3mH{^gQ2+XibHT;`FQsl?L2HvZXGa)+iUrym>}U%vA09ggP1Dnk zLE@gf>p6ah+U8|ThZs2q+k%;X+`?KW(t*ex&Nn(5zDXvCog10;t{cz$lhnQFqMa%A zAJzm`C@00dOzU3#{=BXuu_=$KvVHJ4Sytm5=VD%Nb=z3ACVME@_}wBT64(})6lEmP zD63$1xd=*8k^r#O4Wv9v{i|BY$4x(a6?|NuR#P|IEBVjlR_7~My3MA(e+%D~HJ*>z zE4(Sz*R47ws&LZvAqle${IxS0u&yfwM2QY5A~_;nY7SXc`EL&a z;1ZywjDtXyT3xFQ8{c}K>Nh*RC$#F@+S*!`YD?l3xY2_Eo)LLj*}uU!I5-2lm4I96 z{P1x2gO;{-3SOxIGBPr_mXeYZaNO)K0@AtUr*F{8(wkP79YiCoMRtBbM^saD0e!dv z=m`qx<7YsM*WLYJOQ0#Xc%1b0YyZxbM(gcIN5_cvR|oV;MxYFzHBD5eE<$yF+8qKNqPPWk$~SC;9E`G+#GE* z(r;Ltcy8-l@PrP(ynB~Q70}eKP@O+j@=6N#S=68W+0ap4%&z3a+$2m`XuPV*T+SM# z%Zq`HVX-V#{U(xw!rteV&US*zG${L9YF;fUanAMrlyu$kvYt=NlJ@-x0x|usu{S&t zRcnU_%?fPpBYavPLrCWo$aZX%zooK`RGyNZEb#?S6o?323Z5^&1a=xlD{18CgU)nTMK|pl%)tQu{gDs!Zja<5#=NfQtD< zE@yp1Lc-FxNmExLmqC_puIDpMMbPee8KklbHO*gh=o9ny@o_7VDd)pLu#c#H1B9ko zbYTxytpgVrNNZsrvY7d&Z5>PFO0&iyKCkY4932}|Hn|VqE525?#M18rv`OYwznY!9 zY^HJm*tEhGA0Ho+Y9?Uy55(9tYWMyN$e98C{w~%(&>|pQD%W9s*v%BMFF<|BM5nd` zN{-f3OTe$yT@Ogj%+3WE%_0C-n{k_`W6K3VA=dt`qNuEl2aBCV`!RBz$O4R#BxCLM$Rj4C;z8N8b%%| zw>`9UgOw)A#_oX%MJKt@2aX;YK15jD9V@=4GI2vZQ^k^A>~tzGn0A54Jxaj}XEAh} z7xIezY6EW~{FRrEr;@9a*w@(R(~Hu*jQYE*=tvU-H}OF37ip^Z!kmGh{*L<%%E?}( z`UUVFB?X4iv#gv3IFjyg>ZwQ42)U2T;{IAGU*zb(_-x#2C-FgR=U&E5vD4qlDwpyv z-jMiOQ2&i*Q9R8&z}5J7?~KbE8AA_H<40EO*c@qO^` zv$eJ~M{IJl!oRD2*N1=4+y3CflMB2416RP~8KU6+0H7>OiG?I8tn{Ml*H=>#!2SM@ z&pmK^zLrHDhEY^f!iTX7Y~UXQgoPO5W!zgsLl|l#S#P@FC`2Wk4Zs2&$&Gw(jzDOY z3vh2YEHXifJMgncN2z9sK(AWuk|gK z(!D(i0TUiGK46)IZx^z_*(MHjTpj@g>8vCxPL_@cX4L6#g5tqAA5Vq7*{zl&;orY) zm{~ew2gteVPiZl=BT#}YEv>n0k_7m6M+ZAK_R9kZn4gUNZ5}*>AyafR zKUsEyx@yp~37n5dvarl}jpbgB9uXN?74oX_HVd5^zIgrFU|U~pPt@PtX}Q_!-27rY zhC=0cRqR(n-z~QUyAwHd|74XdwA21a;lUA*x$7Gl=}IL(h-VD?da0IbazJ($t|M;f z@y&~D(jC^0FtH8w@jF)-2f#+XdqjlUqJx0AR$5BxyJ}XXCfxgIop;qH93)PL3}Bo% zB9uhHP3Zrym!@xyORAj8-t&84Iq4(I8f8sb|J!s?i*G;y7W8!133~Am&fE{^{tq#S zHR{{EQLzf6u(AHgD=}GxhKhRFL2bhv-kt!E_His(zI>35MBnoGw11~*Ott%^E_;S&i$iSWM zM_Rwa?gh-mN1YeS37V-qOiRQcPGOavfk$nSaVvf>8uuX z0!O=DFgMQ~l&;kwEit7*n3?D$IuWj5h_vbrh!hWB&$}qZ$gJr_#p(lHef@P1uuT|c zSEMbm_0K)|Mmb)6MdL2rezXOZ|H%Ht(ED;8KxXy<%tb-s2JB>oXp&?w@)7yKNbMDI ze<`?&9O(84KlL0N<4fIbyVa$7+vEHg`iLWV#!rac<%GmZYrD$ahuz_%-w*=J>}K73$BE<|c)x`NzdH(IQpAM+EJ`3Wni6DK zYk?pHuh8euEFgC}th$FQgpR|B4p5GTzOG}2;48=_G6l7OSoQA7s8w5pCc{M~5MiYA z`urKgRFbooCQzj$E{S?nVKk*mN8#z6n;a)^u3N@@9t{1bmhby7?3-^qs#_aH8RMxk zQ*(Iyj(k&;a_#CG++06hNnr;`7@vgS53?Cp2yB|ihwnayc<|tqOgf~ar&xe%qU$_~ zPn_=*>Gr}`6BJpevRNPG-fgs{Nqh$L-SdyjTs$Gj15kuqx827NZB|u?0qZ4Lr)N49 zf(yRa{YqVAe%RJ)&T&C`Z{j^p(&2+~e8Nv%)5f-B)u89_t;~91N;LkG8v3^IS0#5L zSIEtA5cV2LafN|kY^{XQc2SdCv771i7@Yh|0(55OuDA28v)74S76!I<)!xK*7AYRKMYJYdcwSt zw-NY+Q3TIxzj*zXRtMR$Gh5Urx$@hfKdS&QFE1;eyjEc*-_n5#3Su=LH&4cuqM5E= z+XGu?=!d00+k~EKQi)fzio%k~VS=tPc`E2{<9Joa`x=anPm^YXGY2&FcD%1pVQ^`t zb!*6me)RJX9L~~|`IYn=c{#p`@IDT(lo7UZw{?IH+y0QC@`&fC9V~C#e-KoY$5xcA zhnZ*gHX9C3o2=BU&Qa)PW>UCZGV#N>)xD@KrW9%snm0yhcD`O>R*Vo!L|g&6^|_5q zunE&L!`vg1`)CRlS2|f-8DCvpN#gH~mLiKs)~ImOyMt=;-U6*{R8nH>l%CNAvwca) zchbcdsII$_;Y=npX#;(@iAjtW5)672^O#(rKSSDb#VSTn>)E&wQ|e%-C8>>3rrcq+ zN=f>@)YR4z;3C~@qK$vKT%azqvhwrLvuRvV;N?$rKbcRs+_rC~?ZRBE_|qtfEdL{- zJhZ)%NXo)#$86^`hm^uVr&KC2E2nZ_7Cuo2%6u_7?3@}2o<7=>FkV1TGBu-LxPL3W zzf_hHaQ2-7Qyg{}ksVUu)|q^sLj?^M<|AAf;~0v|&h?VBZybCR<@>e$sPx20@xuq% z-JXQk!3shA#O}pZdKAXdf(EtjXZ%P4EF$Lye370}>G$2TdO*>@&h!rDk3J^-CxS#V zAQHo|nv#|SkqLe(j$#Rv+;g07?=tJ<3KJd$fR471(ddlctMPNKW{T9l&IR^X z^pS^1J@U7&hKuPX!mn}KqX?-UF%KE#(LKAkl*qB+z2PcR0-4MCvg&VI@J9TJi zu}`8WHH?QI>ti&`{tAZ%QmhG~cs2rqNzp7^OiK$%t`<8;Lc(%X$dQZM>-;ia>1QUc zP+e+?(eQcL0q4s{><)eX5rkVOlRRWtq&r{ts@S}6Ntc?KvIthLHVe(7X<@Erd05yA z3d#Xhx3Rs#0EDzU*sdzo5Mz)j>{Uqjl1SftQM7{W@PtY%I|pjLTU>FC*=h}OXi7xI zaVisO+uMU1P5aXm+$g$+6K5m8`VhUvdi_`fBIg3-aZn9pk@eS|7^)UpdB7vv5BsYu{|I|LL^2lCaEUJ3$sd zH1>O7{2==IGy5C!5uy#^;m2L;B9|0O+H*Di-i}X3dQ+vbT?)*-8hd*eWx!_oa6Rpn z)Q*hXSl_~DzKGvq9w`V}qV?=bya%Pt;$e`hA2$uSnUp4@k7oCqG|Xka&qy*M*fIP0DKbP3 zwx{v7F|43NLSN&r;NDCS%8WXS9$tL>asVrGbZ&Rp^t8sO2txPA@kU-nB^v5*#ugFi z=Xso7f_2$;@dzhn3FJ*=Dwf1T);Lmb3=8x;+QTvxU8CB+igwIRJ_A21H%}ufM%9=1 z>__u#0xx8K#J+R>KD?}fK|(TOd_+gH4^I4&<9}OGCQpdj?&gq{Zf5t9ZkHf|7Oar) zNQ^u7-bwfJbD#4UVr5)YLkVjMYc|O>VrO#`WNu`+qF4Rb@6L@TO+T9ECx!%Q{z?S% z_;mI7m{PhO)C2EP^Zyc?l$*C+wpLwyj;*zge1!VWiT5IvC>>v?P3H2{xGYu z9tuk^gQpZ)G!VLhJs<7AS=7f27Eyj)ha#h6yMT%fM$;ADjYowM{rx=?3>yfEG{(?$ z;>d+@1C3UEVMV72n29Ws%I>Tsi79BAQlEheXEU4GmQW{rFwa7%jKra}lsh%~L*ntQ zuDH;POh2bJiPd_)8c4@lneO)wV{r2DLRIS+8wZ%oe`7}bYc7uDoPa0->5dWq&GR!f zr9*=3!dAVf7)U7U3$?xLa-b;wNFbtMm-MUPc|77hgL)}%#4s_Cln>|U|u~Z>v6T#APPn3HC zzMqO-F8oj2lj0w;yk21vp-Jz~-oNxW{VK4elQ=XKTz8|5a!jNBZz;vh2DPkQ^-V9+ zdft%2c|-`MJC}c9@lP(qTnqBg|0|^=sCg61fw+}7&7cA+VJ6Q#hdrQ0ju-*Xq71=& z4ge)Xo#Vm5eHE6Ed*;}|tO5;eNN3snJ>bhia-aP~jDL>opHZBIEw7lLK_T4yr;>@k z6VLi}!v%VJdPZ-K;J)!#M$W56uOD*TsLnS6nLsg6qN;m$w9C+49|d<>^60uapqkYV zj3oW&nPi5-pk2y%Ji&^WC?gTO!-}~r&`&(u+?ILkj%?6uJ2c2}IVF!L&(|~4lLJSd zG>(>|?>l)Vn@lZLN#59ovY4>&^F#3<9xhaH`jk-KSQ$t6a3Q1yd%+;*{MScfbqM*7 znJJElJiR5E*46qtl@9^epN0m>E~joA1>cijW$+1H@FXXC2nu;?LW~G>yO0uocAnar z=P=l8+(rWl*4agqeLOc1CKJt*b z%WKPwc`1)O`=I$>+=;C$r)zqlPn^M@HcfiQ*%VB0R-2U!r;JCT1 zXoi788`31Chk$A2PRG`t!ol!MIeDMqH1!EDy4zNJ@iJU`xVf5W65kPv; z6=nguHa4}GKHSGWhe|L%ra{7DIm$xVws5N)6p0u2*PYI|(jQU6 zU8}*h7LC4=s;G^uY_e+6jisu;WXJ_{YVX)T?=~wGUl4`pmdX#Dhwn(+ZWq37vPlb% z-MSrh(1NHbBHzBs&JtIw;MS>Bcz`2|V{g0nPOgCftlxxX+|X_8nr#~`t{Tf7 z4+v??0jDm7$O@Biakf5rx;|<+2;FdntQ?7uFpJG0ewAE9Qf3;2+pPnKP8-_OG^^N+ zLIp2K8X*njhgpc1VbOwENU7!NF(YZ)Xc-<`a1g)kymC)N@wmZZ^neprs5&AW_f9LB zHr+4VzK1DRABk-+XmqO4n&lRebf$ZXe@0>$EHy`!mBbZ2!SKnPEPGspRM?uPfzXR!T<_I?kjfLmN%U*AQ%q9R z2*EY){t0E0b^eNuEKm?MEnCSBx%H;K1*-?T-2~G|1<(wJGDU6W9ldV|`|6a~6SjQt z9r|lpiDiD^skg7xDuCXmw|9W0UD6V9!k|gAFyhnVJeDEiy>F`?m7ulS(IOVqJESqi z^TSUMzSovqM23h}>^vuO)FbKzL~a*B3XgYjg_7_4PBD-0|q7UmE!vFJCYrdcsZ*p{ix3>{HQv)ok-sVb56MC=wZh(krx0O(>4V7zw9l}=B|E{Q1VhOq0@6Bb-8j{QCErSph!(2A2aB3hW{blWo`Iw)U7A; zB1#Ur@vZ-^v?lBll{3<8znF$KG9KUck^H8>4pU|%fK9RdvFgGF+Q4@(xO6?dLWRrY z9?G?WU_aYzvs#dGcR!g=r-eX-6n`-z8;OPq-NIvg6utf_O$wms)85cDeavZcoAdb3 zmC&MLErSq3_)J7flDQV63&C~KInLi`!+T!Uf#}If?)7lAR+^(swGzeep}${%>4y<| z+1*g8BlX5EqeMhyj_Ff+6hb6}cat$pdBQS+p~ohmQY9Dgf!1`-z&WqBK(gb| z!H-2p%5+qsKQ2urKrwt|C7&$X18&~FFF6}m37j;g%7^r;yssWux%5hKT(TAOv6+Oa zGa)_sv3nvYsmekmSOW0l0tbVQ8BMs8JxU5AZcOu5K zKl;rqO^!UZa>+KVp?jG3Es;{OGzo6Nu2S<^g{?v=&!M-}R=oB5UnWIhH|YJA-K$@B zLSh=+7yJ^}XE9d3L~dl6oc?~qcoO}M3eVuKW^9wL0O!HpMreM;XaPlnDKy}@E9?4c zdQTu|QhqCptLLFpy%(}B_Tq@JlikQ#;>-^Fxv{8x1C>gKCUF)zldw23XSX!I6}IzA zJ$f7kx4^c#|4Y9@h@TQ>z>s$P;g#owGJA&>HHbl}YZlTKBI8MTha-ML5-5HXA0PKe z%-5o(3G1l3IH!7lO|r{gDm>gVOHyfUabT|1u5ljdGT{Gu{=0hmJZFYE_L0~+<7b1N zUhjz7d!tkvii_cxPK_25kGfU+U6U?HHnk1bhfVs5;DoB1&f0PIFTNbgMYUBMEmsd4 zx91v2lFX>x7a_Jy_d@SXAvV;>wjVzC6vebWfD+0X@ry{a5%Ig6?_egE*Iw4v)^H?3 zMW@Ep)&t@({ldS~W^6!@nQUz4!Ox+>*T@J+Rn)rQx zRw8y)L2Xg@%6)ubKk9fcq+kG=ME~!Ji~{UN14qG$;|FMABo6SKk`}sEmbm3}>t={g z;+r!6z4?EK{x|x+6aUY-z)>Q5S_PAj;#`yC4jjFrZ(Ade$1>kCpI0l%SYive^fU-~ ND9WnI{E#vW{U11X`Mm%D literal 0 HcmV?d00001 From b2d3f979d7aa43996900ba7ce108dff715367b8f Mon Sep 17 00:00:00 2001 From: Electric Keet Date: Sun, 16 Jul 2023 11:14:47 -0700 Subject: [PATCH 082/163] A bit more about the menu bar. --- doc/2-interface/menu-bar.md | 12 +++++++++++- 1 file changed, 11 insertions(+), 1 deletion(-) diff --git a/doc/2-interface/menu-bar.md b/doc/2-interface/menu-bar.md index a55518d2..59bb8917 100644 --- a/doc/2-interface/menu-bar.md +++ b/doc/2-interface/menu-bar.md @@ -1,6 +1,6 @@ # menu bar -the menu bar allows you to select five menus: file, edit, settings, window and help. +the menu bar allows you to select from five menus: file, edit, settings, window and help. # file @@ -238,3 +238,13 @@ all these commands show or hide their associated windows. - unless you are working with the Furnace codebase, it's not useful. - **panic**: this resets all chips while the song is playing, effectively silencing everything. - **about...**: displays the About screen. + +at the end of the menu bar, more information may be shown: +- during editing, information about the data under the cursor will be shown here: + - note or note modifier. + - instrument number and name. + - volume in decimal, hex, and percentage. + - effect type and description. +- during playback, the current values of the following will be listed:\ + speed/groove @ tick rate (BPM) | order | row | elapsed time. +- if any changes or edits have been made but not yet saved, "modified" will appear. From e160fccd2a0633d130754d935ed8b7f7c85d1ff3 Mon Sep 17 00:00:00 2001 From: Electric Keet Date: Sun, 16 Jul 2023 11:28:02 -0700 Subject: [PATCH 083/163] And a hex table because why not. I'm just tickled that we have a page about hexadecimal! --- doc/1-intro/hex.md | 21 +++++++++++++++++++++ 1 file changed, 21 insertions(+) diff --git a/doc/1-intro/hex.md b/doc/1-intro/hex.md index 6139ca87..16a28bc2 100644 --- a/doc/1-intro/hex.md +++ b/doc/1-intro/hex.md @@ -95,3 +95,24 @@ now for decimal number `69420`: = 10F2C ``` + +# hex-decimal table + + hex | `0` | `1` | `2` | `3` | `4` | `5` | `6` | `7` | `8` | `9` | `A` | `B` | `C` | `D` | `E` | `F` +-----:|----:|----:|----:|----:|----:|----:|----:|----:|----:|----:|----:|----:|----:|----:|----:|----: + `00` | 0 | 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | 13 | 14 | 15 + `10` | 16 | 17 | 18 | 19 | 20 | 21 | 22 | 23 | 24 | 25 | 26 | 27 | 28 | 29 | 30 | 31 + `20` | 32 | 33 | 34 | 35 | 36 | 37 | 38 | 39 | 40 | 41 | 42 | 43 | 44 | 45 | 46 | 47 + `30` | 48 | 49 | 50 | 51 | 52 | 53 | 54 | 55 | 56 | 57 | 58 | 59 | 60 | 61 | 62 | 63 + `40` | 64 | 65 | 66 | 67 | 68 | 69 | 70 | 71 | 72 | 73 | 74 | 75 | 76 | 77 | 78 | 79 + `50` | 80 | 81 | 82 | 83 | 84 | 85 | 86 | 87 | 88 | 89 | 90 | 91 | 92 | 93 | 94 | 95 + `60` | 96 | 97 | 98 | 99 | 100 | 101 | 102 | 103 | 104 | 105 | 106 | 107 | 108 | 109 | 110 | 111 + `70` | 112 | 113 | 114 | 115 | 116 | 117 | 118 | 119 | 120 | 121 | 122 | 123 | 124 | 125 | 126 | 127 + `80` | 128 | 129 | 130 | 131 | 132 | 133 | 134 | 135 | 136 | 137 | 138 | 139 | 140 | 141 | 142 | 143 + `90` | 144 | 145 | 146 | 147 | 148 | 149 | 150 | 151 | 152 | 153 | 154 | 155 | 156 | 157 | 158 | 159 + `A0` | 160 | 161 | 162 | 163 | 164 | 165 | 166 | 167 | 168 | 169 | 170 | 171 | 172 | 173 | 174 | 175 + `B0` | 176 | 177 | 178 | 179 | 180 | 181 | 182 | 183 | 184 | 185 | 186 | 187 | 188 | 189 | 190 | 191 + `C0` | 192 | 193 | 194 | 195 | 196 | 197 | 198 | 199 | 200 | 201 | 202 | 203 | 204 | 205 | 206 | 207 + `D0` | 208 | 209 | 210 | 211 | 212 | 213 | 214 | 215 | 216 | 217 | 218 | 219 | 220 | 221 | 222 | 223 + `E0` | 224 | 225 | 226 | 227 | 228 | 229 | 230 | 231 | 232 | 233 | 234 | 235 | 236 | 237 | 238 | 239 + `F0` | 240 | 241 | 242 | 243 | 244 | 245 | 246 | 247 | 248 | 249 | 250 | 251 | 252 | 253 | 254 | 255 From c995f98fe7baab4e9d44029f9b187ffe7c66a337 Mon Sep 17 00:00:00 2001 From: Electric Keet Date: Sun, 16 Jul 2023 13:37:29 -0700 Subject: [PATCH 084/163] Terminology tweaks. As requested. --- doc/2-interface/menu-bar.md | 6 +++--- doc/8-advanced/opmask.md | 4 ++-- 2 files changed, 5 insertions(+), 5 deletions(-) diff --git a/doc/2-interface/menu-bar.md b/doc/2-interface/menu-bar.md index 59bb8917..b53aa5f7 100644 --- a/doc/2-interface/menu-bar.md +++ b/doc/2-interface/menu-bar.md @@ -154,7 +154,7 @@ it's not really useful, unless you're a developer and want to use a command stre - if a column is already selected, it will select the entire channel. - if a channel is already selected, it will select the entire pattern. -- **operation mask**: toggles which columns will be affected by the listed commands. [more information here.](../8-advanced/opmask.md) +- **operation mask**: toggles which columns will be affected by the listed operations. [more information here.](../8-advanced/opmask.md) - **input latch**: determines which data are placed along with a note. [more information here.](../8-advanced/inputlatch.md) - **note/octave up/down**: transposes notes in the current selection. @@ -176,7 +176,7 @@ it's not really useful, unless you're a developer and want to use a command stre - **invert values**: `00` becomes `FF`, `01` becomes `FE`, `02` becomes `FD` and so on. - **flip selection**: flips the selection so it is backwards. -- **collapse/expand amount**: allows you to specify how much to collapse/expand in the next commands. +- **collapse/expand amount**: allows you to specify how much to collapse/expand in the next two menu items. - **collapse**: shrinks the selected contents. - **expand**: expands the selected contents. @@ -203,7 +203,7 @@ it's not really useful, unless you're a developer and want to use a command stre # window -all these commands show or hide their associated windows. +all these menu items show or hide their associated windows. - [song information](song-info.md) - [subsongs](song-info.md) diff --git a/doc/8-advanced/opmask.md b/doc/8-advanced/opmask.md index 6e6ca725..d0b7240c 100644 --- a/doc/8-advanced/opmask.md +++ b/doc/8-advanced/opmask.md @@ -2,6 +2,6 @@ ![operation mask popup](opmask.png) -the operation mask toggles which columns will be affected by the listed commands. as in the pattern view, the columns are note, instrument, volume, effect types, and effect values. the effect toggles apply to all effect columns. +the operation mask toggles which columns will be affected by the listed operations. as in the pattern view, the columns are note, instrument, volume, effect types, and effect values. the effect toggles apply to all effect columns. -click any area to toggle it. a `---` or `--` means the listed command will ignore any data in that column. \ No newline at end of file +click any area to toggle it. a `---` or `--` means the operation will ignore any data in that column. \ No newline at end of file From f0d51323af74ac76789dd013e19b1ce5d73d72c5 Mon Sep 17 00:00:00 2001 From: Electric Keet Date: Sun, 16 Jul 2023 13:31:22 -0700 Subject: [PATCH 085/163] Add percent sign in replace dialog. It's not clear that the "scale" option in the find/replace dialog is meant to be a percentage. This'll fix that! --- src/gui/findReplace.cpp | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/gui/findReplace.cpp b/src/gui/findReplace.cpp index 0755e791..b6fcd14c 100644 --- a/src/gui/findReplace.cpp +++ b/src/gui/findReplace.cpp @@ -39,7 +39,7 @@ const char* queryReplaceModes[GUI_QUERY_REPLACE_MAX]={ "set", "add", "add (overflow)", - "scale", + "scale %", "clear" }; From 09d226a9aa642bda19a2953b9db41c54315e5d9f Mon Sep 17 00:00:00 2001 From: tildearrow Date: Mon, 17 Jul 2023 16:31:55 -0500 Subject: [PATCH 086/163] GUI: fix replace raw --- src/gui/gui.cpp | 24 +++++++++++++++++++++--- src/gui/gui.h | 2 +- 2 files changed, 22 insertions(+), 4 deletions(-) diff --git a/src/gui/gui.cpp b/src/gui/gui.cpp index d6e68911..332c636d 100644 --- a/src/gui/gui.cpp +++ b/src/gui/gui.cpp @@ -4848,6 +4848,7 @@ bool FurnaceGUI::loop() { case GUI_FILE_SAMPLE_OPEN_RAW: case GUI_FILE_SAMPLE_OPEN_REPLACE_RAW: pendingRawSample=copyOfName; + pendingRawSampleReplace=(curFileDialog==GUI_FILE_SAMPLE_OPEN_REPLACE_RAW); displayPendingRawSample=true; break; case GUI_FILE_SAMPLE_SAVE: @@ -5715,10 +5716,26 @@ bool FurnaceGUI::loop() { if (s==NULL) { showError(e->getLastError()); } else { - if (e->addSamplePtr(s)==-1) { - showError(e->getLastError()); + if (pendingRawSampleReplace) { + if (curSample>=0 && curSample<(int)e->song.sample.size()) { + e->lockEngine([this,s]() { + // if it crashes here please tell me... + DivSample* oldSample=e->song.sample[curSample]; + e->song.sample[curSample]=s; + delete oldSample; + e->renderSamples(); + MARK_MODIFIED; + }); + } else { + showError("...but you haven't selected a sample!"); + delete s; + } } else { - MARK_MODIFIED; + if (e->addSamplePtr(s)==-1) { + showError(e->getLastError()); + } else { + MARK_MODIFIED; + } } } ImGui::CloseCurrentPopup(); @@ -6756,6 +6773,7 @@ FurnaceGUI::FurnaceGUI(): pendingRawSampleUnsigned(false), pendingRawSampleBigEndian(false), pendingRawSampleSwapNibbles(false), + pendingRawSampleReplace(false), globalWinFlags(0), curFileDialog(GUI_FILE_OPEN), warnAction(GUI_WARN_OPEN), diff --git a/src/gui/gui.h b/src/gui/gui.h index dc7a4ade..8b3460fa 100644 --- a/src/gui/gui.h +++ b/src/gui/gui.h @@ -1335,7 +1335,7 @@ class FurnaceGUI { String pendingRawSample; int pendingRawSampleDepth, pendingRawSampleChannels; - bool pendingRawSampleUnsigned, pendingRawSampleBigEndian, pendingRawSampleSwapNibbles; + bool pendingRawSampleUnsigned, pendingRawSampleBigEndian, pendingRawSampleSwapNibbles, pendingRawSampleReplace; ImGuiWindowFlags globalWinFlags; From 9d3b8621c5a71af41c7ddfdca4066242208009ac Mon Sep 17 00:00:00 2001 From: tildearrow Date: Mon, 17 Jul 2023 16:42:07 -0500 Subject: [PATCH 087/163] GUI: fix undo in select and type order change mode --- src/gui/gui.cpp | 2 ++ 1 file changed, 2 insertions(+) diff --git a/src/gui/gui.cpp b/src/gui/gui.cpp index 332c636d..cd92c003 100644 --- a/src/gui/gui.cpp +++ b/src/gui/gui.cpp @@ -1418,6 +1418,7 @@ void FurnaceGUI::keyDown(SDL_Event& ev) { try { int num=valueKeys.at(ev.key.keysym.sym); if (orderCursor>=0 && orderCursorgetTotalChannelCount()) { + prepareUndo(GUI_UNDO_CHANGE_ORDER); e->lockSave([this,num]() { e->curOrders->ord[orderCursor][curOrder]=((e->curOrders->ord[orderCursor][curOrder]<<4)|num); }); @@ -1436,6 +1437,7 @@ void FurnaceGUI::keyDown(SDL_Event& ev) { } } e->walkSong(loopOrder,loopRow,loopEnd); + makeUndo(GUI_UNDO_CHANGE_ORDER); } } catch (std::out_of_range& e) { } From 42d98fdd70aa380aaa3439018ab5ce16a642a81c Mon Sep 17 00:00:00 2001 From: Electric Keet Date: Tue, 18 Jul 2023 10:08:28 -0700 Subject: [PATCH 088/163] Expanded wavetable info. Also a fix to the "groove" graphic (how did I miss it before?) and a typo fix elsewhere. --- doc/4-instrument/scc.md | 2 +- doc/5-wave/README.md | 124 +++++++++++++++++++++++++--- doc/5-wave/instrument-wavetable.png | Bin 0 -> 65992 bytes doc/5-wave/wave-editor-FM.png | Bin 0 -> 104674 bytes doc/5-wave/wave-editor-shapes.png | Bin 0 -> 121344 bytes doc/5-wave/wave-editor-tools.png | Bin 0 -> 97988 bytes doc/5-wave/wave-editor.png | Bin 0 -> 45244 bytes doc/8-advanced/groove.png | Bin 54776 -> 56077 bytes 8 files changed, 112 insertions(+), 14 deletions(-) create mode 100644 doc/5-wave/instrument-wavetable.png create mode 100644 doc/5-wave/wave-editor-FM.png create mode 100644 doc/5-wave/wave-editor-shapes.png create mode 100644 doc/5-wave/wave-editor-tools.png create mode 100644 doc/5-wave/wave-editor.png diff --git a/doc/4-instrument/scc.md b/doc/4-instrument/scc.md index fa9a9408..29139fe7 100644 --- a/doc/4-instrument/scc.md +++ b/doc/4-instrument/scc.md @@ -4,5 +4,5 @@ The SCC/Bubble System WSG instrument editor consists of these macros: - **Volume**: volume sequence - **Arpeggio**: pitch sequence -- **Waveform**: spicifies wavetables sequence +- **Waveform**: specifies wavetable sequence - **Pitch**: fine pitch diff --git a/doc/5-wave/README.md b/doc/5-wave/README.md index e0394058..f2e621d6 100644 --- a/doc/5-wave/README.md +++ b/doc/5-wave/README.md @@ -1,23 +1,121 @@ +# wavetables + +Wavetable synthesizers, in context of Furnace, are sound sources that operate on extremely short n-bit PCM streams. By extremely short, no more than 256 bytes. This amount of space is nowhere near enough to store an actual sampled sound, it allows certain amount of freedom to define a waveform shape. + +Maximum wave width (length) is 256 bytes, and maximum wave height (depth) is 256 steps. + +Each chip has its own maximum size, shown in the following table. If a larger wave is defined for these chips, it will be squashed to fit within the constraints of the chips. Some hardware doesn't work well with the wavetable synthesizer (described below); these systems are marked in the "synth?" column. + +system | width | height | synth? +--------------------|------:|:-------|:-----: +Game Boy | 32 | 16 | +Game.com | 32 | 16 | +SCC / Bubble System | 32 | 16 | +Namco WSG | 32 | 16 | +WonderSwan | 32 | 16 | +Namco N163 | ≤128 | 16 | +Seta X1-010 | 128 | 16 | +Super Nintendo | ≤256 | 16 | +PC Engine | 32 | 32 | +Virtual Boy | 32 | 64 | +Famicom Disk System | 64 | 64 | + + # wavetable editor -Wavetable synthesizers, in context of Furnace, are sound sources that operate on extremely short n-bit PCM streams. By extremely short, no more than 256 bytes. This amount of space is nowhere near enough to store an actual sampled sound, it allows certain amount of freedom to define a waveform shape. As of Furnace 0.6pre4, wavetable editor affects PC Engine, WonderSwan, Namco WSGs, Virtual Boy, Game.com, SCC, FDS, Seta X1-010, Konami Bubble System WSG, SNES, Amiga and channel 3 of Game Boy. +![wavetable editor](wave-editor.png) -Furnace's wavetable editor is rather simple, you can draw the waveform using mouse or by pasting an MML bit stream in the input field. Maximum wave width (length) is 256 bytes, and maximum wave height (depth) is 256. NOTE: Game Boy, PCE, WonderSwan, Namco WSG, N163, Game.com, Virtual Boy and Bubble System can handle max 32 byte waveforms, X1-010 can handle max 128 byte waveforms as of now, with 16-level height for GB, X1-010 Envelope, WS, Bubble System, SNES, Namco WSG and N163, 32-level height for PCE and 64-level height for Virtual Boy. If a larger wave is defined for these chips, it will be squashed to fit within the constraints of the chips. +controls across the top line: +- waveform number. the `-` and `+` buttons step through the list. +- open. +- save. +- **Steps**: view waveform as discrete blocks. +- **Lines**: view waveform as a continuous line. +- **Width**: length of the waveform data. maximum is 256. +- **Height**: depth of the waveform. maximum is 256. +- arrow button: toggle tabs (described below). -Furnace's wavetable editor features multiple ways of creating desired waveform shape: +waveform display: +- the waveform is directly editable with the mouse. +- hovering will display a tooltip with the waveform position and value. -- **Shape** tab allows you to select a few predefined basic shapes and indirectly edit it via "Duty", "Exponent" and "XOR Point" sliders: - - **Duty**: Affects mainly pulse waves, determining its wisth, like on C64/VRC6 - - **Exponent**: Powers the waveform in the mathematical sense of the word (^2, ^3 and so on) - - **XOR Point**: Determines the point where the waveform gets negated. - - _TODO:_ amplitude/phase part -- **FM** for creating the waveform with frequency modulation synthesis principles: One can set carrier/modulation levels, frquency multiplier, connection between operators and FM waveforms of these operators. -- **WaveTools**: Allows user to fine-tune the waveform: scale said waveform in both X and Y axes, smoothen, amplify, normalize, convert to signed/unisgned, invert or even randomize the wavetable. +controls across the bottom line: +- **Dec**: view MML stream as decimal. +- **Hex**: view MML stream as hexadecimal. +- `+`/`±`: toggle MML stream as unsigned/signed. also adjusts waveform display. +- MML stream: waveform data as an editable numeric sequence. -## wavetable synthesizer +## tabs -Furnace contains a mode for wavetable instruments that allows you to modulate or combine 1 or 2 waves to create unique "animated" sounds. Think of it like a VST or a plugin, as it's basically an extension of regular wavetable soundchips that still allow it to run on real hardware. +each tab provides different ways of creating or altering a waveform. + +### Shapes + +![wavetable shape tab](wave-editor-shapes.png) + +this creates a waveform by adding together a few predefined basic wave shapes. +- shape: select shape from sine, triangle, saw, and square. +- **Duty**: only affects pulse waves, determining their width +- **Exponent**: applies an exponent (power) to the waveform (^2, ^3 and so on). +- **XOR Point**: determines the point where the waveform gets negated. +- **Amplitude/Phase**: add together up to 16 instances of the shape. + - **Amplitude**: height of the shape. + - **Phase**: position along the shape. for example, 0.250 starts the shape a quarter of the way along. + +### FM + +![wavetable FM tab](wave-editor-FM.png) + +this creates a waveform using frequency modulation synthesis with up to four operators. + +one can set carrier/modulation levels, frequency multipliers, connections between operators and FM waveforms of these operators. + +### WaveTools + +![wavetable tools tab](wave-editor-tools.png) + +these are useful editing tools to fine-tune the waveform: +- **Scale X**: stretches the waveform to a new length. +- interpolation method: filters the waveform when stretching. choose from none, linear, cosine, and cubic interpolation. +- **Scale Y**: resizes the waveform to a new height. it will clip at the top and bottom. +- **Offset X**: slides the the waveform forward or back. it will wrap around. +- **Offset Y**: slides the waveform up or down. it will clip at the top and bottom. +- **Smooth**. smooths waveform. +- **Amplify**. changes the volume of the waveform. it will clip at the top and bottom. +- **Normalize**: stretches waveform to maximum within the wavetable height. +- **Invert**: flips waveform vertically. +- **Half**: halves the waveform's frequency by stretching its first half to fill the waveform length. +- **Double**: doubles the waveform's frequency by squashing it to half length then repeating it. +- **Convert Signed/Unsigned**. worth trying if an imported wave sounds corrupted. +- **Randomize**: generate a completely random waveform. + + + +# wavetable synthesizer + +Within the "Wavetable" tab of the instrument editor, Furnace allows you to modulate or combine 1 or 2 waves to create unique "animated" sounds. Think of it like a VST or a plugin, as it's basically an extension of regular wavetable soundchips that still allow it to run on real hardware. This is accomplished by selecting a wave or two, a mode, and adjusting the settings as needed until you come up with a sound that you like, without taking up a load of space. This allows you to create unique sound effects or instruments, that, when used well, almost sound like they're Amiga samples. -Unfortunately, on chips like the HuC6280, you cannot use the wavetable synth to animate waveforms and have them sound smooth, as the chip resets the channel's phase when a waveform is changed while the channel is playing. On certain frequencies, this can be avoided, but not on most, unfortunately. +Unfortunately, on some chips like the HuC6280, you cannot use the wavetable synth to animate waveforms and have them sound smooth, as the chip resets the channel's phase when a waveform is changed while the channel is playing. On certain frequencies, this can be avoided, but not on most, unfortunately. + +![instrument wavetable tab](instrument-wavetable.png) + +input waveforms should match the size of the wavetable or unexpected results may occur. + +- **Enable synthesizer**: must be on for the rest of this to work. +- synthesizer type: selects the synthesis algorithm. +- waveform displays. +- **Wave 1**: selects input waveform. +- **Wave 2**: selects second input waveform. only appears when a dual-waveform synthesizer is selected. +- **Pause preview**: toggles live waveform preview. +- **Restart preview**: restarts preview from initial state. +- **Copy to new wavetable**: copies the currently displayed output waveform into the wavetable as a new entry. +- (width×height): size of wavetable. +- **Update Rate**: time in ticks between waveform changes. +- **Speed**: rate of change with each update. +- **Amount**: strength of synthesizer function. +- **Power**: only appears when synthesizer type is "Phase Modulation". +- **Global**: + - if disabled, each note resets the synthesizer to the start. + - if enabled, synthesis continues unbroken from note to note. diff --git a/doc/5-wave/instrument-wavetable.png b/doc/5-wave/instrument-wavetable.png new file mode 100644 index 0000000000000000000000000000000000000000..d5a8f112ba0e47f09a722d2579707e5c6eb927f5 GIT binary patch literal 65992 zcmZ^}b95xk6E-?=Hn#1JZQHhOY;4=!*vZDWZENFXlWc4|xwG%@e1F{gojd2unK|8E z)vWHS=c!0Vc?ozJ92fuq052sestf>tl>@&-(4fE)dCCDl&FxZhyMAdzvQMX z-ssKKQ`g(JZ?C~@&bqQ|NBRjK?Z#$OeFgE9hL*DSanma#_oz6G?DLF?zk{ke7g=t})~pkg=#proNQQxf0)EugMt!#(^EQs~JGp!go(l9ceb-#Mw!$$yKEfPgzKB9A!C^{+C3Hj4!O!(zQB#?~J`5rp5BQ72^x+TdRmfL`ZPr)G03 zfcUoU;&*KAqFm&^y#WNg{iI3LdCGfaODFrR028oR2_}|C`&R?lY}IYw?9lD<&!$nGnD6UxmU>n`^trm;KJBaJWS_3i5x2t@M}-$lqGdgZ36~??j4H^8YK1YFLc`2Bi+8E;u< zW(^ADRcv=e-vjEjQco#=2V;TsZKg?W684x~4-*m+GW>g(Py=mh_4i*^ zdd=}Jxo1Tg#hR&fxHM+tw=eE?n>~qNZ{5vV?Oq(V>%Z5w6dWOdU9{=88+_^Re7baz zzFuz`)OMyXCzvOq6;VZ5&xy@*Z8&=>+&wYyb_beAkG`ZO5Ik+EtSWY9*x$M7sVw%Z zXIh-Jq?E{D#B%ELeXKx7+}<@XUrJtd`+SVZQBITD7*V&D6G5{!{~R1O@O&y_oDRc* z4jD9}D}DhJ$|NG!($}x^nA$%(#m-NM0&e;H!B5}IX~|D<*VDdWFM<2XEt~A&-;d)_ zP>Z(e3l2+M>N_3@E_)NN=W|LV+Kq(~gEn*gPF3B}5i?JS3-3BQ4YoURbm4K?KA$;T zMxb6V8KV^h4Bpjlvw;qAN-mrV3OU`!xqCcbM_#odRzKsikE>RjwvIFybUVaR(dfqQ zUVh+y%}=ClFE%j_vjdmsFGH*C-$#wn@?gJ?jWl8`7l_|BH#D{_>7hxwMn={4b? zNq&=xSMo+Yk(L#NDk;M_mo@`JDF44*_pfvRG-Av3$LoFA7|ATAqK;CB)`Z4@2WTmm zK^K*2S03wR&H)OL%oA}&Qq183giv9z)q>4KQRI%@e?R%UUcKqgdI>vo=jiUtPPXel z-MJVXy*SPgUL84aKfMi3BQnt(!Sq`!MAb(368W4dy#>|zJLqY)n=vHgUc}xDw4AP& z2NN5-?X*ga3c5?|oVc~gO-QpD6jfAA%zg6t=#GZ^@k4-s>hW7vRuoQ&{*fD5zjteC z?$<1Rd1F`CbA(Qh5B+vX0l5ms!MGE$db+w@SEIV77aq(!9xF6k%R* zqyhu@>8$4Yfw?m@zZcf-PhJzvEPRfA;!8qLByD6g7aX}F!ukvxlhn7SGr%`b9Yv~w zj${X(z@0O#*p1kj%G@#WK;0D-m!FNVc^D9(gNUGmDtZ%o6MnF1;TMw|y?7IFj-u95 z;K_st8#jX`8%>)!nM`nIDd2~V5^^Ex41Z1&uTO8IQV@MiI4hZ67E#KAR}KD9{88}z z$KBRW+zj}8F3o(D5{b9czxniq=j5MiqPJ1iiY5LKkg3d#7I4mB^~z^N{mc8h=)60^ zlE$c2bv3=hknT)*)}K%=^v3RIWMoa&gKOW99Hi8*XaKdtRgliT=*X#$RkhaQuy)8- zb*ZSNp3AeiJ?P0wpbg&nLv+e-6NI8)uvW}A-CxPk%3q=v%JXHladlM81eg-YAM2zo$Bq)MT%)eR`5&2xLUz3nNAObEcT zIN}|^s#U>MCW@`7H{N!MaDX;w%SdFSF#*9PdF~XkII7+5dHa*Gm!y2$s7Q#pl=ZcL zIad>FFDg5Lxmz#w9Xr9YWBsaDhY?o_ZCSeD<}5g81i|b5?@2}N{;wI>!D>NyF_{Z< zo|P$FfhNLafF0DhroMiU>Ea~=X|G&o&hX?&7KXRb(G8bEF2*fSAO1#~!{%OAok1fv zM2-DY7qJg2L+Rdo@Iavns(i=l`YQaH1}^U4NikaXa&wGqjN`J$@xWnXl#mNPcE9*x72^YTG&8?$GRL5rti5OkUTj_ot{nnZ*^h# zgI|QKT@_`wFo_PRdAUJS86UYBFAlGs(%-R{N~3kIUxP~-K4;Bta!MyxJy|X!;LpvB zeqT<+Zukhm0f1)G_?TM&F&kBP^oEL=Z-wC@OHEh#X97{s)d*?t0vsK>{OEJIVKcoqNADGg z{uq$Kk<;p%0TA5_NcS_#7~5JY{z*@`wSqjqe(*2seI;3V7kAE|9vKGB0R_4Co4a=r z_J6X@jGr_-$3Gl*5lCMhcHDz1{huJtn4YahVXy$ksPfm@;Tokf(iyVLs`m?9+`f78}(viyxI#D zbiUa4<1@JP{Li~X#4VCyC!fXXS$pAGc&L?*5v5PrWwOKo z1#%m;c6P6hvNlHZQ^eVG|8t$Ltw30Z8A&cCy2I*CFlW-a%R;Rd;_Z5q_5AQuy3pH% zwTYszRC8Hzj1(0eO%`$+#vwehAbhCW(CVh+C4t&Sf5Tv zi2vPPD(k#eO3}o2c{g$lp5Nl=;429~_vtjI3Nz>pZL#8i%b-|%6c|0JR>s+rl&a(i zoPB83o98mlMqyX@PBRlDnwEFLsmFr`Y>Q!r5SOCcE)D;#_40vp?`8m&nCxozri3gw zxcy!#>!l~Un{hKCEt3D{>|p%oLU=;-_g*6+yQH)<1Hhzhb1w2xl7lK8^r8_n*ab8L z6vl#-!H@KOxq8NG3ky^@q9@qsif|AM^P`lXsS`aE$_k~Pe*KNG-?3!}3i9Z8)r<1u zLVabydsKDY2+bcLgpk06kb;(l7zL<$E+_k8$eKFpgcSwl1hb7mRqzs+Eq!AIA=?ab zjqV&|j@f8!gR~w!?oqJF>Yx$NHtNt~x{;}{nLR-XArK0xs&t(-BBUQLd2j}D-R!iD z6g720?*Nd>FPsTlSij|G_Il*-LOC3!Ov4yf-uQT0M-Zv596<RlTtcZ^Qq)F0H^|;X+z4qgHx&yKD_i87m{z ze(+FbO25BRG7@^ztw%sudv#1FA_QWhVUbagt-P)h8UhUAzhw&;vJr3Xv^3MtDx&fu ze@FUg_OUJNq(p*jytVPxIc8m1QdFtd)_BSuhuUYaZW}BpEDVwgxDHi-r1;rVtut`j ze~S6q#I4BKg50Jpzo+wPl*PjcE}pi*BT;L9dJ~*tNv8L6#58P0 zj%`Uzi|^Lk4FNKOSOft~fzcy+Ec77139sC`%emPHj_ip^P(C!Q!z*#<5ikh>GfVZk zJ?S}x2J-I|BrFS6*_YgExZMS#RKnIV3Y_PC>yb1cmVf*izzGrZhk(}=*<8`oaCzDL zc8=baAdyBG{ZQ{EAtMKN99F`?#MPCeAh7ktS6y>z3*k7Ukc>;sm2H!qJR=X@$%sF! z2=g>eiqUcz^gHU<=pXEn2gLt%3NrB2Y{$T;)xVzh^n|it$J9q*Q%2MQ`v_@SZv6&Z zffk>cDUF|M==@U8jdj9=TxR2ExS2T~PE+2hv@VJp{f+chCiJQz0NWuI z_pf8-=P?q7*bV0gf^G%dBZD+j*c$AX(xGT-Zkq~J5km4pY62?rR&fI!5wKi= z9B-Q|N2==F1z&ck9( zkJJ=z3!5^~M~y=jx7bAsa8KKht-mzR6<}(FAJ-A&$S$d>5!2{_4a_CPkN0KBtjx=q zL|!c+F<98nWD~|saXw0`s-+;>YK`d+Y!4ps3bX%kU|v@Y7r%VJz58AS^&p(q6T`Fi z0x=s4uPqG!7Gf_RZzg%5UDHd;Kr^aVO8A14B{56xO&fzYBj7aZhj_%4J7Y38d||zQ zVV)^>{WsX^IUr&9XWCcFcfdar%jay=CYJM4O#f$H4HEUzTlJQsa~N_O%-6HeM)_U z7AO|T5^&t8PjL2@g?&qz+d+C6I+!T+0Ve*&VgOaXjc)Qn6b?qw-F@yN*E&-*GutO6E^dDjA z*tn*q<~MPpw}9L3qDUhpDXY`!Dldc<(tpn#$*Z^%|&nXmH%3M zFjDy`XTW~1XLoDkbXNawvz8?@T^;B~_JsjtNmdM1C1TN2We8+s5+P3*Xqb+Za%Yfj z2vE=t@~s&A`R;uALQwX~vl^M&N6yAR_Djy$r8_V%yMOFiJRp_ycA(r)#>e|@lF3K_Y-?Bc`pZMuqh_BrZ;_F6Cy3mx4){rI)?Xk-fX92btix00_=!i+N&vyjX6( zvH#*xafWIbX~DuZt$uvOj6djn941Qf0;($HjsB#ic3Ha6L37{UMm34_c`UL0vtlqI z0MwvVAQm0h_S^&(u)+1>f5xB79rLvx?y~~RgmjSS2DpAMpjuFB za!6X9Y`8RexQL7thZB7%#RpXNpzC!UTx2clD4`U;sNNSeEFu8xPfhQ<1*XdY7cv>Y z@By1k>uF6&v5(6=z+Gu(5cycd29^Sq{VpPtq{xloS9Mzl>66PDv2=WW2qx@(66b|8 zFnGCqBlJfA07e>pzgs_SMh;TOci{}a?3Nm^qXYMste#DK`u(~9EYIhDri6jt_i37C zR^Z7tiLAa}yZU#=mgD2no<^SD^ZQ;nC8mLQ2OoZX`Q(m%Y=PeEp|x$s%gc-N*NF>v zePBjL#>0*%`GwCf-^1s`PnMN6Z1R55pBDzJZ~Yq<4E~-s?{5yS23qOaRiox0e>$%O z&U4^Z<<@XVI8cO8paWv0!9`U>5`|fqRX>vxgkVyFdXT7;GpWBTL%>jf1A&GS13?xv zSZM75Kl!5dp4PM2@~)(jPX5qw*Lt&k%k{oG@#8(>n|k2xhA?%LUz2voDy9Z@!ts9BcB>ibJix04fW zQeO8Eg-DBrdq&(vF0aD)#5if;e6@2al7I!*ntq6gx%!NYO4eI^E()>{BNiNF^qaNK zd&iCiYRFX)B}2aM1s>mET%Na%YE1fsk^f4I9odTwaztdigYLK8x842G#@f!+UEk$@P|(1`=+a@hTd`g@M;TnhCfeS6Szq3D?OjxO|`@ zB-b3NC#wVoDk7<95mf(19BgIac=Iba%l60C_0kL0bU6jW%!c~~tU;Y|(Iwnn*L&-; zSUn@#UgzYMcG1_<5jeB~?8daXIlF#mkX~2tubGz3zq^Q}L$_ihQMV1n{Yt902(QiN z{mPs5b!{n2{09dISZ!@>P4?3}tf7u?ehtgkn45bjmnJOVUtSv6YF3A=aLacX|I}%B z8Vg+!=;ifFFEZ(x8eN_DenEpiW_nTFL_Q&_ghK>*HB|)m8!}?azR6=%hK-Y0k zHWLc??oA8$yl;91^FTs&tV7@59vXqNr&omvDUQw8p&ohOwS`@tVETOC!qc*+7t7(0 ze1vfN(hJ>~;0m`wPn>7~x6E4qFx*DkXek^{0`6O^WLcEV(O$ZWlW{ z`?LFa5;+(o9FYN7(qHr0+>6~^BO4nY*VCoL=`2(bZkOZyqN1Ym@<=S5M)PU&>CA(} z!xrP=C}NSYA4T?ZS?t3&78VvZHfKsj;=AzT)CIsIZEbDM7S}WNb2)weT>4L?`{WBQ z`=$xNOV54Mm;-6}s!k@Yfn`-m4QRZ#*_sAtV3RiE;=Fz2jX_5++MDAnI*1#y=N{JI z_`OuubE4RfeaJf|2O)nhttr9o8{_OYrvVkGG45fncNuW3vTNAOXPG>L5U`qMLlgv% zS0~y--0D`X5K5x07Wmz{b`|gauag?R_P!sdqCO*12Zmp-9om}hOD@L^g7*)G|bG*z<*!~`1u$b8XnE$w3jOIxL@k_ z_;5Xn_<^&)Q)J+r4PITIcE7Fi&pslBWUp&(EXrts$2K2wVk`P*D7L$w{9 z{0c8}eX3#ZdZ6Y>DFYGN=u45OfA?N*8s7&Citpn_j4Uttq>)z6?!n@*N#u*m9hc>! z5_?WEnk5PdZXb7vSicB_TCu;`m0PFF32#&x^?$#Gg~?IZir_#mx`WQF`SD|e&<)g> zb<75ALC2EHMHwVxpn17&(;gFd&QG&ps0lbN>1=K^ClpWSK9&B7b2y!l=({_-J! z>WBb-(d^8#-IA}at5^Zq44Z(n+qW9F$RgQwz^0q1u z)48HOx4{Tc<{sVN{@fppS1b$*3oGXKdOXKQM-L@p8afMu$32|NaQ@R5*y^zJc(LLB z_4(oC0#HcSd_nc z9A2zT8ztyTiABg}C#WK|`PC<>f&fjAQF9Yh_$PwXEldQXqF+R3OdN7R|12@G_{))# z>6lcDz1Y@M*ASu;=vD-t=cHQ~-q>J(qujegz$Kt>MsFVZrA_h8o;)If-VgNua zVRd36DtDF$gNBYSCnY81C6z{FCWl93?j<29sj#$EV#Q&*Yi((1X=4NT)pfJYk;Qx} zz@vo5$Q&95W)ncV&Uub3v$Z(0s9 z3QJ{XbmyV%anxYf->?Os#1B(H9f$^=MRf4hV39Vv-R|hCMQ`XV<8aD3Mqz}r?Q2|b z;2*bQCfeS7IktSSJ(@0eO0FgtuR7nZulu8n0(EWz*>c&fDrX698T@fSzr780hbzDx z=`3CaCLh%rgGj5%NG)^l;Y&#y7_GqpvdGxm%3#!n|n}AOHa@5a*UKZ zxz*`@G|iyX0(Z;7%;Siu-_==$Csb4> z=XpPvqT@J57ccNrGZn+hP zN%BZ6Iay}nX&){+q?z(}pugB}xSpmjf(BAUO2W}ASlnr-6->c-YiuiwQXaB86s{@g zN9Fx=+3oc}EluAyD<}%qjGwQF-U}E6n+^jP1;5Gc9xe%fUMSTE@Xi|COL*( zruqK8oLDd#gD$^#czF2j{zT*y-lL`MRY9+=YY-V9)!@1X$$af@*YCPL?nMq;UsG9y zpU6Wii`P3N>|BimZpil~D(>NA4IXUBJscrL1D7fN>XC#zmK#sh%Y=;Yb0pcQ?5@=z zTGBPepPHe}3;W-;rSD*}_f!lvRqHh8Tm8|s(L+DOWwQ@4P~6tTVXx^NSZaBy{o5;i z7OX20hOG+@-B5TAc41DN(jN?Ea`1ONd864zV;{u?`nURx?DJ`6KWHG7usvRRwtlx) z;%kVZ{n5TfE$w-BE2pMg>ItX+Pkwukl7#fc(!$o4a3Za0KfW)9h+8O7$*>}}r8%!y zXb~3!)W?t5KIbG2#R%1|iQZq@C>^qv1fc&7_VECWs$yp%yXoEcxkN6R3C z1rePf9{_v>r9_|{cM|sJ!~89;r%jk2)ltf!Hrt({UQwF;jAmmaB8Ub8n8SuKT+wgu z?&dK`nV1q^u@#R%+HIQmuwFp35k1sTPEJw@wpTTkuL9|?R_+f4#>6R%y6NO#^9kVM2ZzLk&is;Yx4*e5PSo2b7i37@*@q_*oyzLx@C7lx{ zD(@_J$P}WSfoKI@$N*X^#n|KiI5B@5l1@=mGd(pG zg6ArO#o`Yn#g`+}4xh_Ct3B3!QHXYz$zF=#xYuO*7aG0zR+8MhutFw}*cj4MnJRrnsN3(! zjSOvt>58{-Mp1pMTx7+0oC}XPAQSMG@&jF9asK1;heg;hX$kjFj#wT$oMJTQr*^-! zS;BB0zps;v%U>3{C4GOk`|7c=vA)kx%H8?!Plup*$f!TLoZi@;8ju~@J2rV(aJWkb zbsENtzl@tpd<{xO93RiOv6m@N2MM9sQI55z=Sd-Wh>MCgp6e?Nk|4x>tg`$U$?bqi z$j=j^_sor5p0%kDjgsqdnbFbdZxUFrv6;G zX-rvJC3}EdLJs!NHyMqyK>u z;u!Fl?U(dx$8&_KfxMS<-LZ^4N7Waa)xsQ;T6UJbJQg)kub z5lqp&JJB2!1>-Nv#KA#F2mf0qMHm$obw3ifsf>}Cxk8OAt^>)EBK)@_mBom+E^D}m zJV6wUjkR^sD|`xJhuCWR>{jD6vO1LgPpoB&$R`36nA#AxSV5usy(E-p>p4~9YXH}F zQLRpUez%K`GEX1R**SW^Q%rYiff=X&}q)54+g#j)uwcXxWyuGV>1cfWxd$!YPpq~o|x!AL8Vrm{;jKOLd8+WbH_5JVlX3FI86nG$^J<2ZY;hA#t4T)wUBkoN&1mGH;)K zEcV!YKS~1yCCA9n?z=ONwN=FJcPi(@6Vu>XVcDfzAm%}=i71}E8?8&S#3!T@%OAcx zc^IvmMVsm-n#HJoaZkJxE%81Gx^>MJI@S}F)ZIK1*{A`;Du~_q@T&J`!A17=vxr}` zi%Zs~3X_zZIDhG7+Dsj+>R{Pwo5>?WPmm>3J3c}Ol;fO$Z|j^B>P5$_?gtLW)l6DW z`Ms=Hn<3PM055wi+7-EbX^Sx_cb#5c*CE3un*sPlVcnZe@8fiZxq^vv_mUDbn&~5| zi3cIts9)1tJ$bfQ$71j(0=V>k1x?v1-4K2TzP`TN&1tY96nj0Ak-<|r%w{n6*vfmr zAl>)t(^p1D=3WC=z>ha+ckBt09ZuA9{uoQjwW6XTT?iHqjVvxI%E{TeGWMOi&19p= ze)u7BL2m53GU;5tM^t@D_3$RL2vi{+mVMJJ2tQ}dcBjlvHz{7tPS@EkX4Thdo4p>l z@5$cp?1(9I_pidjBr{m^euDxk23UCC8|!zJ4LSM8!{$sQ^P^+OkKZ|JKktm*Lr*}H zk9z{ev4o)F_L`F&z|hIKn;fE1QC>9!4LjF4Zpq~|Io}=_)y{)qtAo1~5xGKFjljUbEG#SlgJ8*E(j8FW))lVjKlwur zy*+$Ntk-JmXNBwKO%Uz0E3Fnf-^Y(?7Ys_w6S%=+&lLONh3r)sY7m>z6qn%iEjSD2 zJukn`xBM+rT=DvD;^SvOR{1zuHZs-hGpC*Cv!#_t#3YdgzdVv<^N-`kY0K8OfH3XO($~?W*!MJK_De9%5a3`96vn)}9Ft-q*!=6T7@GYIb?43lR4!K0(S+l!^LHV5cvFiBD{2jy!&UP= z_@xsc04T#y6VvYY-l7PLkM2*v$4k&s-2YeJlT$4{3ozSCL^URB8xJOTm;FBiFluz# z%a3YKCn_1qz3GoD{qHK_Qw3Z0rxDNK|LgCd{8dG*Om!l2|E}&vr8m$xbTaGXx(@eAl71 zgLaJmtzdw@)Zq#`HKU3d)n?E3LA#zyHjJWw8IfKcD@p6XUx21Eki+;NXYn6V@qhna zEgXFRG3AEBQmaYwmsM+*`y!1KZL40HUd72mAGltY`9{R+M;wGziezZztwlHf`akg# zGeH1-U12uEQ2Q{}xg8tEU!R1g2-%?=Jk>N6KAyFgJX2kvSd6{xNH+TCO}Y#O|CkaH zSjDd`4C2!7^PcXhgBnVCW&A5{nWCs0IuRguxZm8GdK~Ae8GJwh0sRnVU+uMxb&FOP zNna^hyvM)d#x^-uT)FM^Ag3JYhcYp_=wyb#0DxKFX*$7=Szk5BH$;PvB{<^R4;np5 zoiw1nSjry#XCPxkgqcr{UT)B(J%#i{@oiPO>t#CZImqn>NYnr@^VQZe>J)vW(}m;; z50S|OU~djNu);Tl>mmP7eg7BeVa_*};7kcQ^tn)vyDTVmsy%#rVBaH{`Ja!?IU(s1 za%P*tL0_d&ipBc8007Z5MA-*RcE@qhq4}a>&_#NfhQ8~yPythyX$bQ z6NJ5%<02)`lcZp_Go=Dq_+Mjr#Mn2O$}nnq9VFAeHS!ocAmSA?IGpm1G^@{ zJC}S3SyM8G)9*?8-cKLXn72>6v3w$=Y>B-FO?t^#a@do=R*#2@!_B5zdvj(X3skA z>_&3=j!quBW?_jNs+fzevbsG#a)>Ys*A{=bqAqlo zm>+!D@q^7~nbG=URYA@LC2qGW8oK^+}_N)^Dzn5^E;>CJ- zt#~|wowk$=$AH7`?7NZ^PLdjRV^u`7yX8hjn)Oj`Mb3d~mbuvxWhoy#9Ky%-E@`>k zKTmkq=^Cx+s@Gpq3~zb3+MkboHJx_zxxFhJn(rT4 z`{PeQdOB7)Bp4Jzm-iF>iyC%C>BV158gWTW(W)Cb9TMnedVD_jDv^Svt$=r&sYXUN zthpy~$+k6JsDbePh4!#u7e&pllXLG&n5#I)laWjLNo^VqgP#7JBHw~kjg4s*ykZ(+ zV)-@?oyQrwoy4tN$_a>%yybemGhg~R9KOz*?4v_W`?Z4u-&d4!wtv$=&U<(1oh7gQ zUNjybl(tV?jDbWc{O!I_9WnJwaMpi!y*rOT?X1Ebmq#=!0(5O{O-M*6P&Xtzd=Zwz zeiQmVb8pW$o8|TCg3s}sQJZCeWM9$cVpG3^>8b{Q?U$UFn?}Kdpq=Z0MCb1bIyCgT z=@LYcTPNmlH#@GHvid4r=25GXX4P)55ZL#>?0!ps z`jQEddgexnjg&h1eBB7H!_vc~)$MEkbX>;oh{F>%!vVdBy59~;H#WB-L@1aH5;w3` z1~{4GA?fDKa;H+#a~=A8QZEjxISiX^gm@U?>=pWX1jeG^ZB7RhW3~0It)-Y#Q&S#( zG{A6Gs(UtXQmLvwFFcnVW~4i!4d~#LL%g(9r+U)6C|RxO)@w<7Q8XyNmOUlx$%LI0 z&5H;tlTfw-nA37;TR(vjsN2mq+Ss#$*x-$_5A5@#Be{HT*w3r0YA~ z#-VH2JJJTP!u~;T_G2|Au}}zDV6L$1vb>gi+(G!u9~zp!Wru0>50pJwNFXO`o>bcG z4&p;&{)pnQjpv=aOmrz|=NQ_50zeclqW3f&$jFzMJ8pI7R_29O9*5Q@GuAxqv|B89 zevx0%R+%d>36ty-2%YZ%NSbTIaH6sb5C@9(JU?gG{r@h3hReyQx8ptX&0gHNYI4Hv z;D0GmOzDE|Fz%9kc_t3NUOZQe!{gezH5Kf2{`?nlgdL6d9S^DA=KLYgEd^Ct%6K&U zi-{rN@f@N${Dw#vd?}i25Cg=b;tBY))zng<3x$Fq2g4Bw*{oIfM`BODbqu#LP*R3# zYiW6|H(3EeRUi;fkSo#yp9|(t9~&Fn+1Z(zn)>tS&ycxyOK{{CqYc0J4X4+T8tRMj zCxA)ingc0EbzMsik6lcGpKr1B-Mp;6TY3)yMPL4D{xU@@VZGnWKxpGMqj@;$3|krB zrk0(BnH`s!M5P9KoSm$%5uRQBvI`dEHOi|TM9}Y(KY_lE&eelHsH7s#_LU~!3|=?P z-^sxsxI1~(Lq0#2=F8!GP?52-B4!sf^kRPmx(grN=G0MnkXNj`tR6s#+@lq{LuENk1kR7Z)J^ zfQgLEWY`DzY-tS)><5B?iwg^!3%_@E45X!Dgw^%*e1Wvk=IO;;fe0)HEfvlF7Q|MO zL_8{`d|7$Gs;&73Y_JpEYc(sZEI+zu9JtTudzyN66!f93RxO zuaq}Z1nHpPtf}AfoiFfkWRd}VyGiE^Qc1E6%Rc|CrJvw8#LPFcL#5sTHl3$EaZ9pt&D(W<(}#Y!e%wN= zIP8RjUoG`K4AqCpWwEkz;Ads#wm&%-3=AiEF5+WM3p>)>gV2JQnO#AiS_%j3Suq%- z_3=-hRBKN&YV9);{sfa$81ss7@}Z}4g=HcA8!DG;@^$JeRq}I3leZ$($r-N3>%*CfH(1}O5DV4>Cn-yK^4|aqW}iXQa5zzxH@_v3 z&r62*Hi>JzsJ~04qMHAXJ^Q_z*E^%$y+MU1Hi@8Wv%|z9UgK~Ui!-c}36hRRkxr+& zv~rTbGyYw)zJ53E2SWAtu!I9)q67DVkZ%+e6uj#iLPADE5y*u6K7uPheWrnUrT4?> z!~Mw@1WfLa)1`7CryYaM0_l}WAT2H3(a|CN%+147tJ|I=t|cVZYO`_)lsLxY;pV2- zZi+Z9=s3uO26gbKflX$6ysO=Y2{t9$fgIF{f^mY1%h?^1gERanf(1h;nC-B9Od*fc zp?S_m)Z%(+v{hexo9kquh~Mb&iY%Z1;j35e>4s}ame0Yo(!6N((uN#dMOW(Km3QFc zywPmcZuk>B=uzEv({tzw)E9xaF|)fP?{@w1O?Ced&#onx3gt-B%ORCI_r(A%&39j! zUK3zu!`!!#kDb!bmaToN7xs7+<|u>ipJ}Q6KX4`9PJNl)w%Z9~xmhT`2qzsX{b_rp z6c)J54bYJ1zinPvKTEw@RS**me3kLMZjQ=B{)xwI(IbJz63}i@6uu$5z(z*+XBi+u z$9}8+H?k(m=$diSlT8?Wy$;&uxrxi%&^wS1CFiu1BL=tE8|?#T8RS1ZK2}$RN{7|W ziz2T3uOA2o#&uNi`l~XXi%Iq=Ln42urNsd z9{VkBdj(4Uvx9?BwodPd$R)HnCaM@ zDvDrB6*{jPBh&Tm(7l*B(uIb5CcC20u2*-v7oP$mY`@KsaTd}W*n~2Zo7B{-r303wq zBh;M>azfjJwAQy_9ZrjoZ~xx)nw{)-66No0e@;DdLM5$t_B6;Bf7*ZddeLqvzt7-{ zd;CekEQgcm4<(#vh)wJY{;D<+b*tH-G|iwpA~RMC;^c26cKo{9csl=bG} z;ou2G!0$cX-*{?t6zXe4oy*d4rVw6}0+^a~e~Dk)kJe+v8GOTWn36x1T8A>B>n)wP{CFl zs6B|h2%3l?RgG@1j=p}s0F&FBKG_l-dbw9eCKaed$Sp61=rF%<9X(vzsr43h-WTj! zq2pV{Q~oX5yg8$l=@CXBztB~mOJJeD0Q{1mloA1-;WHtL31MQb5dD?UTP!r^#@Ru% z8#6(KL;(-M@zKpn%W~B8-?q{Nq(6e-fp_7p3Z)?ie?J=xIFtXOn){_O^6jc6HpD&x zb0y{^N1lS-=8t*y$8UvD`v+a%q{eK_(qG|=fgzNx`@6|Vm`|&QcC4!5K8TW!IcLZ6 zt|R`qwrzca8`)gW6mpqET6d5~HMzOlSsV_A@fdPQF|0d^EE( z^lgP)2K2%Ez%sq>?WKoZvf;-`wfP10I_bIjeQsuGK+3*YIdgnmc-;@XYZ4{>DJ@k8AXU9kKv$kp7r}`; zS+1wXP4xKukd>rgp{J# z>kx0BQ`cdwHo{;#!1MA0FNzP~DI>PXb$nCOPjv`lo9aDl2Z` ztad&JnuPT=@hQ|0t#IWjRI=Hf--F{6*?Q&RCm5@mlw95Z99QooadDhw4H3`fTWdq? z+@ft!m82hh9HBrQ0kQa8aY@O^I526!%+!=96!})LLPALid6}w?X|51>N~!Sh@PZ&f zcB5^OCPXa-d!1iK@R1_M5Jb+wUf8X-R9hUH6ks0wG4P-NR49yviaa}Msf6ktDqxoX z%|W6sF2OYt!il0Tl_yRtKrVL=f)45+n)4)2O}gfU_+?S%S!F#4vM`;`FET*Fg=uIY zj@VYxKqB{YQCc7laWfj9+AShlJWN4W)LX-3igE~h!?Y`$YtJ2~-)G}|n^%;7gT@P+ z&@3T)W@i}0@X-IuGB!C6_8(5Ei$K&>6}5xX-E%cF_{opyP*B&5<-l%^RQ~O~c5CBy zMM~LyDJ>}oL8Nng)80m09i$vaayz+GJt8u4QLVBUbc_?2?{T@_Op`QIcZMYnK^s_< z`3wa)Z%GBNFL^h^%g0xao=(!oPb^DDRzh&gB{1p(y5MZYNE8&n%-^z7ZZrtV$V}t_ z(&<*7S0K`Mk4ZHycv60*M^FrCsYBE`?!**A}MjXTEj*{gn-8A>+4P-ZB&LVFjA8P{hi~dyhCc{m^g58sH$Kop5QvZ!L8z>v5yA z+DTey7}!g*!)Xx&Uky$VnHX7uiQXj(i&U@A=;|wD8@ewPMA1sE%tc;=y{KH%d04-A zJ4W3nUu%B}fi>lyN;eJp$!NU9?QR<_@4Pf90j{d^so#mt?jH7ydB3f7g4i~W{`1ch zjq~B@aq08;zsZCB+LkJMVBDGd!1NkpD{7ALBq&5tE|VN?*vY9pjEr9CE7mZ-yvvwu zi4pkHtlQYv0}#s&j9Jn(6zz^K8vi zEZT7?3cq3c@S{1JDu#yqaher7rxz0z6d^2wMSZGm2GHq7diuA!(4Z&xGq+5vjz-ZPYgwrtXD zU_i4)v0K2H_%$}nDp=V~T2}iZYHjX1Fwf|s^DPIlf@sKRK~uKiW-}zRJye;c_-NtK z@qky>2Xs32*ZENW;Ew=)j@i)7prg6Dy7$aDLWG-GyC1x3n9yvn*K59?$MS)szP?_S zkLNYQy~un8<(LvcSI6o)`B@HSFWQQ^@XwR;{V8LEmVP2koV4Cr_w2eK z1|Qe{{}A@pL2(3K-}d6}?ivWe-CYxcyDskT?rwnqL4&)yv$zI#cbDL9@8-Urr|PTv z-m16%fu)Aoo-;jXy3aYkt5=#8lgbkqVp{3f$qG#{F#-e0+0XRE4ejlJbmEkjmU7&P zl7p9_F8f4+-B#T0?(R!OIbPhhEB&o*rwfb>u8GOXp}BeB3YFXViDpqs<7OC%Cg>D) zwU)3Au9p1q()kScwfe_>>oH@BZ^+Rs=vIG@JB%a;`W?d>%`bY?;W^YPd={B?0&;-z zr`SwLLxt)`m7^TCVraE3Je+R?F0`2a;1F0}MuDTH8xq3;-V7+HTW?vDo%M)cQnD-%(J*9r3vT!y)BFI4$i!flW>39iVn(D)dy z>-Lvzqe;>1-aI2}bSh}uxF-n)l-xrXiOIfs#}-k420f8-id)LD}e~RGlp&zwr-=WtUOf&E`i%4T3T8H7w+^ddOuz7gV*$Z zdm@YVmB20`3W`7nLv!=+bA0%#ffgddP8p;@2ckNvDLs(qO;Knl3r-v1^RGxSjcmJYb;Aadk#To z_h&@5ZF>*6lkG86KayGNdS=A{M@x|Xl|703~ zZ3+F)mJ`q$V5>nDh6e$r=Rumoft9#>(t)OwLQkbPe!_aQpB66$(3OG{5)wqcJ6XO= z2@Y=N<>VNEi_*Z}X6Y>q-@wI=Arwcue$6D?=16A1KwqMxsj27fh5Kux(hL`s*w>yntj|^_!NeO@+{(b{IpRQy1YFcA9Gqm3|Mbwpg4<9W z$WS&$@1Q-_B}DcA2MnkHbEQe z1b4a#-8u5dti|hs0+g@%_uyPcLXvEW6geNH{=99PCK+l0`A|(-SHu`fJ-$Y1H+v~F zc{h2X=g|Vf9F164d<*aVhwtG?A1GjN+k>8{gsTSwzy7igUaJ~+w5Wy2a4b3w9!ngBN;7#M- zs)8l;9!Cv)Li(?u#2Dk}ho&0k4DtC5m>T-C+{ex0dsjw2Z zN}nV#lxnm@C?NXpfdH%!R>+8)ca)qUmS6)BXsFQu0z3LIE^X^-&aJbv{ImRp%mU;Q z8;aS3ev|bN(Qr@OH*12Mn>PR-0sqazez3x5EgR6B>5J6r;@RJFR<8DTf%RleS(t%v zmr-3_E{jJ^jTtFJ&Bnn|&}l(B3gR>Z=ZOBw229l3b1@!Fm+1;%wBvK1o}Zr|A5V+Y zBuZsJr;(y{=l5%edqr5|bdOKT%W_HGUh3UA-w&QW6Pcy;}#y+jy<(W&k)JwCh~G z(qGpYS%cM$6jpmAkV`gIhvgIwoa_H}j!7Zlm(8Eoy@*spd3witC#Vx{!h_<$f0wG8 z1*o(YR-()xPWG0w{>Q0mjT;RLOiGf|~ISc`RP z<`-1wy-qw!StJe0dV4eCay4cBt*xcqLIl(-zNomUHlKFJNo#_@a@6g5vhd9yePK=P zNPjVRvh?#RtoaPiC~ZYSmpo~7fV0d1&9}A17w}Jj>|Zc;1prtE%f0|6;0zle;0&B< z2E>D9UtkM@gbRC0to=ZJYxGMx;9|C5jO;LxBo= zBGqvu##Fe2ea&KM>`0Fph5djyE zNAKmf!C>YlQg7Lq@Y)L@`S;sG8~K61;ci^+!v_ZM&oY0jH~Ya!-+%*s*`(rN=3DvW zw>F|4Jg?T zu=={6I&Z@Bb^s0hiXGq{)Y()2-8hrImy^LToya2^jd|V$U;kw*Gv$>$1~B1_2nTL! z90?w5fW_{^$KIz-=X+vcsy_typv_b8DEPlwYLdjxr_);DPu1@_UIk(G>LKAZ%}=mk z(~-X{XuxGzRL@rSUytxT%X-^;Gf)$imNGP>U8ZR6@J^E1dF8j8Ui=+5+cZbzI=Xtv z5H||*5Wjt_6>lRsUSoDwB|u)17JBk1_5jQBz^4lv752CbutQtW80CA$DC&H0QOK|< z;K!KnyvSNg0qSEIhXk8O{*vin`uxybMHQ(qaqsKZba8{GaACRjX`u6F1wrt8^NqQD zqz3qk>Mp9e*!y`8;)h zo;qF)IbM!h^tpSI7JF;o1u?kDqYTEXvEgci^T^6)-n3WSl2~anQ8H=cc%=hqHJnf8 z^jYPVfpJA&q)DL%R~~^5)>=*LU7aIm7Zv^|w#y^wi{FDgiP4tZe_u^a9Q-s_9HMy~ z!959Fa7Vu`LPei&00XQ>h~rQvigU^f7wTg%;z-xWA>YxJ2_Lnl77yGS*>BJ2Q+bCG z(Ja?=gKsJ?|4jdx=WO(C=W(^PA4;z=I?$|2KWQaGQ)YMC?6h`)TM88fU9?+H7kHA2 zE1?yGW%FAtzs#iiUfQbC*-5#K;D}^R)9g(RSMq=w#c`+$GYRSkQlE!al%Fk%M4k0A z8%3Cc!G?hGsmc`8l2s=A8dF#W$>nsBesAmELed zh^u{lCAwkDDaF*&E}I9*hd63!N7h>@YMLYDLtZUG#PymNG-%TNAg08@JsgemPK=L> z2pcvhED?eF<;EmI8>K)rcCLu}}2SzHPd*lWqo5 zp{<`GFDf`F8Z#hx{q7nNE-R@Bo8@TX&zK;yDlgm+A#hx{da;)mmMN_s$_3)l9rM0( zlfChDJe=?fBd67>k2;b_2IczdQarzVokd0G%YZyr^gRsv1k_7)UBphV&!w}ZJAPR+ zWZmqdeSotNxUTAwr?2rUATg-Z_aA#~UH0TUkcDe{*JXGe9jeJ($qke`DiI=!1s& zZ=3g}FF)OxiFOQjU|JUA7PIZ62Em8W)`S@T+J@LUilaL}XLK>d5V|2ZjRwx0D zgteV~;9E1JfM6aF<1Y<{v&-1-p{eCH2`z(}!u^*Bk}w*r$t#vl9^VS)X{qGlXz+fi zQyJQ36k{bB9Iq5lw?6dM_oPOW$9lg8?OqpRk*~#_SShJ%dB2z*sDB(Ke#pyD(20wz z4p-qOJ~tfn;y0Y^7L|L|ndp717fd8YMNliH(mLKg@U2 ziE}4(C1yrs(Gr8&HkZVQBf8)cd}8R@8U3@Nk%?O+U|2)LH!yO^tkCT2k1)NJMHFzdrkMe5AfzojC~9J-*RqjTtfvwfmB)J)a}@EfzP zg4(`s*Z*zukj*#fyL>y%1?eYg+St;9Or<<{R`13YVQ;8(Z1};yM0;$D`*(iVwZ)sLzI{b>*@Xc7d01;exx|jp?M@{T+$RuF8>_!8#h~(kY?WL4-J( z=VJ90&*MwHZ~Lnze%ccQSM{78e7d#a5(8a(QXc@mHa%*le$lfqxzWTV6^V>1IArXn zsdOLWw=&ji9=-<&5zM6%=w|gDZ<%UTN0n5b`4A5O^S4E()J><@Paetm<7J> zeh!(EAmTzu%BbYQCA|+E2l{7iEDstaO1FdL2b=XxIzrsulM@nt`ww4mMI1*F7+(l@ zLDaTN7dP4cCQ;mh9bH-~Yg(whb!liKxn1?$S>cb=^*ONulsI&cRFZ?T@?mY3{j0Xc z@7x?u*Q!Kx+5B=fJZvxt);u3~ZfpOt$ew8mG4fC{HRs6iZK`)KF3jkj`d({U*r@s- zn&`3F(Ke)VzVo9W!DOK7qrsO|mG*2^QKg8OxL2pGuQsk*Jp5&`j32=dq4~|sM0(Ra zZgOM&kZr4S6|NFgTzo_MB~Tn(Qt_|30&#m2`?ol`4%#F_KVdRsi{>4(xdID+NCKBA zx_^woJK~LP;C0+VQhZvr}${axg#e50@qLU#P0AfAz>QEw&FNu$k z7$ZA5Ej}}^VmfbFGZ#q^VvqDpf?uinHOhSYI0J1dp1tm^<%ny6MD0I-Fsz|TNc<3) zjpfvZ_CmB(`*^tAO?$oPLr}#P*SvHTAhP)Mgc}A9SZ>=2q9CfRYCR55=#8@!;}nFe z&TWPZ4C7;u96UmNuWeu@^QQ-q*7cM$!u zs!xNx^^J$=JG?=f8QEyB3XQZn8iCN7Lwq^5`_Zk0jX2{M_jj;vry z)a=b4(R@}Be0DE26Fl|7!jzgOm~+2m%0W4z5G-yKoO##&x-n>CcwWg1xJZpun4KI0 z3UUD=o2jH?%bfD6EgsDfL;k_>;1b=|j4dnEGI3D%A}qCjN%2;VY;i0pXH7=pZ*-%Y zizl5ZjZVKC^@W7jmsv&K@mQ3CdCRb8J}$_c^p%wtg+9&19&5DB&V-p4hz!J)On527P9OuuYXu%0T8SCV5JBDkymsk^hb;Q9(2ZJZiJ#-aUXU zV48Yf9vi_nk%gh5r)y=UK#(jcEOF9JS~nfMMX)GA2DivD zd(d|LJFMJFOmV_bouV9DQV&K|2PhIIRc9^AxG3(HG?cr!S*I?^4yKAul_AuSuif6` z3>__tqQa>G87;VMl?j1aVSIQG&ux5r)`nPl@O|(Qq%j-_Nfn%q;^?L&t#ugaC%T+# z)ScrAddg~giD^0khs|{?2+5BGSsgK9nQKAC?k~edM1egI*+x7#nOXn)e6?1KvC+al zgzi7=%yO`Z1v;`H{r)ZtWx>?K48JxWk8JX*XAp6NGUMzI_Z;DJDB2`bzxIHn?q_wV zY$-@>GHI+{Uq>dZ;T*P~67ZI?yp(b8e4XOPIteaKMF0us`1JOpTg2dqQhFU1^ zRz9vpr8z1>3e)~SSHKlyh9@a%s=SI0<-Z}yBP}(_1OzgZqZy40L*91`pvdh#rMD}n zZ26JlRs#Gp-?ONeg75FF*#B)wFL5Vkfv9-YB*;D}{YiAAoiYr7dW!Y+GlpAmp#5jP zY!6V&RZpm%F9_HhMwCVwCYxK32fHg^``1B(_t)AB#4DwR#X36-Z6i zf>XUkq2df8k!;6B=eSYpaMn4E^;Ym_(?=<7^In+Pc2ZONj*E{Cks_ugiBlSAyw9bo zuKvMWN~Ok0K82XaoOG!$Qz6fH%|l(b+A=UKC%#U!6LKEH$T%LGP#@M0d?+m^V5bAi z+y1o&(>eP<=k94F4W@oQBPWA2>&=4{9ek^vYoz+>p8|b-I~bJcK$b-Up~;@-)LK(< zy~jEjz0V(FQp`zufnV7@7~7gH1AE}AozgfkHh{W+zMR?#OqTRfz2o6;kHTHSY-h9{ zdWxCRIg0^l6DM~-0=9Q%KfiF-n8VE04dmQj%&8{FAK!x%FPH%iS18^SGiFr53FwpyKwEbEzAY#y3;gJX1Y5)Z(wnRX%AUNMFk|0xMgdmz4h?y zz@41-!B9-=^Ywc1W5N(Acfaj)QUbit>B`1m($}H?xz!B|u`n$fD%2-bHfZvYzK@E1 z8xdO0GRH*ki`(M4M9z>i$Q9IuPBLUv{SS#;+Iwe|e~<~C-CuCY$0x+}LleH4$2$ z%Z-S*fz-I5#M=%Le3l4QRUP#^{76SdHA7+2JO?7#D@6Fo!1U8EY!Wym3MtXfU-N3P zt7oHCoJVt|(2fkg$P(lEGHVO1WXaTILnDJ`=&@D^%Lbog-SESPV}mshd&>feB;cvu z#d;zQ&Z#SGb$_VWiJA$n5}s$QBh33{+N0jaJi73b=RglX1?M%xSQoSu2yAKb*ug%W zFv$8$`|V5}z%bsrW>Ct$Y63pcBLK;zefm*=V^&K@e#0c*~ER`G)q4d1}c4R zE%0po^1rh;r_Dhdw3d5kHrQPRiZD2WXvpErj9?7v$&iYfpHbTCUQD@_#%)?G{IIL3 zr!i+{)2WRdU({lzScmh3@0wJVlo4abdcOb4y&t}?XW{Y9nRh24@*>RNu>01vaOAYM z9A=*L$CRnmvVfB{!Mi;QS(H0XPe47+R%Ok@eJ5_Aqjp`Vm!9F%l<-|0G({L@)Q0Q4 z>)jX&^YXrhC@oWYV6o?RO<2~Tfh)ci>&nK0uCz(jpC?(!NEFFWrtp7%3)!KcOcp2z zHT;m>tdeK=gnt3rjTg=%(p_C0#}|g5Ef|cvyYYvnaKmm?x71D|B4h|o7Z<+Q;xCr< z=Fem8>kcrpM-mKQTUa=2K8vfTVm4=7blKI`SyUS4uex_mQslMILJ?7#g%*y3Cr9c@E( zN^T5RFVuW^L989P@CqV*T+6ns9#6|U9;7w*V>4A5f-9br4xpx@VrepnsFSH3p1a+6 zXyrn%UjW1JeHfAA8YVczSy4 ziLTEh0ehsjF%!(EP0m&?db50w)kksq{bi%0!|m{q%#V+2Vqf8mDNygu=;H^q&f18P zFnm*^c_+l2%^IBB>&oETSc5??8(%xH(np?TDQST*e!czcM4rxF7e!A;>^>j;3yn84 z9t_K1gl%KD!2Lv5x66o6L&=ik5oGGgU?>()ciH(MWxpBKKBmnVeU9ME*&a@Nozs9E zFP^JwbcatZ^xJCH8So|igX!$%=nNH%{yS=)U%c>?$ecTPd0+$`HE!=%5IGIdUf#Ny zG_UhCY(EvQ7cqL2jPZMIGyU(dU1%GDY11&lR?WFa4q>CIl=+)E6#}UfS<20zgv#rO zpS-(ICg_`k9thHt2 zM4j^~YRAd*nSKotV01aM7A-g?T9+5ym6{x*Offh*VIm~57AyBX zquq{~P_1Ik(Pbf|=SV!aXaDEOBVp@W*fP98UOgKoA_w%B^#PB5q=Q zlkUQ_waVz?mOhY`SKnH%>veQv@Oz}pZZ^R_+oJUN8(hTIQ1`_n&k?P zy8nkk_FppD|NGYl#plfdMY24qyj|e*gaxp?`Xi z|L=DD7o6;{+F>sf6J~ZYQSp?3k0B{Iy*JPdW^BS zfJpV>q=1OS__lK7;rZ;MoKOa(7#0U$$1r99?rG`ARBDeghYHz8=0ogR1~L1QkgtjT z6$NJ|p(+X^K$m$e2MQ1%#Md+#e^pe_1F{2-4x(YPZ5EbAPaj3*Bu4=GLMgC~b`d$Li z1m_Huwokd!eTp8<3LU67(^U^tXplA33B*1sVyRDAn#6sE*jqBqq zs^ppc1`$-?0NEaU*XlZM&EG>PepyKA{=Hv4M zCkIhbPajO#t<==uBi1roP#@eYH_-*oNGRhC^VSC4a^ z4S*cuI@3)hX0Ln7;?D4VG%Oj{6 z!IvLu7mU?OSw%1=k4(S(OJ4=|9N&uy3JQvgqh;09)a2ykc6>uaLt%r#u-7x#RcMFYp*$jC@eE|zT7eIN=4tkJl;yR)>k#KeaEM2jjXi<5%-OGA@kAD?wih&KMe&Mwp4fU3j6K9VXvzySGuF z>bH3?$3@NqLN1=017wO%zH@Epa^v}f<^32e4TVNTm;hs{5e3fKyz zf95kH@GJt!Kv93sn)8tGiuDBYD2qBebl;_AWuqT)aTzo(zomfbR4@m(ZhzObbad!@ z2CN!OnIH6CRqaT%cG;xXgl{i3lEdTFBZ`HVHSVottyc$R*Viih58>kcRPOqQ4IjSa zzg@of4HY5*n*6fUE@d`Tb^3j6{q>bnz&ara&$;dA%lT160aRdNWu=@gU~|h;sN06C zJf9BJg-O5db!|-2>4u#U#nahri6pMj-oAGA_YPfnpVpIJrH7o6kBzce=DbzqQ|-F z5v1i1_n6uvEQW-nB*IiI6>(`ZZTTSIyn__v{25-cs(-7m{c&5KJMQUSI&=a|eUaYF zJXf`+9uis#6RkB-oR6NX^l0}qK_B?B!S;38dTC?dp>h2>T?Lf~ZT<2-zTpW_b8Iy9 z@ROUySDG&A55n<=p~J1Ah@gzp?8YTpC;{tEv2oBN3?OO^E-WNqi0<{Lmfi+%z0Y8D z7;5+Yd(-^a&o;aR=~3gC?Ni6D*1*-iybopv>+YKuZ`7sY>HyG@qMj}|_F7@#|NeA{ zLdXFR50B69R*{jhiU;(`y(sf}x^A>tb^;f52L=X~gnym?b|+ki z4vbR2@4a*=qyjBcv4pTq##UCNV?352p`qZ?3otTBepAM61q|+T+#QrlV=DxcO4Q2L zO~+5Lzp9(s+A`jOt6o};et;1{W%DIIm4e>)CRaj2Ld5dmI&+kd4fvTRu+-vYu{v84 zAaeY3psmRr<=t|sJ1Z*=wW&qxm}q3QT%FpRrxsw;v6IQDd z_djO$W|D}7zJ~I#XFS`kI&So11c*2cQ*#?lfws1_)Vk!)E_e9+9oafJQhbNZ@slA} z_in#11E@exhtz6ce!YQGQa);gNUP#JyC(AOzw%UaT3c{0wW~};1nR9cQrFiQTOa1r z{%kt``noEyegJQ z6?#_^j>D)MF``5hk!^7|ip!9C<8D7qJ*m-(Vl&?QwUg}G&G1^@R>y(-d_5DDOn7`@ ziud(y(VI2q!sz@!FEdqT7fslJv0+Lq`jWR+O85F}rMu9d3FYt@Gy^OdZVHNAV3%LJ z`vn&_cM9y;YI8NXDi?hF)Btn>f7aI5Ax4!CXNwhB5#do#<{y65gOO=UN=n@@rQs(0 ze;=<+(~o>(W@-Wqemn8JgKPEHdcgdy#FKrSFI-%>9fk3sH4k8L(5&Cf{dtK}et>ni zQBH;zEWjPCo9TIO377`gfRSNgIX_*SZqc<_fra{(d!a~psrN_I`FZ&+$1|r3mBw#I z-4Ar4!S#?#^nZg0@h#q$Kdx|>WqQD)PgImafwd0osgA-RM(f;{FEn}E75B=osK(QDm9jfyN<<1WTn|2%}UyQ?5kOoM6r5f6nmd^uw!OucjIWOL=VPY%w4aSP=ndrECPI9N+%~wRaTnS z6J*T#l=o`LH5K}nSGQZ@{Yj4?}+&7BY81-WUJM|((*;j z%~U=d@y)Uy37$6xk;wN@bEBM%;!<)R_pfLJpFTz5#3GUynyZO#ARw)N4CQQAt;M^q zXsP|kI+|o6Z2C*k{5ekw2g&lau$=q(5jyKzTTbfQV4e1(CK>9t5vvziGQqS07pfJ| zN8?Af0My=C8k8V{ptePBDDEn1X2hyjPNVTUN1XZPJru2l3GD`+^hR@2a9#Zz1gBw@ zR=wG_*w*POQn}auC=Q?DE-vQOR}ux zNk)eu6R{fD#YB-j>r60T-kbK$#4wrotu$AJ6OBfMJb*9Ft(WRACxummr?E*HP#H59jC1TW86L!2zm&bI)ee3rBkpI ztMfYubh(($httHBk2coo^lHqk4By#bf&iVRgu}&uRv>>IT3%VH!|bzpizDGTQtf%| zCEMB=h@O#orB6lK`ZJaZl_X%1F+_1TbAPdk22nBjk`@3fWyOF>3*LvIndM7~2`jsU zj!eHU*~ewb`OD>0=;ba6_gZdVL;v+M769~WBjY3%z|Tkh#jY<-Nod3EGP;e@W^8I| zI@oz{j8&MX>W4xA(4aq5c99$pN*XzH>qETk1Fc&fmyI!C4126>QP$hSKHBME_OKFA zAw8k*cHe7??rc(1?XK~oAsgx_Q@VO;xYfAN%z@u)OxA~=o08nUO8453Wt12%{Fle= zTuJ1*OrsWGc;}@t!|f?t9tr*j>>Z_@o2!#1FL!3_u=I7I zjXo>X7Sh66hKJ4A7}z}vesP(!-+i_No=$Msh5eq9Z;>7K^P^tn;&8#k@l$6dOt*oMMYQ5sCdGBE6E%nN?l1+zT?7J1MXYb{scqFZXOYOlMK za8wd80Konm+7x0HwgUndLJ~v)Vl#uMhqt#eFS5k1*NS~!!l^qK}RWV?$4L01*gE|1_4FKZXK+-;Bn;8LYnbmQDFmf^#QdA z*z_MZ=nxUyk;`Z@{m&v=uCrA$O;X;wC}T#c~-^hhUFH9%Bh`!%%hTefA;k zZn5|hg24u#oDXY}Hy%J78y&r?`iYXD7oQw8a8yd-FbD=I9_3Q82XaeAbTzLFOttj9 z-s5#U!w2T&>^;FBkk0smmAB1y1a9G6I7#CB2)Q*=nNuVx;1Ov#oCD z+768H_zkhtP=O&qZLmK1fe`x_%k^+G+_Q;!ip+c5tO${*?5^@LIoWvCx@c#sAS+w~ z`E|kd?(Z`ODKw9as&F=UM5NFXiKm~`$Fn8G$BL5ouJXRjOX}>=2u4ur#V0k*1rWTonpmG@gjCOoB-COLxxNnVFLo6Rn zy*pnnOd{AJ3CBXn3CWL1%i{ZvXi04p&>Q-_w)K2z^+9s9oap+{FLKkzVAlvxlG1*Y zS!g|j1W=S~aeb36jgY!KWymB9sp%PS#YmK$NuL&f>BbuRbOspMXz>i6AVBgHU1e$T zU%cRJAms0;_I}89*g)LeheJ#J&3}tUqU3BmrNI1~pjYEy|1X>Ua`^l+>WMyi7lMG} zOIDu@5KBh~qwC3_*9bIpUzyGrxlW#)2K*DN;|{SVz(d0Cs_tS1c9`0)Zs+R#y^j=| zh5E;gMxw;Q!mYAqVNgJ^Zs5`;1Eni~H*h}g2yu!3&SPVaS+7m?9g;*B1{d2%y_J_R z04GcV0Q`CjyNAOa3aP5VgfXIAp;aH241Ya&DP@p8O*R`)0rNPjF)T$+*yH9f0{dv( z&4&WO?Wpb`Gg$!7tMHihWpq|sooNf`mXsKgFiH~6Ts?&s^KRp_`xYv72J}KelzOb* z_cop|@o;FQ0lsNiX4u+#CvcCrpA`d`quG--2^pf5pbon&1MK#_;Ua_5_Wrm1xKV#Gb@gY_uUIMH3dXZbE%pnC`k*~Vw+3{PWx>vLO^~OY|Cnxz zvJzD+2OC8;{!~8HTs3sW`Kh*3b;52j;uihRL?;}CA^Rk-Ey^!njeWm7ETvliMwLqV zbsa7)Vum!pmG{Cft&THGY7c}W;Ci;=9}*_7oTi}Czg86G_iv7-^0v|TGIfa$8#1XU zNwsPKEI`f64eCl`b`^=SE~RzB{Tq~}3gDL!b%-1e`bqT%JaOCTs&;|hpXXwJ2+S1= zEQ9~OKRSgEZxFK9%Mw8wjMxHOS%M$%1yGb2CL=nFca#kC5)J6S%tg5Ufe6{nNb61k z6m^ZSj=G8mVXh=%w*eF#EsiBE9cHZNAuKYge{#w^qYOKV>XlPasU=u;^W88GKcJG( zBgDnVMd8p-Wb!7$0Q?7>qv5Ghi1Fs?cCNxij%9Os49FO&cc=>XIs|jBN`p-}sk8V# zzQ3m>d%QQ7pHS_awy`w-tYJn_PNq-Ftu^a&BN4uf)|lTg;c6@|Bwz15xr$HWV6aw~ zPfpms|3P}J7AElBFNRnwc0^_}&(K;;kkS0^a@u&<5~h?6d$91E5B(w*&s4>aLA-Fi7B3Ix;#A8hNHqH%(C#bj(6CzqGo;w^%|wXq-;l~Jl-rUGmnzD%X~ zhhYLxF*O4OgIe&;IjYca!!&Vc%E8Mda%$f`5vLdkNHPC1h)-hhxkHSaH8}>9^AL(q z>Q0Q+i@Pe2-xYqyhtfxojYA=#U^nDpslhhT(>X$}77`BqAUpirpX+NPG8kMb`fH44 z`iYna9i^7J3CBu_YBxbNI9YPsD%JQ%(=CJsI+CT-W|*sAw2)pC(2I#m@EENxw!KR3 zr&=L>XpH6#$4&qI3HD7z`g*rm5ccJiINs&GZo;pjGPH|zJXwq*{eb%`?-H8uS)L?f zU@@@Ms}n}E0#mzwIsD3?{G*=72pQZ4r9 zKy)4K?xSme#oGO;0C40XVyrn<7R!glXwf#%=~qC$u{i$~fPB5&N6Xm-?mvEH8pXGWMsaER-OH^b1H5L(V&cF2 zE2}d+-d9l|)5MbEqyOA6%_cYV_5+lYg|Y(Y?!aC1l*-kw;Ad6IX@z%H`j2^d{^m7*BKGg+u^xNIwOCA6y&AsOi}IM+tl zNZ`4j*>Z>?j|g?*SDI=TWY<{jly%W0#4{u#k=jwp+z?(ADpW?}NwTCL?ZoAnlvut! z8cs{Pt@Z2ONSEiM+$pA^_TyOmZ2LeCG;y3tSKHD#8) zR|;8zcwNoPpT<(*khKRpc;15g)xx(bqc39;ypHsHv-u5gBKp+L8)b(E%kSR=`b`*31AGk)$3O z*RMRryArWUBAr~;<>exy$WhuNb2 ze^)-}*>nS|%G8*CP*scZrdOpAFh|jTV6)A4*PM23E__fJErf41^bUUc_VsmC^M%K$ zOXl_3#U8}(N7~30FGN)i*bkN)AzE#{2&OjMZR&jWeI)^Q_%M1bYgD8B?#emb^yx?H zm+Y#bYDYER70>vknErRHb@ODQG?GcoWX|w!xk~J~-o@Jd)mbIjm==y(n#yC(diqtP z8u>2Q7_k+RzOmt5uWuOv%%W1l-FS1MUjUIcb3gU~!>LSX(RisjTqxEE!)91Vxb#rl zt_Hf@qyvS}w()!{sO50qK{*}?wWG22tyH130b$ft2@kNPF^y^QJmy~Aw!u`n8()Fo z5&GNchdjc{r*BE!Jy0nenZrw<;VO1?8P_Yft=rF&fr=8Fc>Mx9`1Qu|D7ZXEfNpNL z^92aM9;Y@EkK%67o(4ijJ?17ZMifk+C}bu&{2ON1{=O`gf45@qmeA4at-fZFs^ipF zTSD$uVn#^`le-A}462`8&UswY~z`lBl_QdvL zM#N4(4jmQ9K2USEcY*Xe{$$+%g7~QWIRIw(W5hu_ZOP)RKc7@wd}@G3PwS@XOS7Vm z&oz`Z%1t@`YA&MI8x!Pv%DNI z?2)yj)UsaU1T$0e;{qmzbO|^kyaG&aWl3{4?o6Iom<_|cnf5+2p#%{1ozj+{?U!rF z_~~#tPu;0}C{H`zEa+`vhoUizrV{x|XxOh&b0g(5HDEnQ0guk?cV|)u?_vf)G@du# zkuOWF^=7>-0`zW}?Q=mrOS;arMam82^eL#lZJd?9bYT( z)wA9wZ(VeeJ|e!rA9r1U*6-k%tA@BSaDCH(*D!RWx%GL5Y=oLTlZ zt)~9O&4Kpy=GMi6<~HJ>fY0v%J$GYeLk=Y*89Y_o7elc+Kjy7T{fZ^_AM%GsFRMF{ zd}8||c`Vz}Y@z5T8Y^+CwWv%K4v}Huv-wBG*ZRx8T}+z#ia_$uEpukwR<~U)PLeV3 z>64s4_tyCfKEdHt#VDS?zoaKS)u%Sv#4uyU?Z>syp+3}6J z@;&J!=@B{)%7Dl9cKiusl1BBW9mmYY-gFRisF(MejLmorCmShws<=w21o|tV_`hLi zbp6ViQniU|OQ?d|KRvv$q!HAm1@{Q)etm*w5bHR*^HUb;j@CfqFJzc;A9#y`TfKo( zCkCe;JWLc~42&MN9DPX$7}qQPLGp%xI8#hdIb#Q$B*yzTfHgHD>;keT^$?N@;}c7S z$;ONGH*9K^=wQ~vATudL2hZsuFz~INUe88Aw{ELXLdXJtWV(JZm42P)ZyE$xV>Bd29+73@5_DXQL(<> z*a>tdSzg~;yQ>Av5#FFPi8jB=XXqy|$u^`u!;#|4jS}*YJMfm6I#BC*bz3a1Oc{(S zFf8+FjZIs1A=TCME_}WdjW36MC^?)A6pIaG=dlJ0gaPanX?* zzfSW&v`{d&aw6!1`-?+kw1$n2MgxUqyl!eRt_#VHz#2J;w=)M8l|inFj^&ttCrwpB zR#6?VX==iCjj=R`*EL88kSE0y6Bj=gaTqI$Ne!<~CV_Cqhy@r19$O?VqspWhZ)diK zhJ54w-ajf(-d&fNI{eg!xa$rv&DAxMwDh7h9xPFEI^NNd+zs>$CBF zyVqM2%{>=5uK!90yLDFUFt8GFRG4`WXSPzvdL*EBR{rlLT{=r=9&37`pvKS6&DZW3)UVBj1HmjvvQF;9_@ko1W68X-3`D0yj+ z#wW3~x0tfxpp2X#xrE9ws|5wtOiWCOJw>T77uCyPBUh(+*UIOxt5G<(cAv|RA>_)& za%`hlz?&7N5-$-lBPA%`UqK*5VGsclDiPL)rF$*pgN^>wbcprDe8A4y4;{tP)5)r9kzuC^wL2juWXIN&-Sg`EdtHMs3Rb{2o@ zyFN8+DJ2RoWn^H6nE|LlQrWF0;%C=bORg0)RKz1XgIQ&VD@CYH8E$8bSLI92!^{SV z^I^ZgEEEPa0-)g@VUYrD1_z5sUL5Q#R5$r}pGtLWqGeg#T8Z(99fmf9jaX9R!rO`` zyI%ZUOlp9F8QMW_ogXZ`97ar>A)?)gDtoA%VQBY%=laTBMQ2~J^^4e_MrI3ZiTtwo zfU4|Vd?oX6nW1mKua9ZEgX0U=e!tBP6cl(2szIQja2hmBLxlM=Fm$Ew-;~vYLbWRm z^P{%b(&K2VssVT@CmDYGs}u0<$G7GEvG(`-qBJE!cM9GXd~)jj8Lo|2Zpb!9E>vtg zr#8%MYrIInHwuf`&v(dV2(F0sCvSl=P%g_l-ng!l?&H(-#>P1_=tF>ivFFSK{utXM;C7gpGvwd zLv_ZL|BMMS>-4&^q$K|BB;hl;zqW?k^m&LV+n`)u^yb$&0j|8ME`qo>cwZwK52E|v z$}Th)Yt6gd;9LHJ0%t%e6HN#Ac)+3hDF3THY_{>0^JZoGlT`IIYs7cp7cIX=?j<-T9+BDih$q(`S@K7Jaq zdgJT3@%-g>^X*}0R?Du_g|iup>gmh&{xMo0Eud@k@w8ogslk<&$6{3{Xs1h>$lv4C z_q;Ne7B=jbJKzZk@N*)mbIHDCKuvVZ(dRQN`G^)R5q7(;yw9?jsaY_|3bT$ zt+i~Y)b|21xm6hsIxS~^PM{b+>yErwikFY`PWwRQhV!J^IQgt>_&tZR1{_4A4xg{^ z%{?u@D_z5WMl-5!$vUA9m;F=`YA45%sCV7RhXvsdm_tI6ziZyi*`Or?Am8s706xe~ z42(PX{2#u)0;rC*=@NH$cMtCF7Cg9f(ctdx9)i2O1xO&cy9Njr+}+(_C+{!&?YI9f zQ^l>icj}p*o_=h)&p843miB2dWCt~-$k0{azPS+%IpYlnmq%Eg*FKdy%uu+ED?#Fu z*aCt$sKWE*2nNS!IhpfVo2ElueqCi+bB?BpA@0!43^U$PbEEp&nhWY}t;CZ@9}cH) zunuJeTzBeaGM9N)yCen{f?)#BjvupO>6!J^R1K}fTqug74${Fd1k!sT!5rr&G9E@U z1kJY&b$C8)E6|iOsXReo8IeVTU{JvV%>)a#j@NSrIrf$G@^7GRbs&a;(w@ujFChV7kx$o)7kUYbcpx*XBM2p!?wFKe*n5^~)AkC$$56L9w_11G9CD z%n{sEh|?|=m)AFY@_B*H;k!O{%o2{n{(D6TFtnG=#y`UghtG`cn4_ccSqF!2h?r#< z`$NpXB;6(*acUXoS!QeJSQca)n~^Wr(!s!>0?aS(PLrn!~nzfbN> zMK0(q>@C#T#QuP5Sm2mo01@~&t8eXYv6+$`GfBHY4jr7q7YKrYs?Trr9_#LQ(hJ`D z!9~5Bl8O$dWX6e3T+gV+`ay2AR{k9mtOp9N)Z1Y=m8{)lP6NA%rQ`uZB{-R~WaI}q zu|hP9C@XmIp~-5R26Y~|(vAWJzdbXW!r!)M(%g)=&Q1pL0@-&>5~6@_Fv-$8C%m-1 zZjn>%z>*oy-vq#d;nBt&Eh3f?Vzl($d}_S(yef`i4tzMoYuzQmo*A zn+)f``{hhcY`^?&=wN2O#H{x^-!?8Ec*W(jsr z;^I_T`Qn&j5a0!}*ztvlP_mI=FTy#yZ#6zfwVW1;wS4RR$2G^<&u`jQ=cj&mk9TW| z1YCHtU9{mq1<96%!tXgH;w6la<$7f}exe29&=iRANl7#3)rwv9#V&hSQ<+)W6*#fK1L07SHL?s5C17=e-&K9Z^GNb%F0`HDo2Sn;*+^Y`Hz5JvaaFU z>VWZR^Cuu#%!8tO(AR*skm2+aYzuJs6b@{(WcexmSUF10V7NtP$+41?I zQ6uHUtEpE!664vjqD)`0$55|kKxF}2-3N*#)6$gwUxVjs0ou(LJUrmf2p^V9Onr#I zaR>EmVkp`=TenJ&l^VGHeB*ai$S0kevyaJg`3_&~T4W*x?a+jeWAVDa)0HE*EMaI! zvX`2y$;#^3&&ho--`-ir9+!}SUenUjGCDf?JL>UPW?^BpTI+c4OC;4!hu85YskA># z%^e_O`9WU4)r;0RL0*_vt2M7!Ge|cZ?;| znqG!#`{S>%6g`yqM2h>}D)jB_%@c$h`4|gDqCZW~vzJBRd-`;cjX|JJmWb=i5%eu7`gqwXS3?>*6Tv@?=X>Ah+H49=O6Fp8leYF;T z`(FlfR3&)P8Vt31+^`}-yduN`bEmcn?T6iK#jYViK^XPs9Jk0>A)7CkQGDJF*pc{o z@gU17+!^u2H`V|>7hQ~W*RMf>?!BGA)j1BiZ-@7 z`EQjhYTU=n!8ctiTArqpd>}7HCVq{S8JtO@osZ4C~nOzHteNGDFFGesIAIp{_xhIsN9(a|C&= zMkaDFEj5%cvrjOW97)w+T@a^{?${$}K{n#y(l`Xus@0@4myGq1ZG&|lBaUUZgYqPM z^8o`N9FmgeMLY$_i+`flup;uUz&cm@6^Ua(dWQ9z7 zV#EC0Hiu$78*}7492`>41Ko*64VPQm8+OHTBNLZ=29(4e@Pj$=ShZFlLAW(_JrK1X z%eo$??gTI6DKg5r6W3QEiC^W-GT5dG*uFu_FFZORWAE2J18l9cmc~L}zfNy_Tz@#1 z$i*1Yd?B$O-{(;EqM5uCbSa1gJuWE<5LV0^v{~Wy6H1nLcSlA|0WoVGnvIQFU!&@! z5{PlV_8Q@966|YYVt=pqTdY3C)ufm9Z0TbatI5_&v0c?3H;Ri_e|2-WS7Bg!2M}mD;2B3NhzR20TZ?YsB*Y2BV-jr+23`Ke1Aa_=+~CB`!Ef}Xt({rUK4VPbm-M3fE}-nAMQtm|9`8%GBm!)I z(4T&1Og&%R=`4~EJBQU@WaiL-6L}xcj=rd`z?q@0x(Q&(!eF-iapW;za$30;RKCd9 z@6ff}a5mdtQTS+tiN|i03d^pSx8GS^rb>9mcmjx1zMy^;g#U=u0DI8_4K-!E3Lye} z(P+wSP{5&qU3Id0WGdvVqI4#I?zU`8GilJlhS!Bix6|OVtqPS5sB!rxeRZHHierJK zw74#{c6LsT@}l3=1!r51iGp8GQyyOhAFHGEdpK~C)eglnISV1x7gcyzk;144fC5ub zB_<{m^+RW0KlO=@Cqe{$X3*(ON{})mLPC1*k!Ho_8TQAVo&U0O2s^~X{l<_i(inG* zN-Pq?WJ&6`x@}Ad+PFaa35ETjbAV!&hZzB z3XBN&mE7fRv=o)KEvsADgaxSXuD8}zB2dA!>8kr9jr!yCN3OPpYc|?57KI#SGvMnd z%#ru(=Uh2rgFG*X$4zH9+|MqHnM@JN*%FtOb|L7ULFAEAElR|QGfJaGcz7mTwOhJq z_bHf|N&C;eggBTSVhRd*T!h^A%S=(}JMaCzuhJB3u&57>YOm7c=Waus%MH`k&xDbS z`}d@g@b?79ptK6p3im>&z-`1nRHJMHPzDTSNQM*X0c?EtXl)eK_U@kGr;197ElG=c zwFE85A>6atngVLxuqP%o8Ydh~LiK(>^dbDaeI$>(FLVsig>*DUM2=nW!}QiY0oZrM z!hFViTT*L;mdW0u*(DFOFwUwUbs(<&@Hduv$3-prrHm5$S>Ay#-U0FE$Z^|M6GsJFiKCKiK23LG5N4dObknBC&3KoD`o&@zSe^JNxUgS@1u z?C#ce$sR&KdOaD^A{&1|uRD9#Yd-wbiA)~@Eb&6UBrF?QO(+~ckd@j;ocBXTD~?|Y znw)*%6^n|J1x6`=WrOc|6O)ZCPuM3nAwz@Rr1#X2JAgkCob1uj={}5B(F_U+2@Tio z{`$}Nvv<>yHcZ_%v96t+J=60YB~M_IY18N9lSS;$Jx;*f{~i%ayLu*Yd5&$ibkMMn zuka2H0=3v9so<_CDE5(H{SFST8c~mcD#9ZsoD>Rq-Yk7(%S*^mv?IqR_GfArkCw~H zgxj1NSJQRJ+92We+hoUzP(kaB95ibANc)KxqO1fY%t)GJwNy-Qc*`$h)MoI3qIb3W zBp4$4_M;9IFnP7>-O?aWd&3YV$SE*o%GSthJm6xM@TSS$ zQts)ov1OH4?(7J%fV1`Z(ZVhpm%gGU<(}`#%pjS;AyqTpS6n--NV?n((w%^zfATOT zG(Mv-NBbkQV@dG^3=L0|Vth`$CE1hsdoIlF5z$%;^HqO~X&Lj1>_>^v^cO`|*7Y=O z%&>FB5eLvzF2P)tnBP}*`}i-PO)x8>kWpenH*IGs@oiZp(M^QE5(`1Ku>Z7~k6$At z;$!=4vh~SwWV{^pgnyOOG$s~~6G~yl^hi~`pfPtHkM5&SHBu;ArOS}J zn>D?}UO+pnP5Mu^s+rET00=bQxNW>Q;>lb4RoFnoVHQ{^Y1=o*&O;i;kWhy3qS zr8y%J2jA^if87vc1Y0?2^eSZ&l<8AVAn~6cG=^PL2x7X@I9&(im9YiHNJyLwXqJr# zlX!%><8En}S`6jO_|tI}3$HrYht z?JTF6_lP78=2;PpzAe-Y;azgwRK-vVo+YfZZcvvA9U0CSprHiV)w2|qz%hoT1;i;W z#k&Jwk&s3zJfbBOvTK6Vv;W|-prc{Nu|&3b>8Y&DEgu};sr5@f(Noh9sR^@Pk^@rl z>06!l4P{PFDkaX;4ow-3zGBxS%p?&-zmnL&VZwL)^(6diyYi+0t*lf9hBIajE7GlC2$`sw6{J))cD zgPQjUOUf)3^KSIpw%w|zRh3}BaGH7PG;s@p$jgApr1zdFG5y0!lUA){w6X%$*)Hbw z)TJdj8@hx2pL|2(en$VpnZOi8Z}-#mygU)-7skwvQ9vD{x4A7f+}pV7SR&3$*i$$9 zzUHvb5)GVR@y8;r*Hz!p*A>;L)#s<2pDi^wA~s8PpCok}_ad@O+y{y&ra3KtG&bmj?a#Un_CmS6w-itLPK+B)#Xi z16POouPGI7MkcrCPdN|!V>{D9HddN~CjJaGY||&mt4q8lgVbwinAeZj`Y8bD0mG#{ zBT7kTl_Dw%#BB%Z#qzv4QjUp1Yy=a&8u5#o`g7zytu_i-3CSOqLKCt|d%aB5mDXc0 zBONooS-6q_Em$bTAM+|D0%jp;@2OLDAwv~1dcbyU2l==u+{B3C51}bOHhD9CvSE)B zwtyjL`yX!ykxeoA`jq%nr0PNZzHhUf`V+8zRSkjq4wt2v;IyG2v2AO)Xdcxw(S=E) zFy?sOA*cDi2X)CsP<*OfPJg6)D|nCp*q*gzVWi|0q>M6Ru4Dx+%ld?);+{f%Jtt4E z$98m}xFqy-EDkT-Z^>c^^I5XN4e63-Y&Q6;$vnx2=wt&{R+G3Ud>R%OBM6gVS27x2 zIx-7|lYvR2&cO5{f2zIoPkY`u4Ppws6Tc}t8eESI!=iCHc&mco>I5w{fc%Q-W(KBRFZZ+PX17q( zQC=gZ;w}P$XP=>qDgx5VpE%ZmwUl}6fjReLov*)^B%zWIXc8|jw`s48z zC5u|7GNtHQjMlJ652U`l26(%s!yxvzTvZbks7IeCM)znqLm#r?g%LYwzrN%ByBcQ? z9PO#$r2QTROQyA*E-4#23J4mWh?5Y9=!HRI#KlhckvHP1OLX4j5uc>5?YdCmQR-07 zKBY!+JA(w1iw(R1zO&5sS6kB{RfeAKNce?22Mo+v7&hDqm%D5c1BLMz8IL=T14^pB zD3{++8L0{ASl(#;`j3=s-xw9vI|AM#`UDS&qpGyDf{XEZEVbP%)4I0Je5OhP%)~bMYM7-4^&x-XD&m_@Uez zO|Hz!B`riTpH;JnvavTbs7p)fJ(i@Wg+SJalRg=$e%tao(Wj(#7gjFNJhvQ#Y;aQ14DeevY&@s5$#BG zq|T5PcV(=d$%3;Sfom1^y+Zm<+Gl8hmMlf_c;mHpAYDJ@Bh>$pf`wJ7jvlUsO2VgD zb!HUX6@E=X0fF?cKgs1jgDc(S@=f!urU6L}SaVbE^-)BAY%_2) zF+yh})^8^f^3wbyRv=%7Vq&6M9Vw284OPppg2k4gSwHOa%41^jCOTfufy?c%{1FkC zot1UNEF|2Y_>|F@=#gnffsq&@Ebi~Dgi|_Y#3*_oD5jI_@6XthF)Chh7WuBTs&Bf<{&|Nd|$v0_!t@D_u>IULyyae>vw+KGr zrHVyktM6zKX-Kx}G>GcJf?~`UUADiRa_~8#2nhKLZ{G1$gp*G4lm%akoSEb%2&v1CEvazJ|6Pm6qDo$ccd);*+BU+&D{fgo& z@nsxv@x~-NjHF-!|{r#oA#Y@X=LT|b! z$24My2l}iPP+j~>VEPwbz67KN{_C>=cxU~ut#&JJS71sbchv;A?llE?3P=B5`Xa#a zBSTL{A2%nX&GE4ymC}g-ES>K)&fm{l>hm2J*ZZaNhppnv@x+u7n-8kVEZW`=2m9bl z_pv&yKkR4XR;R;?JswR_72|xtKs{Rv1b4&_qmX{g=Z`b+lp%s0jTV#H1RITjZU1V= zKX>;(8N9-IW)g@l0i!@5LZNzMV;zT*X!9M=Pp%xcXdV6T1bShT7+MwNRaO6{P0Gh$ zRM$X}HFAjLJkyi9mUb)aW4$1gCwTumldfGSqwUGR?Q?NDM_1*~Q_t*%ouWk4Sgdou zsf+!t>X^ddJ7{kEZvkGngYDT5dHlxeSk$1~Cng(i+plVgOU-MOwm(ly_nccO#;j12ruc$fFZ#~!3EfZU5+GL^fyBVSd;H%$|DVqjxRp%6xw79~ z%?*;HTM*OIK69)VV&3`?d`O#6g3TA*S0zH*+`#Q3jBXG>tDm` zU&3s6QK4xV^?vC1p7U3_s%FB3WNy1*)6Y_Sy=3;^5x}s)WT2y!FrkpcdSup!MCEe{ zOsr1_Nk(fN%wCK1K~d&BUx>I_RsXtv=UpQ?9`>Ag9k4pV7}cATm?{q*UjkymyD76KCZHqK{kvQL z)&BpE_|>A>Pijry1hZP~X5)vGM$NeZe{N@4@7)MI-5zSFFDQC#rYv3A?tS+78ik^} z95Ly>TU2pt+bgL)V=;y@hH<-*` z@)LAvgZzvlDNHqh1HH}j=(V4FofSbVLl6GD%beA3>kl1LV|!Pb^}y2sXk{W~^2k4R z8RuX6^1nv=-{AP$P5&!MA`?5TkW$918B~8=kXZAvdu(3O!S~f3i@5cc%O*Ha@rtB3 zmA6u4eH1dwgaA3+wl?sn7hcxy9gHVkSN+blwi6Kk?62V}))lbRc}8Crj!OF4?%Sh! z>eK2d`}&&%SIG7JfsPy)h74POFeAjia5HZpr_`4H61dMEBFQB(nBP`rv2qZ(Oo3(Y zym-;+Y96zTVfnWq<-ctG_b1S;XbQH+te@+?(&evgy89zjcHz?A-&u_FbjGlDSy!!z zDH>yH$z71oCi{e^Y;ByJRFGKIR3{YJBzpVJ%|Nc83>w1UsK4z=5d(H z=_GSr&?aX8AIARwj0T(5a3FwG0m7H!pYS~x-z>_LVP;61qsmL2L7vn2nFU^B| zokadM@cyUnq*BTA#aQEX59kVaY<-0s|9K(t*5@IfIA9=BZD`741$!7}`0IfdeI-Nf z+Xb_|>5SJ2rfVDxnad1L|NcmF$SX(6*7%K9+-GCwa;a#WR*vE^T<7}_T zisy@byC3;N(=cXz=>Y!a==z$M>CCf}bKv5L#WmaYnvjnf&6h7Gw^v7B2%F|*U_U;| zO!o=gEO5}cSBNnGKL@k_YgWk`WCi-dQpVM{fcJ+mEo(}Hp_z)W!mQuRm98P5v-G@g zPZGuj%Z!EJZW0@0eoOUrLQeN|mhK6s-H&e~9`J8ej2>Otmg>3Ibi7LLY>jqy1h}n- zig!x?WGSAs8b+-laGmf_-eeMR_~cd$7JWS*AXcfqVPe#>yxjbrLH%L1@qqt-d;UM3 zz^k&4-VOxa)F=`raMW*{F0Xl0A;8OOrryhd^+EN`b^>E@AJnPM$bWo3OD%5>L#yPc z;M3sI;8|?Ge_O`2lerHd3InHlmeX{X>s!Hm#{{K=(pRNpo87htekKOqY}2a|ka zRY{oeg=9(dcdFhsLe`^@+6;%sqcN-NM*pu#+qzGtuaJ6e0YZIdDB({wNZc1D&gDfc238!f-gE;9RA*TI`+DfVLV2}__rmDz zmoQZ#d))T%*?4DG+_$x(Z-$w{B=Lf z@P7xvif77(O2K;*EQHB^G6|qJcA(jUpIvJ8UOXp?H2v&0my+X`c^&~ylK0tBcyiR? z;fb~WYv#gbtE`d(4k}j}VpRuTqfkK9(EMKByR97zef~57Uy|cHD?&TH^fxY|uVwe= zO!up&UvBO0U$=8TLKKw)dnJv3oWln^M1Ya?L#eLv8PG1HSq7LYjrj*GN|kPKyz36*{cMW=v8W0QM< ziu3}0*9f-PER(_!GpxpU<(&w|kqZ_U)oV6^`4$u;B6>G4X4lRpHz3T3m?__#Hux~C z?IrXvkn=y=Q2VD1Y?L1?om?NUoC*7;^ev^IeY0X8ey5+r!;G+Q!>gpzDYy%6l0sc* z0W|!93sMZ9rL#Z6kt!z||A(z7o^M}YHv@Vxi0>U63LQ>{JL&1^Cr^8wvR#w;2d0CA zA-W&{=9?{e-EW!$5a{UWN@Z%UHRa{JICe@7nrv~d(!-tA=0<_N$)sjRRZ_BzI6uOdq~7c6>xGME z`8YWxy_}4V$(fiG8C3N2mZzt`x3>$jvlk-xa1tom*x0zZ)O$BsYH4b+b8sxo&!dnB zp4cE2S<~WM2!j6r9uV1{gWqiRDZGrb;OyV#I&s%3txax3^brpsr5!O@ZxMNkL&MpuCb* zx_06I{+@@Evw8IlPyhtTR7|lnUHGvnp+qQ0G!LD{*~dM@(E@vsNU&&LW=Qz>yy{<% z^jKyjP@c1>`Ue_}I&TKPG|sBQV<8=V$jLcxw?-#nU=sXL)a5#mc6An#b7Pu^7l7?) zI@D%AGc)5~U)ux9|1~!^x3*?@-k9oI7UguXO9_yWS!pI5$q$*$;BEgx@hU0vPEswyiW&p?_C{H3KK25)H5fA|n1O|SQSqo!#z&H2$?HiC7^SGl zP0#DzxrQ~ysOoA^xPD;B#{w(VPzQ%l34~5AoWuE6YB@cnB3fS|$3TYlcz(Vk@SNkb zmo=`Cm@$I1?&9ps&*5Ql*5SSWyyKO3|9G@e89q`V>G+B8-DzvY1#$iikH==WIpf2Y zmc#pHzfKm4^<_TA0}<8bE%bpVEb-_|yz=qod>24I0)SMgh+9#of0&OoG;mhe$AfB} z*K51po&aUw)|;43F>f#BY~IT;mM+0$Xu`7?3?z<5;|?I>r>vx8*l4Q*KW!C+TjUWZMx*ZUhXWN`ml5OP2H47*8bqPoSHyggHBQ>6jA4gvQSG(?F29$0H}trT)uCGZpZTjfB2XX0g|5B$VfC2FnI9y7t+yW zdP;p59G$lFLTnLGH<+P8t1EqP`F%4Bj`rK$R0~Up{qM04KaE$f5buAo35JG;+b=hs zj?m@_0z5?e`b69z058lHk7A4S?nbp>?Vy%cjsD0Tn#t>$ew(|U60@zIKRp}*f(cJy zVIeChmVgTZ-Q(rq5`eYuz;pp70kjN=5zPRhgH3G*jltL>wDvh_n^vvCMUMcRL;Jk& z)oa3cBir;Y<4bk8@2sqKxZUFY2>6W3f|sFSx?nNBZqtQQ$%1X*jO|aG5v1&kKxJj# zQP<~55^a`S0vJJ?c}4~d>~#Tg+2!FbI~`#m|54dTwYMHtr>@@aK)Ct@ww!O5)(af= zi4kaAW=B!=BjS+CcLc&6%Nz7swV&YtHSbG}3+QV|gEual`D8$L)NZ*^(yC&=ueX=m zZprmvid?*bE^2yuI*CScsm+7M;J8ww3IPB|cD?{GY?XUZ$CMG6)gE~zY2KdUmsBC0 zSCQEYwV?q@DVVTn@+i$p^^f0up`%5x(B+So9lB1D&#LtN=lrl9bBbCWH^o&|6V(F% zqGeYcE4c*Is8ImXTCI)l!gNl|X^93K@&i#q$nS}h$OF&>KDthD1C)MyEdWA``bGRV zk-%pOYZjwU#=On-+|KU%^#D{R5@Fv5K(_c&kW5^n7QGt?$?ffl;4Y!-*`a!xd|F}= zC-1#pjgTS#X7}q~H&qKqGPC-`@BXrm@j$Ve4H%*jeit05;7o1z<5H*FF%B}tl9=OD zY%EfZ4Z|BCKSZC|n{+ULIAFC6i_NG48jE8=J_qUywd#-7vt~6=KQbRskX7CD5Q)V9*M^D1ivQA$`G>)xgMyL+-C<`I9U}P7xWb;-W9<69ab^amW z7&;mW4TXZ^d@m%*n%nGNOe5&r>U_~Fyt#0L+knt+M|KPdHD2p=c;rE&ffa^=g%<0z zmbZ^b+AKKiZL~^6Fp?7OhMb{k$Hc>{vs+lRU~wlU;W1tN?FMk;MV-tFaGg5m3{~An zolzkn{Xhj39PLsB4%|nmfV;5@3IfuK*PLPLXx(G8oqj%?4w8t5b~B}l0Ao(*5Zdzf z-1m_6E!QvUye==Zo=yP(;q32Ai?U$|=(lSA22+dbKOU^pmwNm^{h94W7lMT?HpiMD zheqm0_z+x8wu@)FMDN4)KQ&l>%KY;(fx2H$-d|k;_C3gC`lj#hVqVXo;KtZzL!**f za(J&u`)8mM8S)+ALJ8$hkX9{4P|E47VoKI*)YMn8JZqkia$l%Du69OPnfaq@aJ?)^ z`qRjnVIj2|o!X4`tt^m2YSSHj z(`zbaSDE;hN>xc^kL(KVil}SrsHVKKErW8xRp+7Q8|@d-DPr0q*XJ`HW~I`uolr*E zJ0}sj(d#CV{e(c)Da^G}T21h+NG8><(li5xcxN*HBVt&%hlqADH)xw%Naj*{(<>ev zj8Yt}Xs zUzVfMPvm!|JdbfdY*s$dNqGYFINcQz#82=&?CS1zgfStZfI3Ei9kG)f$OXD8pkp#;CI0&=My%srWY* z<013x$hoZ0dO}uxFhgPfT)c(IWb)WuDD<`FovctIAvJ*EJkT+m1B5L^uyx&(cA9## zklGJPiSy|{;Sz^>ZNbAi%#{nnxvhSON)vuz0OqS?j%qk8Saa1vXn@9r$Ho$Vjf&rc zzi7^h3Q-)A2TWMf6N#|t)Ly%Gp0@3-5^<)xJAbJUTiq-0*1z!`b`uG4;f(icCL_Nv zEG(Rm$AOwi34;KME^A7loCG2N*dbwbd%8&G)oC`E5kjIXWj;!oV?uN;POKFK&>@{?pWDk9bpAx71EuF49 z@H#TA>eo=b4Bvc|^MqG}_*5UL(oOr$$3oUs190!+oi8!E#*&%ok&sTH>o|vlw>rJS z5c!$4s5L$U!=igR7!D^SvvN2so*LPQcvRyPQJA{!Ec5!<(-x}D&h)`46406wq;|QD zI^+=;dMFajOm+`WI6FBe(nvLW3q&sml3}XbCb(lUq>Lgu4jvv}GLwP0P_x_fUCWMq zFMg!R^PS@_W>rXQMtvNo1-Au8SF{=WD=2W@8olU>>mSie7qT~s){LrbH5|=(6rBfc z7orVjHuCZJ4ECq|mM}ji@iKSYQyr0Ixy$pIbQ>;m&k#TF!a33BmrFRJN7y#A5U}!N zen-;wH?J&b?st9FmZ z0eV|L41AZkc7@90hU${}^VWR{=g*9wptUbS52H>z2=S|6sVKz!AfLeJR*ddmq|g&> zF|TQ-M>IifI51xP8!#Ngpddh|5m*-)j5e6nO<_^ShB+CGQs)htL{sbsKBz|WnsxpX zI4&W?!_%fj`CY5uCGqV745_^J_YL(ASZTvQSXlUPqr)sNwvp)LK`ps!5-x@)mWLY2 zUc5&lzjz=h9F6i%C_LCXWQM**BY#eT)bau+%ACfsr0Rib0MA&-H~lCHezydTh$DJ^ zg5$oY9WU@nzGL%V!zd#?o$j+u{5;>-5!P|4(VY6vGa(Ym45`tH$?&}o^Hs|NQxUv} z**2V1O32jHj-EER^U?e6a0xSF#$0x!rW$pmqT8Yd5E?gwak zBRq<>raD#X~*R@3AxgF`|xdxCHt*nnLXTvZR>3F-i6gYJO{gKi>hX;5JYs22R5 zC3AZ=BCymB-o)Hn3dp#VhDR7k@Cf0a%u!F>cg*s$(p-(G*{5fUF{3%2vK%_a-q3Mm zsVe~XMppG-SVsxSe0z*zc(8uvDCR%sgBH;0$;u!-DCJOT4G?q@#$mPu#PBTq5Y8&N z`_u4zJL6hpTbeq<3!C%xyI<>)vBFs?X2Qb1b7&SDc5WfIEmZ128jEFAcj*yGg~GyA zVxhEuNb@)mq7pbkr2(~Wh;m^rI4NzgX-5N9?P_gEl+i;^Hvj=!)rxBlFcidE4MoO_|d_)KpXV#N#(aH>pTb3;aH088G@y z+mF=?9|`4uSdwP8Wk(WZIk6g43nGo=$K$reD})#5bHuH{LK9y~@ibZdSRj^*cT#YSHDantRtI0OB>qo=v zUveCp>=;bybXUzz+Yb)2&Ua$b5;q!~6Q0QA6LBppC*X$mesmQaCiUzq7vI_Sd%wsk z%Hzfr5TW1mLqL*vQeWv5Z1zbJ`UX9xqA&@2IVMka3+s72A4?3i5Bh0<#Sn>yJzL;* z_vVEO);8C23i1Mr;ww3Uv>7m*$C5x*DIJh*+*3Jh9UO9*QqHIp7@|=SftVST6xb)7 z(KVPyXJaM3_USI>@tkLr-DzUei|ZCFrGjc`DhKXwdZvq|tG%nNaPaV(O{SkfDmXjM zBDt{I^!?wRa8!u0r4!jEU`oU$G!oGHLFVyvn&NvLUc-M<+MRZYn?D=t%({dch7wX6 zD~v<9qTr|3hWsiiEkz(++wY{~ywEjwr~~(jYC>fJx$|f#agIehGPHPzCrAA$2QcC? zanT`mGm0jn#70s3w1Z3Opd_sz;cCTy4a@N`qm9Nui6GYCUALb85 zkvKxUtzi`P)IS_M@~d}u?1`VW%Pqd%HmMhomusW@kENYiO&ND(IZ}PJYz{l_gN;x1 zx7%9b>J3iIa}ZBF*qiK96!cOO@**D?V~D&4!=W;4X7OfqgEES5gU}{xOV-tY@XcHW z!%4Qdhp8LpA~5%)I>bamg$sFUXMMk@MdUb7Sq9y|^+k#WIR-MiM*6xxrm_ro4tkl|4JmY_>@GMK6%PD`F_y279H+yM z0XZA<<MVuP9p6cCnMtnqO>nG|y8(@b5C z>nDkRn?F4ftWthU4G560wyw5$lzos}3cFD`ZZv2xJR7w<$3y<^{ReyhQjv1tdSu1v zuw%3%%y8SQJD1!S8#h2c2~{oy>G5&gs{p*Lws`nHDCy)$q!smkb+ygePW46CSgdd9 zYmXm5!l>Qmr~IbtZH*|eVCAU)^jkhkLE|Vg{1IdG;GH+$QA;*C`>(iKjx`)6UyU7%B7niSbHIRH8Cv%m%Dg+L1CXQe8n7neZ=s z)1hwr38tK*oN;@Jlg=#C3$gcDC?LFEpfMACr~W76Lo)I7bBg9CO2Hn#iX%RP2vI#r z01+5CTJ2&aizQz>tsbGtZl;j<)e#PAsJWSU2VT%3i`$Ok8RIDSNt6zX$s7MH%LZf? z7T{v(?&6QeyEDtB2(wxd+c*JZKkRiO%uAv~RHlkybPur(?T)zA`IhM0;Pi~^OiV9; zSQVO5`7DW?(ZGU)w1U-L$UOzA?dcN-p?#p>#UBX$j=@E|6{Gp(clOu*h3;<9{+h%y z%3C=}I25)4-)&AL!B>O`Pm1=dp(I#gZGKnTNtE}a6@~zaB{?W~pn3-7S!-xAZE%yA zlyF(<^&+0u>mzOFJO|kdGF)uKmqp^cxwN?knl`n*?+OQ3;FYZXxHVCTv-Fo?L>!-C zG$?N|iYi{T3G6M?cwGy+RADB#e&vavR_$p|DTcyy25*qjM=iCh0|>|V>T*8+K|eRV zYW=P+>l2x?wO6uIS!u2Awrcs^d8o8B#6te6yrDrK`IEpI`x(jNfGxeIG!G2$nB&!2 zRjjE#cP`k2A+i+A9`&bH!{7u`<^4Wv=kSKK;$^kM<32>Acn5(%-MZL)rOYkfLJ~r1 z%#5z9u?6RnO^6o!Qjp3harRwacae38!YpN57Gj&Gth}x}yeD^; z7nN1pv~CA%te0hZ(2T{P9v(xl0*~1bwsn?aNk5;FVo$-f>`5TC(;2!~rAmrMQi{NE z@G+uRBbf|<1-8c?XCSm!h&bxI^dISaDF#u~#$}mACf57l&}uOGPt2;#@lU8WdmCS3 zv#y%YfVUY$iu}u;$E*2FP1QRc3c3S@4Ga&~-p=y^T+%gGRGCYs7b{d6)lV-*CkW^E zG>pae-alKItzf)`yNuv&UXu3=n30H(L@}VIM2sS$2I?Yogn0kfHr1i1B9pU?(}%@V zhM0!<;S{m_`}8$0DkP323VIV0UF<3&Xqy7pJh z%M@?{Larsbp+yPojDZE6bFL$9u^N0sHEESn#jJ1?#Ym<$MKp*t6F#$E)+k+?k79eH z^7KpREwy4pBq8(Tc{kcA5gxT)yDsvKobKl!wbfiMo3S@fJM6`ZP7PBVG_T?UBBm zPH)czARsSmO<>?~S}q>WE+Y1R2C-T{IV&5b!|1uwB6&N!{pmD-AWb>+ndO0hWmy8-^ovkxeBc0o>@&+ce?h>)g3;A%G1@K8*%;a^ zK)+nxDu-$@jJFLgk5yojFHD9?sW&Vu$4%**<88U^p6@^Ub4z`;6@>^rUdhH^)SVhP zx;nBJ0#P8ItAGdIF4nR9mt0OzGbSha$XNn(9Q2!FaLzTs)78x*brtu7ezV*I6;kKD+1;0WNNq=&9g0Bc-|+jt z48USmG`d29T3C_ubzRoS8 zr~RzXM%o|+Ciz`LD|!ZQA%i|pUS2TwZ~KHk6TJ98$}OaCrn@nSs2Auj)=>^AUBt4o zUYvsAVChdn*VVm+WqS_eA`!o%i%DYNpvCoMxv!WKz3v&u$;$q zm5Uieo&~n#{QVmh*_TYpbd_TReZLLRR5cP z{7G#08v_ETW(Y@!e7VSHjGI2-9gX}Ev>}{@?AzGdVihNvq_O&k9(4*$gryQR8@-rz z2}61ojJn1K45;Zr(KktQs_E*mR+uks@zv3Jcl@8IICy+eQjPcYH^=nx?4=>Xy^Z4j z%w-dOuRV0MqE0T7XSWcvlVF9X>2ZCoLKmzs;DsW}yFWO$5FEMjfqO!{c&{Zadoo{` z$88&o@;PZehRBcoA{BePfJ z&fR){OdmvL-6E)0;OQL#GW7Xtz`;Y-jOuHhN~V};3V?c;57AigqLI@C-q?VJ4t zthW)2jr2T=_Wx?{JAl>gua~K6tI{DdKW_-met~F>bBWn;FJUmWh>p%a`xYW^0&X;UaMVA+L#N#*>Ft z>VW4phsWwJ~f!-ci8N+sbPsU5|`WhJJjtU zZ92|Tb6>+-;nQ2*npuP;hdBzqk&6Dt&*dvV!bq3bWFZ-B)~;J~YwMDcX^ZBEan4CE z@9}*Bb%$#Pl{w`?z}R6jj~6dnXQuBXpTPqeTM#@VVPV^Uek@@=JeDx!M1d{pe(VCVn>+ND*c8p z>cGFW6a$Zu(7g~dd=r8FIfb)ge~dObvA=r$`QQKPuOPbk?DH$e8mwP88bD&YUqvE!?6@Gdn4Mdpa?H(w{1{Sr;Ogx2RU39hHCbw6JOFfy+f zOIONM90k7KrzW}1XUC|lq(nBSxJRKPr{VLK8=t1+qm<`GrObA_eAn;P>c}rUYOCKP zTlJNceEbeb(dF@Jh9Q&zqWF^e{lywe7}+J>rxTLzBO-h*NXhS+PFryky98zQbLDRK zE{I=dPj#j$DZPP8YVBVdiRvL0*nfit*`!=!YXM-$9iS_R9geK5KjPC@ed#CPd`s%} z!R^VW?Hc|2_2!~I<6|Wy+2G7dsnR|An~USp8Cpun9v;CB?QW{w_v-q+-u_G5q-C)Gdb4$m3%1ySaN2B?ZMAkl-#a&Ltcto|T!( z#YcTsOFlh4t#O=8B3UfiZn+FnP*4c^@Qy|xjr4hmQT1|fGVED7#J&qWQjmE-7VR< zxQ6L3C&H8^B@`b%1d{micH_=R6U5O1bgcA6qtkQ)qm)|;=^aqgWpiY0S^hlS0p+ro}OoMhUlosxRFxx$F|Bfo*>eJKWH{V&vfOs4&2wAawD`emf;>6;ER)rN ztgL2wgW}Oz=UM-q=Hz*^_$ZLmMDFy@r?O@gJ%dd7D_%jt!Mw;F=h0%ill`MH$PO5< z53G+!9Spv7NklU%$V*D5Fo3cE`P{p&3B+@9azMG2Rd?Uof~=qhrASInw(^U(c|!i4 zWC7=?rT&aYkmeC6$n-K^yq`wi5>pG87e39wI|6!JYY#k5cK=$(>v=LXhc}o#OIfiL zBuNCfc=Y=69*Z87uNRSdzSKM4#~>KQoki##fHAp1w_GNI`UW7hK~+`tY&FP#5^LcH z)1Vxz3(=O8Bs2z1Dj@#eoXa?$_o+c$Oxw{rUjlOhAM zis{RE1~m?JWq=|&1#i>@4dNh%Gm`4clEe8bnRG4}c;=<6=jRZp%+LO12v|N}K)BZe+5TX9u8;4YX$5R6#29 zS>Vxxr1os`B`&@23WAgzyR$x2@?XvHHh_xT4rV7qf>L8x#&_SL)`6bwOrGZRCnuaX zoa0!S>ptn$aKS)|kY#_S9Hy0!`34o4!_Rks6ksdQl=b~+ZNkWZ&yVye2)9$E6fFE$Jjgpz0~EsJ=Lvp1FmqktLPOjxORVU z=^4Mlxy=a9DKg-ef9-9Bpa9e+^7nx9jc{^i&VXSY&YgyrY}vY7pr3Xew<=^hUI)|Z zPg9Nug%(63(VGA+^>7ubRW_uPlg>+0+>M zAC2gDSMbU&uI%%0KmXc(7r*k>Z%eJ1x`Tchh~FBAIEy9fl~Vuh8?33Z$D5S{e|Q8; z>fVp1Wj2q1Fc3wW(W4c!Yk5x+xz8&4M8Gt136B_Xeqx*q$vRay{liv6xFX*|acq48 zWyesyE8(vo3GTSea=AG34SL{pfARXbUTA#;kO!-D3pC$sg1JwxJ&RVRqM-NyYRVvA z3yfdulgKt4+@Ha8*5PE!3{e7l{J+8I#HCo2R|s9V4ku=^mCt##}Ip`^?Y z#O1}4DjviO>HIvGio)}fpN+;f4moyD?xORKdeJWXCL|1_ix@DPVsx$9?BnIUdFVF)~c_iUmixP=SDb)&KV zcqv{h8pffP^u9dRfaTLL-^BouAPvz;cH6LFOnq;ttC<_lAOVwbj*X3lZ`DlNlDe~` zp9u76x~xQq^?tB82PNs?nC(WQu7HM+yV$;8B{=rBJ;`c^{~Fu#zcX+~}%^ zKvmRko@H#>r@s>6H29A=69l~dv2pQX4yp~?Zp2{Oxdt{okb zvYU&(=T)_lEybOdudfA-;?yL%pHYjnpQW+eUC~AXZ$ecQUgtQF)v58&;^Q9P2dE_% z!r0tlLcFN!R!h;?Wz)`g?JQH7Wm;;>`ahN z>II#Ds&7pxuI79?{4-n6gAMfpQclqN%Y?+QQ`FEfzmr}JC2E#;oE3bLv)J1SWz1DB z81)H*^9JgreNqg+?n%AaPaPoFrL+Sq2fBx+OmMXCrASyx88KY69=Vr{H{Km`dJ?o= zK%{X#N?XNsZR+eMA9VEOSFWc0K5$4LfzGvU!a9d|E_xDCU?f^wrPD%Qbo<~>`Wvo3 z6)bv>dUNHw=C!L3WgrzKXH_#(KR8I-+&F2a%G09c@(*`)6uv1_4MMA8?4m%qIad%G z)ETBg89--f!yo$FY2a{kY0eiV=eBgMHQCh^6*@Pq?iYdb#ai|vm>PZKYNo&X69XQb z+q3U2P!=Tki(%)1kp0;j?Y9IvSycU)u#^_2u zlQJ&{M)Me`Pee#KFrThMAbbs-V_q|1?JQiA@>pvldBi{Z?QYqs>ba7YQA?+#y8YnZ za%ko3RCt&aGDUk41ZdF%hi`JBT><4?WS!iV? zvafT$V&YRQ0*|-opRbvbW`SCMgHK>;sI@Yo8@=VW=C_YMu*qF{n~227Gi$@SMYE6jK-#&U=L z*I`Ft);D5zYHieQq4KWT$*~4F-0*^5V(+Lz3Wec_x+R>dp`>KBS_KG*t&lSWUO_}+@t;%R5U4*HpGbQ1WHlwmf2_o~OP$aAPx;4d zCyUQ#yT6L%ha)Rqc`qg+{7$ZkqpP!|an&zC?bIuT0--@uj+H=NmW%_(-jhi4YO|k{9N~npLo2FG- zc?|WNDLqBLil%mUX!)KivA()!pxs61W)=8#!3V0DKZkkoZsbcU6XWHpgeP1xNBRjp zcly+wns$GX{2pkb?)tT-R$|(mW=J9b`z5JNBJkiNB7T+z5+XF(Me!UvF3vFr%%g_& z&ku=<=uW`n3Llh%B6RV@l%{}gx4rLn^@;|B#~zddOH%sT6!Ps(qOf#dASYRm$%B5* zo3V5vVc)KzV@Ql@98{AN?k!=;BtZSf#l_T@-&xrFPw0x?8VE z?{#@OS*K+V^*W3fxPd>=mu5OT*?Pu{8k*sJ0JAW$B?&E=Wk`4OlNIZW6wWy#eUpEg zYSJtcyql!;%!c-n=Vx@%zGtEM7>#63?t|*65L;=!`b84THlN5WwAQBL9>q|0Xo8eO zOqu;2=x=mz>$AO@DtUfX*}*W}&F;Tm!0cQ>dC_(^_+o&ypgcAcG-HB(_Aet|V+3?RARYexW^ zprq~^BShDnl3 zDhxnAw2sb0lVkHmtADU!y=q5C`*EFRV{>l5rT^W|U!M8QD*B*y-5ZU;J_Nv??~Wtb zRQI4UB3PK{lDWjG;o61f=y(HDe}oJECEcXCN~Mi@w7K@WGX!ka2T-5B4;h@g+OI6v z`zEkm5om9J%Pg$P#>y(&>>K7U{+*rV3tdTdMVqMl(0i>MG-V2f40Ju{y@Pe?%# zBfUGdvgRmz%i997>Dy0~PR6hdN5q#M9^iYk=2kn6 z0Z$P8V6Eo=`d7PvC1q7`cCc9l1eHFT3|@b?11qcaBhlBb}^urs+=_n4Y6-EQ-h z^lddIsPVBid3}k2*bWvM{HRRh5+87Mj{(HUO5yL_m8(y#($v3;TU5+=4jtvng*!im zTeRkI#I%9O!40@8zwo7isO;(Ix!mW%1^vNZT^Zx6DbkhVfY4ZDloUFSinylboH@_r zr6a=gG&C+B=P!w2n-!jp|Ac`M;Ol{``8yp&*on|z_IKJDPOUH}OiIQ_MC_8Ts$M|e zb#i0E-=u*C3G++;5Dr^?>F_%6>U=&P$ZA=_1V`QI1n$%QCm#_Q5OXCmB4TyGUA#-o z^tA;emT|a?ar1cV3Z8%7w{#QM3WlSi>>wiIE{4EYJNgq?827-6h-Jev5IY($FYRde z4G(#W63+t?(SMgvAf6j-*#jZK?;!tiDU|;Gg?Hr&KmwluQvqV!ho*XzkhKA+lmj)6 zC#O8kTygY+C6+}-V%rP(U<&*$(k}xwNI}U*AIw&*jORK?6M6#Z3MmQ9by`8Q!#}?O z6c^WIS%3-~mA;?T7HAo~h~$LBUp@wRO5ykVOxr(4HL|7(+L&m3cZ$_jPeGn0pWP;gcknN;6QY;l?mb)%wgZ zgy7(zpEmMH~1B&pkXmW}1AH1t2pZ@^X=vbsdBH zbN1|YgBVDoHgQB-VYhL8+wAyFZI(Uy7;p&*0xxp)lf|9km=EoQ|IF`T3>N zMB*!C(g&>R4;F8UpYgsB^?v-mz7-V3)ULGA;0K}jmcUi=*|Ugak~8&PPE=v?ZC3^C z7&7@XVz}vr^9rN90qSjt#(u}UqL%5Ok2>5wH(BLEfaN|dcVf7u;aAr`y+Q!0Qhm(I zVx|G)$T!vQ_DHIh%L`1A4SSlnqfv>GSShGRYzh*Sj+y$$2t}$e2a1A#d`?fmDA|pb z-WH(-_Ebjjskx3fc%=%caZ6skPp?1#BF#OW_PkQtU%4Q>JpOjntkracZ`-*z4)3iKhQ)DqL=%C7O7|;bK-jq~S;Ps6F>}Lul z*w@P{`#=%q`36-aEYY*Jz`x zii+&7vH`Uj5jD0TjeY`v0 zsSOxD4eh+AtzQ#+UH7ItOa9Kyp(1^OihCH4(#&NJcojjw|EG16^hXt|>VjIP5tSm) zeh<&sKb!mV>g4e<##Oehb%GLmeSl;++ie3}7omHbXjuILI9wsv{XH&@Ss~MTB~HnF zdok00JI9)VhGwkXysf-kaQoGbK8A{s3HJfHTC>(raEPT_Y<;jLICx0VdhkuYM4!gs z9a9kE4UjM5xzcZRV7)gqKeQiGCm8&z;BQYW{El8Kd$wS$r)7slue z#5!i#{^%I|=KM%W8OHlWN$Fvzd-V3$uR1yjdsU@FDd)RokJ=_W^UZ8cO*clr+@$@p z(?G{WalW;Zu|jaXLX47R0K*Ib1R}>4Z6f5gX<)(Oj<59m3JjF$;N;+-8xJ^HP6joR zL1j(zbu&<~c@N)udh#A;Fu*};) zrd)S&hKx67BBiojwy@Fn>OGvugK*{`pR>I#wM6daiOCRgJ`vJQl6iP(=la!yi>Ao4-=|nL-CshEs~+gl!fFuf-#T{Ij}&%fTz-2VjCZ@Z zFJ>qN2Q5-7hLM`1UWux6rR))G(~<*w56C-lsD?%YpRpG}Wt`Ndi2lcYqdMZ6i6Vt; ztdo@jRP>x=z#Iw4q7Q#QkPED^_J>}4{H42+Qmm<@lxw+==FPC&yqxhaLpu2Lw?f@! zJf!zlm?KZi#V;$$w7Rx1vW>xT^gqGr~H*Vs9n@EPmR3gFg zp=ZSgLW}u;E(7ttOQGi-qz=IUr>CT}Fl*zM5Eg>P))ROkN6~;(;yiYH1M`2s9AMdR z=FVr&#$l|&({i@oyS++TIpfru#0SXyRr=EBPU+wJu2U0;d(ZfCL7^$eNp~^)=_h^% zokyQ?2R#3r^z_SN+zANlgO}$d+l_(eaqSa+H0up!)$dwzM>pB7qK0`4wmb3T;hQsN zW{SJZ31S#@rNpk9Kyy1j6$zl}-L@8G+?E~_xFeU70|Q<_>D^6*WPotcOLq%3j`-lL z1QizTs@#(+<;3<15b0XFspqUFVmA^}3$t5#wO!H?^9=*Fpbq%?kGCW%NgQeDk|2G2 z(}Srb`wLqhq;J2Y zMZ38ra@p%g(|EEmYAU1Wgvgv|XB%kMpFg$}-!`|t*Zly80((wwc81+%0+p;p!D%fz z5u3rm>1unLX7ierWifH_B&Tgq2;73%5>z%PENpO|g)?63BBBoy3!=7M_ zWZlu!x8^-)EPJQ2LAaHT^#2EZ|8E06&41_+z#;z!-~+f1Cfd}}!FF`=Ri`jr^=(#} z^QY5ljEAFw0MiA|<&+fTbMnq)Qw;I*jirNnqnasVm zhLAFe9aYp%G<(lVxhh@C@FWO{yY8(P^q-pbV|qUdn2H(#*Zgsf0}|9|*8_&FRN>-y z5u8y;F;-9He!jngXasvV*qY<5f$DWf>a_~lw(rUQ@|Exlp~5dFIfq^DGc3o-kFt#G zJVxr)4#XX&UH29~&t)^R5gz@SuFmK-0uROHGZzA)-GF+QxdHA&fRCSQ+?Q}qceD%= zJRpZoDF)9=*gRfuW(!{~f}i+yF~w5`E3~98Lb|Cbn;ci2J<~kt&nBvBSNLC!Sl>r< zZaw~fbFj=m{9^|(j2GM>59rQSK>+Us0Y5)o%AGPeW*7i+y}QQyC)eY;+B;)X_qVO% zD=_x@1v~!p4}Xv-cB&{TH*Pb_xV~&%7_g-sJ}=R&OsmHQ7yIwp^a|%b8tpvtHBoG< z+^U2)X?MqAM`w zpi_?ZO(}lvL;-6j9Dpy^+8P`UMAzn}yfm;w)f$@=c6 zB*-2PDr&&Qu`bUTdfI#Ti}ojA2Y-V&{`c>1Sn8IV)Ptk+KzRQ^i-3FqXI>Dm1hLdNe~f5 zs^hFS^VN1g1Y=dqUpBIL4wQ8C)}(&Do3(T+fKQpOl`iu?SRY00)&l8^bK1z~XP+2C0O%J~Qw zRYjl+wLf)&NQ!7ODNmr=0{=-3Ske~~06?vcL4waQJ1s!Q?+qhki1<-@TI+xu0+FfSRhP z>0wBiR{|fysw*##eP*?dT!G!166z~)+6t7T&&hqB z9Z}RYyaw-qZc=1auW)%L(wgi%x3HWH;S8Y4Mw-WnL4lu^pekY1v;&Y!j$(KiDc!?i z^jzy}y|Ofz==`E21zs%s**8xd*^U0e zT?wJ2iWhf8npa!}9z$9+uhXZ2CvAxgEU!P_+!!g+|MK)sZjLHZus@hNS(2`y_LMFF zJb|I&f-CUyM&}Edr-`cLMk@}6a!`SzO&Le-4xd)&J!t`z{~$HrAxl0m2(u;O6#9VV z;%9+YrtAqg2_=OE!-Ueq0`TKpkf9lXwuX8DjdR~kfvE}Ju$y~V?CrcW5XU02qh04R zPejET0B+y;ODPbNROh+1s|1p%muaLS5_XR6xv8{5jU-kr5Z;{K4dr3<1HYQ>Nt50hzE&m=+Jpf<(X za(=NFUx4s5@2z~h(-frAdd8(07MCeIDG4IQ{`ZAt1lj$pL~F-45A{`UnUTKAaEj~z zM|{=z^ytUm2ij$Kc6MgU2TqI&c7BiGd)M~_oLp}(YzYcfW_dggc!Tf|4L7&_G%Yvo2^%OBtQt# z$x=LNhcE;znM-rI0F zcUW1wyJ>2-PKN7LAFB(Svg|u-=K1nOYfRc4jW2i~oo1nLORhKgZdx6a$qj`jbWfFp zklofbs@xoyGe1b&BN({+3RuggA$IY5k&%(}i9S6z+u&@h20=wsHwZ%YcG>B#rTKX| z(twzCCKVau*V;CTmi}F;%^|$LSs54>eqPZf38eP_LNOk<3qfAtk9uLQv(N*l>z#OZ zN37k|UUuwMTnpHb8t0LOvD~*#5c%M!5DlbGhi_n=>DKZktfwO#og7^2TJ+7l!TZ-{ zq_-Y#Tcp#L|Mlt1u{-f^fk`b-h{?g@^u`=*BwGmE)C%AF45G+l=nUg?iiIE>uSmVq zCCp3wW!zVyn+ zG>6^BpcA@t!Pcu{R9@4U_vZB|ZJ#y&g0dB4p;+u>E>>jTWVQ|eM_XIH4VM@yc<#-+ zqU%=TfNR*H8h|ftY*^~-0~#kz{+aidH*6-YF@ixQE^CR}%y2SSii$Sr*lx1y#c6bT zzfeD5ca&20s;@tE-`bsvRpeKWWmNS~(d7o|G^~XCL9k4>*NxwU#fFtdA0>>pytb!O z^ROX2E^VH8_+9m`0R&wFki@_fzLXDwH?&dH%{yB0Q4v3FO$U^ecP1)lUn{HMx5Gh{;>Hc^SvO!!D{<+#tPP;NSJDy63@KNHCDTLgV?Uem zh!Tir24DErJQP_|u2?0DSp)>kX(F%bagE6x6VYOQ`<;9(4erw>Vb?V%B$bqdl~vel z^kY-KTSa%91}&;uy3uaa|9c_TrimUfb>gRp@y&N20Ba18mB3y*3S)`>WzK8va00|fnsUqQzd>)mKw*)JMw}Hq(F~u6bMNvxyg%)tY zqJms#b?DbKaf!G8iD8&XN}kSQw_{59C1LzWyy^*hXE$kwNXvB(yoJpS@0sqW0Hmq@jn^_=wH7SYO)QL0n9%J^eNd!e>?Vaq+7EY&2>lAMyfCi;g^7s6br zj%$Z~=Rrg132QF>n6YjFtxU8pq8De`w5y>|jDBA#=s*(Z)y(RPi+fW`3@Mi9 zuWv1;diu4u(yE1g+}oz@z4>aO;oiiQ851GS;|uw?tMT@^s8%QBV`ki9uo|*u6b&6)3&cU{(--x!#(1u1;NUd39dXv?g;F=N9wjE} z8Qm|pDCqjPP3%n=Auf(iaQIlVV|CRKo@`}*AHn;;Pl%(|9?AoH@Jus);RU1Lji^8p zxgn*v(f?@i`CCI%jmNw2G-jMvdW6ljN2rAC1V zrpBj!P!$JX!4a?JKpp4vdmkoD2@Rjx45#=(d2Dw&3Rs~UXTeqE7aDC+q^ zHnM#u)s7H{(XiONVy4eeVS{fvevYTdcf?qKZ0iMc@i@iTl0O{>{+>M3PisdIN7v+j zifkO-eRXD!&6wr+w`O6p(=SBhzkIB)=C73nmboB90VP?=oa#%8m1v(3IDC0nlB|}=ncE6mNTCwJzzOBblaEMzCFaA9$dwUM~NB z2HTBSn?(pmry@mqJ6xl%+cMx27R<}^)W&+gttwK!&RB}Se<0R1c6xt;27CL8GOC}I zRca<6k0H4BKTpz)3og{`6gxZg75z^m=M`*QBn^-Y0uFzvI5!{ZZG?c>#Wl`%xA-b7 z&43Qoa3VHlVtE@hd$qZN#(GMFXh3R}aU&F74^mtKf7iy^8aXQ# zcIFPIt6u@^6$ZSC!K zi(UuWIXH+$rb>IRH}1fTiWYVZp&8F#yl`Z~KKnWx(9OQPt%QiaY4;U^*}B^@UOxD(74KJ5|ei+5yDjj}xZR@@NUI)!i{c0xY z`RoNHxXT}3moAnj)P#M`)1M8yS+r_MaCCgeZ=>Y82rJg*mD>OSp}PMnUI;eN7zkz+ zi?p+~tvgwPfmAoI+vR+*qZw}11mZqG#)Hl2#xZcw`_r{W>kiu5A5wwv+3VMBSCEmP zQZzR?G_(%}7z>~vKnrE3Oa+1_NV4;sbh~N+^MgbHWXXC$4M~i89s*2|x2b8SQH*o_ za?*7+|HLp5XnFzQzF{3C+T2FvrXaBrLXZ&jZz zH=}1r2*5Uo(wuT>BRd_ms8VnS;03(+pTV3+ zW+NT?xA_}Dm3&rFef892EM8N(TPIt17er@&P5CB;V&9exSR_teqWybiiyH49_~m3XQId92*N zMO%4wVlQ9XK5AZvQ2ooJ2bTS!j$?V}Hz3bnvVDliOfewWOOosGden|C4a&m zL>XS1#+GyM$LP%A+AyCKxaRvnYJO&)IouZl^-CW?lBRX~Ygg4R4(9+`}ed@3wr-rrsIQi4NAVp-BJ)`qNiC(G6T7QJgJ!u8> zl>4^oPw5|g^3&=YzYzcsI|w=5990lQjp>~qCI5||xMIOSPo1IzG<$f3a#wl2Y z{V76HmB-A^0)f9r^CCz z*P98#IC>i1H5kZ{(Kr4hiudqcszNZl<8JkaAw8Fgv2hW?MfC}GC!u{NIGW*_bdumI zrs@qgx^>Z~gg^3B;pp#1dR@7E(5IY0pc zv!UZOYDcqOCH&kg(QtY=nyMKXiHSS49&0*$ZG1@X67JvY_fTBkQ?4r3*2_ScnLe0F z_z4b@cuaea**)C@=TM&EWQy4*P14VgMuQNGQrO+$)#YVFZ0D}Q>+!i#3`aMS2jWg9 z_V;+wMaNF#d!{#vWp46lNiE;JU8HAf>LiyfU4$Aa{7_q0XMhres&&G~{l{4U~_jhkAh!#yE(REa-$BZpUK|yZvMTa6Y9uN z;t`C2cUlL6wWinLu5_7LH{t#+;%pOJ>NwO{tJ0bMne*DmQ;WZs+ww&@#=Hql{5(o- zOJ@}Q8GMLy6|!fD7Sl_9M8CmXQia3UhP4H+<|bjl|BAh7EX|I!`QYNsS^xS}YE*JY zB<0xJ%PhyJAXH-K`u@ZaU*Oc)KQ0pows|Ysz#39}icvWU#yD)inDV+f^aB2NO>PA# z0Y57FAH5`LXdO))?(M37#-@80LmrBY3pI|!xb(*e~dcA@5v_OMbHDBDy z%4%x9mb>IxgHv_jmd30aZEo%f{(%}AF|B5b4;~P7{?ixhr+NmF`t@T_o9EBNg)0vK zzKt?cGRY@R+X0ZG!op|0JG=95lMMgf32}YQMkbcB3>Mh&L=7FwAR!b_AP>F)J-_u8 zqjGV>dfE$0KQ=OQap8Y*yhp{y_Rp7;PK+NGb$gzVIwl7$59XZDyV!1yQz5Ya9GC;% zKcoj9-W$tPM0v_EGjjRwFVST>1%dByO0KhA;p=H}s#P>eGVo_pxp4peCHLb;IVY9! zTI`h(j_Z5Rixis{7*;EFl<)z*WXo?JVYjBjJWexdqEQ>pZ!S?GTQ D>cQ5< literal 0 HcmV?d00001 diff --git a/doc/5-wave/wave-editor-FM.png b/doc/5-wave/wave-editor-FM.png new file mode 100644 index 0000000000000000000000000000000000000000..2fd255d31d932ed4a8e29a575633ee1001b22868 GIT binary patch literal 104674 zcma%iWl$tb)9&Cdi!RQ>;_mJ&?#|+iyX)ZY?(XikxGe7Oi~Hd2a5?Aw-tYdqaU(i< zI;y&|v$LMc%zm;aOhHZ@2_6?7001CKN{A`}08pF&0Hhf#_-9MC1MLL>EVWEhR7k~5 z_jFy$O4%8|=Sr`=`Xs2X9{j}S@8F;pES^XZ=Qp{I00Uf1RMDt@(Rp7Wnipd_?5>a@ zBRQ!qX;|oUE+suQrXsU}$X;@Tn}12v;r?x%W^^qw4GZm!i%-i^ zx9ez=t0w%noso;{NtNn9koIn4L(de?-70-xJtK57%lgI3=aMG#9h6@r#1DkM+ zauPYW9y@srCf5@rx!gE8IUk=&>UugDdZUS8m(DS8-aaEm+i~^QbEyPXif+ZdV118~ zdOc4GfQeBXiA@CIK25JbdHZag#dKRBLH`I_u1W@CPgZd&znxqpF}M8lctOLLzMXqD zhIK8bX@ro00rGSav518Olx9hz@Za9lUd?6S!y_VP3990XL#W8b+NOzIGP77nrP~r% zXJ_ho*%!YrWepK&sN5QD-&WeArC~;3gDwu`GAQ3H8XMk3&Yy;HbI89)NIQV^9#6~3 z$mf!3Uh4k%bFf!Fd5%Ggcj!Q4|D%SPiKW$vzIGqas^)V6gJ{Y_`XTNydBe)7t##}$ zel_pgWOJ50pJ&Q#@~34b`jy0Zq;-LEqURcKzkZ}}T_Pz{IA!uVS04=iIV_4Oia6+g z9j}i_Sr@S=>6~NooDFOoyF*GUnH*`dJ=nW?rjv*Xmv#wU&kf6*!aiCC%MWp4re^x) zs13?#I!7;I7#92oMuHU~XMQnuL+$jD_eABEg?K&yfo0^EmmvO2K)=-s`uXF`&JJ~o{c_gK5p_b zCBp`F!%%Zg1_OR34*@%Xd$Ka(5Grs<(a=&Q=ivxgJeZEq)h zvthmZ!()?ZaeOZ*C{D$FyLVOY$5qlz=YB0vxxarJnf+t^9>T{?aOczm_0TDM(3##)V53ki#XG>HFuMBE>U)WfX#Bi4??$ zlRF z)4(ov9^gTj#ty#;_3I-Qe>x)ix6#swOufIQr)6K)h2KTHeF5~NI{u|LLnj+1$yauQ)hS!UJbEYR$tB^qnR@EA*?M|oil5O)lyX+&W+nNB~MyXpmVE(j*WxWY% z7Gp{nT)XG|@_~%3vcC7^`o*}?GRGz!lXqavHe}q1kMfeZJIyVP;8}K$1`L6M#5h*Z zP#OxxRrmJcCvD=U_l98{`7k8L-w)e(TYYVZgF}{Wr~Armq!aI@yoTB#rj3c#1b4hh zxFfDvp54K28LafK|pm@=(?+3m#iy>^SFP}KEF^XtrK)QA1ntosY2v+gnE4xfSiU3Yb z#CxX|>wJ;)+<~=Lu3SWwU&|h17&#*HEe=}`7{8|*67kj&R1oPrf$ENzWoHPd&usY6 zFEo*uAqV#uC4p-D%+^%uur@7L=fIK*7Vak$F;RJ)wh$NCPP_a9*x47?^oSR5^koCS&D3@8ouJVL@?$yJ{Q2zs8 zRMrOBQ@5JzaPAiS3jOtJMz;=4_pRm=_a_;+9i{r2=xCAB{?&{|QNP=RZr3`yZ&VQX z=4-Yx58|1-9D+bYXkc0VN8#pA);Zhq8U$HR_bcd9(MrMYJYhnhS(Bo&m&_$0lOfd7 zIK{67FWnA{&E}#y#e{LZ-)R7B7`)JBO+rKp&tU?_uwZt>KA|9uNoB@M<{eVnd}XELZ(i}O9@4K+TG|TyB}g1=r=uw zT|vW=eJ}5f*1=wM>%KG5eDCnyll z-r61~LesqCWuy2EO3tjiHky7N5D(G6eDIICicm*vtaGopScQbY8Ep!l|JrIaD@Vbc zz|h|)&*H3kG`6DY8^o?LlGUsa^O{VYd1GotVhUV#ij9QGY;ZU{@xGn??*DflAl(^B907-LeyE4Kcm0t)@ET$eEyAVN`ASXbL6R)J zh|8w(NW#A~K(pfZ_kpiF>Duby%qA!vXg42(VqCSJAa>iwkk)OI!gzx}>pHYuddQ&H zd&2Lb&rl4g(Zz0;)#2CQK`Z3h?tL19Xr?k6NIU9*KpA)q){m1R1LMlcHXZ>cnfTa>2w?!HJG!vRq!)8i{_{7|61y;NYyVcMBMAb3( z3~mhE@_NsKa+Ok%k%%Zjtlh6U@ItEaON;UxU0C=F&WB?Iy3@D84h|8B&c8fCRB0Sf z@MtqmAj<+oZ!#Ke1SNtQxUwZXKMx*d#7OvVuLr+*lE|awi=H11gHfrlucYW-9C)#E zhD*Z;`7shuzH^UWw1jdd^oR!LM2hqhtv|ZmRPquZC}s|sgg-K}v8$-=G9;TXR)=u} zBb5HSvU)kqg!kn0j?-t=S6N01ll^FR)ivwMoqA z)>v`|^W5@v>jt}qCx1XkbR$bRbGyP66SC+u_^uIee(r#7M|*ZhN@yp6C4x{uq>1R= zr+UxWKl^O8X?~kQaFFoNjc7acK&f;A#HL`)H+Ig^^W94-3k>r!MA02}25%k`RfbGh)>BEMo({<+TDE&5c}G#&HfCdv9hvl{r9PBY z+t#4u10t9()Af$Gt!@gXUUTvH%Z2KKFc;&-+!Eu%eDLw0+n9hZLlR;6{#H(>!Nwco z43vDo4o7kH@msMq$6#XJb5(n$Nw>x5tz8-9fdShz@Sf1F%}qRAfQpCh9%iA*;Rbcf zl;!xYP8JhYmMZ@1iVXjN?M9*}rTa%T9o#FrsttLFr8`umL(hpvU!vfXcn?dj^uudJ z1?B%nbSZ4CsR6Fbj&a=y;j9=7yi==^>SA&kvpxxSvvH})QW5Pu`0|=D*;R!Db0wth zBbAUd9BYtT(bMH&0&`*Ie8qvAV3FxY$GYXMcHUX*n>yWJn0sMAoemg9jayhY$HJCg zhs~09Oso%n$1l1zYGUVS!kIapoL2DU*<|Tk}Q2!kuw) zURASSTRUD~WcZ&R!WqY^ii5?L!#H&jYb>OAE(LNg07cIH2c5I6?kDt<%Ss0I)7HMu zjno}&dbfe982_^}i6x50Qg$>dF;F_-m2tD%V-tsS^G|jR zbya-DjidzDpxE}E?_5TIu4vnuctirJ35IWa46~m`5U5Hw9?or`ls(jG93^f;8{m{Q z_oojhkZxHm7k=T-*s7r#OO?~KQ}8Ng<#?y-X(dCvtT$Wu>${+z3M!Ei;QcT3=2MXO%y?y;=>$k=n2+vIK+>hSrij)o|ITTm*fr#HP0=X$7aSQ1jJAgld-@k?(89$x#Coiz2S+)l;iHPiz)138d)>82$aMQLdXf`)Hg1(_XzLr{%6DMT z)|A}Uok_3#BG=x(y1qM^yDDpW+<4czqBujW37i$BDBJ`-!rDKiy2U`1P2fKGAv1On zy;7vBe*NzxQSRzgOJM`Iu1FVX=X=Y~di^6tDd@Qn4twQXi16kaio+1{vE!L@dGi2@ zGGdC)R}I)o>#N#^z_vRfjus<=o4!FL``}vB`j$iwBpYjtOdl5_-$Oz^%#Uc(0EC00 znq`Nt5jW&*NFQA==i4W+jW_rB%zp+pHU@=1Zg9+PH#!aLw}PC8s*{DSwe{L3pP>@M zvxl9-Tz{W$v7OFQ2-kU}zW`D{6q%6!Izmpm7zlu)*YQ&#VmSrw(dDpVRfCOGvQ<95 zxH&VLo6l5ZDkFLty+WtKbezXm`StK^yRChGRA9;Dg_&E&Q~dG-AQv;f7K8}_z`&6W zpdY>NQ_auc;;dqR7-4a)YC=CvSj^>{t4(Hwqmce@h{3AL@zTvB(r40OOyd2q%ecpvXu5bs2UMTXWtqA;O8&8( z;pL-QhiRH~Tj?o!HIsT3Ljv z&|^bre&ChGc;ts&@ABR}yJq+> zsu{KoX1?T5hwY2^+QG+6`a84(@tYU$Cq;0T06rrF=QPFs(O|1?wH*@i+xzK&N?8YA zrWZL%pNOgZD>2;foVyqH*Q^2&YefG|YJ{R6$}f8= z>ZG8OWYmm%rXqvwMBDR>Mh}a^{ICWvIP3$sVb1oIQ*6>X%uD@j0xnKz-9w%t_qCLn z9lrqIZ=VnjZL&a@xHZ0{CG`1w(Nb*wJ2wasM!3V+m2grLBmU6r7WpARnLaGHJ5k{I z;67T1abxS~DLreIv1P>3*-Z=!daYOMH%+4a+KHU4@V^qrr2cN=5w=*IXJlu6>}^G0 zp6$OGy7nac51@X%Ek5Be)WX|>iXL6y<7aXAO=!R-aq=#xf4Z@FwT+W)J|<=WBY zo92RIL={heY@2? zv~&aC@}wv9-_(p4e)qvKt*UU|_OE-3Kl4OK+W80k-G{+av^4Vu5oZp_Edrr*0Gt}oKTd%uDEw@rAZoKt;q8Ub15e(*{odr1|YcZx?&p6k8xl_YfaD3@VNmnVDxlYL09 zvHgh_K#gr3>4qfIDY-Sap=evvs)JyHb&mi+?;()8x5OFGm~V9eA*emp+ywcc1wI^#A@^I5R z(n4>^%IsmdzWqj7a_?kpm}CSedZlTa@getknOT@NGr`jli$o?hFbFx@i7&ZI{i!Cr`wB6TDU=>>B{F`BkZ*%({q zGA$QB_Q%!T1Hvb@eM$XEhC6DJ3BX#QXi(&#(PNUq*HNyLOlNw`_4?RAC zbn!2c3So4@szv1_WhCvt;7#1UfKaB&u!?h{AOPdl^oNhBh1z#0S!d^G@4sW}W+Xc+ zeqYo$^07(>2m_~rywi``PuChgrpL~J0eL5yox{pKgE%w~6H4#O5bwW!NMqsN;c2c0 z4;IcijDmg(uzd!P&x+FK+srY`p>6uYgL7q;&&RTnGnGF#;C7fp+P{^JrMa2UwW97R zsh9$r&#b|MHsk3vBcWn*na4rzt>+x_Vd3e+rsN=X7*eQ&91PJ8JAzP~1N0MzW3XdU zLr8KkTvbbJ?eE`Hmv&8LFkD>R^z?LASwqR(a!@*(qLOLQm9NwZcIT{2d~%i{OqMvq1# zlj7v$96r4b9Q9JgTpHhBsJLygtNr`;Z`b2a#9$O21@K@>;Dgt8qeHPk%zU|Gwx=@^ z+XUmGUK!sw-1heH>E;ld!e}_wuZGC;vUjmcD}`2bHcuoZk=6I);bK#Ok`h)=&OJap z8lTJUa_iPaBH4`9&|WH~S{JE?U#BaR*JJw9Z+(^3Qh_li;y4F`dTX`JIU(<@U5)^o z@lQ>=e0wnw7S(qG;;8ifsd0ZO1bA_lcCg9GNj!F|(9lqTc?QzF{en;c^vbs7(#%Yq z+?|wnr{|x+XPBplKYEwM6~Lm^LdnXFYFkDPJ~&++h)SCZsMAH+laS~;aY1>{yZ6_V zo0RHwdjj)W3EU@pl^l<(kS-6*oZ`CY4>1`OlxwJpDcm<5%S|S@8uY4(>4WiDRYT?k z=;_GE!@7~|L7Hx_nNL<4<~YAfmztnK*~hKv+d(9<)&Lgo}+ml&fW|VgKZG zJbSj@&cVbqv%wvOBfZS&v-SJ&XI0o#(6FUs)@zyR@s`2cXd-oS_sz-;wm=|5dXrsI z+w~DplR03D$9slzt{YQQvrVVdKx?{RlPQK!8b(6P5kQq%i?Y|`-kA+nP;g#Ai?`&rE0WlkB~P3 zO4ZnJ_Ig>(#mbb5*sWI~rA$Y?Umvfoc87dE-X64?t-_+BCbD=q1ph28Ep1V(w>hsh zS*pL>pIQI)JOhUPI$pE}6s;>AW|!%-yJT@Y2cr;G8w^Rkygh8{b$N~ir=+CJFrncM zgku{1sp8N3*+?Kp<#N_w({ozQ4CF3iukB=uyVY&8h4x9ABD5Xxv_(Ra%LpgvVfyn4L_qk|; z-Z}NkH8kf7upjbWRtvCy6lhU9k|Yzb(9r{8mMS$_XlZE|aHmV;iFEs`em2$Xz_K__$*V*a+oRFO6G$`A1mgKSmCx~4BD3$uCP~|oKZ0CUUi$k$))FbbnULtR=}fNY zf2~&P*Smd)?rVgD;K9Ja`lb7X_a-tPhKPIuT@a9vpzzG5J*t3IGgapq<7)Il!V}G+ zzdg$Arh4jp`x}kti~MGLL6Tt3YD$m1&LE5&nJc7d@R z@0rMfs~nt&5BVXOl{J1XzWY9h?x+G;hS@a(ZFQI6Z@@R@M>9>Tl8t_-%cc}r#XTgr4@)8Oc zw1?*|hMV%PC=9!s6J8Nx?d^3eLeAt3f=1gblw0XT(97}=<)e`%f@NQP#s(`j8@5hv zQN9c>eJ<>8BEhU?)A}FpZ_gq1CQa`9s%JpS+Ra6d-dP?qyD2k+Sy+a{hR^r0STdwQ zFp?1Vm9ShWFPhXeu8TyHV481UbCcT%7{S3fG8b5gb&>H|F zpUK&qp8>1E(x-Iz<5l${@6%0MZ>|e)-+$;Jx_BK35dIu#h~(0xlJzLk)L0MazFdX4 zD@L-se{DlLpSRYZj%UkIDj_NgLOyE-js$wB@+p^q&7SjzKJCsA7|9oWUu%Y-P*Sz~ zgXp3XVbapxj*v!!Q68C%iiTEiID}l6MJA0U__Czuv)*W~+?B7eAYn>KNNCY&{_7Zc z2ZF+z5{2ZRDP>>y#AW4cW<-G*pUHUYXXL5xT`F8f?7(5v2Yd;Z&;_%Fg{hTf;4+pP zH%)kgOxfRQ?lL_hd&YWVg6I@m26A=4zX^qsoi?zILv)_I5|5J$+32(I_XOi>r*T+e24^cDnl0_(Kf zU67byjVX|aVdE2ish~!Hk(CfgN|5Y@*>X^81eL4V*)a<0wBnjL4Ng&hhKkjxsTfP< zbC7_=K2F5c+JuG+(PP2>(wn$^w%6S=T_3-In@nMP%JY%P+nZegGWWRMYfS^RK&$83 z;^rZJT^v)vLNa5aEZpg6WT{P3BOmDwrpP4%vn?;3m#3$jIj$FAu~ZR4T^OL`RVwHQ ze$=qzYB&^ay;4sGW{L4@xx<|Whw^YLhXdqcJeGX-@IZl%lm`z%=HAg{&=-tx*W>u( z8W-;?p4tTfZ45#zWIejgw)SIdCgFi-uyz|1KZIYCNZzS*^0`_D_SmgfCdwBM2w+@@ zIMe63W()bepFMA~1>~~ZJy}3tzbL6*7oKQ1&Vm(v)<<1sf@TL;DEQi?JQLimK-TX8 zWhwnTi8J2_&MP}-5OEVyQB&sO;3JT|m8ZVWO91HXp@e-3Sb7H^PFIxmI6ba*2B3u( z?XLAZD%5L07lrT`b1671CccL#MEsR{(x-od5GK;ucwEmj-=74tK3|TBXci_shDhLi zwTVVaaFL*rL(@;Sxt?R?nx|izok=4Z59A9eH`gasG^dR$P^Q9 z=e9}U+JAkzId*?jKoH9`uMpDabBlR{Ze1)T^d*Fv_vAR6&J(%1x}sI-%_$f&i_Jxr z!v3j1bc6^q0|T<)_WBG|STJ!7H6$|-KX%Y`=j%BI> zocU7rs8+9+`-u#Wpm83++HH|<|CT}SbtmxQ@%b>vr#|YNX_a2r%WxcN?(HX@g%+C4 zX27enWpor^UWCHLb{~2^j6mQRibH8!j^x}fsK~_lu!3Gtw8i2w8D@;sT|M2O@V4IU zdh2}HC_p+|)BNqzGs_MM($rz=a1=ED^vb#|cHbOj+iq7HOhr|FHvvFsQ#5oiW+!`l zA!qzx{J?J8O@3(qb|`uYA}o@h?{o43ezS1Lh=_<*OVtF0+$Xfz+1Y`v;aVr_tZmqO z3gX=m^GUCc(>1=37GgS-BWdgswu0b&SDzkEsF#VmpTB2VXcH%s$`gxP9-y;5x%{aD z;$)!`24)H1t2TaM&j{lAr?au{uW)?xol(oE!+5D>RLG2yR~aUEnbPXOZ;Dr?w6h-{eK+jEqnZl`-E^(qS}IfK zUmA<*D86@OoageqPXl}`iJD+m0ji;9kT-(K9$X(0gh&lAiIId8rE&an*H6$6e4vla z1fckGY%wv_L6^CTKE!G8m`>u8Y(VJ%W&^ySs?q-<@CV}f#_pZjpnfVDtf({K>3QHeTvzuN+`(Xu00T7MBS>HsJveh}?1^ zpXK{UnK^vA2jBodwlqp2jCJzuW$~6qRrYhMO|Zk`5g=q&380 z^h@>K*LMRa6IDPwffb7oMhEw8EVNVA7ns3m4D5jq6@{-_a}VLDK9mhPaw?bqYUi;D z6|BJ186t1_fM(Nv{~?FynWi*gsam1YVDUrWv)f>oD}<#;(oojoZ;QRY)rRr*_V%w5 zJ0d%tW8q3^cCE1Cagg0Nlqr1}wgJRfr zPZS2;!KG_nEtvqF!f$AF@tN&L{(1~$Tbt!oNFhJFjw&_b^C#@*olIm3uq~NtZ?ZOO zE$?qh8|x!kEE(8{ZMNSPL)++?_5D5NL=I}~bx_WTf}f)5#-OU{AN3!N&4nmBfmtRc zMnMLfWO`B8XD8S177Bj-^hZLzkcJ`|eW!4Y5JSk91$>_$1Ha!#1deI4bfRqH1AZ zqX(c~mo_Yy4qeZt%bD;pnlBMw_yGnOwx^x^mzqxe}@(<2dOcoi%JW z3^{n9JImWVKD&QhcS275r{gVmT6b$VBE zX!0u6H~3o2Qj0}3VZGKI1oJ3rin>MOteML&SKNc5AnxSU*!%5>6Z`wP6kH%ZjFyPZP_pl>!d)BLfw+w$o&d!dHOa51|2R_AfX)cc5sg+ zZTR<|Y94qfLk|VJO#&TaCo;@2cx#O(BTX+9`Cm8n>e-*m4=Ob%9E z;2@9VWZ|}4wJBdCrp56>i2tx-Uj4IjW}oo9K(z)9Tc>8Y7dgDZ#gU^*(_%VadKD=l z8d~`3d5*5g7YAkO%qE)55T_Ng>i^_hDq?9yAz?i6eB1+!T1Fp|NmA`sAoL*nAQ^Xylnd z=-M-U9wh@;k)2g+Z-2Fv58Kx->Q=Uz1-OtJ82ycALJ8z&L&Va6S=V z7UQAbT!Bkeg>t^#ZuRD!<=c8=%x`|TK?bAqUUbuy?4!*hDfbhVYgnBYn}q|fpGba| z8<{yv@3+=I3q5N$Z?BU}HY@Nyi2uGFIQ#R#cF#rrR{au!yk5b=oV?vAbZU3n|4vqM zk61;K{MrtpEt^xCyZ|EzijGDbjS$5V3Q%}Ea~_;5Ev01qCfT4~tz8Gw_ba+6WGR+Z=R69RDJ< z!h*$l+qc@g2VRO!7STbX>@N15Ov>SV6Z|zVrVSeK+yKN900UBcAn{xua$P3!$yUpO zFx9P!r=YmS=M5&YhF5JlJ)D4@BwGFo25Y|F=)HVOW^OHp!C)#{jPw)FRtmm!H{OCW z+7xWvy*SMqke;juRS|Hb`7Y)RHBH~*wMY0AXkuE$W!*}cia)ip*~}N>{bY`7shhRN zK?=PgQ`6{^pi8CLW}Zt=cR$iVuG9T{^WgJyrLTkS8CdR-{0EHvf~(DA%o}J)HwGNz zI5Q}yk#D${wa}ojHh;;3Yfv{IWi$dvD->2GYz9_vB*1KJ-6SbP5a8p-O*hcRyn)=G z$5)z4=ICIH$f0B64`748TtaUH6y&i^!LEPIw}r*(Tu*J5JQ(55~_i>25Cnx3#CDG$9Ung-bp5C7CAW9=F?wx3%5SABB=dXx+*Xs={!{u-T;ZY`|} z?VIIIY^t+lGa}ZpiP8{t8?mBT9I2%7OYD2Vym>I007Y-o?N1HIZKn!K^U{^z?tMIP7AQY{VxB zsc26Z)GeH1lRYUa!On|jk0T=khx#LIivR|+Wrx<0cMOTU6ayU6UmDrn%04uabN6pk zu%Okb&9zH*ox5&7(BZc15SQfGa(y{}KV-lOQBCxEpKoBr>$c%Q#^tcx+lD08J=@fFh|3orfTJXau7U?X@e<|(In z6tC1S$cBckkr^uS6;Cfog2z_7p}+Zy6=E_PeG)E`#@yfAM_GRL!@3#nB~psNMneD| zS<%2m*__q7w&vyy&cNFo6JsIUID!Oq`lpnM{Jhm1hfCpJfAie;kpwM@1^UBGX2w`8 z9`m5P`J%=>J}z0g0h2g9gxPAYoq&H%mKZjkh@s4lPEcQi@X)Y~HXsyIDNQ8lx$*jO zt~kl+p#1r&a&NV{8g9NSUZ}rPf;J!!I+TD3LTZP1r~o2gOgjR zmSBOB1&I~G=#*AvuJK<{)P#Z%3QM-!eTi^+EzYs4<8f7*kI0s7E$5E4#?6AsHBa)X z@s1NM-WaFJe?yMfdVkCJ^#y=8FK}Cs-22I=6;D!B;?iguRfjXXfsR}oi?3iwY@y=i z7Z)ov`)amM1i^!~Maz_`tE)e@>3`%|tmi8z^!64|Xg%I`+rLzgG?kbx*{&ND{3zgd zzxjI2qPaOX+cjWn#Tyyj=^S#>F-MM+gZ4wDOjoY3tyht`N`e8#mI6osU$vW2+PHJw zKwo8B1T9OJgce@jsH<4yE0 zZ5j&|UPnUW+mv1h#<9kSYJwP3^8>T#Pu>}nW45sxF=4j{1A{{ukI=@?!beRu|9C80 zOt5t>7%S-ttf^95+BEu(93x@akHi8n)TMtzZ|U% zcndqig^=f_xCz5g;eJ0*+zT#0esu;fN!o`{dRZ29msT8sM?n!^i5_dVSb$F~&2@D; z?tMbVX#QI1G;~POmh8T(w94)D{Kld^9oegtz(onbQ~jE6TUEr%>hu13zTVD4235yW zjC$%{SVRe6hK!^VBw(acGXSLZw-ux;7D;16`Cn_nH&dl$qLt1?5pX-@qJ(*=qM&Du zw5Mj|s8XEUZ6lB1dR)-P^~{68^*|JUrOXm=Jdoi<)}#%{(LkU!<1zdu<~8wW1S3MY zqf=fVHjt|Xr$B6%cm%3|uuFg7c1uv=Po1Ava^;58>t8prbGt5$6w&%9UAm zEaab1W~+mYGlEuO&4<^g%r}pxy^$ot*~rkBw({dv$Nkb>ov>$Er%y^7c!j&~$U=&so}QUgYDGqX;rqusiIMlC3$}`TUCN;HR`P{Y!&vh{qjBEme3IWZ`6^|7{LXD7?Zv-TvC34c*-VPzxLwLDo@r18H z6iMUHx8)h_&=osNR)f-Ox6i7EB;j1FkGF5fnO3kR@*Z27i2n7fSwXME=jQrNx95*c zW=&nnsbl?8vVfwmXdacdU-|lP6(xu?ZKksMNJlc`epJM4n>h7=?2hvZzY;5afy(`8 zl~k+x`2*~`T(D)n1YRxyDAoS(9IYtqyMnr~z$I~kG4c_2ylfz>=cu96XT2x_{hQE` z1Ew5IzMzW#&oEl6C?Ww}IvJ$YLws$*Mq;%SL4tG|X%xKa&XAZmoH5%9@A;3PPYrT$ z>bA9tjS@na06YwJo(6#tyvnKASj>~=(6got7ZqBq=aYL`s=>kTlBw(*er(O_f_$!e zW6bZMDLhwN-KU5qZo$=~%l*+v$_bn@)#+n>2S6(&a`+PJ8A<*W7K3gi00ysEb+b%s zM2kVt6k41?yTx~re2Ho8&Mop%7!eLms5GdibWZa@5|7^ps(PjdI5c^d;cB+<2#Q+! z3=OXRH}G*KC!*(fmPU1zi1zaSuhO|cClA>sZoP2$CB`K%qq zkdSX8iL}C9fWqN@wu$F+KZIuOGsky&<$F@ea)EH*HL+oHM>?yofGmWP133Wkmh5|( z<~u_*i%_$OnR1?N=ViCq3`x12->9f6-dnAslqo4;u(s!C`FZpRF&_R>@DJS$oT9y~ zH7d6LoykPHkPvLB#PYXG2-N(X{k2*Hk)Ch7&;!eUSifwdR&hL`5(x2-l0{D0N&*tl z%VB;#dkV0we7g_F2`I{os}dBvyFH#ec1J52*h?Zy{Vb^VZh{y#0kof0k^yF<64m*% zui`tzpXKbKG_=OpMj{-XkzeS@&U?dO>XZrI=zZIcTU_sIj$D4J9=ROxD}wp4qQ@J8 zfy69npPrwU9&-Ppd6dj$ZQv}x>esO9zS_C#v@5U#EmGXewi8win}o<2}CPemMnJ7UcH zeZrE^-hy^S(M$yLu;jN8UB*_eyJ&5|LWK(LOPto1d)QE91Adcht2MG5=>*+Y{RvyH z22+ChBFs9xbnyv9CVRXA$v;X7Td=ha;6?@;FsV^Y z2pv>KWh%cp@SikaI$RaC|q5hq8X)AN|$(ZNtR9vp3IVUMjHDecKW1JU@Nv zGbk*|cd7@bepm`b$%8Mup*0mX&&|Tc0pShX#TE@fFz$alDXbe$V=+Dd+iG`F8GWo5 z)xwh8z~#rrhROqqcR5cQ=Ek5;jrsa`!ckDI-F-KDkCe>$V+TWAJmft~3%;`2?ijb3 zDE56(kWZe8L7W2kb|A=#mvXsGD zC4gy09*R#r;;@;VjcTu&^=>j|*ve*VceI#0j=2!%@*3=73c@Y5v@M1?k)1?AE58Nq zo?bP(AIrg%aQ5r6@o9VvZLCzXe+gD}%bb)?Xap_8(_v!L!j{7BEE-QYsT1q^Elo!) zZ4Fxg&{m5(?Eq9Q7UyC;r!4FHC}qg3zRL1`6BIO*G$Ft7#+ft#70VnvJzvc_X4YI( z42WTnU1%JI9)<1&$)R&92Okh{BHuFrz47TS_+H;p{PMR|E%$Q*^NWluC`vG5oMew`*ieDR5IyAxEhjfSZ(mR;mQ> z#c`H1_kId`T^`>>+j>yOE}A|6J+hMVQ{~U@r9u?$q{%n8-D{h|&Zm%#@%3zg$2P)2 zo5BC#>@UOeXqvEL@CuMXAXu>A?(Xgm!QI{6U4v@^0fM^+cXti$?(XjL4axny`|cjQ zf42X?am{qkbWL?xSDmfR!5W?gf%W&X)pUuUsrSB5jHkR$Rr@i`iKJw?*vscsou#U( z+U~cKn@gPHVWLz?k*FYamko80EeEoe!+x8y34%8bk^8U7)%PI07vb26#pvvyW;Lkw z`Z4HMX8Osbl%6&an+i>J*#ylI2zcJszvng6IlsHdQo}x0;T{!qgakGAsl`v5>DAZQ zhcx5}IR2JUCO&>X`{v$KG*|I}0`CiDEDf)3(D`xs(2n9wu1qXNc1tzHoo(@Z7rmsC)lAk1yyA6ODn4Jc%Tw_Z} zYe{OgmWuybUi^(^nfmT~Qd3-`y=WTa?ewsTK|A_8UbKmAc=1g%V}w@-d5)gp&j`W; zY^WRr90XxX14W-ys&Aq88{t9S2IudZL7?7w(A?tx-3Zhx?SjOeMPiQ}L#|7n<7`?0ScsB@b3%yL!hH%YF;QB;q z?cFIqUEKK<8znZz`1*7OTFV>6=YuxxkjSLW{#CWUJd|o4G_YDa5dCbNaS&3}C#>9QU1N4YTBhh`{ zRVPJ?luZ0iWwJooYH{B#zy*dCmOIiH78Mc|s-RGddnfD>{T)X@HrR2JaqG4^B1{a=NWjd4)HRnGoMM!)n|Z5Pp+?KRoIT{_^m z+~)=+F&`1lz<|z}icl2O^U}zyQH4LU#p`AfZqVw#ees-@Tg0hF9iO=-%wI)=Y*Cx9 z4)wInTE1i98SZ5i86krj`b7#BX2Ua%jqE0kO7rH)3X)dEHMQ*J=GzO(jbp!O)TO1W zhM1U#fAT4ORj80fmXkw=B5QBabe~zq;)LjU-#mN%d=&9qXA;cK`B=r>=72MT$orOT z4zSl~)jjUt|Hj_`{ev(C{ts~j;w@_&$Q%EgG7j?1_y-IE^_E-?0wKI5tOJezAJPbr z{`c1K{${ZMuM6nE5&rA)zY)GpU^`Y#Th=7{ceMmtSBB{fdvZ})e9d}F2MP|Yml|cj z76*l^vIx6!FE3=$R$mleTKw?A4bX;DjoC7Hq_svCs5g*+1bREx`a_^byEwCV)BHQ% z+rxu<**YIMh}#PyieieYsqJi^0uQPqp&rvqOrN+A?VP# zp>G*4RSzyS#6CxuT|PT?AAkILyS2EOs<_cHt8y#gVZW$2kJWVit~p#} z&KtF+vSB1u9gidRUA!V4)l4W|qTv$5%{k#&k=zGHl$9i`;gkQ9^O!!7S^BHdnkU!s zRpC;uDvPz*RF3cVUd>%~wPWH9ZD)!>oH`ziHWw)%f}ESKilc>J8PXA#bBIR~xHz^P zPP}Y*tpAx1s?cI?<`CTefeUr${y2zktSxuLZZNW&|XzdD$_n z`jiV^L2?NTKoGuBsuU{3iV0@f+47bzSaVsa`z^JsrgE!(8P?EnZ{Q_YL!E`GHu~9z zvty4fSA2QKbCmAiP>*3j7bWzuc)%Dbn`v zbcC+Z8zuvakipD+2{D$!q`gJdje&ftz`zm5{1LO~2p2NVeB7P5vFY=o<$15};7~D2w^_ zKL6kVlTnn|Fyd=S7}V&n+QUu~EsNy}wH7F0QNcA+eqqfk#hQ+~WVN=L677%0cH2<$ z(OKRVPS%YA6BEk^4Qh1qk0sw8x+9Qn=w12R?&f|KZ=>`sA{oRe+HKvTe+0oso}~M5 zPBY{mHs-OUClBXU_2il>_*Tnmx=TI5{N)kd;X~S@pW1Rt+(s`JtQ18jun_wXoRsMr zbe9!Me^ApXD9G=!#XJKKIA+5l11I!c4wm&g^4=??7PF*UTcd&pb0399u%VnXBUXu@ zCfXj#t9g04+Z#)C<|n&g=FyQes-aM9M}6V26>z`U(F)FaFE!cxxZ=a@tPbY8@P)x< z-n`5Bt`i%V8`((Xar!pd`Gvp_e9h>s<_D@)eTv0c$@67+C7xW%?7}s^t%c`tvuu|} z%`07jqZW&%#D!T3W1iJbH%Cuwax1ZL1VM7_WOLS9nN=)$fLARCx~5KmX?Tn=G5hm$ zYAWpOhr5sH13^f^>>ElSlzY}UO>!0f$>}qA4-+h9g_+uOgRpmaLJ*+=7xBvtq#emP?66R#9G9D`q$Ly&wD|$mF%d@RFHPjYm zPB$yXyeCe)qt8>*#bNYc&^)4p3XaS5FFO3-bdD8fKi-_KlnOLVm^UTXSk4Vp%N2g^sVB*E=ZkixftwMe;=nji`Qy>#C$>ZX zt+7eEGPCLjf8^5V7`M5R5)Ae^^^w=;W1u{lrL=66kc@}7n88c<)7|WIhczIswXGvEHIVP zbmEI3awSn;J-n{(3agGjv}tUWU}ksiT4|b4Q1^s(1>LYPvJ{YewLWF5j+Z4y@gj+Q znW_Shriwhv<>L!zg@O97>E04+Ihs%J&7{IJ5=|B>-)GEXv4?+~SmZ2|_Iy%Yft_hF zFU8*cWA;?_GeL6ZRIBa~D)jtfBzcLXtD-5=_F%4ZJ)#2qr)RP?=I#3t?N;LaKsL>O z4?pmMi3^eDK_AC!&-fS;8%xuIU3!;h{^7Q<<|g0od%ydr=Bo=cwOvHJ+MW(j_Vjf(P|}yJ8VaN(qtD*(;$&LdSm<|~_~rJI z5E1jZ%S+`Ghze{FqGWkl^l-d0xJ?5w{}t?CDacuvae-f80UU_DlIns?zQ4SZ}XE%Az!`4W~~qQ z+~<)IcW~73!J~`thhk62$`AKSZKI+4y?mU?{gJPKG(wSElg%lhRvF!G6Ub&0mDcGk zR`&defDqQ``(Fcn-RHkRqWPXMF3l@;tHE;^EIDhCs$$(mhRI1E+BuwVDQVx-yl8In zNMn^Our+hcV}82PpeF-L{qc32mwHIO2ph|8Wu$Qyt_?|Al9gJs>adP60ng=0z(z&s z)OyS1)rqFu(a@)_2UA4a1)j_6opQ80ZuvQBL#2A@*MXW#Y~B4?>t(0gd0zJ&d;j<4 z;+uuHCH67(+a~WVx4>vgI(V&yuAnWR?jD=``NFan2CS%#GNH-=aIUyrOTWg-q~$7> z%0@}0h+JRiTFdG{(YaioEPZy;s=?A)PI9u??^Nj`C=;h94oBNugsnP)fHhw2o3R_X zkb=bJ_2RdyKR$^vJ$f~eWBrOss;bdGL0|XkwyZ7id_Qa?fuh6h!WC90;gj3Xa5i zARixs6OhpSuZh;dj)f*EQ3jr>eQ4pI{3Ekv8;sh!!s&y}F>iHUEBLdDp~C)dzyj@f zc_FdA$&kYwy`KJLb%%w~m}b8I(Dv*q-7D#)0zN9le^drTFYvN!g-u*Mxs4_B(%PiXFq(ivI#lgwn7bsdAQLEtV4z+JF~$* zDuW`1z1*VG4w=~{O05y*y|e*KR?FH28O=sIe0z~|9_6$i7BEJf?CoRhis#$OaQ&iq z%7}Xz_X^5wCIKjl$5>I9nSQz;V(RwaV=% zs?W885~rz@RkNL1!eGsRX4>h@arcmRB!mB|?zT5|iB^h@tZ}Omhsv-3PA=~o6Zi(;K@8+-~ zFehU9Q+`28~kgGOoaW~wWp(N<2BjJmIfIs_2Z21EP?POZue;- zLs5EAZ@P??m03`rw&K^PW~Ie#n21}tZBiR&RCg!y@p?b+l~@VMtG&X@i;M@Aee`S- z8iPKGb7B7fYd!fPttULr)AGd!1U#KTFdHUoZlD`L1|6w0cs%LWI9sR&8W|fuGtWPc zmo$aOcv9GqDknxb#w`kt=y=>yq+VT5uv>PCDMm?($(sd;Jz~S}X4yS2NFcU)UD~XP z?-hKGj@i_eRaI6-h0Z_sae>VtI*5-vR2rX=_q4|g!c?Try&+RmB#g!+KG$rsFDxB) z3`*SpksUQ8z1Or63##)OdObg2WcaR4xZwuww#-Z}g)Q;5+J9<-D!D+C$KfK=mJe@J z%PE$OC}uPOs!ZJS)u)0tIn3pBEX6G@a=48i4~gewMbJp@_Jfo8Pg;#ICs%R~Z6j5P z*Qx}AdQ)>n4LQ6$mD%wU&GY@sDMr=RVt-<^cL~O46U34f2?VAqDeet2RG1v& z;*?HDn=rn%8GLerj%aab|3muwz#{ zFLx5p%gg$!SK>&X{D|7dDfbYIWtpOG)`(+tIuGe*p_2ET#aFz836xRL3$3k^W zHAw4=V!sj8J!;`hwq8OL3MardC^Pa;*TR5sa6vLlVcYO2#P*Et zY_lpkkv5#`b^nW&co&Hw_vtaZCxlpchESr>t}=qtKzM1anP9aS7_p(-X&k!&SewuF z@1>wlg3NZIREG}_u6apyQXsBZL7oO}W~*9KCT310eA1Avguy>;wBva02U*t+ zB%ijmQz$s*QskUvj}>WcKB!M)?3dJpkm6RYV-`couHSx?Wi@>%XxmTv^!eI%&2 z{WUvllV&xf&qTXuJ}8_s>^T_j*EI{}bM$PC?7;i+@wtA{K9a4O*M|~_hMPs_v8~eM zq*_ZusQcgfI;p-^7b)Z`D^6QfF@C(0C9$)KJp2_Sf)SYyCRw;X8}sL}nA2^T-u5y0 zxEzcUw6r=e%J=z(Pb=L8wRu51k#i9KXo{6(&od*$Q(%K(Xzo*y_4%~g5<&|zgIv2g zkKkQ%I9WNkJb|8Qlf6N}q5!gwcax4R@=OeEqgqqT^=y0z&|`cIy`U)!u&`##?88O?Nd&t+&IA6#D*Bd(8jJmNANBwj7N#vvdGX|?xf zxbv6`pq^ox#gUWazP@_JLH%2^a?O#NI&5Dkm=v=?RPT1JR5{FsQiG*L$>aVUee-a7 z!pkbvk%Aoj_A~L5V(A%Ww!CCEgw{QWb^E;e3^#hvLD^jX{vk&i=H!KS|m7t z;!+!2ii=OnRJ2$RPWjlY%sJvQx%$!Nc458ka8}ots55;foqBB-##yT+{_F|&#;^Rd?^!@$f08i1|C3<%Q(- zvqfMZ6D78@WaC)I(A5e1ctbdR`{Eh~PJSuWom2w1w3H-0%lb%9sVmW6c=)VF`74d5-)Kf)l(bFo8)P4ue(q4Je4c&n2xx#3Hs+1fcR@$ zEp{GC62qMnssvxHtt1UOyW|!dK;OFJn3$M|UdvMoH_gcr@S;;AOyfi@{Fq2;1vo0&0f*H*PO0QP2+Y%dF)Ix_`48bc(&z`cP{mvm31?@H=J2zvQS|!3X9d4e zy@V`wh3+&%zwlX`KTp$Jq_JFAPddN2{2N4S3ppE>C|GBTwMt}ZYTrZp zca9AVYp}9d$(PBymGoOwR7@d%fA@Hse13cX8@#b@cwl1uEP*}p$BgQ`_I|SaBg9Wf z^W!h(wAd!@^iaPCxvbcuLC0i7JzyZYM2B~9b1HsE z{34K4_#7XfmX_@CwD+Rb*oNfq1Ola!`SnY#pZK(|bf^6#`4WBf5$+}9< zr(;R%!vCaH8iKOr&-ZN&j|0LECyTkTI$MVI z7{HFqRbgds9z6aR!x`>sd8TW+^<*?Q7cDKZ^rfzVw|w!dB2RTFe*N_t;k>TkbQk?S5oI1^oC+ zNCLA>!+nn-bQ9wRgiV*|67Rv6zAjohO<&>G9xDbG*9n74pKgg8dvy4^+Xe4mpU($h zY*q?*n0?$Kn|J7D2j>kw)~nRUE{!)R5dsrgk$>|-g|ztoYinEcWPd6~LZj2N3Oq(# zLN0DUEgpOKFWwL8l>vaSoo4t%&LhRdQHmg{MOP3!^?{}6gZt67tvZ9()kz$q_hKai z+M&+VxBa!#_(of4x+}lWdX2 zle$px95DKn90pW*B&5%8YS+cnFujPX&=MDJVwAaBZjIn-y6G=&Y*%^f9#lOlpGBzX zpWGe6Dne~pKaHG(BBT1@HZ6pVP_l03!sQn(#e;{>oUDJv>Audkm{(Hc*z{=LhI1cI ze2R5Bo2PWFcXy3^9HOV-dYV<(g}Ntc_K$gNOXDcZOLMb@Q1%D1@3ysDAM<)%+1J=P zIdqS^*S)?!TVW?GMfhZpk-2`4ONRe!?l*|tynNjML8T~B0kuT(UZI{=bXt<`D+-64!as0|(Hx<#J1n}^Nw*IGZA-?l@M5J*W^Ra&UVYi&L zHEA!V|M;*h%3XUW-jfUcVIx>{Se3`66XDY$P$b{($G;o{>+$Rjv)hKy=;3TBS6Oe) z_R!MZN0?8o#bu?~hYI_HUN7`xXf)skD2Qm}lA0Z?E{r&)vJGW9NV?)dJ_To(s-jl) zQ>w2U8nauk3{`-uvoLjMMbH^wROBt5xO5JCu)-JjV|M~@$hI5Esvptp$DPPiR>sPe zS>7uNPCd({phg$%Or4C2Vg!Pem$=udsn+6a9LpC4J5_G)_eOi?rFK^kX3eI&4 z@7~J)QnWqZ$5$qCNOJ9YgYyVEy!GJ3tW`0ua}Mv<&-AFrjp}-G@2c=$0F5i`g)aHa zF)H}wJkm2lDVz_9GJ5BwiygRt&p1l4N?{254B+0leM+`9hhcAA7GV?a>7JBrwKOwV zz*lUYJ;mUOrf!aMzLy-YOV4i(cFNAeJUD!LVcH(~7A(K}gvaigzi@Li35Ktp?y=Y1 zInq!XDf6Dl#g$yzl6B)$(r(R%u-{j=kjwK*v7!A%o%A*+K%9&(@bSbM`9-|` z$<3kll_(8_zV9W+2Lc%eV5O(HtWR7RNB!P?2&U{?98s_1*5qz$9z?}$4NuYO zUq92-YLsQ~Bg^8vm`(ZiV3spdtIrelvT5YB` zRJL@KdWyl{z^2Zw7oD5wK_dUZaj5EA!9jEl^*E==(dU;5>3-A;8gq-96pp~)cMK|? zpf6r;dyao0tp4Is{e`gl_tgK_j~TQppFkjq-1$9f5EDipw@=pFmgK*uW}jNb-Mbp* z*Ww-{AGUmgy%F^TuHj8VLO$g8@*cmig`rYPI^YHcTc4g}%NmpPitt8Z&5ULVZ(b2V zLjbpu9>5Rp;4*7y|BBRaY;AZvWB}f%o$+nA^Z%Ot|2NPqMT%{6r)G|woT;a#-HnTx zwT^F?n3yu@JeSdJ?}WJ0+3M{!d(SsXe-2FnSREDU{@+%8URyFU&d>uM+IB`#9d3@I zfi%3=#buM+wbG51jSQ#5nc7+wb#-+Hq%I`hag-DQ$no4e;VEov zY-~vM_U2(U{@V9WF{`EJ8wbl|osAB4Ni8w4Nv6tc)~(uoUjiWyneA9<>Ws%JH9ZrP zrS(oI5~)h*(^Y3+c#`)#@PJ^c|Mz-18~4*AwK_w%RJU67=A zX9|1OLYo%M1OFR$$NP*RD8Lfeab+gG#E5X7zo+UWMW@BI9J9UYk% zN%?||>~G{p@JEQPt3!jEDT|Jdit>|42$gViyH{3LuCbVfqfusnK@d1NIACC4&~aT4 z^!2^JFo}$e)Y9rBWCcKNsm&uoTJH!hR_yqG_HDJj^5oaof9dmjP&GffCHarI-Ltbz zK!I-pt&Rw&s3BrRgtV_vTU#p_ywFJo)2G#h@m83RkKbW!T^iD5khATl zdN7$E-SikB94?S2eJ!-Yqi;Ha1eE6n!D4dQu7QRW?`DHPRrEqwSy?6WrNmA1QGG#0 z4eOz%rp|fgz{g}I5eVBf=@=Z8*g=-x$jrJul~FMroCC6$xoiq(e)wcvU0>TtP!&Mr zGyzq!mLnEnV-4Z{OYfLH=hcDA z-p^0J0_x@6Ni_Eos5Rh_%&?FyE=Su8jg5g7K%+{xI_nZdl({f5@fjy_>`Yvoyxc=} z&f4mD;a5_UI(+niSY`sx`|GG8B(6pz5?8YM_Pz0J|8Hm#9|#BuyE_1&g!Lp6-t>%5 z?@j^kZSr~bBRPpibo$2`s<;H}YzXqoA5l3OYmvL01_A>k#=C z7MqOOF8n_}%mES?O*xl{rs6R1!apJ$EN|zwW@mp3?)%x_k78!Fi1hjM?x+p|B4TI; zfoJmfkVOXc)0Y>A9~3F6Kq;5m3IJ0Rs2LjZ)ILA|9+IpR{?jLfgitLb6BE{L#JO<9 zkEYELYeXcTm&0}X5J}TwI7<_yf zxzs4zhejv6XdLc|?ns8~e?n9&piZnw351^^%9I+fEU5{q5`Y>oAd!}Bf#ihU$`H@e>hUW(YH_bC9sc_~mC~5M0t5HB0mY;}oZhjs=D~bed z?=~-VRyU@lNVh(jY(pO%J0)g-t5xa(6c#@Pe!g&ZCcSevb(*#een&~`_9hYJ?IK5#`Ne|lu)6!%YYz) zbY~a_;TjAB@;~w|7ZF=!39&V@YkN01#O^(-^~BjM)sy?{1_cEjpdO3~6A(ou#F%>y z*zxnP0~kre!^3NzgNK|W+Ognrk4#2ipd38Jvwn}gzUfuTIHQmJ7w-jXC{?^8`l-#y zDl1#vqQWm%aCp51pq!LUEiHeJuajB&twMMY@jg<);T~tv8XZt-RWq~uHGRUolyen zn%+QU^SRyc6#qkxOBCEC06auZX>l{suj-8GpTC#t!lmbrfY~GsemvMe5Sw3ARD>W` zSBX&&DvQH00Qay_iw8EJW&9nCQfH& zd>nCp^DGQ4)3V0@?#s%$R(`ovQ)fq3Ru`EYGb^iTD*Mxlo`}=I6ky&-)bp1LxdrI&LF-I=ek(6#^Z@L%_PG}NRD&W6K1BwBF+BUVYpjEq| zv0vLJZEtpeaMZyfA|Y9Aa8S`M0IUZUV}#=Q`ACG5JzGcHehU5r0x9 z#?9LF1fQ<?7Onsa|}>#ij*Oh$bea;NakiMzFB3z$Xie zx!c*|$h=H6uOXcUv`BQ{=**1B4)OzX!R9^zI3yeb&yU>PT#QEH|F{B-8v2nEd6EEy zi<%k*M>0khfZ8ZcPq&ef&NyMl{tV7AEmPDZ)x={=nEYo*0Qa9vWeu(vm#Qj7!wE~>KvOL>b<0s*@xWAXeN z!8>njmsRB|%0!05SLVzQ@6yiiAyuu)I4HO+oHGKxdgv=`?Snz&Ke;t9JPZR3tzAJd zF*QYmzYa5HtE{N$FLAn1Q;d)W36G=R$ON_t9Ve)$IJD8D*Uh0mqkzF9 zqV~8@b4Vu^VsBz!Z5tk~6CF$e*dIgK>(-|F`p`r76a}A8Jgtj4$F6jZ)KiV!^0`dBa6Fq}9C{;=)t{%HW{t?3u8L$f| zmZtDf5JLT1u-u~sEfW%SLPFJmil6{}b>iVULBUtqP8JryhuhQOGtO=YK=C*Jtebnu z6i1~}t+!rwKf0V&(ZS}NSsD7x8aAXyi-v%ZQ+|on{YgDTGV2f;JjRr2cXKn?mK$p= z)4w;;fsb-$VuHaCIv^lG@BRJ&Iy!oj%V`f@^F~eeLSdzqz?l6fo!IqYsJ?!|ikcd) zi!FMLplqkCC&rJ=IB0b^+0b$Gj`{>{T8{@@D&x0pH|r#*jUWBi*(?92S-LxVuy|K$dQ zKEI_E@3o#ZzPgEt6#(ef*=gIrFByHA;(1^oS-F3mg#P6f|JRA{ucr?J&3%3I_#xp> z5*|N;e8c`F9TWW<-}k>RGk>3B3{MMB%WFZSv&o>*Ld5L5jA|7Q&00FQN9SS5bS}<2 zIZ7k;H-*{eRi4K$mpBz9wZ-LieUY$8;6EY~ltRd}Z}Pytsqiw;@eWWs| zKF&?bROvR+&Fdz8)|8!y@OJk4OLuAgNvW8K>jDv2YVa$O7A<**oA^oHKjVMN81v!n z;c2m!N5@#Zn#^BqWSm}25Ge#bab#8H#Lfx&FqO=n+hpWojeFxv?N z{Qx^0hs9DAz*nJ>opsueVFy;tK}~U-#d7`5c80}*1y9%q-=g=!`8sYy!VsBm2NM_Vi3M5EI=Eb11CQ|)&L zm7e`BoXi!e6^iCUCwFhKx!kYHCh03G>|FxEo-MdlbEStNxwyIEu{df^$11`TO834( zH^7AVXcl@Vaxmi*Xy(wM%;|Hoea0W%17vYb`s4W zd2X`rlC}$kU4(n`S`Vw|1?D(BsqMZ`Jb>(4&tF1}D}8=GLJjA9$~#f>T2OulC0+$d z5%=hMGPNNL9SSZrn|{oC(aD=Y%>M1c(aTE=cetgegH*B&{dGpPww_I#KnJI7bXU4q zr8tSL9v$_3DTCE}`MJrEac}A6$8BCzwK|o)&;rt^85nt@|M{X-`(Co%`i8S=_M1T9 znrL1}bI3P~f0-R%bU>r^@fv(w^?aR-EI$Ksfyz|xq+godCbZeKT6Ker_jhSunq22G zyhhMge>ZtD*XuXPQ=$!1X%x9mSV4)jPIwHB@sPwG%{kciLWZxVT%XGNMFY@NWGoblCB#6+mj^^5#iusWVrXsYJTke`#Z%6fui$ zwVq^YF!|D^Gm@NkbT@ropkpZ~=fViQwQqiYU&?;A!}i5OxmzEMV6R0C6#)#7j#94v z{B-#0H2VIxN2lbo8%^;@5`)P;IPx#DYwhd2HQwIG4^j;!s_XtbUKh@}7rCSnUowPk znz&c!D|~WoRHSC}N3_pgNOdqAmTSiMLuaa1vBtA6HVsnrl3%-eAmp8u^YlaY9Y8O+U8?6+gP{+9})RyVNL23=YsfWwiAFk&F4lb$;7FP!(DD z2S>R$Cr>@@!d+Ns1%pjL?CoNMr_yKiuL*x9y{N=K|#3Ze|bvF7RQw)j$M z^y>QHF&{@n)4i#0aW+{=Zbr_Fvj@Ml5gnYo1$kX}23QtUYpkpCnquaHs5u>vT{3)L zl!p%_&0lWojhAP%9^ukk&Z3E&@Af{X{yaR~e7+-;BJS|<(X6=X%hAx@-yMH{FpM!h z?%zygy4>vNS@$_COI9(tI*Ta#{|SfGRw7XmcYC>)$kXL^$9QT$W%`pJ={(H zGpSICA<7bw!nD|rQw82zw)mA1|E~tG&a-0j7c5()ew1=mlkoJif7}{LJ#e@x*yXIW zPE{|1v6#G@c@GYgbB5aZm372=c8iw|uMHHTL+HnEmZZK`gEnW~QvQk!TH4_wF6;}Y^j8dTZ|@j90)ozh!8|kp0UNQR zh|n7H35EHrtZc86m6)vlevKGBrbrvFDYplySH)J|0BY{Yg5bRrN$d$`!WH#blFPVa z{@z}7_K#oM0$omdV><65qw}*)c-}rt4ev`wiH82U~wiGvtC<1H$S!`ikDkY zIwwDYg!^IohIw|Z(s{A+Kn6;ESUj~AIeLmNp-=(jXE zSE~*MN2lIxmMLtscbQXb6x9>mbmbkpleuq08zr$8QHOHh_`su4BfIX~kIi5|68ok7 zsns7AvEq6(Tx|Ak-QXkbx;>cC{*cb0zv@A_-C@*mtPKV7p%oBq zIYu`|#j}#qV7+BQ&&$1K)8_R5M+A8DUL@pgBzcr+G9CI!Y`;kMJl-B~-St>6XkT2b z+hqivJSVp;)U039?#iG+qP@0Mx;zsrwB8%c8h7Ok9BonA!wXvoOl*RL>%(LxUk{V-{?A-3p z&KYZ1RxZ}vb$l2I*|ru!+eTFiReRZFt$G$B6;?IyLZ2P(%|{dZJXv<7sDp4<8s$wo zMf= z^s+$rfe&;y)85bS&M`#ZnmjUCKt}rWsRLTi$GsV!t3hE#C;5TV;#l-HNfl3-tdqCe zv37hJY!BE(W;54pcV_1OY02W5{1Hvn#;2x+@B~SHj!0#AgGu$h@iu8r<9&(z!do0J z>&|{ZD|My`Lv0t|+1))j+tt!lhUXUH0UGRC?D8}>yYB8E;1+=LOAM-@UGCtGlJW6b zk3aSx`slUR?Xt7Kez440L#a^tt5gLIk@}C#s?%D{$Et|Y*h$~>#mwC`x%!#+s1bPG~=|dE;)NYAsUrBVc(du*A=L4D4?D@e^&oe6Z#!q_#%Nw4J*V{qpuOBdHHEvv-K)&_!GPIFLs1hj~AwMB!Peip| zxszB}U2IlzVu&n9()~-|)g#YbO2{Y(t(WvU<$W7u3U1rU>Zq|eu#*gGM@1=xRoEl9 zOCrC@$$^5<5ZSymeDgRwB~ti!o0$G;k5KoJOZKk^@b5ZyggkqZ(xtDenx1j+{$6W{ z1(IbH48ODS+sp=wh4GaenR()5rZ2DEf`*KZx{wOfw>L1Uvz(+CE35Pt~BxOf6h{X4!2c~0*=K)UU6C;?TzZBsLfAgKahi* z=Zzf!&;Bme3pXFH25}YFwW**T!gpSo{%g8{@6N*(eG3~z{JMTz`u$n2sMo{MZQ^f& zUpE{&9ExKTNmWDv<8VanM)Gmv;AR#nPGN;vVm3REV-jU-Yy$MxTb-v$+!znW9Zdam zxs;pnS_cGDM*1KW6ihFGnBI^F#JLvaY#c~bRTfn|L z=m-98St_^gkk|B;h@|-p^(Iwtd=l*ugYbtxRtsai2k_TrSMLjr`E`4nKEwr~{`LM6 z)#ch;*$r2JC~QFYI#iC0E1GWJ@9jDB0Ke20! zO8Dz!p((y`cl(Mxe!k0cvnvzBo#t29kY!YAGmvS4X}js1>6s~%8Qx!)`l#}+OU+hA ztzLGfYX|Y>fff7y=OFAdyQ({ z#mzxY)C;I6)|wULdhXDy|Nlh@jJ;3|&nwYv5LB|8O18V_fHI`3g&dWmNu5RY7Ic-W zgcd`C^Fhja9H#F~DNF^LxasTx`Oz&xUG+)aR@9_@&f3PGAguOquY(^{9>8u7OdjSb z_TLr_^ggi{*dH|9v8p6jcZ|A#K{tic(2Q0ADj3iSez9%02Cet z(UF8A{_ua^E^ zjmrvFdz`|3f{I&wB5n2Nj7%dI(OOPDI4J(ZFPII+;Ip$@W(;EsmYIGW1wi5^?1x{Y z*6qCwV)hmVxI?8%*goVpSRAcWaTk~v(k^wf43+`#h99xt<<;$`9LZ%bXlIt>B(}2E zc7e6fr(z59()P>pK7PS=8bx{w3zd7MNbmoo%B_;wyhKiL1YV?6n%53;$ZwX;fr7{3 zLoyshx_^xHIgg*af%`+E_ep+ge5Uuw?pNMqVk@A3ySSxVtHsY2p>Oqr*bJ^V^UCV# zXf6PIVY~$-qEuc{>b6o^`kb^w zV<+XW@XZdH9_F_uyq#bF#w3)Am5*23UV#V#u>J8kNLj{YHbEp=_v12-%82$#w^>Kd zE=XnNu9KN~@!N-F%x)7@IEYg|JAWX^F);J|l~?lSEuW^@?Q-N7eYVT#3Tn78mM3s# z25rmL1aNeVgKid%PDZl@j{T0wyU@nPu-me=q+rcE>cyM4ppfMoot2B7fb%Z^C~oHD z;iN3+6M2ki%dyzdF@0s^sjc6zy6+61W>tnUR)udK=_d%KEF{lf6{%kU^~ zg#LuhQ4J(=jE|24XZ9{OyHzc*yd4<>4%vD+!U@lH)(*r*fD>tw_WQEjmLXr;=1+uT zz8zs%x=ThCUd6i%2v$115ik`Pim!O@+XvW*-ej9rGsFELU<3Vj)rXgjO%*UGlE0D+ z=xwxsce`nO$AB}y(jla{h8&KM0;Mzn722)q0HnRSUnex7^Om&$Va>ALuMci50A*7y z!SY5X7&Mx%NlfA~4*L_tcI0>W4^zLD3#EsPgkd0Y*q!Z9JF@#^Qfn5EW2A}0P`rUS z1hkRF&cLR}!%?6?-|LIh>^lw3l%>D{LvzJCk!uQ+!8md|N{WZ>!YU8o_{#2m2YZ+M z%WbzDw`_UhFl0*2GZEE0!1_I1@!0~LteD(0+3P_dTajUb_qCA~2#-wgf%Acr*x=|i zaeFV?Ja%;w5?QV7@1L87i^L>3puG%7jPw6Ll)ZIWl-<|&4Hha0Dkw@ygAyv;2vSla zO2Z(XLw75Rw1Uzl-61{1ptN*1NcT`P3=A>v9=xvKec#VNHx2E}L!+Tj- zMK5#&Tv`71o!`-k2d$q2DMc0qxapbsT>4{X8&GFypMVPz+hRy_VpxUW>)Nrg;@Nf* z5(Ymxz>BH!?@RKIRpL+h5BgvPBTJ5=UomMh&JmGfk`a_d6DLoVHVe_lY$z-j4 zVWrmY;JJLa8KjWDB2DPTMwM^pJa&#+hV?_hvXOu%J1xr%2Gs6AX~2HaB$57945KAC^> z8E><_!$$TXXicRdk-y%eNn2YE(y-i?TSi_mdt#-iSdbkki`7rc4tH-c zz%^2yCn2GsiQH!40%7B2j#&{s-#QicXUIQ>MpTSHH~zVjpZA)*)?>G}f~MeUHKgjA zZSS`s^W>?rPSW_>p|OuQLTp31UK$UCx~qsxY}T`-U%!lrpqVn;*%+iI{aDpX%<(2| zHEtg(GUys`Ry?%~c`zF=dc|q&(0qkBqTmF&aG(cQxR4YjzSx4$_MEN2+O3d(l$C9h zdpDz(l89-2B;?yL%DpA7jjS-H|1U9SG25ngq5}HR!k|KvXNO`W_j}ZX1~0oL${?Ra(^M$z>tV zQ-7Ep;t-Zw7p?`93%NFG0pG2NNf{ig zrJ%xC!@r>E6=b)~ge{Xa$sbtHI{Q68Ren-hnX`It0d^--Bh;7-+zqI7$LGFhtVCkk zn|ul&o8ZBnt|*)GQp;)6BuCC5o3S5K**#YPjOUa1C(XJ16_ST>5pIgC!*5Cp#cUc1I(Xexm%Djg9qmjY?!+9_qiu zq16gXS}v{J{3)g&-{MR14#d==Pt1PCXp4Y89rK&o=HD27rct#}!L0kA5S+`#9v)AQrZ@1N|G@VuCT=uaZRz6$0;+h2IxTeip2>Idm zmSYQwS>o?_Zf(T(VpKLm?Noc1a>(Z;mY1dXL^BajkItdWVvEbkb3pU9Lowe#Hg0wG zTN})_@+k@ftz}@36=Z+?HqAgkeo&y`vC-5)UmRt$ z>pYl_9Lwq0-Wqe$w>zUbNJpRjYy{WHH^SV}Pf-zd2ene`!mj&RLcw=mT;~>TN)|&c zlF`M6O5kLrM>LSJln|?n81jr&PNdo0+mFWz(;UsXjs|H6WBcMzgl^v_vE|<(7BxPn;^&*bo4Y#)q(Qd~ znZR#bX*Jrjq$T9#<)A=uh;dW+=;V=x+KKV7FhXQ^lAPJ=zDj4w+4!OdgyMlCm{7Km z5VK5VpVnZ6_<$l|*+herV7umgQDBEN6q^$hlWlCv`iix$cT|>~4Y|4(pg!6LNA*i_ zq(G;NR=R2w&cs~%4WDd$*`%H*{~Rg_T=&Ux%YrazNRi7an)=)aC;?l4rOo8WfB=!B z#V8P7NAB-OG0xA=BPEx-S1tEatt=$z zlzoViQS85-mm#MX(vc4FIU6U|rt@{)6wdb@qnGC?&`Fm{FrBz34Y`6z`N#5QPXE=NUKaWL`Ga9zVxmHoHo27V*Z3UbZQ%l5 zubK;UUY8Lj?atI%wdJg?K1MPQZdV68^;B+lw!G@$;^_L6?;`CHrAC{YuW zdh|+e)fs!?=mi-^xkBXk4@yRa{PNt>ZO7gHTvkPyZFwq*PgFWHBI)%?PdW;AIcSTr zSDe?HQMJ_kCZ(~HXAn{w>l2ggtfk22Wt&=~G$SND^H~x>1;_a9okLD`I zTX#s)gJ2!T!9f-Bn4bdQlp-qliFKV6&ga^H6CqcjTh5m1!>#cs@$B8jLb_9!3iW<4 zUsjL)V?<%ZtL_2bVtqbenCTiU<6w>aBT#fqhkQN<9b_XX+r-38!HAN9}7-a)i~w zJX`}ALMhL(db6+fi?|Bn^pwONqIgc-|CJ%NKk3w%kCdIt&gu&Wo+6crOrRG4Es25ieuXl}VHl+}WvNhPQheO({? ziT%)UxO?Yn2m1~Z*(Zf!^mj$*VtJ{IBrZx}QDM{zS@l&CuA!dg(&@WR$Hm%JTBHg} z{gfl_C633kFek6)*~+WYXZC9|SHm2)F8~`U53Dd=8wc4xzMRVh@wOlo7)~R7)>%bZ z5u5vH0LAFYuz)jKnSxw1^Hd8Xm4o<|A)P7w5uEHXla}iF<{4&=v-cAuc`Ihp^}<{X zB>WAfuUks7Y#@$y6>37p_r*uGkTRaV{^D7^TFBNua$4w11+MNn5^7O>P>!_wXsJ@~ zm;{J^s-~uFZbq8$vw9)<+msqzzrC9{lbM1o}Qs@YMG*wgY zr2aD=i4O2TOO^0{%+}z(DOCX?jjc^G%GE=U%%!F z@-PM78J^;opS$kCAyH{f&38Gj73sl`P97~NGON`L0H297r|b)gC6X#BT|eI>=J%aW zDgk7+fLq<<19_4pBgo0mkw_l`tiTz3<)fwPE7)_@>91l)%#CTesl)O(60mBg#$-hG zc=TO7Kn;8&BYFLh(hL+Incq%$duxs6>WqI+1hc)bP;G`~6iZ7B)v@4%d?1!sfUCm$ zD^nU%tF6kfkUBfAvdRBq&DdaKgWbB@02+0%_bMtUie-;_(*jK(o3h%6+j?b2Dv~bi zvsw?!I_7g?U+)zb%=z)y{~j;x7n4Gqq;l;%9m~?0RLT9SMWU=eHO`}n5Fh1=5MAVF zx2xNbfMd>O z^x*lGAX21@x7=Mc_vxnBx$oF46DU6t98$5F^hg<~jv z+$p+T_tW^-x5n!L-%yr;D}HwKz5^%U-bZ6Lj#qI|{jW{~k}#85ua1LM{H(Xjuf3Lu z)TyuD(qKwby!Fh3;WV+qLg3c3`|B%5J-9RDRKu%q51~#K#GdA4uh)sNJU2&%XTe$m zDRVukfrn?$(F>_`Aw877cWGO^n1_*&aIg;|>bp<4T~>P6Uu=crAv^tQsBvNSy^SJt zp-yYUud{DtacM<5RVr0yn9Aq1&+uFd0ZDH}m#4Qk~Y&Fn#c z=Gr`Q>~7Js%f{#LkLXtub2;hq1vlU+tAO!ybV3VpvR0;g_2qLz}u-S|`kaWW@?~a(%z$M~6 zR#3?X}@xZWbNu)~`#B?VA6X>Yp)}@$4JZt_7Dme-Wmv za@oiTTh)9uFP8I>O<{K1u*Xk*m<3MrB*cslw&|z6)$LBMjE*uA=Hm8vMTwC;{eJeX z!OyM1_1wyRDaW-2`jD>+^v7DTkgCqvcJ2%gjh8@m0>7?V%@eI86icN z{{wLKCyUgExw&|sTmC}>+=2=by?Tu!NN1ge(NK2L%e(FhW7 zIoKJQvncLU9{_KPXfFTlUQUH`xgB9Il&SVqRX53J{+sLoo0#u-HE5S6`h-BgX*0Ri zOgD^X*PlerL#rOd8XQk9eDbMW19<2^!Yjk>X8gGolh_>HQQJ2DsIn}^L-Q$Pk)@fQ zDLeEkg(-zKnpKQfdbGZqQEF3qqgiz4E8nV_kseG{p%<@S)s`hWN$EVOCCVZg#ZqrI z-aT$v=gBap>l>n!Kd;=E=Hb=w6j|nmX%^%cLAiKe-Q6@j5AAfBIs#j}EsV0tygv;T zo<7)~g}=^yr3DL1EH+L%q_047BzZ`lJ>9*WA58h~O2UTi6G{4#V1YJ$Bpqg4fAyIW zx|(Qk5=GZsCQOZ+_=}&7if7nIU%DtbXp^5;a*33z{m3N z@UYbL2HLFP_cSP)Yh~V=mT~=TNiR}=pqKNWRx6qr@$P&iZR>VrfX^5~CHSh`ydTuZ zSBmG!RfyrdOn4XcE-m~7(uK-Ev+u~YE_E)~oSfQ*cfst}`9^0Axn3$KW(Ag8%zukI z9(RVge`QIYX2#7I2>@LKF`=oA#*>|g=k;xKXK&Ex0<^-MfDU8?P0iNr1-Gar-rZi{ z!s6FmY`!z^xDu1dF(cf_OX6R99yYm3LITdb4YHYrp+KYQ3D#E`pE`^q*)RQ# zut)&ynDEZSj4<)D!zR{3VG^GPF%te9-g4iF`=lRHUYH;d8;+K0lJpWvDxdhzP3 zI3yW_+qr;uKFQxipU3aH9z7E&nmY3S&9Wk;-gj$x`xAt4#WlUCa* z{B+xoAJkOgEMPvoOnV;m!=#fBv=81}>;R7Jkj-L|emw>`sIo!4GtcvX1*MT0;Nh z&;A=BXBX7GYXz&&KSC+b>Og6?FzLs&i{w6~9zE~4stU9Xz8)e>U(OZ{=Y1uK__QhR zwFg4s*7o-FPq*qnR9K1Z02u~ZSnKMsxsW;At~ZpUT`IfMCM8*ni<-A_x5>L)NP1uR z47Cyj7e1hJ*lO*@zO(sROFB_*ec}`-3z!3+UVnypp4RQ-K^b><_w~aqZYM9r&7=ia zIV?iR2T*D>;Le<)YoTneTNAuCI_%K^%wA=71YLFJdCW&Lcpa5okBJftjMuIxmC7h5 z7l!q0(e9RLGx2)Eu(l(Mb2K#Sg~9MAHp&IeL~H|jo;Xc%VRRa9I|1u#ANV%Xil!D3 zLTr&N%x@OgjVVEZ;6s0skWOF`z1I_%a``eO%KD1vm4=4Z&f(qzN)Ru(Qdk5!c}|&+ zdgjDell>*uC}~q3`{;$AFAX;+`G&@~L0uaUI}GTk(Ddt9YdCf7+omfkt_tsk)q4TaG(*RR)Pg-KpMZMky~ zE`&gc`80*?Dx@Kb@XBr9^zJ^?Nh>i1JY((j8OSsaqY>2}&9Sl^1+M@ICk2zH<~k2v zsi-J*UJ;X*tU8|X_H=LvX?13jCLqQhjU=kbDtn;;gpg@p;}#d$Qr?%X69ObUxyY+E zE=^;1i-0HHfuQ4G|2E^|c~ZSbE7R8e>DZ4`vu{Y1vP~uV7Wvzg_2`?qp%7QVDEv9f z){axWP3Exxx7z*^C47Ko8^4YB2lR6lb=i#N6LLjtb_uTcg=IM%RP%+>o4tayCGZV6 zG*1u^#&GEE)@O{PDBs2pY>XJf==CDoV@A1v13JM97^okf?I4tK*bOSUZi%x)FT;rd79~htzRi+qV1S=) zUsXTrG-o9#J>7D4g#HZ#hVuS$)vdrh4VQw$H7E47VpP@CS++O|eI$JFIY9(tQb^w* z?79Zmc*iu^G|@XdTf5tdf_U>-OGNy>^Sdj)s@x)Q1}b>x9eDOQ5f-#CrV?^8KRMiu zd|qKW?BpqEvpHR>k%&i|G8K8HL2PE;5;ixrVcS6Kz7dVsDAJl5;SKqS+?Xjg%f12W zoi2q2K`-Oh+^Toj<0)zTR2|LH;x~G(<@$$9mi7dF^7mnxQ>cWut!VaM@xi$dP!-sc z*kULo3uk1e0^l3OKtYq9MCgH-#XttgR{8X)5?BY5AhEQtd=>-)KpSC4(>-n+&bJP5 zz9MuRB-^82#HID{Ev%w;L>odo&?bwbN&eoIj!}y{o>&on7B7S88JIYYVxe?&DlH{7D7?-9M2i@{P zObJelLP76%k5o(~lL7@l)VgdOu+o`Lfg~Z0IWAecj{Ez=DV^U^Z`SZTRUN6Tpr~;H z0=*MJBzw9Kl~Z&Jt0W)ol1A{6exc>F0gL6=OU3obL7IcXdNlkf%u-{nz_8meI*SYB=ZKrVN4U)uB8&+ z=Rf-{!_X)`o6EhzbPbdP{Y*0T=4Ly!B}THWTu!8P9371ueENkm60tn5m5UwCX5UeW37qszX9?R#5ZEcv zRv>$1z1fD)o=&#pH|*Ol<(o|HAMAmgXi#;XI@LT870X?J^RQ0TCnx#$83=sAIubdp zdMDVaLD&`Rw(K_T^k+*j<%J>4D)o!#na?7Z{pHqe0323N9#&{`wBqbfUm*EhpHu!8Y~p+5@;&)e)+22c7VWvx!$iN`qXRQOLeHnlRJ;(%RHqEv z8172p@2#NZU#f&AP1cJ-a~PlaE>{cBC~$kpD{dS}>2Hcz8>lGF;e=e^c?*=IiYnf^QJ*X*hI&yW4TI>RF;7auI5ypJ0r+pylYg_M1 zJsSfpFyU+iKER)PU;u00eEN36lh73*no;AC4&!|o{fLQPCb+?U5-OUp1*%BT3x&=S zixOmmtv~pD>;Ke1XaB@-_x4IGJ>Z8&i)OvfzS(Q{acaQa`hQ)HIB|dFOW)D2mt|G4 z`Krb+?qc8>8372H_bUu+n`#C$R0zyfc?VwCuwkiC|px z`>$b=iTaWB9NrriW07oED?M+eEcXrCf1n{-i7iUGqw_U`8G!lvXe;pqNoB#5oDHdF zi|_@s&pJ??a5@+u+F}%qi3PVJtsxFq-YMC3BzE6ESK2?Uem!v_(x z4ko(Ivbu%2X@BKEP+(j;nNJJMwL#z~z@Mu5-*uy^1ZZDpZJ4c^1qInTc&&kt&yVMx zkNvrxW?~s^D7IUFG*ui)7oGm7OFPO{>eE%B{GTon^MhngT@H5gGFEHH>}~4zZ7|~? zk?UyDqvPhegZqzM0+2c*23tAiADam*{rrRCYp1rI4Jyqyo?T>rx;P~orqcO6GrmZ{ zV?2$+b48fbK0BpQ2>xK+5<{XyG(Z_-7Q=+|FeMI$2jfKWXN;5}`*A5u-R!=BWJ7 zgSAk75sLd>oXjH4(2!^zJf7D)20Ye0Zae`zpFe>lG9MSM^Nl5O{BEI!TS@g*2_Stv zCInvx0_3?;gNMf%i#fyiDMvOB)jVR_$IW>>i8L{@4k7u1*|LZ$ciSn+Jfxo9$Nf7% z6yM&eVtfJuJVoa}<8|TKM4VcD{yo1(z2^u0{{bsTius!`{$HdsW<_4cPZm44ePhA$ zpu8fHYSLXxu~^B&LJFHBJ_H{i|MW@4z~TnWjfXL9X4>LX#DDaGLaEa-3@%QBjv8=< z6gU#mHI?!1Hg#&uSMyXG0Ogthy=>g(e^7`D^V}Cxo;l$C58BXpO^#Qo^dFdT>eat6 z;jMlkkvWQqB^?q8`U#(>LGs`vMV$IY=Fct)u))9CjfVBowoYP=NEb8Ql@0iuH3xxA z$Ry?SQ3JRs(6pv$?D)v(j&ijk@? zx;QtCDf<}mZeZ_&9-og?jcY!LZ71>LzJCa}R8S@-37QYkw!1bAF|Q6Tj1h{OZ?ZCCWX} zL*IA6%Rbg}fQm=qB3chrl0`rs@27|eai0^nN{;LJyO$qU&(kS0u5+Fcu`#G}y%dv0 zbDyt(K$e1J$bHFFh(U~%O8p22>pC0$9n>DDyId<+gzcBi+VTHFePLkcrD|7La=}mb zKshPdaH?Es=Z+#jw{5&pF~$w?i>qd%j(=fDTCW}d?Ch21AW|+!1G^R>nCHMXXryuI zj^`EjK&~bVIxZ&vlp1~{j#Gd3P`lAY9Z8Qg>a~Zjh|QXSKt4#S%j`uWcRrKdxsJ4* z%u77g145LoaMTwLPG#T;wr%g%3?ggs z?CrSO=Z`dI#u_$U?fIL(?WeL~B1y6j-B#`r0Hb(~&j8t7Vh0jzfClFWDr>FLIC#)Z z0#$HvMj{1)P2jfqYO1!uXXBV<2lM(#)IHD(IdR?n!CS22jt#LL(QBa4E!E8hR$Da3 z8{ON3KaScpJR8WIdlL5?8tf*NiJe(Y7HK9ZDeT&Y(XLxmyfDN+JJMi}&(*>J zdkB7XmiusxoprxthAX1QVE}*|7OBzO$cprd z4^^TS&H(Yyi-Fi7%u&S#FvNgi?Stx={PsI0`LSW6mU4@6 zx8>$hit;U*J9jt`e$@^%JcqL>e7`$89h;V^y+4LW1XTpJzw6al8$yGm$wHLDH@eeW zE3BHTD-*bv)ikGRDd_L7Y~-A}H#OcfcL48U=tt#pZ&Pc-et$MZLFP^VEB#`?R$i1< zeDcGoNj#>Qm}WovZb!n9Zw|1t<|RlS^corfK_W;PE$Bm7_TTdoRaUDp_2<+EuX`oP zX*4u6JSIt@BBRnSw=>w6ac)++snPS^NBo<(ZSv>74eyUikHINYSk7n!-7CW%>UsQm zTM?O4iwmQ{0D1|a;3yWAo_Jmb1b#X2D}ZZal{N_y2$Qlnl@DhZ3VCVADz z(uK8pp1vRnQUDllDkv+19piZmGQ$dm(f9wiR7F~9>azduT*U)Df^zoR66lR2BKGs= z%1s{6ms1!9aoPOF=w!DgX7`+ugJKl;Gq^BiD6Mz{(EP1NL6q62e(FP1g_^mku)~0L z8#X3oj$z!(yT0Q45>!4M%9b7Gcj1Vt@PqAzGh273IrZ}q zkwA;_C!3LsS!*5Nf~|^z9LHs>1N>rW|Ce8>eV4@MOc0=MfkbYaf6xYAGN*gEkSm*< zErbfeWvLve(-Qxq44KKruwfL_)0BAyJ=`{~n%GNYaH7d*XAg1BQClYU3D z4y7A~Jfa(A@^7*3*;o;EM!c}6z)Lmhj0RA8B-mJDiK&GOz+N;_Zb9#Gu&LEynRv@Y zsi|i`pEzou-rFI&P<@-@n%97j0x{l{EdvNDS)kRIYX6MMf3#zqlZtGWFi0 zk`#cBR04Jq2zmg9Q$Bn448$ioi39VcR7^sG!w)Jv6oTm)Y~|ne^-)G%`8ir7tO$jF zXHk{^9cs}ih?mMBIpi%$-xWy*o(kSC1|EWl7vEi0Ruh@HdEF2 z5uc3$%o$W@Ak$|`kw)wV^%P|Bt9Oky4i{H@IZPc3x=pRgeP_XQdz<+E^T~tjte-#k zwngR4>fq)HsA|_?ybcI_zBqJv?ARC}^~zQ2%<1HnaZr~1?!X;+J0{lG+XH&5JQ^{K zPQkS)DG_{}CyrV~*Q&@Ly?Tj7MR^lOb$BgVekv^;@g}Lhb0;&9+C2Sv|1Y*sFeP_) z1&hz+g+KqMNpf+i$g@YJsFbxjmzSD0-IcdH8Hyv#?$zRmZlkq$D9>ZD^Evs}>Groj z(*)si@0=4VC#~&oSc=DPa*k|ii(CIEQSyqxRs`HU-8*49^7-65{C{Dn*_FSgFWfW> zO%(3LH2aK|>ybzo>wZ_}kSwSV{G7o_G(v8{#oGHwbFIaS&KU|8RB3$_On!bIj|BCkN49rFKi=@A} z&E#;!EUUcT?(Cz4s%@XW(rDT`-sP6Jq*aKi;^HdJEHGGeoqBr=PnsGQR zkx!{ki$kVZ5_b)Drvnx_A`t0~p_Gc}E1;&PPM7~A&=;_{|7|0yPRv{m4h0UlCUU6? zD8tY5-f&`%rYve4+yTyi2vR1Sw{B|O|1cYIl9}rUav;??{d z1K*U@wng2h0=`_FEH}^Ss6*ft^WxZ}Nt8|&yoz-^a{(Ae?#nH=z}8!!RTybX(c0hy z$RDxO(+@h8+g})w{-m>U*@5}4+e4sHk|9iA@3~U~daITgF!qmLi>vfpB(~V-bKEJA zZ=xK|&xL3MA$1X~aSAcM{H{RS5k8MsHjY`)t}^8JB?@PS9Z4I)BodNB=F@_ag5 zSleKta5S;q865(1pK4)U%na#hFmk3tIr~Nw`&%HY<8_e}$c!djS0p_Ry65m${rig^ zEgAr$s^T^$8-Qu_BTZ%K^ah$hV&s9*b-_&eANwRv-fjQ11-WAWG4%!g z22a_?VgLR&acnUapY%CdwEvAknelVcF_GZ25%m7s|0aj9;Th7i1JyyTuW~bq<;mQA zLcaQzIqpwoDRcyOu#^?S>E2t&GPQH(!5|_m{HhRxE5U!Kh$hapkm%Awr*5gLQ6t<4)D|{+xdD0G(wU+@OF!w4iH=XjP^!va;EukXdi~F|Ne+qN z+#rAnt>sm$gT%7>{v*7>$)r=@2`U`d6srO6Q#j>({jSU@dCk)JUUwSi811~V_!><) zNWR*MY>`>c`kslu?Byl23;ZP4^Tf0@oOZ|D2od&tFf6!ZP!EgN0g#avF$XVX%p{m7 zdb0ex?-1=eWQ`DM(n|F9#={#N`Td1g`IZp zU%DmLBJE7{PO{*AJNgUTXUbn3;^Drx&%nBfwVtFZ@}jxkc!o@_i8vpA3EUw4pEZjc zHD>)0Rvu0(rRq1xE%*%WD=_+bI8=e;Q-|H`J;s>{7I#?^2r zsja;paBV?0^xjClXB8#1`sde&pu%iajr!kGf_gBK+ti{JhAqL+1yEM;Q*b<8uO%>pVWNB>h`HTx%*n98;Mn~# zU!9}bU0JyYM7r(^fI>;d@H0yha2CP!^P+`M2h!7+yW>$$AD$-IUgxuxOX0UY13_6( zO88(QLc9_>Z8@BGXKUgzI5{X>VP{1g&ue8Va`mct*o&%xD${O?*7pQ7=FpgXMQlt| zWXPKl-hUc@nsln~baYLb<4$zV`MkX6Eeg!*6tSP?6HA>@p2$_fx4$wnGNgBRuQh!P zyC-1R2Ws-=zs|i)POjisaa1OenLZry!GqgYQq*sIYRcQI%5wPk7Q5ltb?3VjT@?Xk zS$|0B0zSVaKfj5pX%`*y)d$3O{R{K+g%MjNwzFq_eI@S+NTwY+ITlmm#J^Ari=iJ5 z0YJf2dr&1w=v(9-$rWI)Zn-=I#ebpjQx{?o6NH}Gf@{6~cVrCPKlka_)}ug*V`oh9 zjtR7_wbj0_%q(J}!itg{{5IO)U3>k`L(SU5AQl>0e$F(F;^Z3?Ls;9ZDC*dgjPW;x z+QZd-X)PCr)){^sX?_p?fN;TQ0O@|>9>|=@L`Bq?kzR*`=W^WlfFe*Nd8XQKP>Emk z&_E)PcuTA9@ys7r`{)mNy_xv&y$tlpltRe0#>UEI?=um`K>+EL383mJ+Pval`|I!<|I9lWQx%l>#_*=35jUw5O{?{+eqc^p(mNr309?~owFW#2i&|KMS4D(5n>qDSulZmQ+zNThkpiD#6_J}ALm6-Ip@tM z?bbLh9MSNY+Zh=A$x&sX{^uB2 ztgNin`LRIcs=4m$>7VdiMeY+CZ9AX0F5jLYDty*N!awE@@j1GTufpN&bwcIQF3LS= z*5g$Q9I9Kl7D#AB%n8_dB(9Y4?Z&_bvcA$aLnq2ts;rN??#Deb5_&l8?(^r5ICh-J zv`ceRx;F*ALH^XkNdR@BNP)4qo{vk={m%Aa_0BKCUf?(@Co1k@%X*1zCl8)QU%7o- zUk`?~=^>^)s|u$Ut~)X{^f}vQQ%n0D&9QKzrti-55ldK=`o;h9<&`)%_Uw3dbuEG4 z*YX89T>#bJiR~X~O_@!-v=&lVS2wRWh>Ge&dkp20;x2~3zYE~OLslA~=;_gFP`rZu##n;nhwDqEq~sz;#@lMy z$}G&B&l|b4%kTAvJQKB@$#>iAxkSnDqc|WOLQO?09NqhbcG>XrF1A^NAXmeVv_)oC~dC zCimYZ&4H@zwZiG(@D2VXII zwPF+5D&k}XQA-Dh3)A-A!p`fTayaGFCVP^!x`W>;^Av97p!aJd%srkLcROc)tp_>C z+whe2*AbI}Q~n#O6a4NRDtoSgRXO9TY7zP^L?o^WCk+OUwGtIrTYLAEz252{K^ets?HPN;Vq zDbQ?SQAw72BMExeMa-%HRvv8x8Fzjp5rsuVA}Km=ZggTM^{JhaTkkFhvk}#`mztWOGk^?nJA-D$!7I3hP~^SdsqtsiRx`qP@XZZWctZ|a16;rTR1aq8%oTB+jy)}^MJ&do z9c{^_%iI7&hoZ5bi%a-q`MtAR7nAX{(?{~tXf!&T+Ix_hi(`JMYyV2lYdA<)pH|My zC|E8IB@ua#1REO^3t{zIxUfHoB6c`dT%-TbcCxCtFWYFxrvZvGU!k}c=G{g;+&wJG zdpHVNxl4GK<&t62c~`L(R8}HCt?8~%qL$tg*wTMHhu5!AB}jX0&FX8%`QTFcfpbqJ z#-AB{Q&RNrzI0(06|2^+6dJdy1k8%VO3eNX0dH8} zi1TQU>ifg;$k%YA>_Odnhoy&@oQ=_96$Ev3MgB{c$}m1>`oS@1As|Fq-6?rjuESuy z*qg8B^Iqqk9N%)y_&GOLmU2I3x)wdg4m;SITo57UU7;_r+6{|^RPQJ)ya$FreCJ!& zI-|Y)6|Q?Ej~hY3*~W{5Q_vWeA_{%NSgZSV0Rb@FBM(Tep`ez#=mJ)Y)i-<%xjI#x zvzzY@WLxMsp&b)L;dV+E{#{u zGLfx|Ft)5))5yrz45lI)PXbA3=`xb|oI_n@5_1FtEe6wGu4N>lx5aNpOgOhy{Q1Ko zdc)=6iq@}G*7|4fF`frr<6!^gSa!WLgUoj%rJtYm+)g>1Teh4#ogBEhepxw5$VAt! zH7+ID(NXk4z{`lggC(b|-@)%O49!7&d-gt=Uf{<4xajC*m+K+5ig7<$-xulC();cD z=Q8p$`6VnbQUAfa6`rpAAkhpfC|o+u=UgoBQ1FxoIu(1-a9Hn+kY*5~f;(}m@6h7e zGm=s*4+#lMMh_X8EFJC1Oa=JOM)jg8j@FB&4SSWo!NlgvXw2E}$vATop&aSs(To4F z)_#W112PiKyaZ6@pLeJAJ;1m`PLVf>BO~vo2s)0aQss)OA00^^~MsM{MpcHwHA2@ouduwkWaXwRNpD1QJs} z<$NsZjh{VsVOlLyCGM#^25HVySwqEs{j+KtDVT(#j>%`I$KzhY*9p2%em5m0^}y`9 zDfvKlt+~|_F_gOicw<&B*Gn99mT%umMYGG(XN0}j2KLCVwn#*5h5(hQwd~7mQs(Dr z25SvI$6F>@&6{JTQMFDR2luMRewYxpC4XB#x$Ytc;9&ZsMQLeisL~&GBXQIa-YE4b z(6%us!rhAS5}+uboGhT$hbe`4LXV+ipApNg3l3bGJIv@CBqZD8Wr6$q?ivg-MBv}ofmhtz+%gfwX10&l8>OV0>SWUCAZEScvT>kdy6H@v zMhv@FxsNx+uW!3Y`{V*T1u=C>No{s~MvmzvB{#i$E-T-!qZGNu{gUEyT$~u_4YRtM z8$!;)p0qSN=`$o+m(UIw?LL=H>oW679-oL@O@#^r5ALfq_n_Nl{mC zZ$wyF*?i?yp1 z22qfcprD`=?dNsbcS(Bq9UVvO+rGXZ>>a89YcIHb`SO=9q+7}B#&zU(PW`@E=^;BM zc`$zXmwMhnhjQp9l5@L!zroKcs*d#fCcQ#!&rroABgNRy#%>oD*E(DST%k}zhP-Mk zC8$ab9n31Mp;}wJ@6~QQlvH5fOs{YTGKm5oqVvOf zd#35o6uG(*%K!N2;zi+mJC&dN)1)`BCfhyl)AN1^gr|(IDRZwq|8TESBC@q4$nRF@ zpH~lZE{6V|zxs|S^#X;-t;dKI^BNJ-K|B?!tx8$t-3pc$aX3jd_ZTO|N4h8){NWPXZAew9_ zxPXVp69wdlM&QR}D&yn*Tc7DAh=+n-|Hqflqby@}?&(7cczEX=B}hp73qIgI!zl}R zcs=Ke4`1NmoMH+8ClZo`X*Tr>c;8dSHMMWk;A3}RHu|={J1_ec6TAMxlJ4#mJic0P zK7HA=*E@Ib9Eu#Tdu#}U;~3a}w$rzi5y(_1c=Xjl+P!thpqJ&s#h9;Qnz>Rem~@{U z$l7JY8+dg=Pe{L;i9FZ0Fx7dxpsW#k)KM)3SD@3)_I-@UPp z$7w#rY9&`p-}@vea{rfMt|}g0GgH=Dv~toV?y_HOr{%FljP?D=;!*|pc%FZp$A@Md za9<-Pr}ea-3w)J;hgTP*l)(6*H`B^pH}APE(P_y~p_O6$KAj@un96rQ`0{zHFFazi zcR89dE)h|deUpVF1T=UdW~bM1PrJRVtB#4Dj&3fr@=M65e2#pZ9lH742rA5o|3z|SgpYUrm|8*dj=|3hAnC$sZJ;ch)tJwcZP=6# zBX!vs4@__%9KszD9dsBmd8Z7i{rVZ*(;{- zlc~j>D%2f=m@-_!9K}H@Pz*!!Vqb-0n+3_tVD5tSZTl6QG#@b!>sLMdv_&V9X@{pK zLTtmnR#Xz_)DWI_b~Z#)g-K~&)a&h$hMt*~)E7DEA$+0(1e1G?g6oK5= zYf&kb0#A>7cGVOIzs6twTNd3fPDSMAcJMwKZA})o{TF@2^XBHUJqPCSYE%{r?fok6 z6+|%~H0pYrLS4#=?Pm&tzb{kjvx{ajft5m9J0r*aYCTP8-qUXRebyb}x$c z@N~}0oTn;z#EUY^uxxWe*x;rkk3!&@weo4@u*WDERBy7%hD~*%LVlEFvfL@K3CpWt zqTJEoX*g0sqha>jHYChh_y6JUtKzDTx@}Pem2RY^q(PK!VI$q$64D{vB?22M=?3W% zknT`QxA>yzg^m0Q@V^=~l|zsBT*pQqmxoAtt^qy6u$*I15fcP*QoJ2< z8n<2RzVE(Y#l(4D_BX8LNEpccS&48hBDJq&MjUcqcvl(>MY;~meekTd$I@1$_H@_D z%y%DcGqay}F`0s~m>fT2Gx;%1@v6o<4SALhD?MrV#Oi~ljAI4S*6uZs@NrwgOH<=Wv35D(*hmfxB9FS|u ziUeqZL^L3^gAp83TgYE4Mw>z9lcaWJUB>gd#fQ7Oo2z4&<-Rl6giUM#D|Mp&vptaA zp3Mk|2VMt^8p}{W{QF*gTt0{M@!Q|(*);U)S37Ucq<6n*v zYGUA`9r|mtUZ`SNz_ZwlOyq7No~(7rpkOMQcg)?)SBqOzd9GVCjY_fk{BdP)gNqre zr~|xWUZG97yOF_K+eUIf9w?djI$t$SNKC6Tp?jLb3ve>Q(p01L1=Hx^%-0dhj6F81;W_lIMqAnl&7)w48B zvDxqaJh1tOE+pSvprmD!uSXF{n8Hx`wB4L%=O`Dab7#@dP}WE4VF9CBUm z5;UcyXnnoj<_n{Hwt^%_@i}xc((X=SXxu}SfoVhJ&Bo`GonG7iyGp4EMd6YbUT-AJ zbEY0Oov&vqrulpoSgt&CciMffz_EXB-MI&^$P1@EJU+|J|9+Yi0n7F{@2pX7T+-?x z+;g1c=^feD^7_j+I%E_y54*b`5>@Yf;mPuMn6)esF(**x8;}5O-ow2+{MKH)57xrMU1C6P9YK8#>BGOC31hTfwPx%9 zSAzYX#+;A#*E_;JxU*GwKNo*upG^p41EA`NaCifnf84VW?ZO$}?pRRKyGpBxPDN_B z%sJ+9IJmithdO)~41dBX5Tp3)=gIDDuM^IR*d?OaM9k5GuhhM}HZ1QB++&; zaSym-Lj{WJ>U4M1IV5dx7#_5I)TsYsOP<7%PM{tvezP7()4#h8n%`y0#ou`ER_dt8 z1Gk}=k8Df=969KBe{j40QKl~YwTG^b)b^>0l0g+?1&O-FIhqtg%0~EQx>q*7`j$2i zoKwR#MZQ$Fa*U2F8Th@tLbBh@+{~FyRvqH;a` z#_x1sF~8{fo;p>2kOdDOuI_mAVP4Ny=72h?x4Fl!mHx!4`rRme zcLd0P*xA|!1q}KA>d)XNclsw?NP-l9Xelqib_%zP?q94=3%0%vA> zc*Bu&)6_W5XUi}d93NSGQBLybHnc9t+nMC+!PP|0)bVXmxQ?sbhrxhnyJ~e6V`3cC zr_0^Ajp59hLX@g=dcs2FKb_SCw*cj>POp!uHZ2(_Z)W}zKYxwAt*0Yd*&pGLd? ziX(Yg?x(aR?goEm!$^u^^Pd2;R}=lub$cv3aMQ(y4#VH91uE8c(=qJ7q#AUugObCk zzm((uvtVq4%<8h0WRX@AoP+zAbGs~u737MfZXSMrtQ*&-iE@9K1sTgJDhXL6!YUCN zARRJ zfhA7rDHKZZ1nO_#^w_vFvEIVjxu~%!81;5%udTnb9v)frje;_ULfwU6D@ptn{)abP z^aCdSGu-fTBH!GNQ(;Z>XBHaICw`?CQ6-cmJ(J+;+fw~rsH%yTTavqcIu3@mr27io zK8)nZn}uy-4K!t_P@BCP5X@~SLVKD=KBo6d8F@j7xpBig1KFQs1DVf>+{m(8l$8%T|70HTM1yjShK zour7dP~+iNcH`p^Z#i7nQ7OfZJ07id-_C89w{6X2j9Zzg;a59H))=T>9CFNbLJXe~ z5k4~>(rSc7n^JW@x=UtT-7^9VL>rs=LNyjJLQ}zh zoQrm8Hfd$$ijKLjwAk17!_fLD#r&Ca6S>v^)XdDxh+Z(&Ciy0vd&I=VUpE}7jAI)P0)7tD;daNZmFSeK_FQ;s3P~r20g)m0{7*Ui<_I|nvim(@Fvg*RZmeGy;P<4g-OUM9=)NoW=Uj7Ss zDc2cLyguTjvB`t-eYN`eOBb($SanmMwAZ#S1A>}&{MLiZCdF#jb8#q;w$D76;B&7! z03a+bf>%b+>gww2UMNbrQN*W>?d_Oyrm!d`_prQN2WUtLp`iWYJhJNToVN#Jt^)<4 z-@dlC|A*;A4WHLNm^*?yRswFDoJcUemLu*s{Mu)*_E7)a$;E71*oR0;GR zhBC#Zw#W%*02CFAI$`;n)1+%^bNMlQff8!|QCk8781JLn_hxWx?7~8Q|CXQUxvnJR zJd0Om4l|eAWrhzSV_yqGC;B!)93S}8pR;6o&uG6!!STm?`xiLB;&`o#-N#h2ZI2cX zVF9~Wi*3`L`1>Eha2r1N#TOD$3}UCar%L83Of;;BQtJy}z7+et&`ey{J2>083fCrd zCjNT4H`uJ@PPHpjj~1HJBrenkz17iVD+pCNe2w=lQr*q1F4#E4YPO=-Eg203se{t5 zy|C(QKZ`jBPcPfx#9dM6FQ1mLLwVGU`}I^2U{c-BlUY)^t3(O|bm!6{b_1p3>+METCrDER zG*CKF_U0-Lr6iylPEn|6uZboKi%W8Y*Kzdq^|3P5^?R1 zbli^!R@?VDLH`0dK5t}y$bED`2LEEl^#Gzg{#UbQeRkm|e}788OtD!;_bM-)X{m3ABJPXx zyHiD15yPUWNNZ7RTx@rSFBR*k9yl3@(02$sj79D{O5HludTkufjcrJ=7%2z|p_*R- zqw=$>%U%uqTHgsTI%W{{`vw6|>k-ek{hN+L#X6+AjWbdKe>ElS<%h2J5`47rnI)tQ zPnHyUM)S0*-pm&43wkA5H!H>rs~p75d~bcKR$i!5D|s=T;R}6}a_?x4kB^=9=Vrms zFfj0JtuJXGwA~D2VV1GE^&^aamA2APJsUBZC#p_C7=7-oTVqE=6F>igbr2~G)1d&X zw5{Aa3A?P2>UX<^^Ov#h%3VJ<_E${iLp5AT{c2&>x9-=^842Um$79#H%S@DRkg&pm zr^$*RSZDb){LtKb)_vz{3|$J|&WJO{Mb&C9QxmJC*lo2;eLcMMaoUm&s{a|fuE4n^ z!L$Lm;J&c+iRhPav8k3n2Hmht7Sw&llsgd=P0GZ@K+4C~$U`O-m8XXwolMZ-yhc?DDugtr! z&!6!ku3hcPJDRT+{9P@v(1+~`PHSl~ddlCk<1w+m>ct|#ElP|^YirIeAbHNmN1W{# z)H%CMg7^rBPFbD}gEjAu)yqo2sZ=dsP6aqBn;0Iy2_Iq zea*@t9LlfphQ$7)P=ygTJZm`8FA}NUrf+w@`yh}#($t6u1#bKJj5Dp<;C1(sgM%l@ zY!>oTOA@M`T(NC5rz8;_J<*H-*ljvNzI}@aK5*L|yXLBqE?Cmb0!?}cn(5L{$K-h& zC0DBjO}M9*TxOg03xBPTiWwAj6Fd9NuBD%MD%Wi zE19bkZ&ydRuG!^+kftbcv{kv*Cc`F_eiiGw@!<0|e$}hHyL{bwL^_`IjWF2<57=Gp z^d}pFs2(TI!9VmfS7lafstENVyjGM=ibdHPqZ^_jZ2S7h8bLlCTEa-immN*h=C7PB z!chqDO>wDKG|Kewdrs;X7#Hxe5g&A^iy4nxm0=e z?ED)3VZ;SyI=Yp{cuqda()YFkz54F&P2L3@uj}oWb!R*rI~{q4`gH5fFCOsow0Y|F z29mLVwYU~XF-=8BL3(>i%9%yu*KigXIAPfcDoD5w(ugYKM})HT<;=++uU{+xvg$LfBz0G^>d#QY<^6AETR?1 zU+?r`W-t{x(kLeFeR5fJDZA-nWvO=4-bcxm6Sm31%B<5oUC{H=vN|AiUX>+r%fog) zG-^7`+S$Xw>@G|NhP=`Tv}<7tPwhud8p!x;(H^HhlqTcFn0Ma4^na%0eYa!1qqSG# zB=*tQb);B?%S^L*EuX)zpQot(YCrk6e;}Hg%`CorxyOwxqkN}$Em3Y&dVll6{t&O) zo&Dg=DVA>CnMr*AM0nR2h6F92*1V z+U=3ZmtJz;$E_5X{WF!`#2hnCchAPwC{z(t>T|r04*4B#r>Z=~26URJzal<3|6v&C zofBGCNvF+XJXEuf-yFqRywo>gEr`KBVI!zr=SD<7_6#|=%A{;Rx)A3f zWV7Z#yC^P?vtDXRwhwWI-E8eIZG{;z1PO&UDg@qYpd3r#l!rl~AG|IfVsBEV9zdbL zgC`cgeEOOp079q_A9=VxvK0rIUtfi%VOUU;pW{iXT8SPue-ZU^h@AI4M{!U0Y%cU3 z`pm?@u|ucZ_9`jpuyA635bZfdo|zQiE;Q>g-kZz`|nL{0iw=rUJf z!c>}U=sYbYb8gQiuyKe#@-$>UD_C_V6Nt%r8EY{BBP^cy^u<8 zMs!JsehdS1UlwJn?ya%#JVQYJ=l8S9d3h!{6!!_?l>5>afFru6a3a;gbF}8IPi=6J zi+nn9@gg?q{cGL2P3YOWL-!V>tsXmAIP0JRTO&O@%V%87gsHhY(ieIkMVKB2h-Bng z<7)Biq$t;p=IItuIbwoSCTO|jH4l0_7Fo>e;A=EhU(>D@;YPY`482wZhJ{birBE(? z!~PJaqy@2D@+*7{|V@7p<)B$Qg3tQfMxI4@uBTvdjp)rxz!0_ zNR7%;K!WVw^G?F;Z+o2yx-Upv{eF`I-xz~$Y$iD6?|Xo?MZ;Qg&5yf>&*~)W>h`fe z;!XT9b2A==Q^WF$Ag%0c+H5Ma`y95k_;$7LhS3-=fSZZh_LFp&$P9~k&YQMP+pX>4 zk;=aj=O=Or0hW@hMo;47O-FSfIb}$m(JKg~=425OI{n-_poY32_c$c~Pq=}3W{KR6 zc_1+g4$koRt|5?rOArG2kJI??zx?$3=@-7ev5GNWz;j@xxF_6B_s7Gf0n9v zzD;t`I}I7xO`McW7v)ks%(1rt)#rNZ)k^xaa)FM#1amX28B+(KHkN6R6U8P30guJj zy-g+(_eA~vO4@{ZHVH+Q2{BY^N^qM`33R3KSV?QNrF9$%}q zz6>NC=ly*o7MqF5!*tzc)-KzX;+uCj{TY2fwMv4@@~F*xpdSj`P+#}?{XrBW6qqfB zlyNjJ2cSGr8RhX3!w{m?usmM&GNZ>}7+r%tJyrhw0)@)#Io0edM$FxaB8WO+1+bnt7L=Gz+$F0$m?Fm3~`_SU^01pq3fah($ zI2&%Z#|v%cAA}T|!Vtm_Fy+r{CYnuas$!(7fM^L!BJn34e9&6-5=?}2BulS!^4}HtOJfenDL`w zu(7#GUJMxX1zOeCg=!^DcB`MWSo{^`*sw$svSnMsI2gX2T=-)syq)L61u;ALkn-7k z9}SCW<&!wa$3H{c9@mZx|I%^KN(T&$nrO7VVn8OQ<>4V*XSW!S=7J#pre=o_#eYV8 zPLZ93b^icH;`W0R8*0mvIP+h0i2)@#kpPqk7iR66gTv)cKGwmTutDa;&w1X}ryXwt z9*3nlI>In0E~)?S73Fkc{~5q6Ujg4Gh#3w7$d1|X8Z=5<{g(p2s^?o18qI%f>*hRY*^d4cGYnH_eyXQdeu@ zn`DiiPGo%CH)*);XeoBy%lVngOUqifoHT`{=~N{D?1KYHOr)fwf-ngPxGlyR#l*yn z9J`)Fnk)0dGcLPj+=2f2yHr6r?D{R)&i>DDw}wkaQ?_tzUwI5Sq{z~YsRgqYXj%E+ zthli=$dLZFxejR`ie!U)`@8k(X6Bnbx3{;0?X9eKfxw=@uVgUT(dZEcPKFjoL8iHz z+A6H7Z`;D|kgSAdmj9GQATxD%arDB1q;24jkP7IUmf0kg0vO~89~X=~2`Ws`HNSasD>BXqSn{{6ssfyC_Q zGUDmxkyfo@J1&wx6+}(!@$PXZqEiaLt?5~RQFk=sXHv%t1u&ZF=XN^wI<_{{YyC%* zKLbag7^F?_lZ};G*uH?t@b@2$yb~olq69$2&K$RgEl-C%Fd-ixVvkxnEmWAogP-L6 zSm5{Q&M_swK)}$NzlV=$>FKvLLo&s}GYIDT`>hARzClAni)?}_pTKU!1{O{Z%j1|? zW`pso%!l$$L6F>hrqZ`Vye_94KGQj%O?o=fP;&cV9;YR)FX5fW%d|Y#!!4P4g^{$H zhR7Az(QOD>iEdvv2=wn^a4cndllrAJ2?XR7T=srBy^y4)jsDhLtU~Xz(|gR)x_QZa z+c}7kuWItUB_iIzgvIpZ7!QTlW#0JGscAem+@$uuSp5D$VnD7`(DmpM4WPA(b;%B6 zVs^L7Y)?RNZx(`JSj!8g_N;~DL>}vUhWS{c@<1~Ca;f6tE~)e#?$$J|!7`8gI7_d= zSC-PFwf<7OA2?aZkHgcZd98PNw``2w@gdVH<}LX4NlC@g(sw!UMhM;W&bvD_E4)^uLMrpdKj+fll`6`)Dl+V4TII(;)&*(c9FvF2wIF zjksF9R&Ds-GV3&2!S-5b5#`D(ra5W39vQ;woXx3AL0*E5OAtirb&`I@RiaT#JhgMk zX{KJC=rd*bgdzAkp6_nQKj}K;A7sLzDkd?Z@<^X^yjE9gDO7H=;avF$#IweP@Qm=_{J^9eQYL{2DSx1kLP(F<>5bYG; zn9Es4wiZDrYqNoBCG!c!J?2WIk_Nby%+S<}uC^+-n%*Fa^pUrJ1d>UA+uCkS=sKwojgANHzt>6rK8zUk>*aGTHmr3c8FIYa^NWLiJrAEcpF2SY ziLL5nx3&;!z)HSiT^)dZ7DX;#Yhl|5z~|MwP7sK!(Z`jmY`d3yM7+w(Wzng>X=$4j zXSdhQHjXJfAC{Wf?;iMvsN?}}2Ik(S0k3?t+GD@o&&2xjyb;iH0skL@^#lz~x5|=W z=~EVd#u}={_STkeqa)ePbjI33ko_|!sDM);&^_LgZR zP*7>?FV5|Qh`NZ4<=Fg80lkv9@1yr@=IjOR4-x0)zFUvgAZ+1wN4I^dO>!pd8h~>B z4a7j*>I>){1eVjF!X1}vZ8hsvVo#;00S$$>N)wPx#G+plFe!#BH&QR^h* zdqQxrIIReWIv>8x)DXG1GbLT&*NxDi?DM;Q_NynvygKVGctbZHfgU{ppJRh&g&GvJy?L52mlI(AWd%J2IvB%)Ov`R z$Q2FQynUPvzmqL05)x*_tE%>w;&MWvXEgIP1A4!waF~s)E)KfvsXS1kg7dS3!}sGr zRYShC^X(p2zH${+vFqwuV_H3Oz+Z%E!y!bw)(+5w)Awil`ufJkaB=x0oFP_;<02N- zS40C6_OaB@mhtpyD z8e)?DW2dFwqXN(Nu+z{Gf8@zLos5+e3?0ZArh=lz)i%#Usj72%EJ z2j%+KAp3MXImE0u4t}C~l-6uVq;u%f=JQoI+k+0`5Hc902lp4AiBLo7l85BQcJ{gp z+F$;3l=$^kHw8%x*K#7`&GlF7uO&2xY?XyUm#-t4VuPh9JOsQos*HIN`yI_k9#!s< zJ=uC2pmA^xchw~!Y_7~4tadh8wDygukF}koJ|}ZTmp@y?puSD7!O8tYiR>7C>|E`g z%h>1aQn&ewP2?co;Y+<%_vx{MGP~u}qxY5%{XXssc)Xw!BmP@qg?ys3%_HjU4drDC zlJ_idEO$Gs#)a@}NUbEzOusPBt!CidyYRZ82Y_o2zxg}0Z)bS&urU_661O^@jE+$% zhVJtt9rbOm4?T(@PMu2^kLuwPIluWw#gj~B+J4mJc~~nfh3P$A6)9STExmP?qVqDR z*q}C26dAvQZNPbRYWDl&t%n*XI^RRu_ewRU`oS6gjH%5YH$S$cvWWi!Z;@|M{Gc!w z@Vu48^5vgaW|+k6K^SGr*URkOw|c26W3aKc6+$*us2oJGfv8vKrsV?3f`a0$yv8UG^lHG1Pdfk=45bOD%`x z@i}2OQ?*vuC|`m@pflf>g$DGMK7RD09uKYWFW6#}96!>bwKyZW;B3MKV?dKK^hseW z3fW>_Z%^uPZ9F;m1sKhDNH~{T-0X0=hQtoEV2J7Z`tTjS4(>4PpCQzfB6BZ;eMvRp zN40C*w8l90uSZXkNY)PRmmWM4@Nb4g+K&vv2<*DY888(NOe9b>e>6Qbe@V>j9OM@H zXfg>kFpo~F#IMbK#ER>3I--%EPq5+CCGDLp2wKjF*x~62dwNPMrhBel8~>!-M#}r` zyfV*=p7supHA>Vd334o9p=i9e+lo1>c9p zwO3w;QP03c=T`E=8GEnTo*ZifYR_%SKI&bJzlpK}05kw|A~6t0pg1BDslr2>y6?Fi zO*T9+!R_uDx?W5)3y{feou*a+IkMUCn-rW9mh5w+IMIw%lQ;juLmbjAmc-8$WY!}` zZd8JjhqtMxnK~H+P#2i8I2OkJ6BP9iM0BeU%Ar^-iV@VtngCGKFdXI|9O`03&Xb0s z;GKoe=&Q6m+etfRFIh-5rTcigPSPFWofvHI(Hj992h{RyBUa2l5zRF1ihZlkj1wY} z5?B3%O!IM(Q&3vI4T~=W+i70z926NaPEvkn7!EFzuke~{T~&VlYK!Z|Z;T=Lk^zS5 zH03)%O)V`}`!+JASj+X<&2`*`li|^_22)0kmaE3#Z*0$bf)l8GRa?Y%rwhL~=|E|% ztmB)134mxTRX0skhQm;#@L}yroKV|cW{l*n>0FAJ9VYp$sShVWZwP~M_SSJzt10PG zq4YEAO;U%~&+1mGDb&HuE~*9*R<|9KwNYYtCeBz06m&oVw2p2?6`EwU{y;#Q2m1#(avsd>CMdy7#Qov zk0`R?#C;QNP5*NI_Wx`6>oc33!2*Hs zXh=&l^*nZ~N4RK^2>zEq-9M`{e zpjX}RnF#2+3v?mN2t&V-rLfGl-zt;fDLB@cKBHJdeZBe*@;tWojg{>Za?_w>K>e~3 zOg|3RJ*tErq>a>NhGXRA-+kw7(E5d{OCB=@5kh1XNA~d(i=^lMcYfQ|xaLUwJ>gHU z&B*i&q~D?%oY7>sR?##Wirzymkb4-|3-LZL7zKLVr>xy^ENO2HS3;;nL~-*XhZ)qz zu_-7*n_cN4%lEr-D9!tJb7iH1<}DB~udJwer7s`O!9YQmW%10)fSK;ie~?a%a^uSC z>hQ2ICtKSH^#ta;Z9-8Krss44wug~e|3OIE0Lcod+F64UDWIP((iTUOzl%%3*k7rL zQ4W&zn^Vr;^yPgGrmV7(1dxu>(&o<(mlc%bquDvNidOQNW1N51kpaX(+VXr*D9Yw%=gt~<)M>W-ne)_B>R8rFZ) z$?QzZXY1&WO+Y~X)p%<10f{EN+wGBKQ7r!}M;qjOOFM+E7LIp9f4VyOFFM_B?Us1m zu1dw_spmkPl%lB1JAlrIeOH0i`M0+CR}+T|hxT%5&D{;F4u5HIODMHqh7KYkVi>{e z7rP%H3@zxUxZe&D+B%G_HJ0O-JQbbgQaz+lWw=eyE~`0t8Y zdACJ2STXYaxK4`EUzpWQdH%;eN;nJ)>gmBYDUl5My6`1)1{LUSQ%IYuQ%@$o%z0N8$>%&0pdbvM{OjmDIy&OX1EVl0-+l@RkW3^u zAE_YK1u+saA>NB1F89qfDQEOg>#?ERj2e~f*1l(_DzHIR-6pTxfhIjsnNo__^Js6O zcU_vz{+~WcetYX!$dt;>Y=WkwV1F|17ZG)5>kgA0b+DW@UGXUfK+`6#InU*Q7F&U# zS?a*WW-#LJB~!}lIX*qoAcZ0W0%9&esWgj{g{S5g@IFKihYsR5f4L|9IgEf+Aicg(wU4xDG~2E%9J