From 680851c8902559f3c0d1caea9ec99a4261167c6f Mon Sep 17 00:00:00 2001 From: nicco1690 <78063037+nicco1690@users.noreply.github.com> Date: Sat, 8 Oct 2022 13:15:05 -0400 Subject: [PATCH 01/26] Improve SNES documentation --- papers/doc/7-systems/snes.md | 46 ++++++++++++++++++++++++++++++------ 1 file changed, 39 insertions(+), 7 deletions(-) diff --git a/papers/doc/7-systems/snes.md b/papers/doc/7-systems/snes.md index 5bb1ae5f..25a77126 100644 --- a/papers/doc/7-systems/snes.md +++ b/papers/doc/7-systems/snes.md @@ -1,18 +1,50 @@ # Super NES -The successor to NES to compete with Genesis. Now packing with superior graphics and sample-based audio. Also known as Super Famicom. +The successor to NES to compete with Genesis. Now packing superior graphics and sample-based audio. Also known as Super Famicom in Japan. Its audio subsystem, developed by Sony, features the DSP chip, SPC700 microcontroller and 64KB of dedicated SRAM used by both. This whole system itself is pretty much a separate computer that the main CPU needs to upload its program and samples to. -The DSP chip can +Furnace communicates with the DSP directly and provides a full 64KB of memory. This memory might be reduced excessively on ROM export to make up for playback engine and pattern data. As of version 0.6pre2, you can go to `window -> statistics` to see how much memory your samples are using. -Furnace communicates with the DSP directly and provide a full 64KB memory. This memory might be reduced excessively on ROM export to make up for playback engine and pattern data. +Some notable features of the DSP are: +- It has pitch modulation, meaning that you can use 2 channels to make a basic FM synth without eating up too much memory +- It has a built in noise generator, useful for hihats, cymbals, rides, sfx, among other things. +- It famously features per-channel echo, which unfortunately eats up a lot of memory but can be used to save channels in songs. +- It can loop samples, but the loop points have to be multiples of 16. +- It can invert the left and/or right channels, for surround sound. +- It features ADSR, similar to the Commodore 64, but its functionality is closer to the OPL(L|1|2|3)'s implementation of ADSR. +- It features an 8-tap FIR filter, which is basically a procedural low-pass filter that you can edit however you want. +- 7-bit volume, per-channel. +- Per-channel interpolation, which is basically a low-pass filter that gets affected by the pitch of the channel. + +Furnace also allows the SNES to use wavetables (and the wavetable synthesizer) in order to create more 'animated' sounds, using less memory than regular samples. This, however, is not a hardware feature, and might be difficult to implement on real hardware. # effects Note: this chip has a signed left/right level. Which can be used for inverted (surround) stereo. A signed 8-bit value means 80 - FF = -128 - -1. Other values work normally. A value of -128 is not recommended as it could cause overflows. -- `10xx`: Set echo feedback level. This effect will apply to all channels. -- `11xx`: Set echo left level (signed 8-bit). This effect will apply to all channels. -- `12xx`: Set echo right level (signed 8-bit). This effect will apply to all channels. -- `13xx`: Set the length of the echo delay buffer. This will also affect the size of the sample RAM! +- `10xx`: Set waveform. +- `11xx`: Toggle noise generator mode. +- `12xx`: Toggle echo on this channel. +- `13xx`: Toggle pitch modulation. +- `14xy`: Toggle inverting the left or right channels. (x: left, y: right) +- `15xx`: Set envelope mode. (0: ADSR, 1: gain/direct, 2: decrement, 3: exponential, 4: increment, 5: bent) +- `16xx`: Set gain. (00 to 7F if direct, 00 to 1F otherwise) +- `18xx`: Enable echo buffer. +- `19xx`: Set echo delay. (0 to F) +- `1Axx`: Set left echo channel volume. +- `1Bxx`: Set right echo channel volume. +- `1Cxx`: Set echo feedback. +- `1Dxx`: Set noise generator frequency. (00 to 1F) +- `20xx`: Set attack (0 to F) +- `21xx`: Set decay (0 to 7) +- `22xx`: Set sustain (0 to 7) +- `23xx`: Set release (00 to 1F) +- `30xx`: Set echo filter coefficient 0 +- `31xx`: Set echo filter coefficient 1 +- `32xx`: Set echo filter coefficient 2 +- `33xx`: Set echo filter coefficient 3 +- `34xx`: Set echo filter coefficient 4 +- `35xx`: Set echo filter coefficient 5 +- `36xx`: Set echo filter coefficient 6 +- `37xx`: Set echo filter coefficient 7 From 91cf26007fcf2d4cecc371882288c89f140056f8 Mon Sep 17 00:00:00 2001 From: SnugglyBun <104454752+Curly68@users.noreply.github.com> Date: Sat, 8 Oct 2022 16:09:30 -0300 Subject: [PATCH 02/26] Delete FEDMS.fur --- demos/FEDMS.fur | Bin 75333 -> 0 bytes 1 file changed, 0 insertions(+), 0 deletions(-) delete mode 100644 demos/FEDMS.fur diff --git a/demos/FEDMS.fur b/demos/FEDMS.fur deleted file mode 100644 index 4c521dadaa23f90f934abdee9e692d25f2bac28f..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 75333 zcmV)TK(W7goa}rDd|TD^{~OwS4@6heD53gy>sq8@4oZB=So(= zg2x`&cE{!|vIic#>#?m{3LXLgKnDCn7Oz;a@_k%#2Zsd#;Gw$N01dz}$jK8};N@ib z#v9Kb2EIk6OKtJ8w#V+dXX}%7k8MldI64=AAaF_r2!5pu1e=om;L!l! z9xedv5d(lrk#tcW06bF)02itOfIbHRHZB5y9V-DKxDEhD>;S+_DtG|}fnA?~z>)zF zxOoHwwyHtkS2_@Q*#H9Xn?Yco9R$v}L7>ME0^?y2@Ww#^3qt@84*^*e2$;r%fWatLUwhJfx_5TKh20iFdAfLQ_o+~p9Exe5ZRRztv| zwGgmj0|eZ63j{p92?G9fCj`8^1p@Z`0s>Co4*^}|5aGr*M3sPbc^d+DzYhToA45Rrrx2j|0s>rnAOJc50qnyN zkbVpTDo#Scf(8g!cMbx6(F_4kwnM-ldm!NLK?wMA6awnUAfQ7B0b>&o;GBd2&6q8)4w_TVde$x5L02n_=MdyJ6tOy)e+a6$VBhgaO+l zFc5zX2Ix=1fb1CklyS*o!dmyO&|$^;clvvsYo@`0Fsx@)isz z--Q9|2QU!(7zSvc!hm!a3>5E%fjRqN;KqY6u=xlKY(EYI&!2*Uzn_MIPtU@@SK|-_ zp``o|9)SP|!BfCsu-I!jnz|px;xKpukwp5jj{fn!|Kr`iax~ReI4K}_^$5JW`yKMV zLe+9v^;A((e7P*ST$Wxg%PyDYm&=OFWhJm`?sA!;%BJkfrW{za`X*V)<+Aj0 zS$4U+a=zknS$Vmvx?E2CM-!3_m;)@R0~X8$7R&<{%m)@M02U-0v?^&RX(VYNsU)d6 zsWz!Ixu4XQRF%||RMOr?sr|=DP5#Gx6+ACLZQ$?8e^+GNgWI+|ac?sCZrgt4#NrjJ z*DS;*2?}5XbAR#RBX`MG-@EOety^R(9^AeKz+kVckU|(7B)Y;Tmj(OJE=<;AAo7%604nVUn-$J;Gf4Tdc@A_s*dP3s{g?K?xjp}# z#p|~I-PX0<`*X*S?aOP`f8EY^*^yyaVH-gpkrY4%|71G&&GM};ES-J@-zxCsj{bjj z2px|HzAttE)UTVO)5ZTpr={OQsM4>w6Zg-GE)%Na>n-@VdLl&rRzfDiUsntLQ}uO1 z{of|J|4UmxG#>rj@MHV(TJ>MI^IdkX6Y5W%D6fT3Yp)V&l1R76>h5^-Q2@YS%`l|| z42H1u-`Mm2ixhw`z>;sPhcUpW|4@0k9-Lb10RF=ux=y42+tl`dY3qkZp`ROmY+qih z{_A$W%g%Kg{mIkgwa{qIS2X(Mw(Y+FAowc^ok~h&$pn#l_1b@3x&>eyz)za`s{UUy zRRDvVx*zuy|NUzw3P8B2?DPMh{^tf>r_ldxTKm7W^+O}j&kaAeFRxYqbvxf>=Q@S{ z=y@xAR?guG8pGo*u7-MrT~5(Yx;0w)x&| zKMM{8uXE^iTi0OghlZe^8-8qGUaS7=cD~Ebbq@W>bK|ve=$xw@djFO!56ON;L<(Ie z((AUa!PXCrLq9kC*uK10{nzb$m!0cG`jaQfYa!CQs~?LleB{AjZoT~VB3UT_VPNI`9|Hn@^0VxdP2!gLS?ceXGlYsvuKhb5~|B43wyMh0|&2Imf zwti?J`nlo9_T{zezi#Kd>|AHkpFBfe3zN>hnv$+xuxaVNo9|!r*gac{OMVtfDSSOC zy>9CoZ2izk^mD_H?aOP`f8EY^*||=oKY5D$FR66(wnvuT@#L0A%1eJ1REoJyrPpm; zgRLJLiGFVQv3+^1`mfvhE<4w$^e0b|*FvRB2~$*>3M}6C==Mh*dtl49?NdMW^mCw6 z;9sb8&e!(8sk`52q#;Q>nZ#pBJeN!*vjJxOdy;?5*CC2?yK8r2ARh2|V5@kswJq8pck@PH(okT_w@+8uLD?gK%nuO#k#7T&f z5MIU9ayc&v?p1KEf^`+lt6*FO?JB5W!_*HgPA#hwfh&KoG_`F1)w}$Cde-Uybdyd6DC+1yr;p6JNDf>LKQ`DRLiA#^3c{m3}??LkaGHg6bBh1pE zuie=GECof&H>~~$h1zFjp}#k}ar-BccTO|9IG>@*e|FWDV_uXD3yDN`|EG7<=JUC5gnn{4l)wktY zZ!OBGT)evY1_ZeSdHh$`)P*Uz7eO{7$ipl3D<8&9Xp|FwLU(@OPJN5CqtA|ex?68X z)^5Az7G0H_<`oy%Oo=1SfeY`T=yTuS_VXzE`G@G=Prcs=+qy>$ral#!8pT3d4F>Y| zQ?cX9!_45vEa=T6r{&_$-#qRP4|J&y?{VnrvHkUs(a||r%5tXU%Myk6)XFv?o9<7x z<%#d#>_-sf=6fG{Y(rHhw=yfrRg*+>LCz4@vg>sb4Nz^Du2V zZ86S702n7ef=1u@9s0K~FTDNS8_5PdcN|4u{S%7r+mAl`(VyRG+HtYv*&`ltXhc70 zwPs84w2T$vvZtR|zIgTH$lRpbCpRJwY`9}X=}e@GL<0AIVQAU+(a@(T`sU}~(91u) z^#c0$eV@Mf%1Mo5&xu^?Ys%?F%uL@HXdJJep*+a09X-KwofnAx)`{Lg|6pvW|KRSk z2X?;uiSoS zeE3+hufIa(D{4!Lv-0im;0Q^*@6RVceC-^H{`rs3CIiklOVX9-i?5;R@w0oMt2YhD z%?IcOG4cKLD%RgPrS;d}&vLSjcdmZ)z6Tdgn=j7bR07e|DtafbXCO3s?yXa{Pk#IP zAO7(CHIKQIe@+&EL|=L9**~E#|K+vaC^~i!eXS|^@J^h)cmn;X4c+H_{^KuSKlC1c1Als6m z9a*>Sk)#JVBEM#DMHbc|h+xfASx+IWG9TWAU%xC>OcPS;JE?o$R~#! z&Q<`_h&|pchD%YL%T83yT|6C3TcfL-A)CWX&0d$y%3C|jTeiLA?h0S++NGJPB9USv z-CvVBeOf+AUzSNNU%?a=F(i_kR_2#(o<^Fnb#?B{TFKf6+}y`2;6+8ng?OQ&$YIHd zH`3Yr3{*pxhcu!dYwdC9cJ_Nm)Q3On?;ksNWXCIAAHUcz+Ki&7cR#o9?7M&8z5BD@ zogYO}=l=IkzWX+M==|@Go$hOW@%845^=+*xl~p+rog599(Cj%}x&I_cNsf-R22p5KA}pQeq0cE*K$}R)W-OS7Lfy`H*maSz1|E>Vg?L#kVZr zELlNae#gR973DY0PcL7PEvu>$WE52kLr_uf5S}IzJ7I>HuQSJhVlY%AYh?&LnGhqx z6_ccqGtwhiJl*Aj>{MYpK(~48N6Z$zE8e`TckDdDwX4Oe{@BFmId#?)^K|W*7-?XG zU1yrcE{zS;UmPDiJv7n1rzJkv7*W67;5%~8iB~^2G1l)LFqoj0-Tshe0y8p@aJWVj z&IB8W8K-6Y`Am9d%JeAiMrL%a3|Bmh1g*+-kXA1&5K?B==7^Rei{`AmX~ELj^O0Z7 zMz-ZXuyg~mZ3(hz&dLW@=Ku1kxy!cl<+t9pu1YMG)U9;}=VtkX0v6~F8f@YIp8lqT z?d`pX-g^N(f9}8suYZU>pL~#idK*RGef~0M?Yt7Plk%HC{TBT&8T?=0^U>!!Ufg|j z-xrSV_l@RJqei7a_gyy> zA;?`1+_wI)XYP9R{##bv_2dof?pd9;V%y5q6{TC&?(SKPSj!L@UcryhCwS6h;^i)Rqz)+eTd*S1Z_FCKsLp2wcJZQ0#h zwrsig&Q*7>n>BaUoSRn4Gv>;%C6DEJ7iVS9sRY5I+I(ptnMF*ikkEqBXexWe33~@G zMI)#8?Dcn`#|F@s4t+F5^RJ%%hEVm-!EK6bKYbtlXy*$rwrhU>ZbQ%67UH>sAUt8T zhZGzOcaFp?FM>;I=Pjzr7A)MdV&RgN%U0iY!=sy*B9Gqp&;xfrjcmFDLGF7HS+^0{ zvNjpGwrslR(GA-lSnD-mStvRiK3h}<&&zB?BbBaaKG-CrkMxkkaOEW)IxE5`zK zNOfwrzT^GODOuvw*=Ye&<|cl-}r3*p?&pzO|FQ>i-`g93PH&dZ0dq- z4{um=2Xg1-p!vwR5Wu5Xx7#*veEOlav#M@duzdFHioC+o8>Vq83o}wVMMdd203s-G zQR`5!N6Xgi>m2CX^V!Kazie&!5dHn0x6!F){`H0L#|z2J-b+%$Tc3RT-rFC&duq?& zj;?bZ+Fpxo%;Sw=F|%rD^ooV4m75>9Vb0pyw%q#oGxt4-JoKw4ANcjQsYs7Jy$N}0 zd$P5^x-}W|Z%a(&{q;h&qcK-6^CAl@T3bGf;MKhLX z@QRuFMMcxOL~MFNEK@WtC?vXIoMphD?sU7(>8T`h-x-Z3Y`m!J|9I5h*ZqFa_~50( zWBt1h7{-qrJKCTg)istviwYs6jG2Ub9Q3eCUgEp%v z?CvsqjOGZa3dG}dBPqj7lc%ylqNJRbQ&KAD$Q9X~LZ;$|LW*#DM*jRX>85Imd|e%X z{mSgMchuI*m|b<#-FGg|sb2T+P4jQAmfU#H##Pzbn@h76%&y}p<`w16PQx?vX!1O+ z*hCW3Xf7NKQXTFfDV&Im!kGarlWB^J0x-rm0>L%{&U_riD?|1Rqt3n#pXE}w+T3)0 z+^Re|sc*8nC(o%|6AqupWD5DBI2+L)kH`E(nLldf7l|ll4+X;)3CWXjqJ$U(aI7c? zZq~CfHfm(V25P!!jE?cR)}XSFTXYkCXF#Ru3wyhIlw+eh)o^Ery8m>yw*BJngu%1KM43X6 z1u&UlwHyZNnOJJ3h(e_^@i3Vy09-;joGNq%()jEQi;2vUMnz&PLzc^C2uT8woRgnV zO_!vWWhv6r3v%*PvMOux6$Sk4tnz9pGfP1hmuJAm*@TQ59C3y)yE-qwIG>j>ZCb8) zdRZ1fK5s#45ht5PQ^*QvM0&o@L2{7GIcjH0&_>XNF<>NWYYXCB(Lig2G@wyi+@Q5h z=?IN^M!Wo=${T4ilUy1MgE*>#=uBeRNwHeF!Z;KPiy(mJ^noyqKnOa*mV}(EvZjoV zM`95hL^1Vgy)?t{NPoW>KhzvjcSlWwx}ga`*BT)8s+_v9iD2s)=ZL{`s=M#v*ku33 zcaOsDhX%F1{i7qt-c^~pCr8^Z4tR`{)^VG4pv{IG9gDk%9f?6hz!Km(M?z2_9`s>g z*y^1GsW?j9H328x8wbHuAe3LgU`W&Rb8~pYq9s-36`6IVmD8*0?wXffQ80b>x;1li z6|OS%+b;3 z3VM2nmF|gFv)^bKRS#aWjF~6Keg3w3`=o&wYBmOyla5Xk9I~6u!()UrzXJyX5j!MJ zK6i2oi7&_%3+f7DP+sn|*=(#>l3kvQ<5TffBC?a3MgW5>JVZ9D$&`T007ZI6JSK}u zXYbRFw)c-UH|zT^4G)|?uN-Y0=-YdutF5*BLffGo7gSxl_MhzN=xjQ2qNT5;wYB?H zpK`3ZjbZJYbgDx*GZ^v9r~xt?kIj`+1l*hyQD(L{vr58GSyr2oUR05npRu5bJ$pf+ zm|s+wkzX;rghZWHlv|z7%&wF2bL8}_Wo79^Vtx@7my^c^QiNd^E5V_V$YhE=$Ry)o z*pHE6LLRP^CQ1ZJ7)dcX0YixtAvZ=Wp44X+F~hwbiAwmn0fB}x1YcEEV}P(H@lTSCpk+=();&G29xr5)t!j^#NNs8$L5#ieH86D^2*^o zd(3^^>WTQvud|}Z`z{{!CkCC_-o_zC)&+Z7jlDIRS7Ij<__i{7>V~*r)yk3?OO`L) zUOs!><0~tX+Psy>jkyR?7~hJ-Z$aeC%Bojvy0-{<^xmhEGpYN&U)%plo_G-1glu11 zQLs*JsRAx_e)|0Jz1PsG|0usfQL}A-e`aR>=37esi*{X>duujU4OeFL>k=3L(mgP> z_=UdqZAOz*)Ia<&nI^n&@cj?_jvu<@^!4a1*qDKiF_}~FCOpRL2PXOhUY*nHF^%rl ziko{{+uFK&wZmvo((uU_ojqqp+Zz3vV|I5(7=o%WT~um`EIUoHby~@iJLcZ`>qQ81 z-eEkD@LJ74|X z&Og78Kd~1Mx5z`EwpxynSk+>f7eC|Dm~CrnZ+CJHGGI-0aKOZ&+2mG>2^p zH0{aTi5~uMJM(4|FZ8_d>3M7Kc_nEYgScw3aS5{S;p9lT_v>x?S*N*1j#De zA`6J5EJ3Ut964=mI<&WO|Nb4Hy^VhQ%CqQ+J?Q0GHTv7jN#nc8`E~M@eEAQr9zO6w zTl+`PDw|O~uK%db)8H5x0(D^*BLf^I)tI;(KZhkG!es?o=1r>6wftZdOZAA&c*?jJ zt~+&_2+o}NY&elVp(2>wYF9|P!$d!(w<;T3-DjJ^nod{hHLN`+ZRfbEE zO6Iy-rT0Jj$oBQu`h<`*1@}LG|Lp2bnbQ{d<-@4;u`|CT= zm;Q#L?|eFy;600eReYQ5ntD=~E;gP$WNO(LVf(x?Um=~gvTkd6)i&hO?cdRdWmCn? z$@F#2!yD%>T(PW-vo@PogpnFCu6{A)^u_+>flh1FXKyNdKW^LgUQ)(=l!D#LQ|XrP$;5h4Hge zyy@%|N%<0pU7NXV<1A6>lDhfxZdkN=ZPC3CR&7X*sinzD`rXLwYi`)OdD#;;&s#k2 z_Fvb|yK_P1jE!rS%I~|oW>a<5j0fiPwrrg%lFVLtXZo}mS$(VWQ zz^kvmbZFrHcJIZ5XFRk}qdAoU*twOQY)X15J6mvTEiY&NywchE_uYNV-E~VI->~i% z+n>I%?jB_Q;yczO<;$K~Ub}R8?Tos41mcR4Xf{{KFcBfAcEXUjcv9PT=KO_v`^d{^ zJo=l@+lPjC?bdXS?`ev4pS|dWX@~0FAxyWvklX+g1u{&T*n%(MSEZA)tMjMl5M~sw zn!BKOR&lIm>z!3gR~OH%ljhGs3K$QqicBuwS}tCbiK`Pw@@7Wi1#9C+eI;|LK`tOj zr;rNrEx38hF{AtqHTX5N(>NJ7q^{5ETJ@yxwB%8Dw4^TG7qC>jG~sbx2v(o+y6bV` zqbDc4WS=R~eiXzRm0ue0J;S&#sOmbAbyAPiXPyu>kWf<81z>}sBbF4%|{PmTngLmqQSCbi$LfD){@R3>tXr_(|e z74%vWd;W_2+$D2Mm*g#dq^xx1?HljdSiNxdhLyiU1X5&;_rb?kt*D!E^P1U}jP+}) zrj<{hE>O%CRxFlFV3?vP4hab=QrX~PDgAUb?NmFy@4Rd9V#ss$e8a&D4LHl&{jHxL z=^oX+vd{k7*^#|_n@*l@xp3)~9YgNE!^Z{>eKO`6K6t6oVj5~4)?RYrS{tdlu!jm> zOz`MIh9|^j3*ug8wp>Kv!o(V;CvOH$o3bTgDa64k^LbHW8b{B~GSc|HV5-yNj7GE` zinXJEoa7x+xozY0o(?|lQY2-l-^?Hk3^?_6AR4x4%|TznF%FoGtY{3hOvV(cOs9v; zqnH&`4VEj$i@i>PAQQ_pF-v@DX&g4hAq&&Vk?9Nc;%tJCv6vGs+K97evALpbX*4B| zYr$g#x%gx%6i5&m(HI_JVJ1z9V$*~y>I_kmsil`riLv=v313xlLNFsiDxIUiQ>ke= znW@x>KtX0EhA8QZ#ek>ogfxYz2_H>xqVgLbO5 z$scc(acvZG$0VO0w@~w(7RG1^&#n+KVQi{A!jq@dvRM9Xg@Rg^PR$W=$t+PSgMo{& zXq2>si7ZJUR(TQuPhi+}A!O+{wO;IsDI528+COcYJaoSEQhQI!N$pTWa@*b2?1S3R zSiNIr9MuwZT5t-1i^0W$wR8G;4@zm%r4j1J)#9>JX~nF{%EHRU#g(^JvMZO>%v(|= zFR93%JFj|Htw>gsTQ)0)DNE1EE4AV0rqVq5Ob3e-i30&SUfE{}qz*L)dV5Z3yvJUg z3^knBbROSxPIq2?;=o|n$E}!)_3h^`T-5fTY#SUpakyv5(0x+V++kFnvw6pcE)hHy zmn{$@d3})pCze(-!Kf%rp~!NpS<7xNN|)X^vpBzI{ajJut+P|}SIE;Vm(LZI$Ys-R zCQi)@fZhl`!jgg8Q>KlaIra;txv5iJG^m<7ettZ|;O2UWx#=LgWVRvXkIJZ4)G+{g;T*MS+ z``Mag2|(;=r-CV*(LWu&AeK&0U@7($rM z5^=(yKhHs|AVj=!D=C{64rS#s=!{TMkQtcHXp7_)xb42Uk4_5*$4BrnyUz=p*0{|f zo%(`#@QnGyVU5jv;rPW9`mu%%t-iZ`|G5E!YM|qS=Hv;tb0jf-_`KISG45awstul~ zE#PNk$bOYLXpq-tI{D%O+)^H;F5Mz75azN{GSaF8%NF6tJ_5!o zrhDyCER!E`8wq558nqdh?ZCnr>G4<^F~Mi^Vo+JS&*x{S7x3{u2H(fw@?+dACj*aX z!fd)Cd95mks%A11(FolQQK=S(*ft)(!G1=dbJ7*TdMq|$81%(;r)?A-ZmdN~4#C7& zpHmt&o5Pq4w%JbwY3Z^skS?!+Ln$dU(g{Ue8;xJOfD@5Zy{Vb=F{5!pc8cG`;X_!A zO|4;$Zz!pH!eDHv=ZNET2n z0EopI0Y%h@#~I8*rYj+#hpa?8E>&WRasV-x!6Z7E@~{`f!wFI;ZYPdL7lwh9urXdj z^!mvP1{jV2Tzp|!Z~{l56~^#Fp(K_f_TlnVcqAg1!XbzibT?N>&!R=KJR*bTSK;Mi zS}fxBlM8W!~|k?n3yR}a8q#*F;$TQ_!G<&298by;RKP) z3Nn~sQYa1XORz|Oz~4%hK=1A1#7#ZzS(flfv$8GhPbWL7@17m3D z!qdIJV8Gcw8Dl$CLLp;dAQr^aqfopzmg4sWeFO&Ac$SLq!xnPFhLNZ>#0`W))+pqP z#>2L8uUF@bn(YC|=k-pgBEd;=WMIswi9^OfT))ShXLqb{eBE*$kq0Zy&0eMcd zhe)vb3FZ(!3^PcHxDF$sVp&XsQGGY8@1}&o_jsQV@);*eRParrP@EUyDp;%f}X@WvdE65G`$T1sCqtHTbv6LRf zGYEw#IG9dkNr5;~Rvvfb1AGx(Kr(oEIHK8?;&p+L!I^LwJYl0(H>%cHgQ_-TsJ|mR z(V)S)9D~DNN01hDlg3;LKM5NnmVj0ZwLC!0!edj&5xQ8+;b$^QU`Qm*&SJq{D1+ru zWZ8l&qE8za(jiME+-c+abXa}Ms2x&5{-aKc?%2SjVY1!_8Vbt{b;-0HsM8ufd%xYc{ zUB_IMEfKOfoEfB04pv*f5HNP9mj&5$(4ptEht&*@JF4t+JA6T^=VJeaA)syd>GhgH zf~MU%=_c7!W@kWeEX155fH88M5mnPO0}^Rtkw|x>OPggIUZ~s zBS(Uso>905lQTGBvdOV=S!9g7y3lIm3u+26SyWPL1=m(FokYGd>u5+(m+r`$5oFY0 zY%IRKl&?SOn=x-O8B6q+kEqh;FYP;%GO^!OP!s4m`fi}^pswJcW_u}$E<(=DA)xyf z5vUIrqUi0ls1wbsD6zgb8EA7 z|C;VieMccF$KPsY-&8hEoK(zg^WA=BnE+Y%jY;0RT#E71@xTAae5Z*9p=j%@%h#@; zAV}$^%-$Dw`)iY_{+xeSgdh)#G*f#wVH#ZZrsU2O7rLfYe5{-I6tbe>+#qgbc*20_ z(aY8jT{X~%?p>B%dR57zzd~}O$t`tW#k6&kxc#3!g?x;DFm+ka4a*Uv$Bv>B1j)Yd zp10B8U%mgEQnhC%DKnGJKFrITkyQ31yMW0?KREAwZ0R>RV1@dxy(HsTcm7p*0(~Rt z!$anAjTrb~{AncfK4haRdEEvuSvbN=9jWBS-j`8xynYFCJA#zAOg-v?Ve*_=4H}LC3Sq397#_qP*hNh#L%5fSEFzA zE&F8y`d8@m?)wpBe?5XE-lOPRFl*Wp@3w7h8i_b7Juyc@1wHNM*S9D3@=i%r1P+t>3R zdho&9jI};gilpe#^GH2<8)A6R!9mgP{cknDVy{Zq=Wp5bhKOxJ(KVINqko#xvm=S$ zz5FGL!=UJ~&R^e&tm`&he#UnqvzOe)N3tf8`wy!Ay0`F@8u(4^iZ|4s#dt$omm!EW zZ!4Gd)Z7a)!za3D(c|oH;atC;>}-8ca^MyHBSpW9(7fm{f`}1hLF34j#_^jF1W4R$ zdJpwJwSG176au4V2=c23wkF@KqQe~d;xklJp5~-&S~@fq#?g+w@%O(miP%;YopW#KjJd)UpM6i|w#V-&JlS57 z^TNwrsW~VASnsUG{{Ca(R$zZRvI<3KEPEcUxFzuRryG%s+j*#e=Cthoq_e-+^WiS^ z-FMKJeru67p?xK!_djG(#V4(^Vqus#pe?G-r&iZ87&rcM7!A~Lx#EDHaTvvOUPId# z*UbCCgGB$lO@aRTY)|5$lPJ1+f#EfD{8!`%?XPD_t7eE_vp#zN%Fu=R$mADy-?z6h zVQ_cuzfe|ftnU)j)XbdW-KPp>8`^(LE_wq6WJf20iF~F|O)EX#R&pLa@fq8rwJ4|6o!TYg z!kQxFK1L~tPuLUAErzDwQK-7uyb8gdFTVM1?U%FXdycY2{ZOC%<}U|I5^Un*i2g`e zh1;+DbqC5Y@0uNV5(my<3kUQoH*r%KXIU*@EQI@Q-kLVsM$Ligcazgv8@5b4y%kCG zo-bQ+vZLzp^?CQsie)b50`w-`Y|L+(TEFD9>`uX|dwL3Ze$uFx!mG|ePl(kjc*2o8 z@N|&s(pSyKw!x2wW*d$dQYEze| zPBP-;)oYKSDgkFqfKbm_B0h4cEB}VN5lRIWid8duPOwY^Jrk=~Ba&$=&E3qffGHon zpycOx{mLP$gLVdG)Y9<+iI!VIB^GA4+x*lefLApKzy-&B;jAIfbpgK-Bm-7}$p zg0PRBAtGd_^#sz>gN$OVQ$$t{5X+BUz@*9;vheBQ#mg{iU)xK@8d2-%AWw-7Y$6Tg zWa5~jN}8JzB!QV5I=u5mWm0YK10B066#UV{m>zY*h{LA@OB@QX5_l|{j7kQFrH|%C+&Q_B(o<3^?3R&Ek>n~n+v*l(5A|UroM_?PjGU~@ znhc+_k`tmSL?&u{cmzZBCt^XX-PEk2y1b#LgwTm+#vCMy*;u_?*~hVJRZ;H2=7=?6 zF+0wgVSc{8#>N2>A!{%PvKl37Fp zXHmX0p(hFtOk~l!FPT8b=qbIEm~b*$MwhINxN!~-A*uu65T6FiA!-IhsVFBKZOk%( zwjft^+LMnZlm@|DC^?gcqo*tI>TX|}8(d%Ego!*dO&rO}^jdLZ zNuobm3bYtKC&rnhPWxGB!lN0o>;eJy>^rC@|on zjjQ~i#GT+76Id#&vU}Wy0Sh_q^SCC0RHh*2jS841Vh$cJp}4rkDG8#`;d8mDR!HJ( z0w_a?;ZsHjK6W9B(+G$Xz7~3VPd@}JvmtCI8d6+!ln|vCG#sq z`76o7d~$JF;kZ%)!S`ISV)0&}I3+GyCURHi=W-ZAxQq#ixWqK+h&N4`;gSsdE{MK(4PqlKIV>Uba4bABKaQt7&_*x?R0Th(WW15u)CGB9RM&(=1%$oZg; zVWDP&7)B1xRZvWevDuO|GG~quUo62VI0`Dn;{n5JiKP3OA9KOW*YrEEntsCgD1*V$ zdi*+88f?Rm-F{ZcpNK$Gg>N{(r>4?)ruz)Y>jb=(}X!35=mU+V=SO?wnbg!~~m6CxzDQyhy^Q^HBh z%LUj~(h{Lrw15!G;)y68b{d0>ajC7+Jlf=dmnv}k;G=_SGPf)00D`s&T{2Eic%1`- z2BOnOuy+K7IIEUU^kD;lCqRNkA!C4q_hzNK@m>!DFH|rxq%?sE5Eaf(V+sTbd1fL3 zaE12qgrnDlv7hb74EOrm2VL>dfO$egBImr#7sp11omQi_y$v5wTYLH-dl+kW z2l}=CNk<3_IrSbPCL&}}gaR&eb`?e~hSUapCX`(X&*9PPC=7ip#3VFstVMClO^z1#xf%GwlKFq}W zeCmFAUMy%gvv`3BfkL6;qY-YV5bqZgv~)iJ>%4fUDu{)Klu%^CVRwW=jxgNq;szs- zs)tF^J5oerdT`Q#$6);g96-SO!eUH=HN+P+{0BDSmV|aYfq4rTZ z%$`9=Y2jl>^)%4!bVlvwn1ZgdN1V7kKu3(|VgP`_MEoQw!5@qegfPf;`C>6KkDzsP zg%}@z;{?qZX26>P+Cu=#qjF$8SjcME830GzuH%7YdV&wf4Vz41CTNWWK{F866JgN7 zV0N0>vYin)Sp21gMI)0hGniqr6z@iR2Ksb^HL zCelXQ1EH=ltXpIBB%JyPaa1i0cN2v;Aj0N*bz}mR#)_ISJYSF*OHc_sUyK~05FjQw z#7P9Qd8RlMi>ERY3J#b`F~Kvr1X6;`NaY7Y*tjq?Vu@M(0$R{7utgw+9#+4a*w{cwBvUf;WK)x&6Kbi3%E`nM5ZUPhm#sY=@644>_5bNTz7q zBp_;OQd%%5mpT(^Aq|bs7LsH3IE@lzVN5hO5Od%{7CaaY2DC1l54J;&QLEE6sj&w& zlPruu8KU|1`XDbhJ{jcEBQYq331Yb}D=|z-3DUrTfD;!99ay#mHey&nLP!ny36RDs z1kE;vP;VnLJYjaolk`9~+hk`_JRxDEj|@iyz<4y9iL>bhlt~gR;EuW7Jg?dxHRvN` z&QO0Q%RULxCc+FR;KfCJ31bWwibD}=a0Ex!+Txxu7-ydVNkLNF=>z3V2UQlQq~gG! zosTm|$wF}4FZFt2aTdv9pc7(*Y*Nq?$z>;>uZRnJldmQ~pg5dCy?InmB7_~I+IWP3 z(ofpLq934D? zB31&07$X7x1ZfOCP|a90P64M8+{ zbet@vZ8ix??>UssfLy((O+CUNpy(;4b+p zec{s3aSE3z%`2=ZW(Ae}tk4=t6C|778Yw7iJ9#ULEYK1$N{8gp=fDPPaDC z8kFMv+?q>6)dE#mj=hB4^4{C46xIVJ>8F*6v~xI6S3^a2+%)%%y-NkHO@kedMC^Pv zh#rbA+1<<@!YGeLT`F=sXs1ma4X+(+tA=k3zdE^j?$}tx#wb3w_B?epg;z}Lraw^e zp5!J%qf};OGRAkVbD^|3XOE3VEQfo{zRuATCnA`m+RB4H;T*%8cD&wFHZnYsBN@cA zt<|BUd={XZmf`F5)8j_sk+ZjD96l?%;Kk`JLy5`$oY8h$M`5rXAn7{OvdDwvr5*?r zTT3g3F*owNGb2DpMaCia`jNj?SM9Uks6X$;kMOt}I!+gj_*otdu89cGq*04@@ERC7>^D|uMEzaRWquGKPR;8p2hbj;0 zbAueHJ(mN@@(CaC9!Xf&O-8Cnm1Sfl=SC0^@$#`%G-}!m`lM8nqROvw7-N}HFS|(v z#dNaBZpf5q>|;g-?NX~p#liLFE4=c^34x?0*Fa=aGbO{&3Ym*Z$vTC-kD-}NFN$^)(n5OiJ($YtA zG9;OiATXawCE+rt*-mVTI@gB}kK&mbV2?P6>O$&_V<-BF6 z%Q#5LF&MBi?S$5b#X1?*J`N^bg%$4+*D37;zQ-VDK?he%G8_IIdk0tskF$(j&_5%FrehJ2@85(uD3{iqnOMs(2 zgN`zeH?9K=LZV?Y-%pOwq9PH8fGrp4$4k;%BeNM@ag&y?)E&cw9W16tuVYX%-7fmX zv~rcTz^YYD&(Kb0F~S6<90r0QLu9wr5q(5<#3%5$2QnA+oL16#VWpclK2DI+J?0jA!GzNZqzMUdj1UzkrU_aKDkNra3Xfg_ zTO1rkrykl{E zH|pwBNj*IiY>dGr5cJ#JI9i~^uZRTf{FprjW`rhiI6fYNK`88_<)|;Qb1fay2nWC_ zl3ma;n1f@ujHy_R%N?P^;c0OrOaa4e-*DPYpf_Q#6?1s%U;sZrz`uIbNA+riYs6ia zcoyG6;en)dn%`kgo0S^H!_g3~JcB6bVleSE9#xQmN#WUpu@q5=k&O2qZOF}Hbq(Wm z`xvuy8kgG&hfdg1VMBDR(W7?|;QoHx@EM)4tv^LsKhmb$Wg@CB7@VU+J=Q3L?+&pw z%;IvKa8YjU^lbY7?*Q#kGs3|(RO#2Xvd|aB!K`4lAr+w7+_#;V6fm20|J3~ zAnx9=_U^Qtb~*0uF0T`2=G}Mi_vYRE*4Jm(?$f>Nth1_W)n9wn`gi?X>lbaFvw2JH z%fOrS-T;7QPrdXk@Z2U~^M(~mXE$UPKDs0m;mt-T$u1JM{iatla_nCJv6j=HAG`C} z7iYI0yY$sn5d8UQ%i+(!?~h*Gzvtv{cQ1d|e{kQu{{FAJ$1ZhE+B!Q_HpOvmQg08K zLryc(K8&888#EYk+(-6egaibI=0K*+gY=RG4Yi06yR?)aWUo$R<#B7Oh&hXjOA9N6 z!a70WyrsFM%G%PrB0<{nlI&DAzhO?QY<@k7v$B-DbY}J94F%%#wHxv`ESO(4vwi_k zE2v%fd}gV*@P!hwc+GM=b#0Czr-Gj`jaUh2Jq31k02R}A9=zRqQQrC8_kUcxvU|r~ z@W>?){QA4Ee*{5r*FF&Z2?TdPAOraLPhx}jKlt`L@co}Y+IjH1pRQcJef#R|LG6ek zMm3BfQ2`8p8fB#BiO5AIOY0UbuPR)+X;!{$^_F+m&e{C>3oin|Umm9Yz4Q3Lf^jdd zc{PBZG>8GC^_%qP+Kr3+jRr~dy zcYyo)ED>ylu=u?{cUk{Oc^i1=wRc~wD#XXdQKz|Ed-nTJwx2(6uKD(VO<8yt|NHv4 z!+Yf+?KpLQVePU<8=nN;d1B4$z{}6AdSSY*Ih!9;`79vf0l=%z1HfaCZd|eT&F3D; zE1FLrXJm87?Dl=1e|P!r(C8&gGYDRR-~+ezT>W(i&E3BD8&P{_>G+Amr}>OMyKaxe zCT*IFati`WGGgNV{G~Bx72<`zF1dEEyl(lxhkH-zNY!0CdUl)|z4|@~cHIHN!w>Q> zKmJqA&s)DdFz|pwa?|REd*-)1h@rmyFB`nVV{BeEH%~*$ z(%%fJPYYh;_j@>*MKSSU_?7jkepzb`X<|q<#}@bTYEme(8aRuddT?12@Gv>^U0}h( zT4v1!(#iqcjX7($mc;tnfj#HSXO5k}e>IA|PIUbJ`tQ^B{Pfg6qGz9g;Q7&qLF11v zxy~O~jDg=oM>^Vj&kw2*WVo_y=KYQU!g8;LTPjATzf{zm14w28E0#V`UUH@$$g576 zpB5D_s$MWoEi{{wRlkBGTBbx4Z##L)w{oOiHq_E#6wPkk>lRM^9j)*69}Q*inKn3$ zzPzNrH+Zt8RMzJ(-Q#7{k~#vs>&Aub5DQD{Ic!iQSz+){s3SgfMSgU`c>kv$fKah; z5t}f4+Diyv%gWqPPQp2-(HpC-=LlwQU@)IqghrRosa%kSLS>S=NU+w^;GMpor^;@& z@~bd@pwSy=@67CV+60Osftj>%DqckWVc48%f&q=T(RG~ z)p{Wg(OOcP+a~W!t+-w|a(LpIzX7rxded|^# zXUrFz43o@fN(zJUgz+>Ci*#qtwpx*i2;6DQXn>ONR2;EboSh-Ghtna#Ono&&9~tA| z!(9{ItisYj)4ODsRT-+!E*Gm-o-5zxSmRm)@dyHhGQxe2jbt1-Kh}zd` z;>A$vmZaB=uZj1nLKrszH8BZcaY9%8)=^TIacD4;7`Gdh9>#>mmE?FK6b>I3rz8{1 zlG+mgpsbK<4W+Bka<~yhlET)>W61((Oz$5&6G{*C*pzTu*fN0(IOwp}p}5<{7Ma6J zYm=x4E=@_33jHw&(U&8|A_Bx{y3CPP5SwhL;Zc!f$|ZHN*xGLnT+rmziSFFDh*9XN z_B;|PF&>ALhVCS=RIR70KXzx5QaOGT9?a)WFS(HPw1OnWo8%O-EJ+w98fO+2g{@Oi zNdvV5!@-IQc%(Q}NTIW3f~W@_W4Y|C3A2ELR6;y*oHve(B(1g}oOok27uI1$NT^nq z6`k-qFm5d#+GD{Gp@BfZngVa*6rmN6(tr;Shq6fFP@I;}N#eK+e-f2om6Wo@m>~-@ zLCPl~neGvBASdA_FcIB#Jh6jU~mfP`Y-q6r)1XIEiFXVTE8vwNa$Ca}WnTe|tQr4n>;psI(zO zK;5l(W1#j?L8;ywFv0zFNr0Vc>cYVSNJ18M(uTA~8K?l7jt@lm{z-nWts{gUnsn$< zZo6_4KRhZ#8P#nQJ_;EhvLieR3KbO&(8xFj3g(BiLok9J%Od&R&{T;JCCYH3XQe~1 zxne;km(J#7@=_#RCM^}^B@@Z95E&LGIPI*cZF*G!w>pR+Hv(c)hocb!#^PoK^ez-~ zERcs7G4s#~4htUO`gJ+k1|vp5<>tE-e4%d`UIrZwOEhu{Sr_C4H3lNhfsPD2Lf){? z64#hfawF6jMvV={63#IfEHu@H5l;@_IBsLqYw>XX?lch;MkWebL{frZ%BN6;e4en5 z5R;+!X|Xq1nKl)#Xt0{aadV7E)7@u@CI&)bv&HLGBV$wk$ss2`aLtHHX{}tMH=12G`tIsW$V#d!2#xcn~KZ~k#zUt5TYdMcKZD;S{#oHO*l#L z*qEN+H)5U22ny*`#B5{!P&DB-#nb&-Z-7J;ghFhH15F_#nWZU21}-l>LaEBNSUC$b z+3937g_1($Hr6G`RUXXp%p~8&$v~Mq1q|;bn$_CZH^FK7Ez`HZt6$OEKgt^X>JE~q zjSOpGc&`(oO;(eL0c1Wjg8-M2y+3v16FAx5Ncr08+j}VwN z67x<9K;8ZFqTg`O<>WLQR%{4^Pi}H}mxU*IWB4WZ-A^@IoEbgiKwqy+#=c%Kl=w@B zR}#3H#l1lb4n~`fbj(KDPTjs+9@^2AaV~(xIc|ici&p&J3`i7B$T(w7t}&SVn4iJP z(PJ7e(Vye(NPXpkY9nG_@gu+Wkh^^)_d04WUoLOypbQzIMeWxzHKFCk4{{%!^xB4( zR`yOUO8+Tq&Y&NIK&BnFH}z@6rH5<<$;;kF7kHys_Wsmb$^~thGvCx}OmPJZbP@Hv ztg?=ak}Mfd;h>Wv_%dm`X%YE6L+GZnsd5XPJUUUBVf~d79U8IayIbyw{Xsdz8!0+G zj7z&k$nL0s3{c0Egh+m!e*{g8ViL78$LSlLgR|0wL)qbSzVP#s$WL#m3f1n za`f54(iWt7xBxOZ%4z$B=CNEHllW)@J%AGp!i+2u;^UrKEVt;@h1W z8PiCiz~$6;p?C!YrlNZLFL-ikX8#Iy5Mf!u9i=XqOY0t{tdpIqTt76KN4-}?LCp8} zx{1Z`W_)E)mrk6tv8N>0c;pCVZfF5ayN$@yT9BwwCWTA=v%_+YSnBozqPgFEY zhZ_8FB1;+Z!&%*>!Q+NtIc>^uTg{DUpBVH;b5B}3CoNoZ`&j>ED@uJXL5ALGo*XX< z$vrWFYs@X+A^0LWQkGrq6u6eY*a9g;2j(q85@Zs7qkUiwN0%rISW2?lx7<}Q7)0k4 z&F{NWT4ru;BJC&Y?OVvM5U!;|J=C zT7)ElfGN`P@VZRdCEZ+9*R8M!u2t30PTb7*{>Bnnkt0K4il7;Rh8rQSPP~2*qdmZM zm{Rr06tq>tju~K_cqZ#+Fp4wXV$TuWiO|CqPdbrc^yMKZ;JmC1zFsAfKw-r^B&v+# z^ttMAVPr7r4Y*-?wtYenba<^HzhCOJslC+11h-^3!P8Cg=|Zy1A8s5Uk?|*>8>%!@ z?i?6|gGzS<^}(DhYqG(ql{&1?BA_|BsVbm~YuJ%+`n)9Y* zR=5pHfy7#5b0!r!2G7BQPdm$j>}pLbuQu497P?-?k1!NhkagO-%=*Bfhd62^XVS0v zOK?h@mm(b$K&&QMz9~ksmly5nFP@$gC0xQ3jK$}V+foTuw-akK@qI2h*<<0vl2HRr zFyVSCcBP_dbeK-&7EBD%(gNJ-_S<49C3X!$g$rGyQ+z`|-Jwf?UYZDotwFY~#}~D6 zP^Y}PCX+5g!eV+;k^@nq#O5WPzz)bXqB!&TQa=;xV1k_B_NNQQ%c$`B| zq8PCN1yf44Q9UIHcL9-0!Xg=HT*O;~)$>JGHbtLdQJ^aMJp>Xa5E#?eV0B!RN(_lh z!UKWDQWs(fI|tcC&6w`BdPqEFEX?s4jYOQoMN1zYs49qSqg0s>#lx}9oHU3%uK-PO zgdk-sJHkpNBS?lI2MY->EW_whQAfO#YA{pB^)bDCDHo>XLEQ7>WZLjRlR7G<-~j zjVn@V(|8v`Aa;66Q^IPF&}3ntqUkOkt;;E8Y2xU7xZI7+_F(hjMvOUJPI5sqNiY$` zgs?ga#lZ;OWz^#GQ1KAd0fo|VVX8?82~grbU3r!~=(k(wDOR{LWY;=}LI}LES9PT= zf_EC2sb+PC!zjzlASZEYDbbu~7w6PfaB@-96%B0Bylf8y>V{c{jTH4ItNz}&sc*=7 z@(N-F z75UQoRTZ9ALtxx^{p9$sdw$-zRPd2e%SF z_$kwU>fE(s5!+8*NHy%!_NZ`6%1vu!_AiD8oF-bDl};vzx#eVX2LezDw<@+_FuQ}(cHZ7;~mI>pVKja ze5_t9F^+QNo<^a+-UTdfIe2#AjUBXnzE%1dEh2{3KaQzfrpbA6A$2u16=VGdGKslR;0;gzP2?>NI;30-=doneoky{1^ny9lKvEyyi`3SX>A68S3VN)A!eT zo6i{wEyo9MoEl(N-T8jr)(hvS=FWj*gkgDdfy@iLDa*j;`&`vEag&vwYVJ`%8f540 z)Gz3sdU`=;A5qSIE9c_g!a3*r5E#U(88kzdR0~RAp*s$P08fs7Srhp8?^*+Y(_f9U?EFxR|4M+L&2{ zmZ=9=T(8xP&dSMy5}nRGQF;a@rppl90%G=UKSig)6J5#SXo1yydyIraD#ElthXkic zbVA%yc8qjt+@TKoyi=xfTeF`_M{pT^^1?!CG;kS$ z0g2P;)GV_*KOD^yi*khtVsSdGM<=AHAPmS*$alIA6Y7MQ@UKm9iH_ca#vlq~!J|Ck zcn#!gDr^W!Bn_#^J%&nDM}%hblVxygP@I9l(u6u6R*@s>nxt^?@Hn(fAqgnQO?abK zEDqcw%M9vRnybaes$<_&d0FfpE0)Ic-JhUZ``852xCIk|+u)TVf)kD*xH#2eDno)W zL9!ZgZYd^AMPMluGSq{hh9b;#cPoxB!G-YDNRWm^PC3fBCapRK*I6CIu7qw}89|#S zCRJ3#_^_VJj6*C+7a0~4#jH_-hQNs9#7a3EAA`m*97vo>mdIp83d5XH0F9%>W*s~Z zbGsCJm?w#ZX(s{+hED5&`Hk6J&3zwP7*PZSVxyl7_j!oa_~b|?OK%{sop8M)lWC9f z&}OGFmkoC(U48_j2&Ga9GP}$Oakv)-MMff_BrF`$utR#j173s~$8t<|2q_8|m`$;f zWRYHlLoy-ec#_^o7$@fvyy!v>E{DNR%P7P{g@Vi!3q%-&Qc#W|1wM)+T#qxIF__B3 zAUj4V=%j$6iTmXqDAi}ynqq1z&t^orhTX&oaw-KnJQ+?+rNA7?co@lqjp5mz5vza! z@wn(h|0qlBv*Rim5f74!_XuTvju>t85h+m^L~Tma2@p)eX?4e0Mtut0Y4`h30XLq` zO;}6`6h4X+g`yF5+EkFoPQk?CNg_4EU^W%R@4`NSv!#JoRVvn-nUU$^0i_-830t!#H#i)kZ^g0=r48hYfk#I;$dFp^6Z+)bw1k^LMM60Qsss+lhRHHCi9rc_nNoBDlcd06URNZH^@oDV zxWR84aY0cryWWF~d97g-mldJW^coU6L}H`@^KYKCFm0)o>UaT5_P zy<0+bQmEr5Zfe*q$?zwVjzSp{0bybkc)^f_-HTz^GHR^r!5>OL7g4~&WmFDgTYW1&IzH?VC1lYMU3Le zHn%t#BtWL9yg^?gh=&FV?3glO50G*3fdC?owV8=n$Ebziupp35I248)@^R39CK@Y= zqJtDRoo$;&pIo|~f#cV3aoiAVInI_>4@Z_XBvTd#O+*}$Vs&}Dp@s=Wz&yS1N!~E4 zz44wg==FWZpDx&2f8E=68r**8#;N1eUN8y$aZ{^rcM;WD8$Ot0`Ta5$AGha@F0j8vgDvv3KuYM$hfb zN6Z&)9r!(b6uh)|$NqhP{~83p+YW-K|F1Ofpa1jZL5k!@U;jS+jgRjHzd3*O8-GpDTlTPg5kIrHe4-1)|#gwe+WfS=snkfX21Y&R+d8Q2F#5HGg~W zrA_b6dVLzEZ+Sq9wRYQ|_FgspTD%o_d%9mQd;V?U*}uF9JXsE`+%(-!{<>h}s#ji} zUpotUHlzIYs*0v3=SnutEiG-Tlx*FUpPpA-vZfxLBP?BI&RNpspOqidN4(*LnZWG% zO|QQ?rSI#!bj&)@apZ#aZddP#-A417)16_)l^tW2$(u3s%?l1i&~#7X3wD^5hNx15 zgde?+woDG#Cu8x^F{4%wi9n5g5XclU;5CYQ+L(odU_dQls%wHaY3IPgnuKqXYU;2+ z{m$#Rn0*@TfJfcgts1_iLt?wT`^?Us`&ON4WF&M_9&YQJQd{qK{BbYX(Ph-`IR(A- zwPv#Yi~Xu22Rl#gy}$FqnRBPXgEzX*{_y3&+dGckYd;1aiGsJ};AQ)%Pfwrw>G$ZK zFV0^!jI@F`tpVeu!&XlK;UjA)vQPxVTw7O|kyDnjab|iEuxiHZDQkf(OSb-P-rCn+ zX_^fHFK&1mcm#NL8}QQR2Qlnz4;jB!|1(PdK>qe;TWkUz(t-UI*tY)F*Pj7^#z$UV zyK3G`wM({?q(AyJznt3ews>8=rF2FE6Ps1fLgY^%>Z!4gxF8lC>K_{M!4_z(JofPyAo%met6zRL-Q|ve`%mxN`RUc|+i%_4ck{QObsb-v?z-M$RUI2S zd2%GIyRGiiMr;#7uVd1dBt*K!QYC`r(ZU%Xwpbm8I6R?26nC)CKvF8lA^m!x-r-hH zxn0G&ZYb6PiA|!3Xg8ni9;8W~STuvdw(Id_Bzq=>6h)-r;CVtEjlpHH7(zmIu@r8u zYU2B!U9Au-sV`b7#XQ!Sotw63MXD%0f8NZcm40D$bt;9JD$85X&n-yFOehlX%EMNTcYnzr-Zdb?D;$qaS>K!WOzxm*+gTL)Oy6=N8j_kbM{KM~mKXLBdt{%^qS4Yn5Y8xGhsJoKgV}2Ej z#CIjxToa-WQ&Uh?=A+h?JvM{d`1pc`xtYsXRXy|cx^mf8V8iCB^=~z9esa@>&CA|? zx(s-9`Ib#h%hv)gl+9~;a?Sjw8Y|Y+&swxZR5*L~Tt-^9FkevY8fN4XQ7bTKqRg8jc zk&Ir^w4Co;wymlrXJK8ItbT6Vy7ZE|?2O_SS)__58-zke`Xf~{1nCvNhR0;Y`ueP; zc_B${DqTD)m*_U;@hyB`i9c4a18YfU6k8Ke?Ncq)bPb4_f8!>efY|+ zf8X~9xLf|&XXmHqoZmiu;3$9jheiL%M!o;R#PHSh&v(;*{OHi`Pj>FS_$#>g>ZzX( z9Nlru+H&TX-*4TxJJx^T;@R=Zo*TV)hAmg1_@QCDFJjM1btN7288i546$K5&6;BrP zS3CpE&tAE7*$Yp-@b2m-RzD8B{=}BQzPn}%@Z6@&F9X1W)xaZfH&p__w)fU9S-BPf zHZ*MA^x9L4*KFO~xOUcxh3g+%+>}=TW+{1XQ@yx&E-R}pzeLDM=cJ3F!WqSI1Urop zXUb9|6t*brX3GR)6n+42(vj(GY)Gk$Br#CWRFA{qg-*8U&3cGu{OBajV;s4vo`yO- zE?1{DGHJ9MRsCAnm`iY{o8%2R+kHf66iqT(1ULmDVr8HsHdHZmhz_wK5R_>+3p1m! z=19V7?{T`X8SNup-Oax44o#<8e`}~m-F=|l-ao21dF1lVG3|-5!OK6McHKIE@l4;~ z9~TdHw(jgS-T3>(?h_X}PM$k=>~h=G=|i{L`mS6aJ#ugIioNa9K-W;v)~=CH-S?u~ zToxtP+8PMz*@+=mV89Kh1Tb`)7nNkkvBC@qpGgzvuBuM*A6fZGN&1Y1^OrsQ_>9yy z|GMar@+V(ex$UVZw=CJVb@fy4ZQJnl>{qsK*!J}1t*bUZU-9~jtKMC=WaY}GPc<#x zR5@$&BLyXm^BZQ*$Xif}EO>Hp3bK%!F;l?Fmg36uIblyqw#*x4k`eS2B1!3CVoWYR z&ttLoP&mq|(Kd~5viZXJSeN6@Z!K-@*Y2rCe!p|`xlObs<#QRc8!Ve|%qeudud9(O^y)D}BxathX~k53NSbf)+{jasgE zk6!N>9K0p(xqj~8(bh8#Ie6#p_3Ib+?>p4daq{}n8@D>z&z-w{VZU1E8~*jK+Ru=g zEm}2O$eOUuWME3^)QqCa{KZcxO4E=Yd`LOh#tQ9kKX2^?cnD}j~==-=^6_b=FfWZe}c;%$lN6~m*v#<|H1Qh zKKT^<@TVO+fBWvly<;a%{row&|87_Rj>FAohuRLeP0B4jBYk(Rk(gO+@dhXqDLbB# zDVCNO7B}WME-lL|DU_71t4LW;nO?WJIF&0EU^yHzE<1B(8altIu}rpMS@wd*9)I=C zH~v)bo&P4&E%4?WZ#`MiutG?qM3joFhyU37-=whrzsjdS>}^q*6MS*q)^-0=qy9^t zt(eAZJP8X+r;K!T|9Z9g@Scx9`G0>l_{Dbcm;F0`{YCF+?Qpv_21f*nv7oX@P68hu z@+6`jPgH9fzhxXA@7&d*KXm5C&BLFZym0CGUa+V6du7*=1Lu6&%R2k$D2#(uM>w1+ z0eQ_ciyN{R1Hf}H0Ki-So;CH|=id3-v#Xz)KYv|*npoOUO%AJ6p{&5!G0o`JYbW*{ zIdT2cXW+@{zWB}F|8Mht^}r0D{CxWIiSG}eIqY^e8+)yA2+@zD=T%iV%$irf;HA~8 zp9g?7Z~j|V|Bd|Z?RTbu*T${SE_<)3a!FyaPC<#mgn+1#|S>8Xv&8<)QH{DRt|(nU;C4Ko6DDlYAtlJCFx zZ|QyilwA)7$`^b0-Mrg!cHcFVv8`X>b}AG}65Qym;07(qOp!Mc!9uVUPc(t!_@;F3 z0Xuwjqh95*bRDnsUolt$%!0<>Do4^3yigathN$f^t!a%!k?F z7-6hM9jC<=2Yz?x$i**rKZu&_IR^f`9o+E=_~B=tfL~0bhEG2KWY?}wb{yRG1^CT3-(B7H z+1KCinjX;agJ1pj!-vxg;g7)WpFhM9-%k6|uXgSK;mZ%e_y5@a`Bw+8@BQ(|KQ6W1 zzcAF_aZL`LviJ=VtHpxJBRg4D`PC%k%td+CD++UKXRV&Ud{$X?>EpBJzq)qT>=pBx zmX}lt5SHCTLU)%HcAgq!^FE z67-o--upJ!T`zQW#AumP4Ns_z2JNs?(`FLD0%i-G76=HjTn<~1RwUt7m8S6O=Vq47 zoL@PoX2sJvsq3Cyzo@)+#nKgv>Xy}%FRI9@&aS8|l8|z$ilte(=@Lm^2D>3sTAf`c z!Y1f3|barCs39m(J|{de0w6zdO|T`bKwi3TRGGr=bYnG0k>&WISB$k{YIl%9ua#5ay z0>n(k&DTNjCirFBKU~R&d%!z#5VV%fhh;vp^}Tn@$N%@Z34`Fu>7sTI*bHdE-3!0| z^OF7j|Jfhyy%`O7S3KC#{SN!zjKlTmk*?zpo-cRezxcQ_e-?Lbzk}elHjN;C_T~yn zMM|s&0R96J)V8hjfP#TXwv}Og=`%{V0Iz(%0C*X#mp_PXdZ$tKHSl=Ya-;df=s(!I z)K;eg90kEE-+_nD`OLwG?C@{bk@vd!vMg5QS#}=-ASk3?zI|si9!v^rj z4?ytTKjsz?{NwDu^!}z9{OL<@kX=l8YAZ%AKn*wD8GDUedU)2HxUPIhr!mrzFiL9PXj^h8<9@x(|JdZaRK0k&Mw+Q zV9Bx-=fN)k0EGl9U>jox!9!Wue{1Tf;e%j0@bb2&0v9{&iaifB4y^DEw177r1Hm8+ z{Nv>ZpV{Thp9Ah>%Vxi!K2CWDc;O$K^+KC2{}chXybEy8K3-h$2o4J@wAHNw04+HA za{ZP(=#rHeQo@bENpKH%ya)vMU0R_9ucuW2fDt^jAU|t9kn+Qc;_cwcByRo&063rl z>>v!7{`YIbYp0eZKo7uN57cwY@F&0>H=hv%f&0_C)ZLj@7%1UQpOyfPHM!4=z%`q} zUoI~NfYd_;%P9|F-r_G=(?|JJ0B+iJ#lyhgHUTeJ0l*^h^t;JjpuBY<09`d}x^X$r zgWwUG8w5QMo~UFZUpUXZ34-zh$6tUoZm{JR$baV;nEx0!24sVuoE^1;=|DzYy z2ms)|>AJo-_+c%uZuB!yH}-xk3WDq2S+n9ns~n;o0kP*%Qy|#8W=$LT@m5fIA)_{|Ue|e|8;^8J`Pm z)n-|iZ*6$blnJ~9CIGbM2aui0fT4jb5bUXVJI!nXJ*UC1dlulqk3ahGfM8B%#j5zK zC6;#zI)2IoYU=#2mu-K*Q5GL+9?a}{33&0f2U#L($;34c$EV%`WXFGBz2U%H>&}&J z8ASr?ai9PX?(ty(XZZD7U;f5p$W!k9tc~o_d%xTb9_?H8#MNm)bE04ZJPtfbXl>1i zM4@vJgG_)jxQdcDnh(4|!9pI?_Wr2VeqPR(RU|jr($#U{$}vg7~z-Js@0vSLb~F@ zvef3;x?eNq&4aS})tZmz&4L{}QF<6O)@&U+GL!qmuADfyt63lh8L`e1>AdQw3bh`{ z#$RcE^4HHFeMImPxJn6*_87!ZD@8;E8a%N6)+N^pSZJTnJ}mOYVC z4aCpPgI&&_17I!!Zy5Xqn}Q(kT{}@cWSl8I+ndNI_^$S{#Y)1Qadf1$uwmbQURVEO zfh}!!_Y&bs<&8)C`qCHFqPl4{yH2^wk^ZiG5-Ij(e-zy>2I_38JJ)#6aWC5Lw!jxt zJ|l1Rglny~O#EI5670whT>Mpm%gp-n%&EJC%ct5bdL#Hr3ViarFYiyLyfp;IgJwBd zNHKhuvZ!d}kNQ#s&fJ)DpS5uV7X8#LRd)8WhGdxz-8gG$P7rP{$gwt6h6W|;rL@Wn z%f->Oib^8;?!_=WIvOSMsEm-~@MT(tLOw8+t{K(Q{fDm$u<=X%Hf7SBqL)1G zN3;%SY<`@Bxbf)gZK_67yul`^%8A;knK4<4PDUO_Arvmo8g_Sk#LZDHNn{DrX8-5bCwyAr8wn86EV!n&ASH!D z8$>S*btkiUh}slCEb;4aEHZ_vJlbLo4VfW<`L2=FyI(pGMk?{#{eV2iG&CC%rdvqZ znEo`Z*mg@#95{Hvk*@u=E8H=epKl3j5181}!n7=iulE9aMjZkRC)e|dY5WA8D=3c{ zm^F-3uBEKDIN{74g;Q}gIMHmd>j&g_9F7xT9Z3-J3@X)WA*4s`O~ouia)Y!tZ%Zu` zQI^iAE#>pmQ<*p{K2BdK@z}NAnRI0u%0)sj1U8GbNHsop&CT8hJB}V4zi9DL$s|tf z*kv|16yW=n5~8N_yceF{;2th77*UdDH}VZuHcgN%q$!bt0JB1@YKKXRGVTtO0%X|8 za4?S;(i@Y${tNJYxUSVAp{a+WR9rgQ@QzV^u-i*BjhnhP;qghYa)ci9C$IOra1^7VwZm(hAfbkO?sr-Us3|>k z1VKn3VKy@y9t$PqX1S$l21zmDpD(`dl;pu-+0Ib8cIr1>X;Z#ZU9>n8O3Czkg{n|7?JBDx}uG>2))sZ=LDi<{$6u9gm4+K1YgqG z?SsNbptJ-%GCatEYTORxpwpoaDm0vlyGZ>#m{hIBCX9+GlA;;$Ae0jntjR8Bg+j2v zRCkadf_3Ro!DdH%uyq2hY#UQpt(`+w6|85#K5lkFRsC87220^HsFTJ#QBf8Rmczvr z7sSS;YpO9REPGrm%I4@$<;ZqJc8Xb=;xOxBCIr(L(7I4CTh|1Y&l&6<9)b-hRWZWZ zwuHX7S8kY`8t5_kwQ!bvMC+uHsi|=tUBE8R#;{}y7pJAN`Smj^mlZMRZOIW<&z%{~ zsHHSk3R&JLfl5MQ@j-X*kf~Rzo>Cdi6aL|&BXIQaA3X-U2@*5uy8URF)f$IVvBnTb z%<^Ide3{g%L1w1O*iafzC@7{A^60e63NDM!PO=N(VGf1EFmc#XN_?n~D8YqBO~UZ~ zK?l}4X?NRH#)NvzPQrOKgI=o%>N%@PGxqs{Dt#OSwL8PGq>q;G(eu1W27<$iCRw6b zs08UGaUxcSA<0$_OBtroMA$)1XcS3QoG(ybgQl6asK9uuJB?}$qH#>WA4bL#a}ahB z8BxfKaTd%-LBaj38d=TKQcXCQjEJKd!i3G!H;xZqzKhqiw|w8?(O(dg4;c zz{tfLYSolgsnBSQ9=FR2MTY`dQj{*@%IKurw1TFZqBQ!<*=6(ROC=@ZjP%+dXhm^*x#>> zP~ZVouW}p`j>lX|eZY%!z{nOa5gPaVLtzVC07C?QWT7C~!IBv{%mkYxX5ncVq#m6U zG$WzbNI_VYh`=b;yUH-dE7v=s7>C`e(Hiw5TC2k{V)sO`1A{u1--Ba#O(wPg9rcn# zsAOIaCBQ1=M4=>HS{@IJjuCh;6F(yw4SF4Zr-ejzI3w_g-yg+!`#qj9TO@1`PTf;R zrzYaYA(O?X(?YT2h;B&X3F5tY5{4~FcjdDhLJOUohVf90@B>D6#5;?1o zMUb$H)98E-H%&w_!7>7Vp0kgd(3{*bn@KgOPg=cxrCb$qSp8vQcqD=I1@O#hj8MRd z`Rw9sK8lZ_7pI7d^QhADEHXW{vLFLXDiA`wRJ6@Oq(GB4voRR+I_(hqsMcw;*tB{S zMXw%~M__IO1!f-ca4=>%8H-0VFhQ6shZ};WNpaX50a+r!xv{w%1RF(S!Yq~mk(LZh zg;+RyBpimLQ6?pV5jENAXrloFheJX^gFouA*ctq!!IGeI;Xc2|!)Cz<3>YL4N5Po{ z6q-b3K`;y~kHZLsQqs{rlvs=nkQp{_ge-K~kvK|B?|}Ma*r;K`sT_{uJ;Q3{(3CsW zKN>OXEzX#6%x?3MA$Fr7OvBsU0jMZx!_j4IJQ|*zfyarYh}oIMgj9NWBocI6Lnb9MVbIxN zIRBIz4fTW(78Mlkk57++5Ca~iqmX%A43(xLdLO2fPLP?}SJ3R+ybi=SA zOqL*^iF(FiJe=EMG(*F2KioS8NfP1qFc#^KC176dwD(t!!~$9~o~{^j#@u040-3b9 zuyL2m9!0@j(WogA3nw8=s2xp$hfo9_2aQ57*gO;`g%tC17;plP#EQ`bM28)L!zNf1 zjM>aWxbV;b#ucLDqP7@;fG|1Z$aqpp8aE`67#ulZg0P$}1d2{Y#^Y#|kHSMkFa#`C zLXSlh;qM4uU?toytlRA&n5%z`Me!J7BCgBJoHVIASz^$}2Qo21B|xo6 zoDUI=QcyTLCKB@@nFMk)98Vx%5Kan?LPwzRL>?@P;EBu{N;1S^U@cY%5)S1uf{q9c zpMb#7SVT&;TY*Xtg$Ob{djM7xLlIjGxyI%+Q!Es2}zb zroE2YK0U}$K?>6m=Oz zxI=@4gz$!51B-#uyTXB3yo7>6Qg~sq80O{*b92cQ5eCJ;(z%j=0mk!$q)3Yzk9H3t zu!A;lFo4kLyk4^cHPM<#I0Iof3NvaK;NvlMJQwFfA>l46)J-Ll<0z^KOQ6E>Fh0&f zmBs9+pp}P{50cn+6jA3*kO~8O7+VlWa!7%A(jLzsc=4NoP=&5uUU+Mo#nsIo~f?_|4_TLR}Wu>g;4eU|bz$EFvY)Q#IyPG$9>6 z&aKe)k)?IQc4(eLx!R}z0$~2@;^8YVnxLpbsxjzXr5QE`C4B~ZTW<~-?diCsp_N~2 zZ{XeSlgcy`DA{^eNtAZl8lnS-P1%qh6BRznjt)_Rx-c${<>5s)P2t|1v?qzOk5Mj2iT}V2$D1KLuuA=a@}A%k<&H3 zRD>$1Dt?4PW0$IvlF=Sn-b}rd)yAcdC`H0{L(15h0NDzKUsB=>&3&BA_9=MC(2pfX z5GnUY)5MeGGlM2fhUrut$toi`1CyDJJyfRGm?!AYXP>8OD*qW%bxha=(xKxDZ_gw-;$u zJTEuELy&Kc^IPo&2sgjKYZwnlP*M&4NfHp@7DiET-pov>;Q+ zgGpY@Oo&d5&Wd&tXpnA2N@MGJC(D;oapixJ_4dJSoavollidVcbH__~4n4s}xC}Idu`o2oX&W#N+ieq)8d6K$A{%0pY=RB)p0`0wZtp+>=#TgF z`S?A*=Y5-!*S&w-r8~?%s&(+fNn>FDRM$@ThsFzs9wOLf^W^FM|9fDo)~eN>_MLrt zj~{ry^j2uwJM((yFYZU02U{=v3T@o&CE1t49pC!;#&=THJ$Crh&)(ess~`XVftLK> zZy)!vp8!WdxW616zq|Tk{6`-j?C$q$l*;?Z9&NJ1=j)<(552zkbmSZEUMPNAJh#~| zoJDWGc&d+&4xaAfx`(4-=DDY*zH_>>xyyt%UV7Xa*NLIl$K2_sAKCqG={WzXZ9JE| z`(ov9ADrL*;Gp*>4H`L2CpV|2a zIy~m=opSe2ADr#EcjtxE4~dSu6(M~4KfWH@|H<}qpLIQL)_Qt+Xm7WwCBEDB+LOI| z-fOQt`RuoPz2|m%cfbCg{K0-dL45PB`)%7k-g~(JQ<^___p@WH`FG-%>ztXp7uvaN z(}k~2bo`_J)auzfyzj>#!gaVGy1$DKh@BQEZ1r?M-|0U8n!~)&PsL*1s~sn~SBB2` z_gVH#^pJX?ZuLeUuf8a^?ra&4*#R@#4EKC^`1ybCIK_VQnSJ{X*Kn!LpOv0IFw8UF zr;j^+@ws;@QlMXY{2;vf_;mAJ_@UQzEc)t;sP|a2bxaP^XL|RkK3X6)4o_}#$3Am7 zs_We5W^=E)-Fs^PgPzS_9CUvA(A(TI_sQ}@&N<6>?EZAG|JcTvZ#-p05hoRa zUi_-J|4BdF-_M@*YJNZMbEo^8AD*Ni?F^jRKC|`VXLlc+d9gz;+pE85_|3h>=k}A2 zc2RNrSbgWKA8&-B~C+3{fp=+jtt;>DfasH^Qd`vhxjYPZW+Z#~WM8v%QeA zp;y02=zXEc{;S{KC!W&Qso~uQS2bVS?%e$P#9^J?y|>lf`}qEDx5>F5ZN*+Rj16Yz zvA{j-VNLht!+RTB-`+90$wThgL3C~Bjb4L4<-Bm}%Vr|nb+s~==(f&qIQn$?7IE(Kfk*X?p=TFoI{;~czc4Ryw;21e&qLf zqo84jl@x{y9_S~B8&G;;Py==AY^;qj06U-vxnR9}O*_oQdHuRrY9 zwB{?_54e{f?VfP!d#9e~I?s~-Nb`Q< zK>U+h@7@=Ck+asrZol_8_VlY3v(<4**zKWrPIW%qe~ImEvb~VEg}t-;4!e1G>xI{>gTB3u zFS?H%(3@V%zPEE~`|<8h*Z!lbNt4GmpC0@Ab_ertulacIsfE6{OFwQP^2>)kJsZt4 z2T%5P-IJ$Vp>DF1K4_hzH(x&+3O{bVeCmF)kK1~{fFseJ;oZHE-+cb~=INL2Zw#L( zx_yUaXlwi4MxVF0VSeF-?tQx3adJoBvA(W)U+xR;y=r;uREyA856C^z`N}5+`P0wN z@F$*7Z@lc^dokjLPYN&aPnz86C$!XUgib#l=D+aho>2EmKD@O%&{ua}p!Q#T(nWvS zJn`CT^6CBw|DM%{BSzdNJM{^q|_@B{Do_22yu%5Q$}>Xq~V?6))D9B4JWKihwu{P3q=-Tvav-(>&h z@BhR9^uNBVs{j5cfAN?9R#pEZ`=@{P`4@k-aOZN|aJ z{nz7vaP_xe`u4^DX5`J^{ucVpe|>sj@ZRy4Ux;*!^!`Y{_d(YEe)yB!=kotB__$)1 z5Bw*`t-sd4`p=fX@w1P&zWULV%8!RaYmd+Ry$6+7pa1Glo}8`si~7&bq+i?WNe%Dz z{_1Y)>L0%RjsJE^k1PM?zyI~YfA;GvHza@OLYMYd=s!Mf{coT4eE4^Nd-Lai`ages z<4@=JjDPp1KiTx_fA*h#df)i*&Y{+QcAIGKouW@f&xHGaZS>JAmw)eH|K6`hUygoz z`gaG-~M*N-+LP4A9Zba zZ`O_<6F&Nj2Vei)N1eT2H$N}A-TjZ9MD4v--g=<-+>M<*)Bp7;hTU+!`5VK>Kiz+E zr!#!I`dJM9qWO*Izv%yc(%v``dffdlpW-`w9q zCx2c%{xbQ=hfD~)8b4U=l!@}_xy@5dFZtFR$47PA3*75O=56*4Y9v=)fHy^G4qKLK&RpW7k{*}kSAjyYauQ=f|&V6_9Bwt%M4}WDm zT3c)O4}K^1wKMrIUW>l*TGt!D9w{-uORk^pv!0&dxAxAw#GEjGekOG95Y_Lt4mwZK zrgL}W(~nj=-u&@T_W8)#-#t2Om75{=@!50M-VSl<@B|eeJ)_;-?>hd%xr0+L@e%r7 z@5#aM{`41v5C8YC2G5mmHMy;xo{v5bJ>7Y+d+XMZe(QV0-JkBAKI7W?)$j@9XIoDn za{Sf_^dsxF{`$v#-#vFfG!ik-yhZL#4%wksU))3Cx6oF9l-;O>zBRc2kl1Uk@9Y~r z;)5?w-0Pt$Uu{47YHRc0s~zX_?T`P%KXyIT?y;+X?}WGZ&o#+TiC(4tCHM4P;?zC= zxdA#H{?@OG-lh<3IeQ)*K zOq_8abDQo!&&J*6&{vPddr!ByeRH>U>`N-P^}*VKzr%zceCe>eU$(y0_(?~kZ=>Uh zN1Z;4!p*z>`EQ^{vF9E}zTLC-sOQWX;qK$8bbNEKuc?Pm?D)qH9IoSoTajtafbgx167Q^v9o`B0l=ei+4QQviA9toxL5z_QQ_jJ!gRj?mj(pW@kUl zcN5IcT`@zEtxzQT{9Y|Oa(MiCWKgf4TyswD_4YOIJfu4we$n}}^?s-E#HO~r6Z$>( z#6jKLWRLgs*2|4hc;m_5)>iki&zjwh$35XsKaa$SefnI-{+T}C>p$uC^mjFh7dO^g z-RHjil#O)Q4_vCFXQMN`v3=r{{kXTkk0Br4-x26u&UB7__hc5mT=&p(=m4E2n$E-L zhhz7fr;f9m2m4`x?h5tw800Cmvm3GQZE)z={?`W`_44NSVWjE0t4}*@5^cKOC;K*C z?-(COWX}`gd5VATjpL6k_rVm@D zy{Y#OJlNRVCeZQn-D3w2pLBFQ=s3Pr+l@Uo4?5}2j@DkYd#Crrj`w`e&aT@X>e=?m zo%0^?`^TO;({=w?v~z2(Z=XEd@nqv<*Vfa{ z{{8JP*E>Tu4qE&7zhX(JwYRmov&(chwjb|zAGf~jI)OU7>}`^utX9i7Jk`6u`Se8R z-MuG=QU4La_7bh$9`d=qj{UBv&`pzvCwmVbyC-AE^ruaGgF1nn4T2#bwD$eeJx{6q zFRVk)-S6DF>+L^q5g01k`1Fa$Y}5V||1^5I?{83teLD}Hd;R3z{`TIf$SJDt47GRo z64QI8x7$0`GIqLpPWB$|Z9euWn)IzkXmex#V5jkT-+R<}x?5@u)<50b*FWC{=H#8U zKC}DLUT5oxkHB^wbuk-Vbm!rLv(?w~diNib&uu>GI@s^*Jx6~^@ZOWY7f$T=b^WwD zbkgcRI6NSGyN=nPZFZ8!Tw{B`+jabn2fNhWirdu}etMTUwn_GW_4r%gKEAhk{JC}K zcfRpK_qWN1%&Cp;NQ^8yXHP#23HCjj*ba4ebVl~?bw;0lvDI~M|7()eH~YH3_L;q{ z?We7CU7z<3epU{@^-}S}Gp`t%r@J1xFFg5>I=Q_`ym;=(gAVHO@KofW@5H0S=bOh~ z4Q;dG4Ug$Gjt?DrTU#8p;gbjZC;5#B2mYqpI_tS7AMGD{9bMtx#?x2Osw18J+&}h+ zJlo5M?(g>Y9X51opfBA2+rKgP&2O9}h)e(K4}bsdKO_h^{6C`YH%$=4A-wRl!~f5V zAjooL-9=>G3X%LBv^pfdH)OdZsHwgE=Ok)1G<2sP(pPoqeUhw4$hv;s{r4A4eU)ED zM1!S#x?R{c?1zit zA}y{Z*3aKU^>Db{vLYsFlA?~JI*gKRghDPBMT=W)x*RdEzA9SQ8rf>DhS$n&3ogzN zy+@*Q$Rd&360Lfq!MSe8iquUvwBFF02!ckv-sI}*oVBi#YxPFct%q8ABaBSab;G(o z(A3=)R6%tYnFArzBF!-Aa*aj^M&#B>lXpq0rIVpSWWtcjVJ_q%6ls!Tv&l6^n&Enj z)7|Ev$u-3$XGM6#M-U8sC?Ymn$R!(LuI>&+OpuD(ii|*8kp|hqG8jUjM^SX8A)+O6 z66X$*q!kt;bytkET4>NE#1Wz#8gA7i5!3>8)m>g}A(3mjn@2Rgw;ZIi$5P;)lprqBXYIQS@4dm zheT0tML5!p;NsRAD4@j;lP2OKjiHgqt$M^NyJ7QA9l5$YB3Wo?z#>JECTJ68VY$eS zTmUN+MXoXYefJIumseYyrH6;st)U+vGtShq6^fQ&=<7`cE{xoPsS9p{N2}|DL%09j8v5bt;CW3KzhA#I{DYPTdbm^P%6h}S zt&>n8D1dFVo2C%LlOBFkmBamOMpx7BL4-h7)_ zMZ@Ly(1297C!GT)d%$V z(U5hUd$aj|_#(f`iTWDHt=}fm`|pRj26#3i2QI!X0gi<&cL?2HMRlz?=$et?=Gx%z zixw}EO&(bduw1ZdmlHW8zWsw%ONy*F#NpLj&4E>Sct|JD54&jmqSXXb3v;gC;93n% z4091s>&V-0S{6r?>m%oHy%!QS_suu!tzmJ^{lSPC8Yb7kn!z>K*IE$*abZy2$i?#@ z*|23sBCEGr=yqKatS5$uj{|1r0YB9`Wk=<`~*Tpk$I zt&#Jtb#V%K9n#my`r4Xn>VPjW1(F!Jc#$ldfY0Pm-B%$C1T# zU6SOaK~5Zspus=-cSkn>oI7AXd!y$M4A_*1^CULmv3|>SeYFlwsav26;>`=;)ioa7Do4m$KQt{3lmucmZvn#GS|{DI-dZo~ z<<)i4DzB5!02)3|-mygT{q+d(!&}YzZE%#^NUZC8SqnEpoLlFKIueI}a9$^eh%z}C z`Qe@OL#yRCN38IL(4EL1hngf8DYxDvNHGkP9SM=GA!%r6Ju>w6RH!vHytXua@%B<{ zq+B10wD=1{<<`X+w@h+q6=GD_4TnfDulk$erZm_bJU<176j|3pVR3cUZCM;@kl(*( zSwkbu`VhAcuxRQibQ^GUEi$~0Lw9{>3dC%YIt+TP94X%tQ9Uv+Le7&ToHl^0)isG& zZ4GO>+rY8Ybi;7}f}*{LB=>);kCboeL+@GZBDs2zb8m^923Tdfe-*k-irOvxf~C(7 zS!=ftsf(=(YvEf%P4m`;_v(>bRvDy?h(B88%#o$~_-c9Ga_bhS0mw(@2drVe8P>kP zdeIVF5EAaV&5`$!TXwCf`HOGX(dsxCw!-L#gUyKEeESv$q3gZ#5#T7`!$a-`i6bMN z8y;vy$`L>oj?+iZhu7Z}BhBz&9a+3v7r~*2Tjk-A3%ZB~A`s9n@=Y9L>T^4YDi_ z)Eh&81STw!<#7ZKA^wTT553}9nh>bFz%-1uHzU3 zh%N!c0YY)x3a^@F?zUNPl_4wxR5t1)>DE!BY=vB_+ybC$0gVY^7;O!39I!~MzK(F* zw3=kgb;WQf66TwLPzcZ#Y_tLV1=r4$zha1AudFM$C6<4sLAo+7ReJR z!hw;4VMBBc5ip?;0{18QpX0)s=%peuwLFhCOVs09NAk_m$flb8>Z12AR;{km|CXdw_s7#ILRXT!zA zhMEstKoH}b&3eOxTM+Q;uGw(Ge!*gagSb!` zFbSG&;5OmFYC;fjt%ixo0nlF(M^`L4OJBFFdfkE-*#M>$3gPh+p%74Hl7qrfKLip* z@DYh{9FM0I0)&M-Ez81pIRtX%fW$(8fw~|W0ysyQ7YPE$8;XQEIF1Yrago6Yl*Vy| zY=9c!2aFzsA`T8l_<;x?hMUkCNDP`o5D^EULy$dmJivuRSmgMF1Q>-TK#W+@;V?G< zs=-tn#zlgZ!FF0$=9qDks42Mvq8kAvw?c#)GC^wPu-;LAGj_cfj$u>gTNu~C=SValOq1HuHVqX_t+j-3gV0&Rgb8%;2~Rs#VySVL%y zMBv*^P^N_-Qvr5Onvq*%CU^vh7Z?kcGelEx`Ud73 zxF}=|4fr)((`tb8SU^Nf64C=90Cf!8(bP(7$6CN&js-{ zTNqBD91IM=4r~~1fI&jX5XAs!@O;4GVeSNYE`TLW4>$mc8VG9wSK+``!K%4t2-9hN zD}=Kv><3svxKRRbfCmzRYlHw`K!_y98Ni|N05l8!1)>d{2vmv-TR6D{&k6(DhH&Gc z59lWhmI_UWp*4hm8$pxU>%ttu&JJZU1|s|eLqj1Re}Us-rQ$Zj1Qg%{g~Bhc#)12S zy$~<}s6b$40@py`It`rILLP!$7DKj02F43oZniI@1`G$!v5CzZ{0xW^#=Is-7IGI1 zNZ_0<6t{3907U^9p=ir=8-Q&MYyqH)MhoKu)^-c-kr+XrmCwB!qYlBP51G#I+%b9}ovQ9y8H!1YZFm7;RMVg>kzQRIuC9LEEWilj+c!tD+KXx{@@QTM~~Ky zl5jrzeEW^pj_?a#`~R;U@g7gm6hkore8D$m8nsc+m#HW#2+_Er6sQ?j_pADRp?dwD z(u!s*xN_o>g8y1AqX^@pY(eKmovF~g8~4&<IDK9n2OG# zR`Qxs%qwYCu?M)HacB~|6BOQfZ13RKQtS6EeHZYbI! zJzLSP6hy*|6=_9N6`|@VC2cxREGw!+`?5MM7vE8&8{#~Ok~f_3Xhl`tA#N%~DC|a6 z<#JZ%Qx3@D-KnT5A_b2oD^Lm;X9eCq)T&x?W|Bp%`8-G$63y-)ZzqF zOI1XHb;p;5>1(cBU7;A&LAe~F=S?K3Q_%{Vu+5l$$tY!7+Vq#!cUWaTx?(sEl26VtG^6 zT*(mfDO8gTCbg92ZDn3aNqIgd=!}S5KVzFI$CLyn#&{XIl#!e?LyR-F>;NXw6&V#X z4jmK3$|aAfjoVa;&MUfq$tMyMOBp`uu>!$h(DEp8L$V?8QPdjdu9nn+MZz`3ey6w+piH9e{*_$J}U^OP^I(3DY&xjO4QzOW?vi(}CR zBUNzag28(go}L#ZP* zUGaUm>G+gM&}NL$M5ZQsFy#WpYi^XNj8ero>r#Xy6ltFD;z*YvuE#to4N^w67~@3s z7(;ooDF{9rW0;uWCjjXaphS1dqf)G%;u%VaBZd(G|D#cwO*(AKXW|0E!v(>Qv8=$b z_=9GlSlp#VD9k{#@MBRLDlh^Yr=xIzg(mC-zQf3r2Pzi@--~+?Wx%d^fq~&L9uJei zF^VG0D17iWP!J6+=IN~FG9Kakl<$Cs3Bn`{2Nl7@N+xAHRnIqURKqePbb~Mrr=o*s z9osP+qvknP_;PHc2F?I=49YNSa0N=iEzlLAmzXM4u%V6tUle$!;dq`7y73&k>Oz?E zY=<`C6uNPIx<*kImoaRLGQh|kk1|=f3ZsTkM<7hrz+?3&Koeh};0hIZj)~wWsuM5` zA5Oto@m0ZxFB4%k`koIWp=b~aNRgr86Ha~Jg|aRJSuyzCz78#d>JjaLyl@@d6ipEV z^NBphTCa0JINYXZ+0|6k#7@a(YNAwe-7w1B6F3;CF61jE3cFzx~p z>0(0CZscf|_S!sA^OzcJuMnVJ1=wLT1EW`zT z8+&A6V?Jd-M9nii;GzhSS`isxDeXH_HlrmB@V&_eWic~1ZI`BMLQT>gQNOexh_<9n z=&}hE!iy2iSTW|a-uOGp&G^)OLe3>eZ;m??3s);d+M&GBrHsSI7N+Ah+P_4Psp$+c zhUuH{QpWU+QAeMs(g{aiflJFVqLRubkq4l!v!a%sSFZ7+>I7YbRDq`A#hHb)XF{5x zPGup|@%hZkta`<96P58BSLQ?}4}212kQ<+;aHQDtcQt*I_`FH_HDu@~&xWapM zWkwyNbqL-9Xj)p3T>=8TKKkxdi7^qApS(G}C^33+Zv6U~YsB)2s;EumlG)k$Nh&{e zV^+O7Cp%8++RR*1mrQ_N9@8c}W(yt>E6%*5ET;?!ISDUeM*W!R#*I;jWozSwxDVDU z=qZ~g61*Qn5bfZTp+#Ln?s#!Z8?)o0n`T5h9;M?h1X=+@RFtMgHtweBID}6iDr};X zasX09FUB$uH!(2D1jJA#hC9VKZm z42%P;3qpbi;&BAm7m6SoY-QMzJS@7X4#)6mfbfB@@UDm51cEOdYam{)ZGIT8usx#+ z9XLpE?%)bW8(P3CFpY6gNiZNPK&XWJRNy9X3qufP;-+!;CT*WfPD z3QRkUfJguo=tc>ymvR-|E!cVulMd)~&H;w#dekPU{FkuAS5_)aLQ&B&KXXlu*^WA`RdX`CRO2BdUDTpJ5xWV} zx}hp7?8@aDU7U#P$(af@nl`VLlDuD0a>;ALlu^k zoL3ckdGre82+H!rcy-bk9d`j5$3~YklZos!O547&vNAq?osUb)Y2Kfhn~jwcSku#l zkcrDhMp3UCG306S%*v!ZXFHbT0c+{D+W1^F~bkheT z%7ROHiy1nf(Nf~|nQTVQ_$vva2)Srl7r`Oit9sQKFD5j4vc)_mQ?EC-Mdptns+DjsgZsXA22WHkpD z3D~EB=?mnmI0?cC2^h?3hQnws4gqjA$Ye{v586aCTBUG8gEJedO(+A79I#=W)IdHP zqi~ua18T?&=k-=%mir`2RqQEfVBoW4rFOi zfd=sQAxU)FgbtEM0|$en(Bd1II^(wv2|jd!X?UB52W|Up*&n4bM+BY5QGzyu7~`}9 z1P+EZXc7z1IbzrWDb#7-2q>i!Bs3;+VX|$ikK+)ufL9z|9D1o8j$k@J!LuD02hXbck(kptUqHFNZ8znd>YVd+MP=PO9)8V%Qzq)Qf zh|rB%MX$k+uGcE~6YkgY20p_@bR2g}a8|=H7tRd5o!J}hU~RVpwC)9C!6SoF_yLRY z1A>n&#Si!j5oGaxJM;B0Prx}uaHE|}w8=|AYXTDj`vfWQ?Q}*6UQvj_?IRZFpF)sY zVgWwOMcayx;T+XW_&BSK2Sxv>5*s9^ZG8wwS=Uki5>S5p;Rh*Zu;zeG3t#2EBVTJL z2mVo}*G_c&XY2+OnrE^JUbge>{Ve0e0t<8)=gFM3v9M#9X?wRQaNv-F#8~43- z>eN2NePbUu%G;R#@Lk7_2Ll@i;vqxdI^JuWJU&(tDde%|(wU#7N! zmKf%jp4-k%1Jv^|pakaAHlntayrcBocaBWSYiFbFOtj6qf0-`Y0TadgsI|Ff@KpViG?v< zzf?>hyqb>F6Gm`xmTF9{Ojbvo$^_np@U$dO#ex{0EJ$D(#i=D8GV*MCZY)ppc{V^& zR1tN?^&r&@0%bJ_z5uo08FVqF(frbQHkpN#lF5!1VmjmdbVaI)`Gn3ol@weNHOVt2 zO)f~fNEloD45>1A_x^_JLUju@6(Y z_G%!Dm#O0-MjSQ?62V6hT9YiBy*#O$Fz$y6&x*0l47uIu5^@jVlHKqzC?4^#Yg*!hf(- z5G)Y<@;F!z2+mLqN6hweGSOb0rjM2d1yBvb=qd#3uOJgXN(TXuY9lOrw04LihtOX3 zZLj9~F|1&`@+f+3>IB@I2(~4NfVKOWY`MIL*G*8Hw%~p7_VP3qZ4e>baUOv&`gDzL zGeII)!3WaUUZ`!a4PX&JLsa7kKaXCuKq44)-Qd#;VA!j;!F8PiNL!9p4QfulNds4> zbI2~*>~*=M^Yd~JqoM8=5#G=6YLI;~kTzrZEJlHJ<82Ho7cKHYzI|Zbu7ipK0Ie1; zm^@8aipsmA)x}H!|1By8hIcVu;l1&970CpwQjnM(tuT;T&bv{~Ma3&r>GC4pTBfT? z;kq)Rj$W<$!qm0VCB+r8f}&ngqR^B|sWmm7o`!5!i77V~S)EaoWxRtOXAM=IS0q$X zgTH{Ku1~>L``JHNi~q4&A z!&!cITrm}8LQ!f_MRnB7FaLF3Mb&V((@|wwaTElV<})i9Rj~7EkU&0|0*l28Lx&HQ zm?|krJY~4Ncr;gadKvdPJAZj;jh`C=9eR z#atn-@~Uw(n4+So(p5a$Q3VoNNhzqR0}?1qRMe{wO0KBaR2jygmXtWOGm(no|J(N}B4cpj$PosKs~Gpam5_P@yP` zm*>?KHVyS!M$Lmz7Zi0$F%;KyZbF|3&s(0s#mD5yNmacX{AUmgU`mUMG7o);F~(Qa z<(1M^Ri9UDqc+TVSy36Oq-qnAga6MnkjvGAl2Db)C^!19aamR0F;z7NnsdexR_7%J z+n=r~Vg?3+u-r|tq{iSIkIcLb!FU|Hi$!TSGcGFn#HDMMF*Ti2)fpd;hniceBv5QN zrYJ-uqol5=63Djj4g~@E>UEGr#<{Mhi*_!(2NJ8=b?fc%`(?i z0t|$@jMT#AjLXF1@e16HSC!?dvBVAhISO`1wg!L3kglvW8MSDYI~OUcE8!WMWXM`Y|70R|-#Zi;^?%jpY`L z1zm7;JwH87NaHm&laZl*oT$YztRG8Mn6y;HyGTWo^5ez4!^VKm%VXo?@#LfpM1ZIk z2`{&tuVztgrGVkNSm5!RWlVI1j2%y#m(xiZ2PTLV8V-hw#Rbh+sOkBlqeE=Zn!rsV z$6qu(yx}vd1q;)84S;{a)fcmQx<=$2mh$3Jw&sk|B|W|bO!j)NTFG70jro$RE*A|W zSFKg>V<1%^S!ulHVc->GVyU3#ve}#Ys$0?VwlCfnrI;GS00Ax%ns&W#vyxxP74msK zS0RG!#Y9RFGcgt~KrymSCGxtroX-{t5TYHYQt>@tHB4$uWQBwrlLB_2_&3tH9g}MTon=6)Loim+bMb0|C^U!JE|@-o?Pr1wfe0 zLBK8fHDD(^CLlcMlQ0;9(r|)N304L$)pzik8-ax#1*qO zAca6l(n>Z-0okaDdaxJAg9%V6iVYT6fIfq)l1X5GfYu;ckEijPQjm?ukpL;Cn1T#5 znUv)qpG7#0WN|X-2T3Jxlmy-b0D2jfWu8q&;}VNkegdvzgS=@o=JIhAEcB#gKbpV` zLc+7XavH`%GrEI__8t~qt)wOV5CIJgS=QvLNCE3+*%EK0Dv+usY#vi)zQ!^*F^Xz* z1rwmW1IbcJcY&`sPL;OXJ0uQ%AOLR-1@iX-+E)WAly^Y7kkIi}hYnU$bfB4DB?nxS za_j<5)G9z$4F^A|K@e58$QvG0q;d{k)6ukoTlH3oKvKObU1EUmF0nRbg*<2oQU}lg zy^<^f)TT^GE<`6-{)mBd)RLH*fsku97y?~|u}g-unDkg+_NL^EK(#@hHdtQNPXWDj zBdW^va+gBADC$-3o*}ywl)&?pH6xD!2LXpPFGfsx>Aia&| z<2u1>pb{5*JfuUx)=80~ieS!Fkg5$t5VK~yw99H5+$_=6Vq(Pyn5xlLP#6$Ky#ik6 z0O1GSP=1NAF|S=o^QFbNT0HjOt>Wm5wC#GId{C3BP(l&Z3*@a$wwreeX`BIqzyl%P#phTaI!rzQ&c zp+^t%e^+*J<^YaMRlvqjkq1ea(v_HRj^7w_V>%(=d&qL;d+sN-`P000OCm#8rjU`R{@(o|+4 zBPL=H&8BpE!kDbZK$(@4GqoTAfcUaGwW5@urO|>?fF?>~H90z$M6(cfq@+J z4PXw*ZZz)&4?O5e&jYN%&wgoc9zY0!uEA!bfC&%~h?^p$yg9y#wv`JU! zcplKyhPcdE831n@F9ie}t--@XIF(HEqRYmFN#?Qy^~9)LN-*?FT9dP2!KuLlVnG~3H0#RvQBVlq9u9uK zM;z^<;3eC5fMmg`gVz~oyq6MX**Fc+x&4$4L@37&(*L7}p9sDNXzV%2jp|~xeZ>nf z3qQtypLZ}=0xx>tRTl_7HOzAC_96j(Kp}WQE-=GjPXQ67G|=Z_To-jQ&$~9OQPf=A zFwkNqPr*g}Y!QCSpo-TyAX7V#S-!08BRhnX#u1+TBGA0l=tPl6mwZ81K~97 zx-u1y0&a^2glrg(IQHD5>LwA1o8gSBUA5AII)|h@mj?joi~K(YZH*U$yd?~!ZJU25pDgi}_BaOBp-oGtA(0eYy-VmyzS%{~p8}j%<8j#gs zL6Jq;_Ty^QmfY0B%H^z?i+bMN=*T}W!$%fbMB`GgM9JzZfc zbS_Gd`9%Qx`LqDEfr%S*TKD3bQV{b@e39}dmy<@ODiucsynzqsKEWq+i~%5!tUtx) z;*6jZ@=T>lCo~)oGRL8R ztrTM3!lK8QO7^s&iirvU2wed{&uONSq~-yz@{T;=f&gMboxdl|&&Q<2YDHL?)5n|z z@bHQUaScCk=s>CppwGl@o#yjq@``<}Cgn6ePRu#U!lIKD7h@^uCY#`WGv#C*s+u?0 z5-m;CDse&7W+rHrNawPYSXgjkdY)3GY%Bw4nDQ$aRx4Dz2xyy9L>uJFR%WM{=Tpp$ zY&2FZ$(ftZv{Gd=N{td^0`Jl08K4Qk_-AHhb5!xGDaBEjCvw-!F-PUcqSwcit8?PC zyljAcG#fwBKw-WKmn*C=wxC^_en(}*o7wc`8~NFZQhr*|R+3{kS3IhebE#?x0jCj| zT1J^zc9PUJV@6h0;gVXtdP%Kan!UcLDA}cyKMyzOs-E+%0eA*MfZ!E>j!J0PjFhOV zQcSfo{5z$I^!4J^E7vPgaSjrg`66ZCAb`~173W!HiFo2IxQ_P zjnA43@zjk9!!Ou`St#;V$iN^Z%v>or(fC49zPh5)<2T3oGPjliv8|H;$~@- zU%r`O)cJ9~;*NqLrxb;Es5nz{r#v;E%w^e$qCPraTA5XdLMq{S30i~98yF9&Nixf% zl$jiHiCy%^GwQsUQrRns8={gdh|1+TIs=v}=y@+;nsNf~X+*^tCAMtJ?xLwosPpNh z%FK?=voqoxtt1zs)B3WQVsd_TrN~3Fi4$G+;HSCI0KY9{B3m*g9+GLbcutIGu$EmehLzDQMzMBD|p zq==Mqxwz;6KF7y%s*_%*D(Sh(mE_zFC?mue=^I~s4lYmD-`$kZG^Gc)E~E5(dO z6II_?q-)D4Su(_UWhFC1U55abGmRW@qm>Gj#uQalE*0PLV})yca(=FwfG{Iu>8Zq$ zsiYS2F($7w!2*Pi;-(*u(q2Znq%G3PQdS(FdxuID+!;AbDSX~lrkAu)s__4?_dbA4 z)Mw-PrMz&YL$plBSwu9AV`1%0~`?GXs_+Hy*AhO`#hI|xar>g`M$sR`}_TT znx;*1f1m%)=lK)T32r|F7Z1pHJ6Xym2>y;V?~N%{p3Y9PQdVmH8d>d-Y(jk~YO|+R z8z50BCH9`nux~1K9UYCW>|@|>RjV%+(g-=7Q@xFK0(F7 zX=s#aswGxevoXwo@2J~?;dHD+W#n*NQIk=wzCOJv5g5_CA6h;*j7cu?Zt0FIiP#74485w$>C4Dzu?m#wkQ?`e;NkagId2{8RJaOGCzMre)TSZ`g+wFbd_cesg0es!WfAZTKPVBg5B))SgdNunp}wx$RZtARBF7v;Tb zYifjAo}}gV-1n#WX95 zs=Udz)@^kOYpc9|zu)6=vOFiY`s@%<5k8?NT_<>5>jXgtYtxvBtQR*Zo5Xe<*M0+P zqZ;hA$D>5N+QB=l1|j2jlG<#7gHZyNbRWdfmq^rj+9JtnHAaeU2`wUm zUm-d=s)a-}?cm^QoNbMMA6Ru~TF^pHL2!3!tAk?4YM1DCh)u$}POT~wpg<>qE3+}8 zcZhaH6cg2ANC1P~mV#3!QnW9$o;6s}SS`9`Pqj5kyC4Gf2-ZzaY`YW}g*DBQh|9%O zwCE5z#dVBgh*32ffp8!gY1P4<*|VXR*Ny4E_P_3hFO>FxA~N{K+u2yO21Yn z<7{OI+>uHZdktwz-7(#yX)xhj?ofw>ClfsFZ3I zB+{tydOXclUZEjM)c8eo_BOfJiE2HgxCDL!uyj+%ZnJK*(iD@jgJUYJmjzX=btP)* z1eqpXZ3&+7h#{*Xxvdf{G&Cx8)zM@<*%7T$<1rU0l5VoKx~kFM;A>6Q!!7Y~HrVX< zY>HNIXj-R70*<<*Sk+)O))DcxxR7k(>%{BtHOXLv01JcnP`pD zD99;sowd;b8PUZm(d`vmWT7zz?qsCVZv^WAZ&;-&fuCmeiFAjqCLNj})`ZObOVNv~LKaCE#bXmwca?)uhPWr`EI)nY?qwP?6Rj;l_K zj>@2{RJ9~rZAMf5hE6L@Hm^&Q$CL?KPQ`8=l;;uFb+CtHQH<98PB}}?o z7>6WF)$5HAOE%h)TGXNFSZxTdLK57=4Wwg(-Wd>yq_xfN1cT#@Cs{I`a3q5|3#y(a z+j*TzuoPI76aj&`Mvw_9;b^A~EF?EnwTh&iRvwq8Ag)SiSOcl@QBIcKR#446)^<7| zvgZk~87#${-A!cF;W1RF4SZCG$~w3-zHc~mtov3tissxN+2!+l?JPy>R0=EU6l)4Y zA)p49KVgL__JdJ`?l8N(7!JZH&Q0m8gSQbpuO&!aJ2xX;i2)76*eP6SgWxG`TmY5>v;SBa9MA4W|m%-lwtB937X6hf;NKMRPJ%YJvAVbVc z8Z2dAKS5Dg9)PWnIxeg7I@aFeGNTQgX%^S$v3^sMv3vl+dF++|^w_ffMI>O}P4RA- z@Y~Xy!^$x>x6S9V+P$1cAr1(v|1@h;Sf=p7wWr~C>lWp#hv;$}>sn;Lqoq!5b$i-5k{4IUfz?G@y&7`+nyX~BilHL@ zAV(zEh;CxdMo^0JS}TwtEf}H@2^#_!Gg_>1N`%lN#HqL-`^11piZ~%`jyi3W%~-Rs z2BT$1tDv+|Yeml0-q0w6zo>#91%2G0cG?6?a+*KwvD!L_nw!>j;7d)0jjA`KW@6mHL^aH(2&&H93LO%rJE z)$L7Y&K!cvIOP}ZjsyrfNvFcX2BR)wj|4i?wG#GZ2_33SCyjvO-6*uyQz>w*;hr#& z>jm}e(0rctSO$h|AfWxdjY62zNg?ERfnWygEpB^5uydVVOIKk}07U)ZAPC87mf$%- zS_DYkssL&E1HNc2NfD2vMj@$b;u5pos9@rpw6o}XxHu5Cx#XLVm z(3Pz|KH-u)Bv?$*R|#=^I=MDn9i>;xil1x|m`!z(Exc9+Mz`bY0oxe>>Gt}<-nhIj z9$rhf*C`C;On99!k8YRb+BoA7>&O&shiExkzrKpAZ{_&LN>?=5(8PeytBOs*_AWam zd0R-pEciqa9D{%t!^SgvF&y_R@p)) zosz*~vac#iP*KgB<~n`gJ%Aq#I!dz{_D-Kc>!6HXL5L>2q+PF)aB7CZVg5qLMYL3v zgsts5<-~ezcW905R(%HP3a`O(%xif6a0u#uXU0YZ@OmGqnVK&@el$EWt#KWd$>XWzXEEqE`jmzC&c)(5B=5VCLcNh@VG@S6R3K&F%g zj-;Ax2gVg_14xaXABIF_i@38b+(tsrmdBelZKOQjDm&gjXI8WuoM{ZPlFl&Tn#^8P z3LA#e4)SmhHYVFvjd^ODjloofSuV|tS4NX2PAJ-r_0zU&xn z{?W~jkEGcWY^A^yYi3(ul&}WLW*)P945oq!9AaQdOw?-y176t)ex@zxOWNB>%*q%s z2{=AvL$(Z<1*qV2b2^38aKWuI9>VElIo^rvtYMx@=CQHJ4!XqSW&J#l4H?*n3i9DI zY`n9Uu){}$#|G?!m6$+Fhn2*FBe#dQ@f@S0nlL+k%=QDf2lnE?aiY>7%ArJBN@!FX zRBKzHhQ?w9P7{Pw$jLOegoDkk>zkXB8zRlEytgF+4A&e5)karWbLo1)R_~EGT@;Cw zEUHe<=XTZ#!djuO%18!V&y?7SjSve*5=m-xHCN>qh_qm3QUCBXHcGP%!rHYyL8w&M z3SqG^9TJ-eNe9nY5-O|O(CZY_qJ*`DZLK;L;+mtbm?ShL>x4BV+2Ha+JQCNPa1kQg zP!*^WqQn|uwa(C>e#NNR%t@jwNSz&>o^^?hfn2)>&mV0~8$lG~r~2;mJi4GB?YHu3f*if?BONpRFu30|Ai z&u=1aqEX!xO14)urUka1OA7);2Hb|$YBge^D7?!76AK$?p|QT&;bGjBA&S)j@y%(m znb05x2Q?(595s?st4loBDFPy!!Gg9>{h3aJ7LANtX(A|<{V?mhe_PMS+ayZA#SI+8K$&CAn1%#c4;{a3}0;i7>!T zjKq~-Iu>C?U@=^L@;TQ#ZAz?@O~J-`N1{`b+S=O*9LwQNg{#0g#Z}U1Q;4|aZ3Hb( zCRiH#rKKtw{DBBd29pVMiidTR?rVijbs7{zI1u!yMy*%{&RZ;y0uz|zy)kV13$vi% zU98&{7Qi8=d_fPmud=%hf_hE$f=?cBrom8iQUKJB%VFaac8#^mwCLdt;>z~_*uxGD&ge^5F}%ijV>~F!kUMOs zQ9ee2zLf2n-AY5GDKRmuZVHoZGO2mPcF_Cr>L~9>RBfn{DYDU>vVy=y!I!R0P(c>~ zE;k#rDp4BLiRzS`8t0JRN$JVVD>T6G6&qCRo86=$#5(B~kDq+cf511Vi+wSeLV zk58_l(zaw>>*_S=Z4p8>L04r`XsYE5uUZqXmN^HQF^3#b)+7Tl-k%2NlX5s?E?No1 zs|7Ah_QtF^H3R?yzc$7LqSZL-B=C8j9NTr2i8idEQ`Aw`LhHKdYZTMMT|y^WPY z+1*&x$U}_U;@$u|Vh0Q)z1btx#IBptvf{B3S0q2_rzc23Z^dy}! z^0eYgyH(IcbUN~F@8z@b?h=v>;tT;{yFwEf)7^tHWH|?I;T8~pB zu-)FA>mpH#In@SyIE>FhDET-iTj6q}b_u*~Yl1V|F|FgK7kma9+h`PpOk(DnPMc*H zad6F0qTwdZMOD+ogU?}av#begkP?6`#TrHL6y9@6_9%s|4A??wwgzCw7$(r^6wcpJ z$ZU_lS<#b*?I^Q-1f3P#TnNy!9d0D<#o(k2mOvjWJ2NCZEk-w6M;U`5&Gszx4L=`y z_xaen&&S?`E8R zUT)Y3R2}BC#g+)X^6T(VLK4L|c9~a&@DvSo!g!~)P$#$&0tJDepfq{~*cJ$lZTJbZ zy?(vmu5Hhr5l+9_Y@E+F){C9lo_BwJ!hB0NPv7C=MKQ8gsIA0Z?AWDl_T8iIZFa(Q zbRE1aiV$hW1Ol%=AhnQ!M-qi5A<1~~Pz_>RRERd?@D=QCZ)g_1IFkh~3I0&dY`?sK zcbq-8S8R6L1EN^v5QTAO6|iaD{FD_%68`6akFgvtDu_WV9M}9bi*+Y9Z>wT6-t_oR zB6jXWdeIM;0|$Y-+l2EH1UoLYP#(dxNx(VZNe4YT@wwQJcII*Cz^EN>f1*gyy6Ke!f z7o~uZ0G9HIYa897LlH$<6l?J2kkuqZc(7cm}n2s?pjBT$FTx6 zZb4|nX%V7R5d6saz&mNO!Pn~IfXkaADvxVsHk=9M07d~3cYvL3a9S%HTzUjl3GD&w zY!H1yz1S$YV{)C?R9Bylz~$E9sVS;frRWy7-2ht>P|{U$I~NsPoWju#f$`}q+;dA6 zW%%6b)k3s#jnEzxs<}ok;n+Zj+9T=JBH;;!tCg68plcnKwY34vgmINF!4u;Yw<@Mx z>5dN7<7b)^5xbM$7>SS$ca+y-PQPN;DAm0&Nhc{IW+YjAyY8a30Bt~gUIn+|Fjx=6 zC*We-qLaazNwQ6>ZU>{w1e02VStG`5E}2u>!Ao`}cx>@<@ft&s)qXoK)AqFAW@~0w z>rs{@M9&&28E@`%Zj|DBly6Eoq=er=VhY9UXI)N)uNLfnFH2O*4Bf(UsujG?)s7C% z&ch)qn++>RZ>*-gHXcx;)x^07$tjd<=NJS7(~2GY;WP&5HX56J2{lP2B}Xi1OZrJ0+Q zrX&%MN5ZXGI~R<&i18VHcC)`FF3TY`nhMLUk#Hm)iFSZbAZfNRZG@dMY`c!iB11<* zq9>t5Qo&{&Y`55^hvIRG1TRox=y(d(b<}WNlF6hbZ3xFAk;djoTUu&sk40rY3LnFn zJ31qB)@Mk_4B3*lM#F5Y?&<`;hvX%2$5T`+Ejc8aiLz1jV?uGFm2FSx2{xL>`IW4u zxHQtc(GjQE&XnxlmGpK?nE;$d48wt~@@cq8 zDw*PBTN1|+P~f(5MpYVi@k>~f)9S2iw_!Usi7B|aJ4xu6;6V4rsduVT8{A4uAm)y1 zy5UIsQ_g6tmZ3U)I>9P#gNS*tjhznTB36(g<9^ZC6iCW^ySv#LryyVnyRhCPz$9qG zZ`f$nop5#HsH&7R?2I}!tO=6>QFoYO!VU>jR0o7?j!OP`ZOV=XjH@Y*aQF;b zbF&GDe1ITyi5rxUy!hT*{ai zLm!(=66T-~#T+oD+DNnS7#wW_bIU0XC%~puEB2gQqmCGb>luzTL?bM(Q-odea@^{a zPqpJpq74E$e<%?5LiCdIlCJI%t&Rpr8)raR38I8Sjw9h=i8aZ3%I21Z4T4h8!r=z7fNTZ(ApwtB0lhrohfqdBcs^T}9wlkyn6 zj`6J9%5jEI@*{>A-3_(^r}|QXwB1J8F*x-(!7lLJYVg&uJXo_s6l>TCFIJC1^eZ_* zk`m*BSR3N$=JzlwseEBAfh&2n`3- zsCXXG`bs=bs2vFf4Fnu11#dGl)?-T!BCUXW$AQF#ER#AL$AA=*%LyH0N}OXyfG9!4 ziL=1NDub)85(K_FC<|G!DH2bUn6j|ph!|d@(pJq}sKZ4uB8DShu#%89+qh{Oi@`At z#?nX}rL0iEaS;3(X{R}*16Llf1zLg=gSu7Olv9%^%oJn$pjqukkrXz)oAXQ|cr~ln zapolsi8J%f<`rUvyn%J<3=Un+j^bqEDj9=&W8$*J#ju-Pb>l|3E-6V?&^}<8lIE~v zS(XxJiXA89p{~%)ws<_9Y>om^5rdd>0&eH96cCq=Wzrn|95t+12MFqjB@$eAnkp7H z;+i9AE@X1Rha4cx#bR}wxx&kt>xQ7C>;|U&*=*UWS-1#?;dNcd#dzIerLZO*6e_O% z;;d?{%YY|d(`l^5!Sxdo`{PMey=HZQA;a0zIC{qbg-v6=Jv$y4^XmjUP&!t><9rdY z=BT>Irvb`5wrKMa3hqP2vKSiN6)c!fnQJ?Q&MM~WF5FYp9I%WN1XODR_(I}!^eV6f zGL3ZwGOOZTO&SXo&B3?XbQqWxu&b0RX;_Y-XIB*|HiaMH>MLHV6CFZxWS|CeO{W-h z`4&eGfuMp;;&i)C;M{M-B5Va7kq3tzRT-)~*pM=6ngXsAxRqXayI=#n)@zawsnOl! zYK;ykm=#J@MSVEz4dO0Fb5yt1)`*o2d|L5Vn-f8GTJx)3cTCtQ@LnQdR7UGPL65(= zp+kr#1)FZS;a=?=U7031un@S+#;2w_Er=RjL0met$ zyj)v=MdoL;P#q)IF%eJF*;!*GSemJA7aE;{xDEo9R!4M==BtFKnz8>l@uMcN;{75RbGbIo!tMky1fngX~PwX@>Ah5HYQ>g=#j`q+9C2BMa)_ z^@Xa^y6y&Cuwl(@1Ft$%CD(^FXM2NLSg-jd2&6Td>8R4NEO^aE9xQ3Zm*C@W)Z{IG z-DNeHaDcQY8rnE}=UPTl{WgtpaJ(boW$Egm2M0$0*J~utMSL+gSi%SmECzaCcG^^z z?p3RU^^`|a>QYt}yq$P86UFv@2UXYXv?p9(pzVs&+TPIE(6XjI;niImtAf6ujx4F` za8^3Jw%xU%nr-q$WOtm2dm|9dtftM%<+zquy;1Ri$xBFKHX3qo@U>_}ob80LL2q-1 z(nOqViMiSmwoW#PvmX_lmn5Z#R&Ok-V+RK>I%07g!6|7fknklakOP2`X&sL>FFS%}OayZhFLq zJ^AQ&*>EQUqoC6C6pM4#RM1JBA`y;sHe;bXK;0uhWUfn}rF#2w9eVlHzWD*BY*vEYg@2)W(NzH^4bnG?`aN8z{+5MWem zObS#vfyo;p&16%4xcr*}mxD(~m2seF3Qi^i))cG+SRh>EHIoWddOWd!!>lMX4@dIY z%!T?z2TO)U#O8{qfd+v^ov&kel*+d&;8;iL@!|32>|=ASmBefcm=zs&L~V@qKovtsrF>$_3sC0G`_e=&?9I4rZKDZ{KyHWNH%vIxCDOmv#PQDzzs zjt`y(hI%APj+a@3);A}PgGj?aSP%_|Bk-FBWP{ZSJ9Z|SCB_66WTU-6l=9iZW1ul; zBoh_|<2)4{h=z&`0%(rG1f`DdEf$UeO|cRSY%ka!(kyhR><$LVXWAq@720$B1y=y? z+Z?WB)|7+N$1YnMW1i5rx`NI5He9>F+yfp3odsZ;80nx>*%|vl zK#(&ci9RPXwVus+I(QYww?UX{uoQ-jGS7oy=gqGn!1a7=C~z~n-OFWL=|Dq)tIC?L z36~WLY%SouPKmQSuzDGU4Es~C)k!f&2buzfyzaJzSp4n~8mC@^qm_b>QwfqKFqMy2 zPOF^5n@+kRXtH6^F9fu)1UA>eRU=blc>=mt4qGSfVkMIg!E(wb>xKY@$iKKF3Xc(k zI6ADr2H0Mphe1N3u$|eAVe&I}?8hNoPMOJ6bf?29xNz83H^=T}bEtr7U~4=`N>>t^ z5&_)=Iv+jll$^~*0qUY@o|C{Bq$ndS>)|+Ugu|GV!n0vt4X6q_&hmT${V5v1FeIUY zg9S7PZxo_iGb7FM)|lPFNet>UI5-rntO7c2+{K6C#17Khlz#&ds zCbNw?SfRkny4#`3@VO1VYTypINla^^CeM~@0KY0uw-bl_F}yXd;lLLOJabG+!|i~_ zhY3g{;l|6>K%vJ{Sk*$}Vik*89Nc!i8oMn?dz@;T0YoZrs!-gfA$DkBRhzjTI)svk z1>LJjjM-{qUNM#`j9Uy-y~cTm4K!O4P8!#(QNspiTi4CL6H|NgJTQ*W2@xCchB+7z z>)ld1b}_0p4%=)UPA8G7^57yW$zzwB9%Ff2XKlx$!lB_1&3n9QropdcG;8O<#%a2n*U}UVmY3qaG{<;69zSLHDs8gUsyVEhl~+_J zhXe1twzOeRb$9q2Zi8AApfxvdIGrlsS@rT#FkP0kaNrgDNf!XAUSH(Rd&k&*z zbcQ+|WVIM*uBNanGAW3)gilQqF^6CDG*z~D_?l})qGPo}Ham288!y+&ZX;?A%xBGM z_mweG*vQ91wGkeB+-Qihz;D=8qxitit2IHpM7TG2B^q;}HZJOvK>K?QxMI?0G(-X+ zuMdPb(8`-rzM@`M;n(1tx&zyyx88yCQMtx9o*ahhGq zDFsxt;09Ji1D~N&lZNnx1BVjFqUrcHVU`T3*>BmgrnBFc0Goj~g)t9_&O##l4LjMa zt8R8Cnr%B~K~}cHMK<3vGtgK(XMU4{3E1vy?m7+%X@1Mf#|0N>KFEHV1AgO&`9e8e zSMv{n{x`uatU3LBy5i=)3y5t0_GkT=HAiL<(*NZ^QJ?v?`3ZIA2MdKL^Xcq=&b;wg zFaA5>tSkJB>;LrI`F|&5znkWCot)V-imj@ODLSTydEHf&%ck%IQGMAhSAO%>JN9ggvKYIc{6!z z_obGSlDYHU7k}}JYuBv1OAvl6{Ht*1otG9S({H@-#`E`!;=LDLF^wptwr~0K8(X)& z`sTaa_wG3!kEeRldDbGj)LJqz&(ON$g!DlqwE2bB-#+@QzkSi-&mL@k^5rc%4(fRo zQx~jQ75M61-}!s5>#pzJe$zGHFD!QQ^X)TOu7oO@RbEU}ImGCoo|fM1c;ddF{OxnE z-}Lk|e|-J*SGRw3s!JJCGpgDi`_ zZ@c5>n{N5q?bTno?#jRoSNSiz_>#+3t~h_i$|Vcu&Z=OiQaP6HL+L}iw{3md z@~Ip@f8IIEUCVu!TzuJ8S6AM2zd(XOtyMOdIvAFJ@e`~mFZT)w?{mr^> z+F0<*tW#BUAvO|KRU4gK>FCR6Gx6Kr+T{k^r2BIKaZ}M zJbB7=$AU!*9LrXm=URU51s8fRz1)ArRaahfUGbyz=88-E-IX zzqO|B_FHPIE3duc(o0sZJa^IJ1+!;NV<(mr0Lu?*r%oI`w0CD>=hoNW*!)TOairvzIV<%4Z z^bclosKVm%DHT&@@^cqBmMnF-Jr{Z}_Fdt>vhv#NZn|;xSHE8S%{#t%=XbyR{f35h z>wfgFYkU)a{G%WKaNRxk+;jI`-}~;jzkTO7zft?OTfTB*b=8-yzUqoETzc_^t5&X9 zwrHV!_RMLM8M>r6KQCu=XlPJ9dGhF?)V}1d?b~9}$m`)PF9x4~w*9H6S|5Al;id;4 z*mUoGKmU&n|Ak)uM`8W?pKjRrpTEBUHxK{zk(MX^V6s-{<}Kmy*7sr`Y~Q|XuXG@F zD6Mn>e+?6soPweWzIpVK z=HEWt^w7gijg7zg&4Uj<(D=Y_9)PC@8t?!0reFW(eJ0%dt6y#0_{(4X;urY)^Pm6x zm%rTj%U=Q9iy!{_KLHx=Z@mBh2Y%Dk)b#K}4+D-LeXQm2$De3@vaRi@r~mZKv(Ntd zx#ymL@uinuc_s8(IQ(Yht*!6GK8VNTI}#u6N$vsMAC`|EKY6mNw{JinHpYmYy!^t# zl2UpiGimbF=|Bd4{=x<4ECxcH=RV(a;YAl;;sYHQxcZvP%Im6bxZ$RoZ>hPp_O{#V z?zr>LZ+-ha-&^~=@7;CR-FG+q+Yf&DBVhI)oyG6we?R`Q@Z)vx2_PS!0r>a(->bziEith^?0h5xckFS+T34q0r{e&dwKKc>cL(pZ(L*Pe1)s+aLb$`zKpl zpZwhuk3Zh>SWC+z%@05Pa8uKR5B>&-1Ejeh(A@|W`gJ2b-~Vg#6%g;i2Os+FqmMrF z*y8|C{O-vof8X}hQ%^nJ{-PdWfH0E9S}KB1iI?&4Z)m#^7GDf!Kr~%ATE3sqy_Bc@a-FM!Jz71r4BmCNHue}-q*u43b zS6+VkrI$KieDTE>5Q0H?1kHcVH(mrud8rc~F9W{?0z^J}@>I9l z)2jiQhchFiV?gBm{49;jK=4c&b2DZljpxp{FIv}F?6ONQ^?l)zi!Z+TqKlB$z$hz_tV@>wmn{SloXgL) z*=C{?POe}kmX%E?K{+e{@>wjz=!lUS)&~drHLVw=@stAE5#;fRj1)U?VE_Jody!bUmRDcRk`2W4r5BNIp1 zUJaQkzzNk~+doiY_=clz|1wpp`h&jtaWJ8!<-jxv0XNnCvz=hNXQ{1WN&W|kn!XRlZuBAA2J1R zzhsgTbnDI?K*enzydQh-y?5V@Mo$y+%{P#gubVOhqL}?N{yPr%xhYO>zL}-hTS%|B z&rl2qwr$(C_;!#e6sZq)CxK9VC29YDpwhvE2SIrrIdU|89EhZJb#(!W`ueo~{!fqy zC&bH_7|VB z&~qA4xx=FysMvK10hGo`cmOEbKjVOFIj24b+_|{cI777rut8Il@82?*Th}?D> zfXpQ(AmvMu1!&|!8rTB(5Ev0y5q|(se*jF*0`L)wFa=>M{7jjOFeMA{zWM27WVT6J zcEjT!Aj_FdXYw0zS~0R&k;!ER$Y8)>cuP4*X(aPFjk5$s>LP7{rbsf=5F?3z94F8m zry)o_h9>#Q5nSRvj4HwekWi4))M@xA^+`C00Gq+)un+7B2RH)9fun)uMK}(kjc_*M zyR!6FO};VN$<)e7YM`@8UODr#=_dd|SvipO$V{fvFA4aP6e)CH$i zK~@c*?3)~OTDDETK{zeXCT+769w)MLhQ>(caj7Bmq~ zT5=YcC$pO8EjrCHS#v-YoG}Qec_#NPp7~fckF!RW9J-08fiv3qjDr3c`aYqp&uHzl zpy%&}v-ZgzW&9LpsP+lMolUxrc{y_y6^+wW92q@}kRRKvGwPVk(($w+K263mG(4M# zXW{-6G%P)vC|P2Rlfyc$yo=5v$yx9h$(Dj~7&i=gS$cg;va^hM)>NMn_K#(K93ff! z3}uluc!rc`Q}eILiRjk)KM4b;VK9sS!H=_mL*qpF6f*n;4bGCJvuSV|&a#bX;1h}^ z4AlMr{Obi{8KUikHNo$;nUdtC*ZSi_n8>{@3{HD6Gf+= z{}GNP#y-Z{C(%cIHa-8#!~QKo{B0P`KK(z#u%7|{^nIU!{}$r@1Ni@k;h&U_e^faB z4}r)PRrsIA-#-Zd)9CvD4`6{%(^9iQ=(=xzFYvX0yX||f zWyIH){&j&6zW3LJ8p}v8wEcF|`m=JO2RwhBhrHw9yJGx^y7@EGr#BkneUlf%b}Rqb zn0;r2e6%IKd8haG`Ts?R(?`-nqIlw^+*d#2JX7yUiI44R79Dp~e)bXT zv*kbj@t5aS36q|BJ^JxMHa_>abHV?a=hKf(@C(--x&2d*e{03z>H8zhd4lj21zz4$ zCkTrRxpP9|3sY3s{l14bKPfi;^ z)GvSP4g9z?v}=-Z%XLeCAawmU)2urm6_4FgctHGL_x^hP&^tx(Pfx<%HXNQOE_Pbp0Cb!t=jk4BBDSL-g@EW zf_Yn>j=ebwcPwsAz{UOsjt*%5p;qgqk}9 zp>(n!TyWtRF1T8_=ll0u^TV9NA5?6AW!GTedq;NmS{F_&bl(1D_eIl}Uw-wn;|s6w zTPHmG;D5l0vPB1-ZTC0c_cQVN4|85=erNX3qvAWeraGT^reU-tSGZ~Mg_i@z>-!zI z-}WWTbV0cO(r4?ghz;bRFsS{&TeKUA1`V(*DR?4J11@%h7ou%LeUoUaKtdW8A< z-9ix@^=^=)^X?XYwB$zNy6@WO$&o(o-uv2q^3xqvaq*Eqh`{p0v-g$*!=!FEK0Fpr zO_7JlV9({w!Sv(5pI-Q)*j)N^IORZE6kqNe8_n!Nd<$P%A$}AKtF>p#(qnQs*loFwMhHzY?GN zh}fBWZga^GW~PIqC%e+(&Rc~W_Azt6euW@>_2O&UL!>ZvZ@#^_P*|~dO8s{hb(IE= zlfqS@3gL@Jj_bxX*Ij=k^vmt%$cZCwisI0f-M^CGdHjFdgzkTYvG71z}0;?dv?( z*}IGLUy&D0dimYSJEar0oDF{*01fne;K;`Y-`+F)OY!K`QhDXFLp$y}YDmVnwmq`* zc;`p*lqDY>Iy`69s`{C|(VK;@zLLD;;+wuX7MN`R?)8@mw~krAe8msu9V!!q3o9~D z{G??XVEU!bEiIcir%x_h_~t_|4R;?A|1`h<{qCQCcyo`q<-4}+552ow_zpkk__XV1 z?b`GG3#Pe8cU|n9+4sRYdtchIx96eMl97RZ=l)=h>J)4}U%H&Fy4jI>`pAlFuDbT7 z<%8SyUbXCP@#UGTW*rzLhko}!bpFikK-8a6+r=ciegAX&cWkaWEQJy(ADed`?y7FG0I zB>=++E8X)amb>O%GC47Fc+bJyvgs#d9Xl5k^dBivy341=cjx7H(|HG`Pwww3+Kse3_oZjeT`co9~xBt}Rg9rMNpS z?(SB+xD+TZMFSM4KyfFI|%w39EonG1o0;fT+Rq>p5Ec~ZSjySes zp_~2s z_Eck?Mo{;~W>3zNJQ$3-oYyeCnqZZ;pKvoN+ZP1x{S$``<{~@wV_y7d?sM`n!`H+q zSS+C1YtMpds-460X=GSagO&0%sP@y@P4{d}#(VHYz}Zsq@h@emSN6=Q=d>VZrE@$n2wYj9LkGKnAm<|906Hw1mAy{Q7W(tYq2RHDh9D zC!djIRm48q=^!^h<~EL-Wsa$BNBq|=a-_H0T3W_*6r}+hK7Z{|Z;s{=_VMSW#K`L9 zx*f#B$1PkTYPzU|+hfr>i^hfgcKZ4Tn9>3fi9ts@U}=~@beQ}12Ppdwj^9;{%&v#U z$=xezGGr@RSt9o2;*M1HJDQ-#wLj*cobS#d?3p!TW_7EdZS3G@`ko1`Q*cXoJHRf4 z!Q;TUd_g7EHZ^yVyXip$#8)XylxGq=yfEm~j#a=JJSX&NR^vSXPe0DgjUhNxbQEd50Jtper zbrC^s(q;a>rSf=C*dT%Bp~vj;cEfU{ZZDC^2e`w@?aO@ts|CJ4PR7;9b)r;O#~qbe z6#uA#YEXWMb0yEnIIy;=KAP_-cD+|nq&L-^f_zaU=22i>9ym2>bvR4%&r(jJ4intl>oQKjM!Y84WT%pCs z4n^H^dLN65fFlohg<%mbjkzo0XU9ib!W~cNtgC*O|lA8V0_8!f%>D6ef{c;nqIqi7zNZByP^ZmY%K z(DN@;ueH=P`SxZ54r+0Qd=sDhUW>K;ebyL{NyR5+KF=Mw)2gkc!pk=7$7Wz5)=y2J zik=6#3neB=SI2|*tYsaoERxI%BDTjnb%im-^BHfd-Z@8txCO0Q<$1``*c09nbke>oES64 zM}b4eI6jFuWPVSQgAF7Jl)?f+&43J_*Ck+M9Gb(|F|!nClDVbW=xA~8)YBqKG|AXS zlN$4M9d}rQB4+Dk2C9;iW}}v|f@R#>^q1E8U42R$H&W#mH9iWDQ;Ur*lG&bR*8dU( zg$d7l>lpEvuipeO`?dn2V)m>xlhf9o_VI@T z1-rLYr@|}t*Fcdu&r!ZSiJBdvG;omDXr@*TktRz)z+5-MpXo+-6&msbaWRJq7QI-{ zCp5dCCs+2lT8kr;W~*7r1@uwF#PLi&hZSv9{R|!_f2R=b8rwPFN%z0=s!!zB_E%Uc z^te=VAYgcz!b$ss-d@$<#|NIh-R{G-y9sO!uuu~g<`ejKM?(0*NtT8h*higA z0+ek0UN)HiqfQqs(HG3&H6_bvJK z8kO?+DY%?!LC;wlLWC#91<$nZyqaCt-TQ@0&ccOw{50s2GWgxRZ20oXre>ancQ$(% zZOeQZW)AYDB!H}8XGtjQ=LaT1bAy0;#qaD>w81yBp)cz9N zL+@8H2MAWq2r3Ub=FYYfcFZy^-_>9v8{aYFFS1$Mzxeft#Kq4eGUS&`&s*96mXId@t+c1q*x ztk!#N%khnnB-I4NXo-ixiqYPRlqJ_qMHl%8377QfS9XNggc|Iu9D)jLu|KC7`I&6>;^su?G$qvDsj-q%a9WW$ zDN9fWSG8_@OC=+tBqtZ~ZUvGHFBN$ov_-Co3W#OqnA-T zN#=c9m`Dh|i8sEAQ{fMi<6Q!hjf^=U%iA*OFV0M;(?9YfD?kk=EJD4d$VgFlg#ruX zW}iG%urXfin)0RB8D5fklt&M7bDcDdj-OF;vhtyA&hvkkRmhMitz@ux189sIoERIS zpgQJNVIoR?IB!|;kgH4X@lRj`bp+nuUr_pJbZJpf%}v0S9~Nc?er%l;N#~7JjKzM^ z%tAT2N^hcRxK$TfC)LXO!@TU36XGVQ*d7v}rwyB*>x4{LLjZ;VziiFWhRB7krfQQ1PcMyZUb2KR#YXu8;J>GROuR0CIxFPmaz}!kQZ?(a~&87~U^_MR@ zX*j^)5KIb+kuf??bd3b{K^po&G1b#fEyPJDt%(tuu_5m9g{SDS;JIWn&LN8V0lv?| zauYE%1>IY@NiVb!0(6KATM4!JSJc&hkg7S^5}>umCqbcg-MIx&U0Iu8Y3#>DzBjH&sEX5(*m3-_ZrugHsj+!;bKda1SP6l^s=>D6xlHQkVYQ-Idf-gz1Q zz7EC>OEA~k!c%Fu+X-76>|N@Q9|$XtZIE@z!^2N_9#byY0lRhAO-9}9QlA7>}Ssgg7w~Z@ZO(0nswWUXG0pm;6T*neVMF2k*&Uv zN~($qqdDvo%5$U0{$6|Vil8K6xvAhBx3?#RuCfP_!(Y7ovhD4DYjREWxom41QO}b=rLj}U{!9^aD`YR zle5za1c$_1657VQCaa+0d<@AFtE>zI;ocuOGbTP2ap)O!>oLX^D!6n~G|m>+A=q8k zIWxe=BtV;3hYJxyk<_LOg=2Lnzd=G$kt7?~Y>n6_K`8pTFkgh<=z6bmBYdPC&#nUZX4+|c6GaFW=4a}IdJ-GoH2{G6as@>XXC6B9-`8B zwobK*Dcy5$D|%F@IJu!dLTVwg){!*D3u-;2@+n1B;fl_ipIsIfikxZ)o>Y9SFD z+-^u{8k>s#-$WR`F86Gsy{_OD;=wF@sV1i_o{G*xJR2g0&J=~bY?jWr(z%CJu||kT zRU&06#TAY34=V~Rk2qLD0lq2c-S|<=B{_(v7XK@3S^t}iZ3K;Jkt-GtsEc)jul5CA zp%%yyJqe}n<`q$=f(wGsMY!$#FV@90o;wBQ!*`LN7+@MBl<3mb&=Nt3?DwWEuDMv$%L~r_bOU*Kg?!~RAroyKFju?Zawt?16nqz~+YaJPn)z*^CiiqdsD>)!W zRsXvNj1hQ!wl7s79=llLt~vBR0xqzDOrR);joC<k`8rq;=@deEP2(7PvNM^UK?UHy=5OpI*WuVkjl)E z%26yqg%rmV-$<@fD0Pv_(uqT5Z0S9(*Mqu*b=9flhWv==qjLOAmz23#ew9Rt@~SUY zd&jx5YuM-1YGv|0f5-$Y&a7t4RuU#ESuG-}qL#{Ql7*kIKOzvlBbedREJ1nWbiSaS zvW}pMs-b{x5o>Wz@kL2l=R*rYBiczz2W^?)oGK-Pu&rf#!DOIm#YaZDQU_!^qA|H= zFH6yMhPI3yj6c!ge3PM>uVbqw8&Qh05`)##<`hzSloMbx3xRHgn8$8%c)D!X*-4}- zucnEEqIN&uny+e6vsU7V2hJ_}kbBulH&J*CZgv71pZUUsls|gBYOxwtlzU-^^PswT zk^xmXBhUTjtc%K0$4Df0Nts7Uc%ZHva#-+t9|zk5MbPqVKMPS3cKnipb#|m9zL%2j zj+NfLhJB^w@a-MT@|`&!Y>ZB$geRiZG4V7EAa%$1X98FFOHh|loEGF5bD5)9@Y zt0tt=)U9Hrqfi-B_yd(@>#!l>bxKXEEQje~Y`@TX*SpT(;U_ImTHTNi-@_(4Sf)>H zq*Q8sZI8LES!5@>tqrDsEJ7{l3+_@jR7zQ1F8$tIVS6tB<%=vih1cbGZ4+a+h+M(2 zxG)_xrOhXo6-k*z2Ex-AfxRpaA#FjUk|3OHskUXAN0mEo&fR7I{an`)76b1uPG5$G zE3F-6w@I=;_Uid=#y7M5nQcrrk|THU&rlV0jFpF^@txf8TF&+N2+Ykh^^82O)#8cZ z@9%!dA$b?cO6yY3mwzEGh{d*ky^~AJ(fWl+&nVD7wUEECrL(@FR&R}wOJjZl#s5g) zX|$Q%c*j$C3714`gB19+q&|Nx?;@kB!%UGj}aSzx#)U7{jluOtIG0u zsolnA_ZHnSoGwfW_o`}}s6T?HI>rf1`VlQ%{-*LOWD{gAYZDhFcqYm$fpPVp%XN}e zrYXSnixDxmCtUS`H$(1cJb zW0>S57_#n8omq36YP+Q?vKP6+?t8I^c5KmUKlw&D$Qu#6IjWBO@oarV;co1B#Su+( zaTRW`FMypFU?sF{@Cw#?QvWp`kzSko)5bO@u9cZg`Pa5JdAcLx7|Q$J(k8iK!#8oy zEPa7VccC}=lAonTf1ac|>;kkHNdE}U@+Sh(kL5qmy%u_vfIMs^RHswdZ)OD_Oc^Q0 zJvA*J+hWe`#V*(7Lxt)3z{X&G-j~(H>%4{6TH8DRQcNZrds9ZG0L>oM42e+ zr?=mgER&o zab#@uAcI5R`>+Mg9%)d7*ohsaj931P) ze&;Z;)cnhKb;?J7v<5Z*?VwP$anxo4fg;8Y>yz%bI9cCYCM!^$iep>L7_Tv9gGbE= z>^r+=YrM&{<9-)eB9D`&8{hl33G?LbmXiA)o1nIk@l|L!ZRU`P2w!y& zNa7n<0-&6*{tJ0)4ckf&Z`9pkkWM#2&67%m1C-lGvmlx9ulR{4_D=)HG(8#I7!g7D z$0Yl6>^sYMM`!1rK)9~653(2LJ%B`C7XTb-XElxG*C>(r;6CJ=Etu4)C zA6`Jvok~BmLeO6e@gN46!d${(=U47eQS+L0fP`S(V}N8g;QpcYS@x)GQBo1adb=I~ z893j?mia)VQrN6bxA5B>5C!}P)g%^14$Z;dUpYy{3d`y!p`U@%LH0kr%GkMI6{S7> zMXP|?MHv-I$+tTwuu#x*IbsA+x9;bGo2X0Pu(u?sDA^1=p=kiTFfXN@m60>Icia|^7W z4fYh6(3psCyaB!e(eix*k8Qu)EezWi(HxixrXSVo@k^LTjSy&$+-IhL+U|GRb_i__f{s#(+uw=i{f(pgc5@dlI^R{x+fiw62 zQrZGm2lKczw- zV7%g@k8%lez6n_mT!VxShUC9Ve3KgY4~seitHY##0|IdCzw7iR*iD#Fy;>&)>A^T{ z6)RzDnyI1^++zT1h|Y6MDpdt^8KwFV2cZC~k7{K@!hXf13JApG#7 zfm%yC{6f*PCOLSk=WP7ZaeE>7k)D3HHPG$EYa`1J7<+l#UdS9qIL5 z_%PMvE85YdHm9h!&vi7Gkg^@Bk{m!*?*JsY&_!Z(`}xs#jAmL={`4!<8y9$b5&Yb~ zhkF2geaHC(;>H!H%|HH3MU(YzmCj`s=CqWPymY^_}x<*{ddGP`tnc-3tYy zq1u~FpmDcEWH)V{sp~7vi$xu$9$NU?yZ0{Smx&y>dn_Oa%OY zb?-~v?Jn+hu5^79D(!>UKLI6F$7r@ahZ~%tdcM1MXaY<25-uc`zHp-9)m%+@hfUELiGLAlcZDLgB<$&oxaxCRcFh=yeas2&Z}_?9U;# zU{_9Q9kA=mZx!%&2#rqgg1RaSAA+RW>7hD~&EX!AW<75_025X4&#J&6C2!94Lj-wLCd?fAlpf`BdvVFde?nxpXf9VfbphS9W={4HN4Iy;p+HA4_KVLW@dE z2f6W2j2xlwc1GlaRmaw2A?38;GG&pt-xw{NpTqr=|{U{b(NWtOpIcJQar) z-Mgc1PDIqABARnomkX69=! z{749OS}D7C4Sa43x-7lWymO6(w2;EeX$Nx%!x_&8kdxozrK9QvW4fP&)&BV;Z72l0 zjD!E$$XJihhMlkZg!NBkh#{JK08R*GoXrz3dDQ_4fc2{uYB)sd5b7rRo$xOk(iy-> z_}iDC?2$%UFcwS+KJ3nCafe;DJCu)fm05z7NLLsgfW z(xE0702GWnPoo)xIzj)FkU%2X8h?JW)-kLJLW9_SQ|~Fol?8eiu}YrT4x^V!%|Yoc zA+qFxc_Vf~*AZwd;}Gh`_&JUy9?eSuDAA9vrJUo(#c7XWxA!6;2pkZVa8;nGSid!V z`SKED75Zhk>keO#Cc@XM2M3|t71vd1>NYPme6{ZyvPAFcMyj(8{0$fL8L8948-qW3 zu9GnE>C3>U<8}h~a5KuAHJ$Atb_i-d0eeL|442r4l~y^yiG(i-<-p)a=z;=E!Fn*oka8jHXfNoW z1wgBZ`T|HTu(~#tCUST0`(G!lq&R$iBor@giK8WC?3tRop`4y5ZytoZ03Ewvw(ZIUK3<;{0f{e7fB>C? z*|%JbzQ`dMpy!Khv=R~L3KYMLL1rSu3Wz6Sug;^5EV@)HFtaP9(}`1xqp9a+O8zyh zSe&xi3iKu_|P4?ch;vHtlr%wbSi`6L6 z5^X_`-1Dh zt={yiQt+3${|QqoX!G9Y6KS81$6fi!i~Uc|@wzES$j1E3(yRo8u4)Q3ek#N;lQ*TK zU^v9%SffiI;oepe&Wx`*x>Rnn3*^0;WoI=-2As6(Ny=gS$JP76YKn%CwC8qqgL!5O z5d?U0GXjRoD$l|pygs}hn~(cZKhLkF`S`?@pGl0gt=`s_|AduJuKaW-%QHLbS9Dp; zME#p%aO=v20_Tf1qo(*Tq;&T@;SkSu#&0ArJukGQ4%$nRX*EpV1k;!DY|O`xz%P`R zTjiV8W|u&?a-+^+=xQ4KQOZlIzlu!$8kFgDGas)BuSe7lub;W*eALhEvO0(lx1f&a zpD^=GyGN z&EMi;g9*35F!P@ Date: Sat, 8 Oct 2022 16:17:04 -0300 Subject: [PATCH 03/26] New Demo Songs - Removed FEDMS since i'm not proud of it anymore - Added two new originals that I'm actually proud of: - Utter Determination (YM2610B) - Fiorella's Theme (YM2610B + YM2203) --- demos/Fiorella YM2610B+YM2203.fur | Bin 0 -> 27010 bytes demos/Utter Determination YM2610B.fur | Bin 0 -> 334017 bytes 2 files changed, 0 insertions(+), 0 deletions(-) create mode 100644 demos/Fiorella YM2610B+YM2203.fur create mode 100644 demos/Utter Determination YM2610B.fur diff --git a/demos/Fiorella YM2610B+YM2203.fur b/demos/Fiorella YM2610B+YM2203.fur new file mode 100644 index 0000000000000000000000000000000000000000..492f93a9f512582a91c9ad696f23988c7573ba41 GIT binary patch literal 27010 zcmV)MK)Annob0*{c-z*QE_ez$Te6c@qMTGtyStW@OzrgU z-PmbolJ2w5+D>N1nVtL0LP^>tGfC65o!MqKA9X0=9N_!T`OZ1t`+kRP`qOVe{EY{` z`gPBP-~8IQcYeL84*=i+zn0Fs{`Bs(&4QR1k|7D!_yG`*#n3-zaOE z*8E2K%})dHUn)Q9j(=PE({0~;`0G1&J^=3h#<&0Tf8N=*?%Ut6YyaDw072kFJA}Wy z6T-ONSE3q#!%G49sT%-q0{~z10g!J7U^)W8|NSQbtn0O}zY4&StpMC)0kCWsfWQd= z){X#h&r<+=H4nhIN&xITW$T#$;KVZkjQ;?D^JM^Dehz^Da|VEa`Vj!t{~G|e&H=FU zCELdr0oeFc0KW3y0eIvx0R2A$;LzU!aQqhloc_N6n7InTr8fZh#oq()|GWi2)&BsX z4nVNN0YUpB2sU64JWvI}!%HBDxgZ$48G>UJ1gG2({P0!?E_xw&eK`dG_&Erieh6w; zK+x0-!Rp%~xcdtb+#iPEp*9G_H4r54gkbnjAsFj~;Q4L{esVViuiXQ|TmKUTcn<{b zEf6$*8G^R2KycUBA^7rE2zKp&K==j(+Cvazz6HVPe}&+=JrMl$cOdv%9)cS&2(bMS zFar?yRR~%SL$H1bg8NJezIhA+egp#bX$aDz5R@h$IQIhxUV09Kzxfda|1b*ydJzKp zzeC{r83d7EK+t^^f-n6&1mAcIg1`JH2$X+@z(NpEtVF;xihviL2>AI;2>5#!0v6wb z0LqO3ZW#i?4TwF>h~u4CMMdSJ%Eb#6@4Ot2ipq*bAOHH->t{j0uU?34!cqB=*Y7^J zpwE_yh5Gl(uhqYO3ICP7-|5=6R#92GXfcX?@bzyP&%5`-U-#^N*YID6qrx5<@~*01 z_28Y0w;y=-xrM?1>f-%7c)jNf3va=Nw-DHH@1J>YfBXH8x8Fbi0gt>ZeEYjyvWxv! z@yp$gEL?)a_Uj$#dEmhZwm#tLS>MtUSmj~w-f$P^@q2z<`}yX;y0@#>wQ%qDseA38 zRoVZ)y7QY~-Er^NceVv^JJR0!=YL_Zr#`RF+YzSI)q1Xvbm264(2N`2KbbVoQ(@ZC?VAHE)j`eYd<{mc934$-ioT zzx?w@lm)RPDX98@;(WK@?X}Ge&dE+vgDoe8^!VVK!2s~FPAJ{{I78P{oLU_ z*8j-w`w$dv{{Jls{}O3`iLB^*%Hns+qW6@_cT2@@VeeBE{^Z*FeS_F1t^A>V`Em7s zYUiWue2T(<^yvC=P`K@F6o%kyyT9_lBahticKzF+;=ZY3@e=p@lKuAqD2;*2CFF;< zGekut(q=0|Ke(O7Ai4y+55ay{0RAZXUDnvYyysI8{p8yEePhrkt^A>V`Em7sYUiWu zdxHAo`ykc=$ojh6la|Di*&BY|9q@HN2Cv{ZjG!($II?!H2Xjt*ls# zEdB83f7$*a&wq-d|FMzn-_zFb8-zY-;=2%f?>E1(?W>-aFW?%a5!7Q#&7J=Tj{GqsPdPgQZS<0ZVTKUwru64?gmV{9)2Z$?vkl{^dQN zLg**g*6$mEK569-?aPm=|5H03W#=6T{e5D}zlqc@2cYA#035VapBr}Uf2`^M>mGfw z<)8mRvJl7+;IOgz52f+nPCliCf1FhBx4rM)^&482+mvwK1CKoNHJcJP1%lv}8UVi7 z@lILbdmlFUe_4L?CkZn0S1ob$KC-rAcFW;2pQ&O7iR{foF|_E#tJ((#n88N>sP6*X zkUK~}Hb9txi_6{PMDtO(l1r^c8EQQlE(!8+UzFJ_&_9<|2B=l?-OC5W1kU1t?@MBv zf~hjf^C-H&3wi3H$%kJF9Y#4{%|_6RlZSMYY1Zx{wfw5oV{DbkDkzFd{0};BxZ8s0 z#Gv$YEmOWgUga?&D@-a$`Qikh+Tgw*m^>eQXhALd1-(-%oIqBDkUCak@0fHAiWt3{ zNbQ%9xJ#~dr78}H;?br26}8}zrJkfRFY~oM@;pi<+;{O%J&!KovV3(^y9BeUb`!>4 z(=kFJQftlzj!Cb3d2#AWX3h;FTUZGi6v~Dk$(;OL|2c(s_i>^^cX&L$z;LX;)q%(u34&pej7|BJ;ey;Ph!=EJGU%5 z{Ud&8Z1U{X86&mr2GHwtk368rvFgFx;K;xiOjZ|g=H(4(B62qZ6o%uoeH0$#_SH-B zVT7;gxFgum`565W78CsSYqn+F!3}A_4BvC!k?h<9alON6zOXS1p6I|_re|_|rT9V; zD=Np?$E83*Zdnos3OR#5AD0er9%n(;r+z?F<5h(pE0oke(R?^zWmAVuf+-Nk#e*II zKR3}p&`9ichhrr5ElOG%noR{1b~}j`J{K-`E)7~-e51g>&@Xt_zJXve({(wKi!2rg zM)JWk7dzaw(@$F6zu;j&yy8 z?zlbvtokjYBe!8Z;G&b8(gy`eMEmIRL82;CJ4M`(a*n}70+fOS7s>~y%7(q~UT0{GIyxC6yjll@I;iS>#@r|UE<+bJ~;ZOn2n zc)u_0b7JVlnr8_Au)cmsbvZLe+5OX920iqw_mT@5Yxc{mLZ00a8*=>9#w?oi4dX5O zryKAhmZsOoz~hK=XB}ZEpcU>5z%M#U$C3Ln)&TvXuMBw!>UH#m@VcYPyA*`TEmpAn zCI!aCknnt5U$x(vNT%-5jjA)orA_KH6mmhUsu)4e*8F2oO>lp%c#Hi{;_bt=syvcH z?|%zLbM%@lli8issQ7KP){7#Z{eX874Vm7Vk|pm@D*Vd8ZcV$?a*!&~aNNm2+|jh?&=i8%J>&sHdL{>iv+V$ICs$?O2Eq3g1_id5(y-Sr&xN*zpL+Oo7u zTbU;13cSGG0jZi_)W0x@{AjTPusl&|O-5?~r9D~r3SxYPe+@%A>Y!3Pv0Lh(qt$K^})W7U8U%^CKItc0=Fw_n; zqAp9X(JVB8oxlHL3ukne3d{}K^kYLq=Qt10dxI~p)eUX!I{ZvC zhfLnvT0knR1la|*4msDYBXGs~E3Ck{9NNDnOX48V^5x)>vS#7*_6`wm9DEk^O! znwyeUWtwJgwD46%yymsDjvnC&MfNP$TP76ZWvmqw2ZKF}(Ud^mFFBr!U+_YA3Nw#h zsCk^!oZ1s4NAN@a!5JTCEp~U8SjZNp@kE zgEO+}ddd=NZk<2_GdK`h#)3MRi-w@7FMwQ1`_^N;F>M97nCFF|*w1 zZ9e18HbiPrHnw&V1@YU@SP!ErmIT>wjbDU7Eo?J4ATqC|wq*nSFL1|q1^xCM<#iJ7 z+({zjR8=T>&(I~sm(GQe1pP$*5-L;!a562PjF~;AaOYDH>vxJR9y@eWg>Dx~97@z9 zHEtW%l0L(fI*UTUr~!ov)p+Sse$xac!_YZh~Y(D20x$*9!LEX6a-qouh^0TLl%h* zL4}kK$!r!tOk;FIg(d>dgVb;s)s3*2j%2+Bt|^Q2xU<` zRCrw>hyuh^r%q@9<5AwlD};cme69gV3XSTV&ht7Q=S`0?fMB z<0Y`b8WtFh&6Rlm-B15Q-fnoWNWW_Oz{>YNx4;#08xK7E^&kkcza35d=K)!V zPpt1-hH_)sCawq#hJb*>6({HsAGg*Qe=x>J!aw80(YSQ zdkcR7bJ0%M(*j=UOvo@V#Q&>A3t5=B1;f1A0mnx0U8Mt&E+huqOwvR*4G6gEnmN?$ zf95OwCS?_ov-cr|#6e3T$$gwMpu#r~Njl$=I^)_$EOP)BRR)%zO!JS~E;P`C-HL>U z9L^qNXc@{t)%@ZN2I_B*?Z(T=iP;UfqyndF99~|3zpSD43 zoI|m3a(w)A8|A<&w1{%ZTl~;;RdlhcY6S=Cq^9|Hw?QqV9hy3gYY%y@>8m>gSujGK zjP+_fHI^b7`UIhhiAARFd2xc|u(E1}{$9&!cq3v`p}xirzQjlKhYzVKIf%cd789NOC+uk=NmpjR#M>m953U7O z!pLo-bjey-EDkQCy;4=P(SL4IT^Ym%%XpiQrj$t42FC%~hx6FtM15v8qY`{P$}5zGpWF?4d8IHL>qmMr*GO&ZxBzlce@)<3G}lxAA}?ISDSQJ)#@W(ztJg+r6n3Lx7`6sX*jmM&~;kfI%V7UnL$Ke8lN510;jPJ3RKerlI zg(NsSzIsjC|;dB2JbRR6Y?|lm`i-GA~k3L|oVW z>IsDhqIL2iAul?=)J377EKVIIHt_{Vb!4z`lb1KvoY{1c;CUtL(&M2!u2*?=TFZ<# zjJey`mYJA#BX*{naZKo)*C_J9<|IuY2;%kEeBiLmHAa%Bq&S?DreNI~g~TTOJtF4W zYtqN0usb}1MeszyiYJ80hOm0`&FP)>lmwF`wzN8>RR?^VxoL z15n5H(Bp?OiBqQ1^6euH*QZcFhON4=^m)ch%qmKXePfbx`eEpKhUKaLS*)e z2K@JlsKc_Bcr28C-8HN6kawcjVj}zjx_mO|lucq*-KvU3rLg24MV*y6w!hZMSeM-d zF?ka~F4Ze$8bLvvSeo2%jF^#1zBSUp=qa6M)|mP(xfR7w>)9TU2q#PFQ`CsAJ+Fr$ z;~#vB?1=M6!#8!vy!AkAVwKw+A@2NcSJP5n$-j(ruGdjMOJDYNlanmnO9_GOUPrpr z@LH6g*k**ULoB{KBV^@#X9uX(l$=#h?vcWU&4jV~uyBACgxFPCQ97s$K{S@&Z0)Xe ztE-THnio)N5K7AwXHIO=N}a8gzM&tx8DOI0z;(sQW?ls7s%tv*OKXJFi7rNAD%42L zg#%=@ny&5Q>j=ehrPv9oeTzg8Zv-fsY6yLH=r--;z@)K%9EIcK{BU;ds>gXEy;WIB zV6Mc5@oq_ z-o@V0v&R?dd;6raH7seq|ZssY>8iyne^= zB8HUpHMLDGmPb-<$m~QPGS2V9t<Q)!G40p+ov`m`6y{9d-zi3>H3kI0Ep%K zWU(aMgnPGC+JhuKxedHm%lw(Z<2qiLH{f4ml9gW`;Va+~BFz zYqflFlI{-+%{S|Ez$~#3Tz-NmKFNkBPSn>gq2&;K+U79Es#l19D{*Kfx{1d`??vsR z4{b;@E2(jD`7KJqfiF|D&QPK`xb60< zjK`D^rc;%c8IiS2mm6NI(J#A0!|@nSJg|a-*wLV?)R%f@xW1Yd(=$~lTi9MNT%}w? zemwT-Hr2`Xr}SH6{p1U@z^xMQKA~%3t>7PJsx+%ny`GH%3BM&Sj)qa0dIJjazBD8Z zVd@urj1=}PHmMXbM4yI}95@Mwiu$+cnHik5^5(Yw zvurllTtLKI%+_^<6?9m*kPwaa)ubcW-Yn?~rGCx?`(<7ZijCD+O78-E>5Xz@?MhGT}gjZlA(w5W-i=KtlkxhmGn zr?8P-@n&6U*!N_1gTPknW^eO(t<;+)&b!-gazR;U=xT?9Blae5G4i$EHLsIYT=Q6y z4)_CCPeBPD!iXXFp_2_)&u*CFeMG}-q~`m}r-UlHnS5PWgCf;@gG+RD9y_QCs&hji z*ZCS3U&;Wlo_I(JUBw-9m`|Uo)?{O=2T6s0#s~B?%U?aGr#i&^E1ni)3cctS(rd&s zyYim5NLOOgiRxPxCF-ZpL6GDFHUAU=PogaqpUvHb3W5k{I`tP?YHqlRM6R!a%KS9M zAc;TI5;1OO&@?xCpUR9sjWGSRHKc4jOq+vA<4Qusgy<0^cZ~OwUvbjsBs9vG+Vv9g z!ef?>2tH2z^DOxmb(9Q&8zeE|S$@!~i-F0TLgImvQ<(MlKpFp>%9WD0UkVD7XXvwU z#5-!3@jk`UwoCg4cyGZh^bMj*F?V9MTn7~`(}y=dd1%}lBucq&8ObN&&Lp)}fyEmD zF~|P|x-W94B^W1ADW6}QrH&A^W;ofzoDm%gq+dr)b;MaU)s<_h-INX8c5bLrhqR3R z*n2i=9_v(WTD8Lr-ohKT4PqO0O+r(gsPqZ4vzlBRy39lObXeWv%6aykW$NJhnu#3! zSviy!yie7r&CWG;8rj*4-LFp$g)VDc%=m&L*W_+K<1VJ%0RA_;<)DjFr(IP~dHjcS z6(PK{GUds7Zmhuw9XE-v@1DuOg1jk z$u{eC9Y!=stExa?LSWCyiybkcv7 zPAcK6C{OXVgMPj9A)$CvOb;lz09U0ws}r}^Os+exPHY^1*2_zwZCHJiiAqlAE;~u& zf9%WD9!YHy7*S#Eyn92AeH}ru40}^@%8y)%sOn*k%w1GArh>7@Sd+OuHvj3SbM{yRgmiPYcj2_QRL;yO!HwZvg(v0RIB{AG5d!CIW9>+lV-paMU8|4t|0 zs@qH^JWEr!`!;4No1@6$Ps#jEyn`iTry6lAG$d$>`eWCn7Su{94ZctdH`S9p8dO7t zttJ3dI@=tas`3kpo^(;+LV|WoOh%Zz=P}*yRkE%;x}nnRo5S+7P<5kSt>CyVa!0Z_w(o8ufD()|2HGr`MlLG%n7BZNtV z0u2T#EI|W8Y>4v;j6ra0%1EIcNjDDw;<5xx1#w|A$t$>r(@8^UQuB~bx&kRrnpWcI zxaTzzc+(ipgIv7?hj@#~aV>F(=5VvVIlIZ}&7lV5q2|hCSp)ab840VmP?J?i1@BA_ z@feOlgVtPf;!bA?Be9@l<$?-SDMD9Mu|$nR5f~4%RdkVq<)9+P(MgO*(kwBM0TB8y z4gvz?fjm-=paWVKRSiG}O*CL?Iy({2agVGEsw6R-*B3WUl_Uw4%<(!;lhRVWwv|SrQUMxGs{&3F8jhf>%J8Ou5)vcoGG?kSp8|Qw z(7iAxLliKoN=bmiL;z6SC({Dp6@jNs!1EZFwEHklpe#@*jn@eQ6eI>!1gK!Bhyg*O z0BTPykN}V&rlTN5N*tN;YABlZ@CsIMPcDO~Kvv^2De;)#6r3nV83bl$-&xAb%eFE= zDH7$9pa^sw@OoON3EBcQQD7EkgO_9!kua13ae?qi7=lTPRHL9Yz)%^|Iv`|>(g}q} zZD#?(q%{nc1l_iX0ewN8&ZB_Rfq>eTf=ux; zp?iQtsIV z-3NgO3ujn7YP*hKI2uzKV0aY(Wq{EF&I^=+qR<|dys$I~1*Ld2j!HmZa4ruNy9%>4 z0tGDCvFBB=2dB!wb{Yokf${*@ie(A44WgLcRZ>Cqh0{3MUJ?pQs1UP_ON^~h5M;Y| zl08KVPooRHx7RDNaKt9qi_Z25FR6k(xjbt75(DbO!l3Ma+HT?%MMZV{VW`k{CTXC+ z)`g+~=rp=e!RzlF``J~t9?E_L_OR@G?H<_bl!ZG`9$@^!C_vf5VBr|f9+d>7 zg`uGf*kx;w(D$DGeemhw`;P?w^(o=UmW3n5|Jn9v#{=K;+`a4T-)IUngRi=NyK}|O z|6mPIo5>~Q^pakXN$b(p@XD}nu=rw@EHf0EG#6h{z|h_>>I3f1L#E{Tc`niw2`RoE zrxn2#nx4LTzg= z>W^^W>_SS#Oex{{vTNGDoA)DEcy+8mJ!c74ows;SJ6G05iwbkdl4^VS+Ax)TYOjyO zdxWubmL)mLnOWa4Yj||pK<0$8VpC{XK3_OC z72h7ShF>~2J!%3qwcUrdvUb3HP8Y_k=PXrbHKlf^NB6gOaX@kRXxS)(&@Wl1O_X;> zc81%#!xYA0tv=gh@SLTdDq3JvO?m4)%84v-sx+M*EvjbYmqI8yI9*;i9y8Xrc7-yT zqBBZqk6mbN-OS3XL-ww;cjkfK{wb!FJg4wD9bz=+m%2IH=kH=qrH8NZ@y(9uVp;-K zLHc=1Ue8f!dpW6198Dy)gz#RVE$g(1d>23_IpOD))L1cnt~AvYVNt2wlU4?&=XlM+ zTSLz6$mkS9r1^7ku75gvOkobEx+>@FSf$X;9o>a<5tLb?iX68*H(Icdj zSEO5eX&>M<>6A&w3d5$t+KUS{OE!fIcH@@dXq_}4{Md#+R*mBsRKx-_i}=1-R-et0_3 z+yANw(2Vw~rTCffzBx&&?nR1fN$xLbb)nOxlEKN3azL2QjO?L=)LvE?D;3mOSr<+e zP>Fp$A8F-KnA^(%Uf$Bh#io+EQ2*sdj*IW$i1g7%qtIl!$WasD>toaLrDd5)=5|E7 zY)&NK)!OY*G*vA$deGrSuX9g?klRA?=Eh!{Y3m9LS}N)%&AX$vX4iQ1uqj1|IX{K* zj&oCuq-AsIN7skk>my+(X{kMEM1c7d^NKx6OI6^hb7fvteDt8n+q0}H?hZ@ZNg7a1 zI~^0>>0*ZyQFd(9L~&2LHu!gzg82>=m`E&p^Q@3LRo0PnWu=Xd9GA?sjvWs3pmjpM%_Dnq2c*Nc;B=^qy>vU zW(3n$oSlh1KD!Imn|%@Xz#fLxO6f#kUH%@!<4m)`t|WI#!(4Pp1q1?ky~D10DG4s3y5X;=xG6^~|&)Z}x?K_#Rr2J;l_DK0CxW28$8r9v@pi zTvmY6=v#WZDNIQiJDhI~@%Z{~U$`+ODAJY)2Ums%4Ig#dIyT4498d*gXM{aga7VgY zgJZ?`=5TC|xAv74ZNaP7@htEQ$Qwme`&)bE`^eJh&<>Af^O7$|IHc_MbsbWY#Zi+R zRn++M>!Z0aJFmtcjf5%XOOY@W(w4DWMtRPXc*kXa*odIQ=(3RHZ{1WHp0Mg8R9YyKJF0L_bFHH4B(d2-QKYB~|M_h@fMO@(MCkf)?pkICE>!rMY%I1y_nY@|z1t0gN_Ozj9}J;U=uy-0!9 z@TOiLF>1Akd04FK1*-FwvKo&P>CAyJ9hUD4Bc>fof>Uw z_Oi=$ac)-B8@szAVQhUDh1zx2t-Ut)L{AkJ-ginpXVMsJwLxcKC827T?S4;HWC!66 zV@TNwgyjFjws>yy54+ERG9WU4^ftD`fq%vyfO{$&HpeRE~3qOd0md&7SCinK& zt2P^Zn^C<(ygr(J{jO~5|EPF}ZQZ%^n~!|`YYTkq9_+W{TWjw=aqd+`{fFX<79Qzt zZ4DENy&-+e7D82y75QnKMqzH&*|)5VOq+LaVdYTr+3(nE^~le3cFdk!ld9{Y0DSXY zY1$M=?frXf+U$R^EWDI!4JDsDH|0}NHpSRM+Ve9T>mKb2`#l5O=~&t3T-^Dqut7vAlsO9~^!O7Nr^Y+}3)7i?r@Vw3h{_aIPLg6u4DBk7o zMal}^qggAsrjU@93AK2i&tu`?l~GD!TBCx^5RxO?k%HsZa(Z}r-ro0q&gWxJSVH<- zFfi<>dj%sXMeD%x_i4FjumxeZxjyng4$mH<_Lv?;bLZfUg0I*A=73pe(XD6vMGoR zRrQpWoJ%Vpolfpdk6??O&6<{fSW^V{3=H)|EfInU4CHhdQ=#13A zVPRPCx=jgC+Hrl%QgJRho$67AOxd~Gh75^1^r~Ii%F(C*?Fq`*n?E^lm&a-f4Hjj( zF-j>b!tQMnG&Xaha=IwDvZ_!@?a?rrzROdRyZa5MGqKl`9-fjS?(INxc6rkHaT6Sw zFKWfA%^^+4g7F=zBP`}$-NlOYI>@M*`BK(#CGIi2ZVnJ9I zregDRs%G=%x^7ol64ZFdt9*KNpqCSlm2n%dBmv(-v`09)%@-alO$qAr_R7duO&%Nj zdx`AbkuXS>W%5mv>E?izDQ8|aY2L?lRp!N^y)313&+(%_vv3X-i>Wa`a@>}ZgF(e1c` z*>QW$xg~6~Kj+?V8+jxOLyrt~qT=XumL{00ZKu-Ku%$|kTqBFE4!LXQUo6>890qE; zx^1HB+>V8Py{b^_I#-na>$&y5NL!Rf{Vd+b8XYCg6w5-os^|1VWJ0FU>RyT=GN%jt z@Mysu(u#Jz)zlpe5 z;V3EZIvMJT%@??0@TpA~xUhoy9*xC0Ieh0uINp3VBfNonbtQVbRR+DZ!X)}1)!d{i zxz6rN^fx|c>5B51tTwckGH#nqZFLT&yr&HR;soUbxvo`LnTjk8eJ{nyT73BgTTOUg zb6BgBYV8S3_heqGqGU3GfLA(!FcM22Sr)@JFtUg4&&JoJYrASDU_00;@^N+`xG6s- zKIsAIo3iA6+$CNN*S|u+H)T2OdMzNwz5I%r$$fgVO6@m`H8(;{u+|qkF~qXg&6mYu zZH&4~)cUBG%d11C z>!3(XF*jd>Rcx0X)GVWKs815BS@g6iSn_rnDJRyfL`-);dNJQT0=MXWsXJ$Qbl<^6 zxnWQq9Cyry=ESUfJI%?y9@$54mzNHS&*9`1)!mPe5|=hqBeHj9Q4YI#hU?8Y90U|s zTs%Q`XYZdm6z9yAr`ay0C)JP|t_s$k@)tHu?4D?rleJjj&52IYgqe$#)iG+~mLVdR z8g-0Z@0jHnKG@DzP7Lz7t@)Z+VXopyR}*2up=~(PTGi=uJ-a61b*g>SOx0&!XgC_< zl@k_zq87;YXFA;nc8_=9$w<0=5ceO+drEJf)5+_eNw|VEJaI0uDST;NO00IlYh7tn ziY*h`M7MPRoZ1Ezzet^2gFIU)TOr}^vTZeaY_MGvQvE9{XME%|xVrJGhk34c5Ep7W z*VC&mh?y(24ECRFE~PvxE6VP}Svs?0R1ze2{q?#~5;q&BR#Jq1C>7Gf%$GV}lCTpa zt|dK*9$ML6ULQvCQ2EJt6sFdm-`St4xe)0eZ@w(ZzK)SKaliM?p@!TK75T`BSIee* zN^i_aB1zymU8A)u6goHpeuHzTYx!# z-`GG$5l%U`PGg6THjF^z$4J(y=%NSKzKw@p%bWsZvc95*+Wp{^~f8SfvWdYgzgB&}%Y zNil&#I~wVO^!aVXPk~J zjiRw5RuCsPzM=R=eZw=iUx||j*?91tOF_zHdbzn)eBHBwMsZYzbLg_>xDa^Fn<6r} zx}y1FP&uu;Tb}G?8eZd&S5qw$$ja`@GoIwo;_=?X4waA0cd44Y;)-^1LwcxBNyhPe zF4M`9S#e!E+8G=7dCx3gf`}KO67P)+PAB&9lR;eS+M};Ixhf}l46SwhJUn}cUgX*# z=06dSprN$tcJB$z1+UAbi6QOC(V%9=%r7HoQA@b0+2p)B8?9~_hx#EszV4bJQ-^Y) zK||Ra;k%l=rlx|)<|QJS^u*Cy@*472{f&|*r-y< zq(})<|AO;3U-a-td)z@#X8ez3J!kK|pv7j>3@Ws@MHdbPhIJ})JdYUKDP2l}7gJlO z)l@V*h7A_qlQ)HSZ1?qFr`wJ`W%{*ump;Y~x!fV5mZ6SsBdmisl z7-Do;SYUueom$39KFMpH++_(?;uc+ZEkn`<5=eYs0jqqfL&$a>uroTvSGzQR zTtU;YT<9F>++6Ckr2Y9O?*R`^bEtMKp7Eil%XDJ5f>QY%WIp42glc=~F{B&g65JHonbb z=be$@z^d<2Y$~uM@|e*I+eBVRB+Izt6=5|1yc(O80vBCb>_inI;7O((L_`p|oj?ohu{@v)dm3wIQKkRn9g|E1xUTuNE+r%#&$0&tO1v*4 zxA{WKdIE=7qK`)#Lt|=U?PTm|pm2PsV|7fDVp(huOL=-HH(s!l-mq)(xIx6Oxe@eD zoe8BIQJ^+2cm6Q3Cbx7-Jn911>5@1}&h!kd8^@x<$ys-Wp*qT!!|_#DiWp^8 zoP~R#9GqQxo(oUk8suNZ6yF+c9#Nm51UNgHvyGKXqW5Z|epH7=F*YcX!6z=Wvxrn~p~u&t=hW8kTCwVrI+p3twV&QK%}8uig$V ztQ$&6E6x`7zU1s;1v%mlwFmPROGue;lI{}q#3tSQMxt|2Kc!RWsvM?Dy((l$HCOJRZom3ip7CDCDQG}v!=+6+%}%33 zJ3G;IlV{$^!m0HXt%`~sim%?`D9eqVsNQgv+g=-V`}Sv@eL@M3>>CR8$J6BFk>1#x zBxdGwYvv0mMvPW@OxFd^nLbpIdk+|(zkFI|I!gM3ge1lf z>jGa6&BAm^N@iVSS9@}sbWRm?&k~m|oRgt4Y!08_ROhLxFIZht=w~u24s|epZGkMe z6QX(~lo}X~Yxvw^Ye|CiO%-SisPE~bAl#a{{wUXnWPbY%BpNHLJIKas3 zRyWTI1;o6mW1us3F<4zcOUprNtd32lkZh+8iK`Jx69yI=G@(swO*LQaOs}-lbbs)9 z9Sg^<`D6mMqOHgH6*g%tMn`P2%+SxHd9oI}{ett3^Ij)?!XPsPkQm?Rbnde3e3x+h zy~>>5xyLojP?E13(UY?9y$!`tr++(z_2=yM&XEvC8E9O{6 zCDm8ctDz)!7=H3@0Mrt%1dY?DQc+*hAmY84ucs7yVRMhM{QUBnuoN=;t76BV2v~CX zOLlgnptMTfSUbzp+CYcocl^~R9Xeg{AL=M_xmAF1h#$vA{ZN8DC1=vLHP^&cC@tRP zUy7aIEjz~Doo?<3c7@22SvurQJaoV%OrgXS_o7ibLHK~;$$MT*z`JPQP70X_`hIQ! zCn61Pb2n`=f|4+*u4L8xhDlczr+Ovv))1YsTGL0SFlygqI2}F^5Dvycj;}b0}?Cl~19q)w}RdDYf*{u^LCcBp!tVYyZIl?5+ zH&uhKc_zrtp;aF0=x6jaI9)&{p_IC3DlHS|61}k$Y$wYMGAD;JO7$kAW`bTk#WPfG z&BXF^m&qAcnCO#eG8Mp+g(EYbWh||b@@caT$%oCo1TV$rWqgw&Q3LBP^#XUK`Q-jM zfvNPqYXFrTQ&@6c?g4CAeJAl|&MWwK4XyL2(qOgFr48q!W{*O1iQOjUb|~`?!Zcr|Ms;rZ zT(zs0h~D3 zm~QRQ5u)?&69Ju%jDjm-Ug}G0hO68pZ7OQ&6U}D!q02ld(n`d!%vb*k6Pzx^Jwtm4 zdyXC?^HFi8Gu5Xu#Ho}QKZc{pI8PtR`FgBw(vtjQ=$cP@Y@|Lb?FB;owhKgVQ#!Gs ztYS^BEb5s`>J=C3&jb=Tmu8O*1Pj}F)Omld;rktu#7VHc6R2I8R+XZ!&}{;ROQgcL zo+I;r$EQ4QjxQ7Orq529K(14h`mm?e2|F1ysW^Nr%rR%@Q^5WR_nSE_ladZh3#I zMwuOqw;@eXp`Cs4K;tw`Yhz|BVvq^2>rAIjzT6(1*?)k<_=<}adB97p((b(Xa7dS1|at(EQ8M7;NIu&VnDya8lLz^?ynLgUuG;dN8Ej>so zC`OLoG70KJXl4b1qfH%Sc|t~MdbqH9?FGPICK6GgGRG`_Gk@54`)l$a%64WSA*NTf zvV#+0-+f`g5YC#!vJMMx^$cGKOm;DwvP3N*H#N^mv0|bA!iijn7p&8!)a$MvAk$L5 zPZ^Z5q4lxMnE-oT4!){jwH@*y$z+`bvoFz~-8yZAy9u*n9EvOX#+y&p#KTl!Pd8n2 zAvuT1QAC#z_hzi&^}x?ZT4pNq?&gFm{i+G7*tWxpOo)^HSzxf`3{ZOUn9yGbvLWoaa}8~hC()s?myW>8GBzCsERxf9@D-CpTDi3|Q*5o;kfrFPI@#)yt`kdacy@Oq ze6>T(i_{yKLS}y8p)HV1HBYQMv+@Mq*m9|t!UmP0K3-638?GX$V8I`jwx3dTSNggX z5!C~g31V=FY(q|Nm6CN>%VjKE3i_A8e;PH{Cn;j^%b|08)+tQf>iKvH{9X0a9{EIoTyCIr`)5uKqZQ zo$kz)taQiOeX;EB-t2v{l-?P4U+#s>%JigGY$kL>%77m93h0QiyB3Z`#+FF$YI~+H{Mu=!DTux^MD_ zB(XGRiXB5D7bb~HTc$3GFzM#+S0`2&9?Djp3gO8X-3|g}T!QzXUKJ-Kmv!o>K=*g^ zkpmDG6lrtk=^1AvvJ`Szcfmq-k3)5AJY7}&b8j>|2QQe6dHbRcA%{K3DR;3FI?Pe?&j*?Gs+qPtkze=63} zIJtKLk~|!Cbjf1w=9y5JqrsMRG04j>D>q)N9(r~X#x9upf3V2 z8b}8|80}>lmW*SsIPhff7DgzycX<>uflSB=gX_gR0JTmUcLeG49lNU212MgtxdwzC zO;bIheIbyz>K9DWmtkd>m5*b1xSLiE3-GV3i0lfG3&(|4hO&5p@O>w#7+0WJpJYu< zpbRt9G&>@qb&Zwp3QDJ_i&e~`-Z}kEpJ>I-^hEh_N+!~6@;ra)ot;xhocPCK4~Xxu zvX*UWGQy7=yc3+EJ&Z>%r0vc@<6?v}HBIki&-jTsj+j*&U$x&h&)0M$_ud4i2s1tx zYYWwW;y40j>a6@o@*r-C&Uoj$GLE)OtC*8!UGcx^lgMb5k2b#J56%#YRn*`yg?0{4 z`46ZL>vXauh>i1gI^pdXX6tqFMH<9~^Cz3B0YTRN%F2-xDago=q0sZoKp}ZG8G&S< z5dGWkImF;6BL-swegH5-y(mCQgmPt1WEC>O{qJRbWl$x{(k<@pFu42Rt{ZoEcXyYK z4=}j9!{9m$?hb>yySux6oO5ryxDoG1cSKcn=gL);l^M}pnUw*uIi@IZ8P^Cvmtmz2 z02vv}kMbDY9Y{&SPdX^!K`(Cr4}K|IvF|-n($Db5HvXTAv*hS+Kh)%#doD@qpL~Da zMr+jv^WxnuV<}-nLP^A`qfL(>d$DOF3*AI}W<1=cGA)=O5lU+Eu)v{Ysr!y~{f)X%+u%m}(ZQb(p99XyYZ7EXU4SicZnP~`s5|RKLD+a6xHE0TFa!=| zsaWl_#VTr=ph;QeWGzUZcd=RPMqZVB64_N4`t`qvPAm}11zBM%raut{x4yBtscRXP z^yNICj?u{QW?4#tT2c>rOn;|!@L>ZM$?e$k!y_3boewi2)Vo%|h1}7Os)?;r5N{+R z{30fnY}NiG;g7>bShr}9qL?oktqn>tavK%BspGJSd2a`55^zL-j%fzM zExXq}M-bCFPl-a8*T+%R>nB1utc&j<`>MlNf@60}=)9u>`Tio68@9h=CxCQ)3Axyi z)sNSnn}U_k*XhszRv^h;e~A0z8`@?(J}(za{bnl)SzMG|Px`%ue8;++%Yu&SVE$N} zUg%-5LT!3XzeT0vhmS@uNh#*NSm&i7V%Qu#nryb^z0*bMeY$1po&|}_74GYP$EHR{Nv5yC1)8pq;~KxzE{^o_X0?8X z#qQLUjxPMVYhqlSd=EGCE>1c+2H&nXtcHAv~{n!L}zAgO3YmxZ}2IHt-ihtW^7c-h_*&_0LZ zq+ANI)p>U}fD6Te6=v&Bj!nlw-YJ610 z^q_4>d7T9WxBc(-^H2xPXs&D%O;F}NJrPzKZEP>0uwt!If(^3BB1>9597lf5XEu7NQ)uhqQh`K`ZCl%+!|{pOuGQ zEOzv-N*JL!fB5>Jm)@K(B&ic%qiqNMwVV_xC8V?`z48(qhqzp6R{X*Jr~bDB{`;xb zYvp$nA4kFNvQU^oHfgR$$hExR{!wBp&jX0zF$k&C*DmY`7 zo)RpGTvzJgNK_+7VXjI~756^KNVlJy8~iC|2uN4eAuiqHxqY=FiP7nygJva$j=gQ+ z(l(|q_Xu#M+eNcRADpU8Z?13qu zSfDSqitS>!-Jl&rF+Pc?W2Fc-g3x*a%ufiil$3YLqNCDJwO8iLn7eg%tKTK>Kdp1k z7O>RDZYQRiitkv^Z$&SkWQ^fK}e157N+?bS%W?KpOk@Ydn19)mgVr)Et-g z*dC48X*lDfXcZ;KK>Q>0fj-)w7VE$xyGViK>dqDHzHczz8-`{!-b<)5iljYm*ay)_ z_i)$dJihd3er|sXi?x$fsL*I$3syTLgaKO}F{l&^%n7bgBD?I-oWNHpDvva!cehF)ngdhuNWh5@GTOFE!fVT^ zRF`3ZT49)c##!WEB`3>1k+{PmsO3Ut*|G;Z?64C1A89>(@(KeqVtugF9Lt0X2Lv7O1issXWV1T4Q7gR9frye6?qB zm0f3;ig0eVQ=U*xh0twe)&*hn8~4tw(pkE_myP3FBtSKgXq?Nfgk*vZNIGMM!OUaX z07!{w2FUq_D&vzQGXy3Mqo2w@^^hn#XzDT6dZ?iq?;xv9-XdT-}{_Kbd(KUMIB;?RCayX7r z-Sq1thXQp!!f6S356z)Os}luSj9>zez{2%U<));Nd1_x(5umj!<2A|aFD76{FP2|_ z+LcDzbz7mFqGq5&I|jyTx;mfSkJglUlLis<*cUpj@1H0_ye>n)bM2ln4RH|V_?wh3 zyZbqup}CP9TQgTT&-Z}-qALgaG23+%(j1P9m$Y`U5g4`2M)g&_0YuHpWu@B#K7p*a z{qvzy_JkL{v>tP^_n3@W8M(#Y#eRt;jhwLvKZvI(9||xmgIaQi?0_v-b3dS}Ak2A` z7-cw!KAf<8&f`?56GwpS(G7ObaN-C0Eh=G|nPkjW-+209P$A|w^*N?Olw z{HHk&Mk07eTM+Q+T+ZC6JaZka{tXt_drI=%I|8Be&tVMI8u*q z9LM|6A`<~uvb$=%V>)tY8&EIGh+a(A*UZzL{JnmIm~ncG9f^d??j=?yw&7N9u^U`4 zi}GS}hcm#Do~!NBBgzxTIzy>i*;-Is;p@2Mjd=~^x%O%7j+fpVpTf2|YN^Er&llbu z2EZSAt}H$&a8{?m!E^)P8mk!IH~S@l`yE7R4d(sBDqJ|b>2>a$yqc@DbF4(yv}z)9 zQ%_Z?~-{r;O`u zcB1$(m`NJw5K-`$a1t5Ry@s}h8I3^~A~&@xp(x);F=6AUrfw5?H9(+U?u>q=`wFVW z8pLTq6Ro+C>=C$JCpmm{m8awOLik-E7KcZ!ia{JlLe}zsQ2XRP&#f_5ti&?bmpf|Slh4>UaaCDWJ|(?GT9nv^WUJC2*+6rKTg|?W-{S9Xb*w`kSCxVX5qWss8x^L z<&q#i7JU$MjOiKX1{=-$Lyc3!+<>x{t_ntIIK3EBm-$RbEz9V2Y3iZEkLPzt0lI6s z&sf1})A+*uk1FRvzDMmh3eDnxsb}+VlPzlynp_i(lM~I07tZIKZH8P)JXo;oG48qv z;Yrwb^ROC^RgUtHqNNot1^lzl!tRKi5MClG2YM}-hjk}KmZzU61J({sQ9eRotp+)X z+fs*yD?n}2b%I;OW>`D+V#ng&FXtDc{^z)5ksf1{ZsYZAd!>GAIQ5JJ_Xj+eb6;8_131Ho?r<4xi@A8E@KVKG1qY?e!cRm zrh3rdb1-mNSL3DLP2&-Yu3bl4ZuyA`IUyaK7RcoXBqltfCc}6R(mYHaa8FdxQkC9n zh}m_97Zg9n6!aWlnlq>o-Ka5qcasNQ|Ky00szqB_Tt?0FaSdfu%A~b@zmBq7kQ3G# zYM6Dt)gd(;JTST1Di!I{Rd7_2A!ga5!g?%C%$<-lI}fs1GHzdig@vn!S414NH8UAL z{3i9S=9u2MiN(WKz`oVAHbQTDnrKXpFx$dhY8xE&3ZXBSL=SAmn~BMx*lHB^xt6E0 z-l0*|K%g9@tj{xgwpDU|DPfx5aY?`nAFV3)rF2^q6N%E8pgtRxIgc1zt(DEmY=K?> zG4Ke(QrR|iKNYO5FO_L#Ch578*-Qn)JN2>`Hxprr%+RouC)%Aw`rO~o2bF@XpzO4g zB<&o*<;F5n=gGH3|0pD|ml z18x+yK`h-9ZE|#4>YC@8Z@D5u5r1rQ4Kd$^&t0d0g#4K8WA~U_ zVGRVu$pY!7%n1$RUh@OaKub9^V+R43%Zoog9@DXu(k8M3De*!uLJBRo## z&M6&ynh+gt(zi)TA)PxKKoA}8{yFa)ZfMJG5U7UlyB$7|DX!Vm(ZE}P3kb^pOI&^b zJ+j7vIrjo7MEs>~H=g7bX?U-LVGddZbi}3NN5T7b*`kU#w{MhrH|vA!uX$GvIg-A% zXk1An9pK$D&FdUDETM?W`N2}|Ms0Qvv;-_%>v4LpKM(`)j3vg~X}W7CyPhje6B#8` zeyTl^vIgg>PWH0dlh!=??$o}lZxmWbU~5fW-!^B9ns$bL1EfM~Y%O*^EfnHV`AXE* zf>!YoqTHu?r}alj%S|oaTPs>z-jUqpkXoZH3{&;q2rMHY5Cwl*gSuo6-43Qy1=^eV zdh}?-vos8=V|f>LgIOgW10^(_2iOTLEsIcW=WI9M;gQtna_^9_m4!Nd-u!tX990zm z1o}=+F9wiXvJfopun`0sFLZ?%5afWM7}}YXTrmrZ_lhKI1G@HOSW9!PUb;e>IE1se z#wqv;u;akh-fd;Qa-`Yry@)?8k}We=4O(jx{Nk9Wr{+aOXgPN8+uLh@>4>T zpZ#sr&= zo-6m#3sqk|cEO?>a?t9Kn7;~0DWK{uat(+-NzE z3g~GgW}Bb) zn9;<3g-hEsN%;_`ICH+<;1VAGs*bl?Bof5ahrw)8D-*;L`SJ=Mb!<*bk<9qC;RAP-4VG^v|0(S?A>Nm_JRZ zWuXGw{fp7l!{g;Ng2Aey$OR&~TQRmC^j}AgTxJO^2lP6EcHmrzhkwPAop??vrXkX2 ztW*y%)CS@-pPJ?K{NhBlSEACyiJ4ScPR)GWAepv=v65$V(8)iQT%Wq~qbmHP_>kG! zpWk;5SBK0KPW2LTc3o$m~2ZBXSh?^>! zV4i&BZUG%J%OLL+Qdodj^|$h#hzo3@oayTJ4IdEd7PEssd< zE)N!GmY%?1da*b4-kv#+M3HqTbnjb;NzQd*5_plJm5MpjjlH?|P6oNC7}x9OfxcIV zyYSZB-5^%i6_hJE_3yJ!YLi?%Xz8HPQl{0o&zf$$<>6ZF@g{%f<}=-jI_&SfXKN+~ zY}>n)FW@bobZ9C>+4b#mx~Gtg#yIOAbE7QpG{nJ+J{K2fTXBAhMtgKbcnq?_$_I&h zcX=E}7)A_Q*r`N+UY*eY%%FY>LkKUHef_xoQ4z;O@%A9m2u?0APfqfd`@RtxqtyN( zX9EE6((i`V=dt5SW%>+HD!QE4IA)+#e9!5l=DG*aAD?L{BPkBzhS99jnP#J1bk%)t zn8B6en26hRJG5W*rNHN5383V%Zbs^~CvlsVTg;zcQLtluk^Ph+X1dlxnro!xkkFFp zW(Feoy`qf9WEzPqhLKqG3Sv8mRbVr-pzy|nZk(D|ip0y0r8{ceShl{);YV?>_(1JY zcje}#sgc`>9QWPi<%{I-*7MVR;sSaDHy}l+cgi;Yg~7Adc{I$s5l64nBEs~ zgDTc??cT}zwpH7(k2cPm+B?44DO`I^22L6*R^U-Z&FGP#kXg^rdK)8yi`=!!vq8TI zS?F&7G!GD7S|%E6#?Y8#@L*dulUYsah|ulO{-N@7YU6s;?h-GQwfR}u2E^?zw3`Em z-1AzPI(5d48E+wcj%s5np-1Fv^>k|s=Fo)-T7T=c7w2V}H@t~uhTG*k@O3Y|M`HLM z_&&aw#&T1N=MDW@*!v+=1jc&p_QOHmSV{j%MmFu0%GdVz1b{sI5DYS1E*!{XseHMpBVSP(j_B9Y+?ml!foKQS8Ne)?$+V z>ongRfTBY}OrMT$?xKdmylWhaXlm>cwgY$my7-U*s(&|zPiU?O{vOi(6KPh9qy6$^ zB3Ad}Ql7#f`$K94@rW$Ga;9-Bz3%#?ogAuwv(17ae`WMsx7AMv>X+nUcigoDZ{G2R zr^c1acLJ%EOGPf;#zJft7E0ez;JmS_byT69i3oq7rWynwY@I8D!CpgVaf;s<3kxXxDu5f|6BofhLil=DU+$@jpX0yYyK#e zi%8UMl~?eHBL#1z{>RK#80oQrjOxNaA1p74Y{uT(F+9OMg%kVKyGhgdg6<9R*w(gd z6s9dNiGv7WJA$(mztCBPoKk{ACtsmW1Ux-C6@AE$!Q)$-0OA|(xZ^Aab~4^l`k6GV%^jx5S!&%A9Qm zg3I{rYm}-S8ob}TxR&p7*4Y{>&Us2EJgaM~Psal*e;F%VaNQRtk2LrCqoLWRz8A~y z0|Y^U@!I7y=He&TOVc@HRNIXA5ED6-Nw zxGe@PxYiw53m3+wrB(~vWkgt>mU%yo>g^2Dg<-i!-pQBEYvMb9QV6s z7~ve#_0toLR+$)#QhkkY@+g)Q*G0?!OtpU5fj@SA)P-L?o7!~BD1gIJIZR-S{ie-_ z&b-F!5{FVIgHuMVRdb-=-tnAg;DLrSx*5Jt2|!Ri0MZYt7)8!D}rud8@NLvjg{#TG<4bana)}8eL>G?4upFsr*Zm< zE!$`r$04{w4%*Cb=h%}v$IXw$z`4J@htYf*K8tiP0p)92HQpeWJsIu`_eQ=d2z6%7 z#k@s7nfvMvB}iEQc>Hh^PZ-4TqPNR(6=!v8U`@JT*^s6-RS5Hj6a`@yPsdPxwdV?4 z;;Sv>8F{@rHLvO39YvzRlVWe`cx;V&-o;u~vvJWj_{G{UMMcE^oja`DCE`^asC%h$%6K?BeR+#L}7)LN2QapImVF z>h~f!`FwWjOyUvB_-0AwKpZfkVM_5VW2R85r6fH{ul|f}FgG^FycuS3W}IoRGNw9U z*8?;JtFfA*2UBJ2b3p{FoaEqD+X=$Jqo2PHo-ydSNC7M~%gOkXGKM2G3ZrF!ssX$X zShD*vMpEW6bxP@4dQd6E%%;G;q3k^qsiFM4&-%}z+XRRJscuAdgoJmg?r_JyBx&c1 z4C>klMc#w5nr?9FrWK~+%~PHEgY=vD!ltLq!o*63NYdGSfU)_&t)tFN&)-U__|9~q z*At58V#sXs9E)g=`7fg!+S%za5rTbeR7@dwS#0Oopo*1NF&?n0wL!L08s>t=!2ri-X(WUj zz?)5Cx58tC$B;G*5F;hW3RBUKbDcU-m2@|qclwGE72@EqPY~$Rju=V(N|K>WlBS9w z7+iF*P@WO$)ZNrLNE9Pnzbg5wA!5tqprtAhPKX5*MPL$?2p;01@=$t%6btth16mZ& zBti3Wr%Aw6`ujDb_@E-=v>k}eRKBN`BEi-^(Bt&e&QgY(lU)Q@2JJWKwId9GlcSOr zp2U5fW`_Y-)jojeD+}Q!^_s09I>G$xPDBD_?xRZ zI}nU2M~9?`{cU|Qr~<05xq1#QiibVVLS3{_qsUkPu!Ii>V{M0Oe?B5~LX+gZv%+W( z`c>1|0Q;J2?!&@A!tcV4>(L;w&fE;S+?U(ZLFk z6d4A9|ARh%htco`1XO-+{n$6bsW3ux(8{6`jX_)OUJ2S&5FWvKO4^R_c{kvB;B)(; zx^{`ea~@<%%g7F}rDOK#6bJ12g>~mNB2hE9t3ex_4SLnw%_k=HeW=7tI zXnqm^+K4lM-fMq4FixNwzk7sNc?tg=xGgX-n4H=dzYcSJ56x{y@;5S_{Lz_J< zW2$7MnNtqRX_LPVwkfMq8R|}%j@uYIY10|px(J2omP%;T2?#xVfglu#^Jw)-k-bZu z@agCHfEPg3GK3mbmm|luVuz2U(e59rhx5t{a)YNKn*LXzjc4WCC3uIh@jC9~UetEW zZuO34>7u_GzBC>FLY`NPaqjsg9$v!uV-%e`l}^DZfi>%@_chXgQCm%+6m9X;2c|Ezm|gRbIKw9Xi-!L%TGdpF9w%n14EgHs ze~F%|%ccK?OvNL~X;mBI`-jEw>;fpo|M04Zu~Tkp@yz^RC|kZ`^)HgPt1}_g*pn5% zDl?-NVdEo_TTEu7XKF(^;SXW+J51#J@H!R3b$kCj_}e*m?0z7-KSQK8J06>4OT;FoZthIC?TZe%`s! zQSdKAEzm@w2^)<-IejIGm<830XOwJNRk7^YCq(7!&BUyOtLFS#L1Y)5`=BJ5zabc9 z9g^`YIu{QZx(;6}sqo2o394!RfT3N}DS1ARUDmO0|F2coecWB%5C(kPcD`1$j9-bw z`tL|Jy74QKJoRH%$J`mspABaPrB0mG=_2pfcaJelDhkh;vH|sZ1M3j#6p_E%ze)RF z&Nnf|jsvoCYW#%%uIB(w86Lx%Q5jQ{?m+>2>gj*^E$G?5ST8CTJ>W&mZ8$fTY8f5H!@`l(ME#t#@)wzCl!34FSGwvU!&NM57-itJ5qt5Y|4*rn z%5XKu4lh7um$Hq`*ItoIcNMd)sg^uv@bLN1EYj=?fXIyhOTuhIx&Bb*dmHIv?z#4V z)si+SdN_&vFNrVJg5IvF3)w2S|25+;#0{U-HTf$w%)>ihH}FwCWYlw|pbSRKy8%fRAE&Xcy1I`fdBua>Fm&yP5BXY(SX@(Bds2z@kv{t9J(`?JkQ@+V2EPY3z*QY?sIt8Q}q zYsax91IWIJ$n~9ultMiJk5&JY#ap-n0#pOv>W;;&H2X4t+=fh%hJ>>F=A!TleJr{D z!+*Tj3t))~_}Ba`{=d!%)B-RN{Joei->uOGlXu3Y&&L*#L@O4#;*rKI`b!iS+};)5 z<-4t}K(pG*4W#rTr*QA9#k@Vd2kzyU^|fDyGTg%pLp^wYYfiQ9YhZ(6DYHOW;7T*C zZgJb>W(URirrFfp6oTEXnOE4;P?J6XPiYxD5KJAY9gw+&|c2o2gFy8M||) zVu||%#uuny+M%T*3o%s5B#gm_qu#nk2EPqB5=bZrK|0U4mLwm{%;5~kgCi<0LBpCq z_ISpbF?Yd|twS{hRLZrlxb`_@8W;Qr<~%BfUviRcD{db_!!$t`ViTC<|HG?OUTcHI z9{f~-nNHIu)fw_>8Tc%Y3+r98R(LP`s6V94_v#v3reLt=Eu;6)e9qwh$zR<$l+1tO z$;@}~MAulkw5yefIZk4~h`)oKv`Qb=Ts3@8Dz>0u`}K0_qv?6nGQWhMR1jS7lpAR>Hn|Ts(f zQ`u)5VUm3rxsR=wcB=f(1@whaw~?l(&k^X5XpfWRqV0dkIm=a#MAA+E(?y$7u-$?v zNOj@=Sc-6C^v%Ds^sUcc@SVlop4@-wJl#O`!>EZ2Yc|I@6?;Wcq4g7f-k`L7>iW6% zbv@E*GO^Jh(O>SyS7^zz#GD52<2m4}^hR5Vy86wCmo2jF(}-94>n^ogGt#B`Q3P&V z2HE{P{%c|fdDUd5uc*#zbN_q*pes|9fOYLtA2g2vx?jQ{u(UhZEa)@dkiP^AMdG2b zK{vMCP<5E*e@W5505zri%em5^Ywd1d?)y%WC>|$B=$`Pkt5v^Z$DgKZYt6n#SS3Vf ziGhyQSogIqy8BzI;~oP()eo<%Srs*M{(T#T{QHxLIeopa7(wsj+ziLpIu_jgyZa^- zY*Y~9D`ZBZChDOyie}xxqhZc$c z=qq!v%AnIP7t)lfVo-!MgZZ-TgZ(>Z>{Kk@&g)+Z-UwOC{^Ia|X z4i5ou<@XMaA`Fi&m%Jes!WW*7f3F+@p!{8f9<*L7fg7#4w@607zj2R3HVP{*Z?H>b zd>BR6PsYfP1Dg&$A%0aKR63u9q$oGxmvltwpjP*(&hN-vA@?+Wg~|z3yoL=goJ}9& WgmDH9ALH(&`}%@UpBSv4N&g4SO(s_W literal 0 HcmV?d00001 diff --git a/demos/Utter Determination YM2610B.fur b/demos/Utter Determination YM2610B.fur new file mode 100644 index 0000000000000000000000000000000000000000..67467ba22bd25c684b86ad00d55c5ca61d3e5265 GIT binary patch literal 334017 zcmV)MK)Annoa}rHd=pjn|G6`1awjS6Oj2M{P?NMsTYNMrNC6)$paQPSLw8a4r_{$T ztS>-aab4Y+6l5l?I%!d7k|HVi$fIDvS05nwS}CA{?gLO)aD4#2kY``X{O=?!;P1D) zuD|v7`>($bQ)V)mx%ZxPzUO<+y_4i{=0$hSzpd(qn_Rcve&b!Y+;rwW0N{e-+vLkG zx_qFCoS4lhq}?;V0<2(SF}c9Q1?2cR{Nt_SJGSrW4(FM^tHuB9cIBOS-ZbAe@g{P- zbo(Hh{Ru!($Y_dCe!?S-&&{LrejH{Mh=fBx-vyXM^C`UPR>Ew|j`y0z-&Ik)}Nbw|~$b8jKh zT{U;E@%HZ9=ilraTSj^b7aTLn_-E?Jfl3?pDEZ55S5@6wHM`1n)uq03yrtt^MVC*# zY`m{HbMK;`R9>7-UT?VN_8V@Vanmj5m0C%{m~n-}3UCh$gNgI+y7dlM<;1BIE$nYwI(`nPnGmv-{)78gWXiRF3xjXpXAqN1{oVVyKvkrT#C`j> zx6}Rx#D90wzhnPr`h0JPe-HV8Tc7`)#FMiAtE}(a_s72f&{%%o@lVS6E;+8OsaYGo z|0ME1y?F`&CY=uOP!T{U$^8$U2{4Z2cqf^Q3eEwzX3YO@4S!sl{sV1BBBOvNb8{A$ z$)XJZU?czV8@TB2#^qn!|F!KOhFxxMX8(s9oi_B?aKFnXE7pH@k@)Z4&R81S`<8}N zt8P5GGW@PSCs&3)URnQYBKooIKQxx#cl?ubzDv%JcxCw7xd2ra0HY@U|JL^3fBT_U zTMSsi2H9j%WPt-awIM+ zPnf1{xfaR+l=*n2#kZ$f8(1hFC>sBr`z&ptM*f5Q7Gxn8(BHX#@@tj<+tc0urmTN8 zGyT~19~#T=JN`*I-zDed)cS8fW&Y4p>u8`XeKXu(Ko;LWP?tfF(znYnTZ2J$5<@X)`q@3@Pb8>3^ zx1Tb<&(u1xZ)%-!`)zY>aQUvAFuUqD??|96neQ>-G|EDwQwa{oNBH(*CQZ?(2$1>j z%$0eR$+!>Zzt`VH9#c)^G4*fH#V6;||J(E1|E8>eH5L8X_8%I{?>qiUIo~DcMkK{1iT?)cuZ9l(&dT}W$3adk7 zE1JrG-)M6@>~}I#TxYXPb$h|($oX=y;96E{rDU<#I;|})bl$1X;z+sDSyHqr4~PBE z8EX~h5%)?(DE5~eC~{iSuz;i3d7;x~9athPP|6!;X|xQ**s1v9Vgo$GNA^h1h^Y0<-Glo%4vr^XR^}FUXsFq@3taBB|HkCGU@x>2!VTegx>HtZS z)LJ21!m9OqESgtd!TYOPM>8~EKSl6W8%&?Tcn`Y?UbyYAjoY}Oj;k41ug$H>kyTCP}=Xs1rG*Gt6kA~_9|zVW@iefRXg*l zd=3wNF<&&3;(%wX7qp)443#(ASJl<{&la+s`5`S7`cRICYBxIDvJ>n^Cbopm8oR4d zUhHaFAYh7n#4n6;vYslz1m!d9${dflT|;JZPPcQUEP1pUB?D#pVc;Sq0^7x?lbY-C zh$y?C*KoVMuVrO9-?nqjGchd`>D=qAjcGgNn#5*pzfv2op=$O9oB3duUtRtn<9WsL z2fV9Xb?~PvF7=5b2Q9nqU>>b@OE7!+3kqvj{7)umIj}#pIx%%mwoubtvNYPo)Z*yI z+K{{>s&=XYITG8j4R+Z$Wlb}thP@+F(~v7mEPavl+v}?ylk9$enovQ*T+zqcftv0J zIDQIPqnAG*X^s(X2ZQ!tz&3E5lwIxDx|cv;Z)~tCHTs*E6rW=ki_OxC=|O7AugcNX zU=Rw{QlnWu>Y<#@KrR}xCmcEB&HSeDZ^AZdYob(JIV5t5tmaSI09w(lMb7Hrnri-D zJ~(s;7cF|)*LY7L-*pwd3{Eu={w<0OShEv9j8%WFoVQKTJb_WUEC_57x6u``&Bc?; ztH)g!nS?@~;Ps)3p#{OP!J)DhE2w;l>~rH7H9I=p~BcFW_2U@NZqh z=RiQ(A=bSF@^&d0s0$C$WT#l}yD&^YS5$j->0`!Xa}MX@EC{*F&cW5y{EDp$B-#Ip zTAS}*(GzLjA*ijLk!RHCkZ^;oBf;;B+aTU)3%e5!W;?C1F@bxU{ZocJhq%DcjFv;( z9DB<&uGm%nD|S-F9L6JhMzY?SPK)Rfp}u}}x#F$N6VPZ)j!ha@TVkq&-|!1~S!CrQ zt=an%0sB7Y*~r!qzgc{8HLiK~n%358?x8@*rf>rGXah90ZAa_Ins}nCc}Os{u~X3k zyMU;tgcNRTge_RTwmQtWa}(wTz&?^U&0;x+SAhvd{yCJd+$;|UX&O5O4dZCLAbH%= ze1d7A!WJ?f#yw4_k{pbaic?&1uHUOJQ>bt#BJI#}qcOk@wk@$!C8jNuXn#)&)pg5C z;2DK&vBmS3viZ-&ORV1fP7%B|T(r6hUozs^Y1PH%@^Y7BMd2uJI!_lo#&NSSWgmv| zY#!OGmBLv-(_H3BlDi0#Q%ce=~LrUVd&U__Q z5<_P>=S(6 zb4tf~M)RDPA2Xt^_;i7FHjDEkBQ!*b6ST31YUD&?Xls6J zZLE`*Ld~I$P;gl&wh5YyHTs8Nk$it2|W zhGl0>QiH|5gzVkG&`Qyw*1FcQlk0d*DTX;>Nsuq)R90EQusjMh^JQ+T+UDn2M@yFE zbgpr;rEVcmRw;O(c#YjvrpW=shMfLMO^suM@l*XE=QDBP6l+wpuHy!1TAR>{L!vVl z$Lt^s6_aIG;Z%*K^MPXoHjfu70+NQV4#;bq8gyWliTn8oRyhp| ziUg7-GdK~m;rvY+F4`%?)RltV(dr)>+TI4S1a7d#HpUc{jmdT><-{@79>zOa@Ou`5 zy+EkrELf?)DoZKOU@;0wV!*283pGFjTvM266JEnpiKxirH@gKDq*jG;Gpw~(4dSTF zU1FDu>sf~^Jc3+yF2)A!suROPUvrT5l*uI9y14=boV^$qvXNOF&#{#pCvhqRyh|1& zZndo*_=v<{8nB8L&fW;#B2;ZxT4zbf?RN=l*m@60f*s<~x_n6(qD0jI6PB4+C_B`k z3bD{C8O89{$dIUt+3b*pt!xYeOpLFISffRfrYyHcBpyN{kICdHNOoS91eRbc02ZAp zITs|vBbKG)2xm2Z_OynN@u5;NqSTQq2s9#ZG9@ZVaXAHBT?lb3qn0up2bdFavq}j# z7R1165R@@yBSA;RB>+p7HhCCSaUjbehlmw~0aKD%p$cT~=2|p1paq>V-iiaN1}IyO zd9du#M8w7lSz!EStc>g&hwXNksL}{GBEDIujY_2&qt$E_cxH!I6VnngNr}e8dn7s3 zwwa9CaFmWqWq*fCiycsPj_UE~e`0U=*dfC;dIASkK|f`qZAA}pzl z2%4f`LQce}0+IxU3y}Fs2#Q8WHz764iyTSVf(toC>~_gP0MbvA6fLI6F_D~sEHo$r z!vHHQL@5)aiY(xuB)EB($YKn3mO~-{E0UF!#E>I{SU-YNwn*XxQNb+33s^*$A?69j zXC5pGB2ZNp36h@}D2hRsL@qNDkjYh2i{xgadw`cUNw%ttAV+1D)l`|0c1UqKu4)}> zNYyk|)k3P=p~XUkUXn&Ml|f}C2_vis0>~6diiu!}>1v_HNE8=-_TR@_KCRR&EnF3H3$ zSrI}Sp^CywAQ)YVlUxL5!V8W7PZ%r*F%lF+KoSrkJYpqGB18~en6QF zcqV{V)d*cBtAtrBvApq#m{qLGk`3D zOi~z$B!VbUKs7$4BVq(aK;+Q?hdoid&a z37?P=!iMtXszS6QlCVG+P4W(r84v{WLgJl3X^cp8kqm;7eq;;|`aUo;{zzI!AQ?fL zW`rOS?@BTWR|290SSI5J$SGNS5LgW{q@8Ra%n$`-LrroZ8%~=>IAEMJ20<7y9Ptpj zL^2OHyhEl3;}RKyw3dwFv9XgkjF1N3zz7rDh__vk{wXPo3|A2Q#q<*~X~yCVIK;1H zY9;0o2n5w24Y3&v;xL9K#Asp`5hWSiG9pMYv``g8ykvABZH&Z5NJg5HQ4Ii$gP|TK z$%Aw>{6OYlj`*CUB7qP!jgbMK!IyqtrkNw8U(z=XG)QhF;uRHSMljGP#5G_u_(S9? z#)UMTB(tj|qsj*KLPnu9M})L~h5{L(1}AWu286pABnS`0^c5p$2(t}a(oMmLG%!#m zW)mf(G}@S0Vhk!7SjfbE#MP=`cuY!P#%TFhs<4lXp*izrCVPje+DQ!F3 zM}hR6G?V+l#hI6k1IUoZOvV_{8u>%Ys3aMX80ITPCDK04SwlSobK*{ex*4x$n3jf_ zsKKX0B6B!l!)bmCxc?IwiDX~n9VT-Q7}gV+P&r7s>9mx-l4&etye_3f>sZ1Q z@bQqy2!>41q+g&fRb{x9VNjn^eIAlBi7_Kp$`IG!i~&`e%$fY8^a0qX)d|$@2d5wU z{xm2YQ|dT0$M-(3;uALEgfpRUJI;mU9L1SP$pi~oS7ah4JqKlCDKkg*(>0Tl`aE?k z68jL9j?uE;F-$u4Gl)nA7zQegkrXo6^nt=0n>+eQ))yN>e^S9Gcp)=`onSp2kN#ux z#xX?t06NZ~6I&cVGY%&}*6)pDZaH>M$dDc%%MuxXpLkF*tm}7j<{yLm8sZc7XFFz#C+l$q4}z1pW?CQ^>m=$M*9 z|M7%b`vl_t&FutgpFnb{FM>`a@rh9PD|1YvZ^3pV=i!+Dzr~{yU5@+Gwz0H>{yFjl z{f@Pk;DkYrZPGE89Oue$HXM5+^yPySXDva3{sqn7*rXE-hZEj>%iRAwUmOP$gcE2X z^c#+khrze*9p{d4!f1a-sbk>xFFF)_qMgS9kd9$;EFpY5_Kv9q-=@-u3GG{Cf@5Qx zcfB7>K+7x$m+b>^gF}2oBGJj{>|bP`2KRxMTmAF{`g?dEgW( zHoHX}JHAdTviV*eI=lX1a7lPMUiUa!6QQz&h!kN1IJ8%Vi|3KG5Rw8*;ysN|kAQhX zq$oP{l{1Y;4DuK=JO{FPx1=zysnMxq0k3tQ!34s%VyI%7WkYHp=v2U3eV_d)*?2+; zOcc1zvBEb+WO0(HU%kCWTc9nBQWf_5Sj{e={UeIvo|58?*SW2fI6x{M3d-_l=jS-{ z%Ux{}KW`1ro*a6hyhU6pteLz!zj`;YH7_>eW5hLQC@)v>ircjxIjz$9 zzQ*buk&60GB{-saLEToAPt{Cnt4u73oZl#TpRyItF3w-9+QgD#2-m$h>6GH);@4Cs zwN((p+k_Dxhy3tjl!e%A@YiqW+29`Gktkn-C%Ndk%8JO63$W%ljz1FlO?LTed12@h+G_EHw+~z`DAA_n9AJ-F=r@T&D7`em3rIaibm%Of62xJX9HGN2%+||i|ee^nulLIQ(>6; zy2@zzB5GUFqjk6g*o~|(@Rg#M^Eyn?Ia2+zX9`7Y{Vx|Z$xm%7k;c~ewo60Dx)%8h z&L+?7k*V@?%J|4uHvD4H$rf$Pwr}N~*^6bRjw94s(N$V|7D$1oqGSC*vBJHTu`P(Q zGUa;W)PixsZf=gqzs#?RHn>;Td-vBfocw-GU}16Npe3$$zq;D>u#bvw95LoTx|lrT zKR;2f9)O~)S7=Ie#9SbE@xT{!OEneC<8ZYf$q{ZB@#H+!549W0#exf)o#(E2PHUUx z7#uFH-Xjdz$PXzVztoJKqByixQx*B@7p*=dE)$$XIE6i}VB6T}Oj`0=>-PEhG3=`B zu*z6Jn(z*D3YLa=7gw8Xsx5o9{EXn4iB*1b;d`E<$5}?WC)C^feDj0IK7?FOu3!T{pyRD5l}0;$77a67E|$i{W79TA7vfrPTquG$rD;HjI0sAn%L=GCh|(x9t!Z92Cu0H^|mCu}H)9L$dNYdaJ8` z6Teb$(p#CqUeURUvcj`#-zl(f_r5zMA>UJ^33CG?m9XtqRlzj3WV!0C*)US^)NRkt zt+_`XTkX}vDUUdosg+1l+M@HCf-8!5HDb=aKR`blxks>1VPesX^OvE3DD!NNh~`2y zeir2x*WtBKFwSyUUDvejupHsdTCgDWUj5MF3UW8t|Edj=cplpvZ1r(0XbN!=<%b7L$+{1VP;QVOxkOH<7^4Hc;Ca4IWnLs(AVC9mj5lWu_4DqI5Q=Nsyc++4( zE1I}O!kbWY^|-(;y8KKku#s-wJ6Lf_0jKF%xoOeEj%f(!d{3M{!qw|=1*5`#%mhyl#TeVuOk>$_fm;eV&$fpJ zO=H=5`|8octk1K=$mVIR!OUZteVF?EUA?|B|qYDZc(7X*6Hk&X+aKD2gh$| z%vZ9XznE8KURB}2MNFt*#KKbh1CuwQtKw4ge3jxu5j18$J2fm9?X$Kli#db|Oaq$W zbGdq4%W%XiI9J~j87SN5VW~RMh+`Y^j?k=VBCxGI6r6OKV0WQ&>%BSMOIVGGR&#zY zjqYf5EUnzR!7*2=)3}PTN{wlK7$(0a4^a65GLhHN$ZXFUB@?mB4ZXq+o^LHJF8-0*{7vfl#Z|O{_pgR6|(qn8vRzL$0-@U5QuTjMxOa$mF_X zsE0cb*Y06)o*7lZs77awpR~(iL-Utxp2EuR1LpbUc8vu zg((hqs@0_)IlF%0)Ef6XBszOI_cs11DXw_HKG7-dv4+>x4=i27F2%}-M{Aj9>&vg& z8{+M|M^0Ar>%9J&yn+E-!)}Gy`O4UOue*4=HP(@15BV-?WFF zUXH3ouN1f2EIC>BI5i?Zc64Q+HO?;E4j@}FharT{5zuq4XBy%yc9*~vjUH3og+;+L zOJEq@RJKoEF0W3k_?Z_rIy)j1`*zS13#bXTSD8naa+(y7%0@CF|HMg~guPlKGQPQR z9`NBsoU4-y1YMnyiya(LTU{a5Ika`Qyi^&d%rCFQrNOH=_#`+jQi_<0bzLwG@`G+K zYdx*2NNZ6CV<99{4pt6LUArKL!^&J1SofY5zUgUSfjggCN=Xe}f)G{1%8vMBKDi2o zC^ADW*sel$SlewiVd(+Mi6}I^IL`{9XJTyQ*{aC;%7zaFXxDf~Cl5#gN#fBcjU7?5 zRtf&h$8lpe^6$5VxR*8#%PW!{EGwSMh2unw3I*S=j|T*|+Zv>I&!w1f`%5C35*@7T zde*8+1LFKJ8;9p2EDefF?Xf&hLCscXlQm|Uv{GwjAUKm7fQIu_F-{b}TG}}hR&j1d z-q&aa#zW7*k(#XHrVfopchzXBmwUDRJ;l=zs(nA?ndXO4QvunXmCM@YQ0PEWBj;?K zFL4j>vL@6>>+1r0FbdgaYHn;ePkY_YhQ`Ntk~@m6nw?kZ^3O+{#J`}*oA!ofc?WKk zEu}Q)!TuSrxswf5%FIimn{$+|W*0MRJX#=*cxFpDSkgS$*w59cM;W;%F!2s4+>PTy@i-^z?ZUzHqUYKd^Ro0yhdMacgU9eHq_UO4Q!K zbOCLz0cKW^X`X6w?`PszT2p4%#0mD9+AwRVLkn!mYqh?w3gR%L<~&CcHKtu^?+6C= zwpy#poOvO3kuwM`tkiI-Ae4k&wXK-u8x5gYYZr35ppa2&+7+fsZqVAEaFmt}tOmKk zhQ@S5)JKAp#b)H($gGX8@!D$Q$l=8*XN}<{`RhSa!cbE0qvir~wUh#{dTnd>Mv;i7 zVg~ufBu4TrvKnPr&Bj$P;H+(GTfh~sO`y5F0I|mWI+zV?v%7#P%;N&GtlAk^Jv7R< z$L*ADwFa%L*}}jEXO!g?aJ%PWxz+fBwRJF(gA#`($U-4jTZ^PNO&H2ZT7k$_%Mx-S z0rrJ7`DJUCMq#ncWmlLEsAj=kTdzpIfp%X>xLs_IIdLtnm z`CBAhC)3o`oSTCo;TL#GaoPDe(l!*F5pHATFe>#!iv}7~=M-rf@j;*H3nUo#L@urc z8jBs|X_Sp;2W#7#+}d)@1h`Vp*97EgkZoD460_P}l}HVi%0j~9b0Mr0heO0M(QS;P zFhvR__$ax9rvkC%KDQj#IK=FRVGxBN%S2=oFLRWeRUV9mG%KqJf+-(jR9>K^O^$;Z zknvF$W^oas><)-Gs8W1&am+8!1xy5FM#YR%#eU-}WW=!cwzw3P!5QI(yUBtC$C^|; zjpvstEke1V@v^Ka07W1pRwxW%(gVvp3aHK|1+mo>&qP>~UJ zgWM8jB7qLZ6?B7CDbZjTL{Q2{$TW-JOrW?;J^}=-K_(vk_Kln(* zi|$1)QST)$Nxf*%dd|%{bhs{SVJaUD>{&ql?xS(;8DG4RbLQ_~D7p6QTIx$YVAmtV z#(ni<@xTvWEh+f3Zh!UFE%|SJz7XA?d$oD=CfB)*+y8P}S>DG7U-(EF`P>`lO}!h10P%e@ty)QOgwSC>9kq16h&^aG3D|+p4=iZV@k32VX*tuQjec{WWnfu5e3x}`E zNxc7Z$=ADY&wbQ&+1Fnl{*-B;KOgkQpF-~T-NiJ2;KrWod!?*b2lc*w``8t4Z#jR~ zA9IG?zWJy}Ig&*)U*DJi(~te9SNyRfXXVig^jA}l;H$nEU0D8y)q^X(_8r=L?+;e!H~%FE8CS;`2XUm7m;y&%mv_hqG?$Pi?_>7My*`7oX=l zzH0g$pZ@r#H?gz3D|Pp_QJ#J(Mxk4-EX%Yw5-x!>;3kE$vKXq^+bH$<8xx4x9m#?{`?WNbeGd@{7X!?P>1}r$TKYNRvK78KSa?WRX zvW~hpnU?KWQue{4zx>UBGe5kDKX_C}h*x|6-}pvAf8owuB`>E{pJzy&^gnEAPW*+GZp=eVourI* zx!N}5;F{E3mecopv)|~fv{irf6X(s}{K2~0+}S&&J77EKi}~E-y*D_vC;xhAch*mG zp8n)!rYh^z?s7Ei;3Kx{df(so3Y+X4)B9QWFAj{Q-1@`$`3H^;bnba;KbgR| z%<}q?Pc3)o&%@%QKez0sU(EXJk*4I5uG3i4Cu_4OetpiVIiE>6yARr66)xO+b)N9$ zz1c$NoZdYAjQ+{d4|3KWTxq$49%X9%B3!_JG{#hub)YA&v(xhV0S`a;^A9bzbUjXY zbYpXK_dseYv{4^+|Bl|#+cw~iBlV_T2z70+J@B*RowfQRqgUp7aqRI z-mBkxaFEq|NVhgaop%Q`>*`uBrwJ7m$F*AEVp$2!SeB;eDh`#pF-C25B@plm)~5A z9>EXkKkqpY-;s*wSQk>u4j;+g*~yr0Kk{n!r~^YWpLNdR3arDr&NHm&u$y|w6hGq3 z@*{s&Gj%gPujgzkdNjpYztMVS`)?13)af*zTn{7kv8bo(<=!p5lPtF!44OTux07Gu zn-AYvhy%;mm%kyH zo7Ns(Zd-7aJO(G-=0|&;pz4oa*xhJ7@373={nfaf1zm3?Kc)uYQJv$M!~5F#^Y-05 z`0OJN>&~xg@rQ@5%t8AHaMO;&;5j(ES3wV%Dtd0Fh9*bS4;`H_;Dzp?=BvAZZsMuw zz0YUuNh#E?Qj4If_u3;PxaST}H&-MtP7;4nmmcugqCH!?bCZRZDF>$H?mBd>ZQD2W z;8VZ;+}?6<2>KK~Om+31ZYnU{)zxNAc0OUczZ+SuIdYeI<(J>!Gm>wm%2Q9k=H9oH zADACc-QW2nb5`|as67Do#jpXQipnX*jf%n1`qq_`J7u09Aa9IOxK6g z@1nQ5^OJwddcJoGb!D$^8hmI~cJt9gR8{iR+~7gTb{wh5YE52CjfQfX>YhOM>r7Xr zxUN5Dv3irf4$6Bkq|bmyj$E7d&e5~7ykr@3P4DBVT))AByWYy0f3#shxVP;{E;B>_ zFf}xVd#|P4Ft2B0>MzOtS)n6=?9UFrW}=hNW{I6mPzPVrZ9NZ|?$ysQ)u$fl8j2Tn z{*+#%2f(k7rYh)5yB{MAkW-s`ZnS*fS*HI<|1;VL6g9Q;7Vh?gk5UIy4Aqc&BRRqJ zbn2R}9L9C@`m8g$W}-!=shw{6`JNZ_cTG!4T&(QnkcqxhUqevaPXE#*9$5`n_FOaI z_3qDmpTi52FX~UHE;l`!qID5Z>Aa6>KMdKvu3u9Z_AH|Ar=RHl57QkfM^A-$VE2CN zKDevgbW6b#v#ROoxvS$A6$cO$xnT)CX`) zvXQy)qB~O~VMp?`WD{HmR{Gh_ug$ws)|8|_ zPMz0VY~oVmv$}d-g{M*vz>MBDdNRGc`!6Q#@Lkkj=%>1Tshy@%j=o{K8X}$VFp;i_ zrYTf1bsx1P`MazGUGHSgO1bIR(S@nGpqbt|%4e-g7E{ZPwwn*@AM1;gFZDi2-vZBd z&&7_Ohv?UJF?lPEU=K|0T7aK9I+?yqKdtxIS^l10^tqm!`~}R=v#4NC9(5V4@12sB z(C_S?4sNP2m5X{(3&5wpV`96vqv0m1cQ$Q8vs1|YMl#TQebzj*Gs&Z0Bo~<8fHP2M z_oEi6>qXRyYJ0M&-zUGy>h8*d+x69ijh7{_LyNny$&$KFr}V+eLFjC%w5NdfCAXp9 zQKM4Vp(m&(^?AK-Qf#VCzf!*t@=|{`M>RMz13OwcMh_Q@3{{7bqy9J1uD_|E)qItnP+tMB8T3c z{HaOo9A`QQUP=8L9)c%(zd;Uki2AK=GF_j%oi0d?q5rA}Qd9LUv@>a_~`k!?-dIQnDzoN_ZyP*)y)!(K( zsm*k6PZdR_-a;4Z&!ds4$I)hZO}FcSMpIjozoBb;XX=ZohkMV5Uqhh|x(q$3ls+CF zO3p`x=(jz7XeW1mo${jA-t$ln8q?cM=ck6ztMsq*V)Supsp-Mqanz@%CuxFj^p}&j z(N82_(cjlu{oa%S!{L+EG-_*dzV3!L`i&kiU71{uPJ_GA+o@)HX>u3EB}dSQ^e0o7 z)1yhpojNl$6$ZhBsW(w$axOdp3sWQDdKB*onyyX# zN%tcrHQsbr?{BDw^s`_PDj|{PPklvIr`|@tN?k-{_Xf~FeT05C`Xpu37wDtWD18>R zr7l2a`sMlq)J^*J`n^QzyZR@|8wpD-s2tuwZ4l94(GSB_@Ivwy^cjpo6H^yczwDVq zFG=}f6`GptLP321tklobKR`F6vPl0cQWko7su10$SE91sQKt8kUMN5tQ)V;+N)Vj_ z6es?C104D{x*c7HqRHE-RjK>+0qCCOViZS8>Jq^46SxMx?7iGn+e4Wy>s?4ZyAA5l zqSQKlF1&zlN$t|RVWxg7c_uj*Y03NP$5SHwA@vkYM(dKZ;XL#kpvbH-8}{fJexWZ% zmXz`7U6*b`AM0cF2rv*sJ5w~2!4+tGY6F_9r(k>PO<0r~j&9KlP)+J5uuHGg|Dq3q z{rWxJNy)0)c=?|oAkMm`b9F2dY2&fkdDx01oy8}x%9-|wMf!0BQ~?t zoyk!smRf~=k~$atHDyJgrP|>QokHtV4^d-vpYEg(L4AULD*7l@gPzo5@M-c(WFef- z((8$b>h#{!ewdV64$Fzpu1S7{lw=s@r*hD<`WtXA$zJ}{t7xe{9iB_ssCU7u&qPn_ zrRa}34G+T8`X~B}=!Mj5y%t%>dlquxayUft?`7}{xElhx6?*go`bY3V>T`IPj4~dg zsnZZeB(H)ssSn^QJ&~G*eEMM6q%YNX=x0DkzeIlmeh#}+UHTsVb{Gv!sR$IIcgVW| z=qTa#F8G5U*Ij4=0&P&cPiXdJos zke&^HP9bzYe4w`y3p({v;YkvI&HAPKNOUF9ax3xNWyCXY>s#Pzy#w;}I(R`}O*r35 zMk|5E@P%FqE`2@m+D}sVqORYy@tHL4fpB0^bg=Sr0*xGr6`(W2qLxU%hcV-0}tqbh6DO_@V5S%Zi96s&#Z+K zy#&3Ox(goGXOO(nlloF02%V`f;6LW|kh_Zh0BTANL#OFCB3a)HccorIm+9^BrhX;_^;|TL;9d?@ zutI+YhUhoLFxaY>5-TSWG$%rfJ{8(^Gnoahhbldbc;jNy(g|0E5hJggn9(^c@WE+ftktAyVl==m_U;ioWB$4uvUI`*B((BXV61pAn4D(_e%4Q|sVT_$qY;@zO$)Q;gOs zSoI~OZ6!*ju7T6wJc8UV{TkR#xONHA)PpY8!^CgT01so}UNRr#l5rm<8V`eWNL)Xs z&n6K$1U;L&8DaRVzDmE1cyT#lp@(2Qj^vMAeF5y%kLVA;ZTipPAcN-S9jzt-<0I$sS(3I9IUm%~OfJN%qzJ)2~xa{WqPsz2t`XT)&vHOVrseVvT>R*#<6dchJ8P5iGa_%JTw2{o8((TZz=Kx2d!c45_ z)`4(*5aIg)J(rB*AlH-RFGcb(4f&w!y*dYnbrvk-?+eoNOTvMJdN+}fDabymGhjR( zeL-3Ru}UX+d`#NyB9WIQqxKLvJp>h<><3Av=+zICu}x%5Cz0hKXbdL(b4VMWM1&PS zC)W??#t&z@$Otrvq!h{VEU|`3%grJ!yNQNf#9|X^qZ8YW|E9W=oOKc#I>~V`>2rwm z`GSmfnDnzi7P)RE+(;3P?CBAVala-l_Yn?%pc}|!kyb}_qbk3LMAbo}&tB4(BJaA0 zeLX~`mDp!|!u40u=!(lPopD{M&j&Z0_D|NXU;O7IO(oT98VX{580Pf3xIYcG%_**~ z9p~Jzgs*tn$_r;&LFiEauxU)okmlgVVCz*yzxRb#kAh(y-Z547R{*;n0$5q*#4Gvi zC-Rp!!{Y*o8*+l#itwy&Pnj^u&MrX1WzOlXQ)aQsW2C(s_=&u^x-<7mD*A~K|AO!wT_lojHbywcLV zDgkk35R0bq{21{uugb@T9WQOH>F9{J#bc{a-5?3V$Wlv@!&dJV=4Ck@r2|W`=c3{| z@AyZD*ja0A^XKxOz$UFdw%HwTp4+lc;oYU|&=-Y~eBp5HVqFE*&{+R8%J2zH>=LWN@!|y<$6_%tdv#>vQo1nCP%C- zZS5VUg`1R(@}`wh9BuSZEp!*m zm@s}A=XMo3_!&h|$GhU}U`Bj6inU;FVyB#ly`&}NF1a->wYE)>9XE2m;oj-AyO47i zIJ_p;qgL-Qp5JkRUT*#{k7uOU&XdPUw}8dU z;bIo1RxzSy*8&sNzJ{)96wHjJ8%y?A#QOuHC#( z!yChiwQa5Iw03Q|3o~W*GN(7_ag3_+xP4{Q3%JrC=TVv>npKNZ6Q(kW_IT^M_VubN zYk|<_b%FO29Y_kO?t%$b1tUj#yu(~xho=ygik^b;rIa0jm*qA&woYw-sl7pK4aeKI zbOZtsNw6Egq*MjLfkjW1$5Ux98|1EH2_8PqD8FH3EJx$a9PcDLL3J-WBR4Q!|( zu{PEcmz9tvNv-XPrcnFJkSYljhwQ$>kyQq0F$wvQ+rfG5J~t=|$SPx%8LWn7NlV1F zc%rQ}){-vZ5?B{n8Add?O3Ib}xrmkQ9MsnE2XF;aDsjPiRIps8P%plZKK>7@7iN?F9Z(JAu>VU|m22#=>fhc(AP_ zMuH`K5u>y)@mVYsZ;Q2RvL;0h*Aro^02JpX4)+l42%$ORJOeGG zveqyy6boyu2{Hib&_<#_Ry9dBO5F&El+l(r+HQAnZi8sVW|mNmD5`2|Ybe$liyOT( zg9I|8DypmsBE|U%h#QE^GP|3I;#@{Gn^88($N?fyixD+r=>wU%3AGu(2qBgPtetal zz_KJteJqOvo|wiMWuAur(>0{TbqPb`Hey`5*w0Xh^fk)sIJ==AXOw4>K?q3+G=fop zLvl=FWw>o^%;-g!X4GjJ$Vx_clF)pfvOGHp4H8S~|1OAx3bBs_j~tD)g*9Sg`apCh z5lH-I=fEhOC!tH|MtDwQg5YTsJQHt`e=<2UfYprDly-f(%9J#-yS;W|pWET~5lczF z$ka_qm~c5J%Zx(eFQ5^o#bZ$dnGv2uCyMKXkzmNC)k{}%aOuJ!MKy|pBMW~)3lld8Ze4f zB%=~MZ69$>THSO-pdpFKG6F+2YBp3uQ$v+>acH`Nm9%3~e`z-Yl(vC5P07@ANJbwr zRi|qg8HO>gk^}~Q#TSN(Y`UP=sG1d|{`y4YtxNzjK+L}aK4OHQQ4xKt{K}~IBvMhj z^i;@{XZ4lI7-1#!RZFHTs|-xj<)CSJGBr_sHC%@1j4-LMEy`G9 zNHj|CjsJzf;EPc)nXcl*Y2(u6T4Yz#)oYoWJfn1rOqzYD8Jg>S>uJWO zJwohCvy-SQWs24_1)D|~W4;d;GKzSt%GbD=-uf#)lyv4w7hL1MimY_#3hCl(lEFnI zxcf>14P2CTO=ddXNygD&{;^Uyqw+Kp{cInD(}O^|2v}w^abjR^cr;xlC-u4TnB!5V zLXXcthSM_pD;$-yNF`0h{yLU)jbVnTYx-==xKN0%s z9*K&4pX?0xG6gSSaE>9_Se5!q`lJlwjbtTe0A~BVEA*G&7*)Uqu!gaQY$aVX-se9) zjZa_6;kPSv`Zgo1(g2h7a(@kA21DFeotB|unpbI;e!EtzzkX3l*JBFD6vKULW~zAm ziwiU0r>|zbiWNDnb$@j+^lN93icPogtM4O+fbpom$Z`Bp-!;_-%TNC&pZ5QJ@9)fQ zyflt$FSJP>pC# z6|X7XHjfP}dF9j$2g}W4qy9=j&JTczScQk{nyqiLfgNQH^&5+%bv!UZ(=b%NSe9kdfb z)7?Rm07`IBEC4m#Bx)T-(*CIPjpI!FR4 z>F%HboEZYn4#>=1!1?Ts&hFlE-23^cGkagby?gI@&Z+7!@B7aNg#?mQ=g0Fr=lOo0 zAB7LR+%54s$QvdE!nK^B6n0@XQpGT`fxnmzfJGe zFjDyaSFJ%`{8@Im)b-1f?HVtQ3|?{lBgV7X^x-TQQu1vIQH*-;*Pb^X9 zYnaOu3pp!3BRbMcRnaMmU#`7B>r8vY=e+UK(Nb>K8!0-o-A?}gZ*qymcjPVHW-i6W zqaCTWe9GKq_wt*OA`SYp)61*x7O(oV_)7JhA=t-LU--U}k4+gTz3bJQEz3^zCN8zL zv-MBJ2I3fWwBD(RimkUq@w!{$Q9SG(E!Uj42}b>)(aW1?Z*9)4#wlS%QtQhfTHSM#2&yyRA0KX<)$lw9{^*Y>7s z9}}?V)!sh4->|tR?H08)aerLRNGpJsNJKm3JC&@$OS*st#|Gba+$#sYBaSm-x<54C zTy1vP8=pQo>v*;w=!}fUWgZDDg6}<5K(8QvqSB$)MzY!z9i@bEZ}vS zwLkp8j|AR z#yaF@2A%Uxt@hJu(HkeHQXYR7Ko_|PG+M`P5=$9Hmb^rZW?I!I^5>nzQH;tfIO6*n zrbI4DOz@dEPSB?vD}F?dpnM*tGwTt5!K`^iFbI0=i*AuJ_is^m6EvS8@K;OhB%YLD zRNNAA@+#%?wQH{HoOh=yzxCg8YQ*&>dxRuWlN19JOgS(8Cvp^AX<~3S`3~(KS(7^n z&M(wZQj9i0{)RsaM604P9HZ8BJTaQi z2@m;K;<1uD+;`mEK>}|Ft3@KorsY=3M$P~{;BY*bAby#w5wxmyrX@sBiw3}jxC8|J z5MP8q^75=-BHwH{o?r+Sf3{jB810G&z6k;4a$4@|+)eh*#-54z6oKq1DaC7A9Fgz9 z0(c;R1E6=6FzEYs(JT99r$~SefmFKA@dS%PpC=4nJM^X?i-^jtTBoK-1h|t`xc)G~ zT{)A$5sSbtj~r07I_uZ~8BEt50jC5}-UJauN>E!`?bWuZDS%-B8Ep#zYIJK?-3t7y zTLtt@_J#l*(>16oiv@ykBxqYizKUuIt(6SN6Pc1|uNG*_V$v2x1a{c8_m(s476B02 zE*S;5LEuxsSs?&WmUn9DL|X0BRO;}E;MwW~&LC*|VLDR;BUOn^5g_kYymJKjf_LdT zBgEO_P>Lu9MdqADL5M8!1k+0rPq3l}gpvh_&~MRfNnd~FEk_iSRU>5*y2qG|X ztcAd72XN##o`24(y3l>B;*!ab9WjE|IVm|#&H-dgAqNtdQd)}aZW{Opa%kiL$oImd ziQOf_G47T0aJTI%Q@)9EHoW#pf37|UhE&&q|cVyf>;*^Q* z!Wcj)k)t6nn7Qi=3(6XiFW{kx=xbX5^oztMP)Y+PVFKIeRe;+gQy{@cE4-CWw`5*WW_~kmo4sc+uL#YkJq-wzvO--m#H7XO;p8?uyl(2 zRFxPLB5CkTrC3{JPQ49>4E&5kbP$XR{31t9_J!QnS&oy)u}F+yq0=BXjUKJc!GstS zKz<|6Ke<6t)wolF7kJz-CBNT890J1M9+?%TN$evP&jFCcv_e zQ}Kxx;*?BAOVI6rt(AZjmKe1`=>wg0HDcC{qJKJ?Nx43P+60r@HsEq>Q_muas1HYB zANgIONLQjPSDcD4AVGoHV|vVB%uHxKXOq>D-w9}?z>F>ltQtdQuqv3JN{*eeY@mZS zrQsN#0DMx8P9kUKK-O#qrx8qt$Q&3H_(@`75bQEiSc4N{tziOGQpxc+0NXw*j0Xv7FbDGYY-qf4d2i5!B z?j38SVFf*b*xxX|L)U{=V|cD_mk3K`L_$aj(7}MRu!;0Kw#mpIj2Mxn2LK+}6d;z! zl?F@%#~3x}@WnVc_;*TL0I;{LV6WKG1S|&%*f`4H)Z0HXZj!Q3u*dX(ao8b6r_>MG z8`@kG*29Hd7-f!tA7{iL5L}eY&>87TnFC68g}-bA>w!Rt0lz?l7jzqN$a*2D;sI-D z5j~(xl4XZ13FTH;R~Fdm;9d-P!5iN)kYz+RZS^SNU@h#-=-)AJiuTK*4`vc(1kz3< zj*?kO2~S<=|RKP47%5IA0ZVc25J-XUZA0Ls=#fr>nm6l>ky|0yH3A58}x*N zFS0>DE3DMnMS8~c+bLs1&phD!!bWF$*sF({EXFE>@m7Kn*zc^NlSTX2>1-2_P}^>7)&peR z7dPKX#%0iR%m0&P+==f#eSOOn(UwM(ines3p-s8}k%G1+x$y*YZ;P7U`L(sC3(Ye^ zqV1VxZ@sM;^Qw_ijE*{^M?0>`u8nFqzhE$1w4NAR@Is$hu0$V14G(K6BtNdW`igj# zi@iM|?zdXTZ`aefU^GZ$E8~-V;{7Oz{dq)r6*!54jE#l|b0qbpN*Ugl1PSJ4)|Q;#d9SmNc5=;DEuJ3X;z z`;m`OzT>A3s?FB^b-GxawmsoBvskT_-k ze_xXyB7iNbOW0|OPi5k5Q-7}D5}w#vG~Ru?X1de*$+PCsl5TkV_)lHOcCFaE5wBKz zA$w2U+Re$l^l*BGI!}}KNKH7Z}k zM&pF=xjXD5!E=X%uO(L!=XIr=$fWO#6Hppmwxq9< zd;h#Q_*Thz*L99q#m~)Q0>{j$;lrkFwf=F6&$K=+-ye@YB=&MLYUNaoYn4WJ<>qtq z6i(q|zVA&pUmdU2uKTr-XRBAv8M>3(C;ieNgd$u|SWqkdzs|5p{6@R-d_1x4;lzrS zD#{R;oQXd8up)`3on5HmMbd60>*Swd2eiYwyL-I6R27ytbEtGQqL{zUO@$M6hu z_=@9Paf?;o`RPZ1dp+w}Th^qV8f;YOW-+P)d$De%D(^;%WEn{vQbX?itXK9T=cj&K ze3y9E#p|_~9AnCy^)1J*)qY7}jPI6@R?I7c!7Y;1ht(C)6)Hzi_mb8vQasv9M%KQG ztQ1O#$mC34^xv|*tKLy(+;b|{pg%rao*IA4$@%WPwVwj=j3BX3l@j+z>bk_rR6Xt?p5?flk%4PfH5S3X~{@E|dPPC+Od$dHoR zK>|6obb5YN+bzwPqd-FP(M+Glk;6<{QcRBkt{S%-f~;!3Yo9Owo$Kks6@%z()%VKsoQF-l6wo{$f#56#f{~v=lSlD zvVRR+GoSdr2c7a%`R($wtH+I;uS-%YbBCm5wq`O*M0uwe<)W(Et4A>+pf;_ENTs(N zab=3+2%RhTuv>e-I_wcR>v`qk`OA=y0q%?pmoYJUaLc8zA<0{%jMk(|X=#bPBt>vt zRMUDT;0kdbL3?q77!;>?g@9}w`B(g+pA4Au&X{?FvN|Vr$)DMiOl`! z^mn9IsY#YIT5DompCkhy^Z>^aSnQ9N%h$+P|1^I^ZJJ3wYjWJn>S zk`sZ%6kRYpp-g;vEE4R^t9(i(_$&>%H)P&O=DD~iU_j?UB0*5q1ebXV(rISPzGGLt zijgz0Z4y*ZK(SE-grg&#ljCHevPPz)sjaDG98tnTV(t$hYqALfa8XsyH-Ut*fd(^N zmy$q5-}#7)W8thsU=fkKOkyP@Jeb(D$);+Em$;PFz)2|?vb+RH4gs77E`dPcI2pu3_!Gw zW0Lt+C^ZCN*(uVfDFE9zBz%#nl69%QQbN|!Dw!UOo|E}m2vUDc*FQogM5b4Cyow2s z#h{QCk_`mr($Svkvpef52_EtUU312v(=V5TB2LX0Hg?*8}uR zh9s5?s5l12WJy)!*2EH3O-l()mI64T)Au$IEUZBC7}+|?_Y_@FE8x&7-mnW&2+iBc z^1*E*Qb8mH#Ds_=Wf34-gacLz=mv??Bp^J98>rhhP$MQ#VuP+b3#35Bn{|hYMWI<4 z81w@{Zvvi}C|MR|nOB(r_G$@0NUD}l3Csr~+p9P^nq5N zc;H>g+K7g84M2Qwhzv(oEU76-52J}f9Q6~46qmq?n3OIg3-(Gb5n!tXc&kK72l9tW zc?*#U4G~}v9F?4~B#A271e!>r(?+@AO;c}MryeI|J;-9qkXBV9M=|J7p4Ox}Zp=63 zB^o^e@{cB;5Zq5Jf(EjqQZ|nu4gmeIVmk+9DdfBPWtSWd$TXQHm1@K~J0nWj66NNB zmeZsrvZ1ObaX>*u$+Q_ML4*)EThAYMi$FR7rR^4-91(MnBcg^3iJ-vM7aJrsEk{9f zqcSmm#O`r4?T9=Ms8g7gNt1ahP7&x&pd1~KtlcCK2r_nTo2*}_z2{LDslYixdL5M= zjskU-z7ywom?aM{6tLmd8DyGfkrJ7}&ynFN8Du#?QNarl!oJ=TN92WCE!a@lRifN* zLYyQ9K#455MAk^enKE`{dSGzK@D34ef+)zamdz+C%0O~37l~LG*gaL2Xci17Qd*oI zIyNYIN9O|cs^bC03tVZ=f$32?QlL~6IcQ+wH4qyWxK?1UfZikPXRl_UlLTn%1lnTe zAi;_Z1F1_DGQ3@9&;b4eG0BnT@gk)KAsLNcDgoM|&>CAbcoDE8K>M??isbCcQ8_e; zD#*8CNQwPC3=cXj#)Et>ky2!oM+{h0kPEoY-E!GK=L1&`udATA3@~=hmFQN%Vdw!wXPA^x0bBr_gUvE1sV`9G6oj4# zJLUVyhC;Lebb@314`Wd}5a=s7A)3TV<6J>{lxff%qwFFuQ8|+`vJRyRjUZu*Qe!+9 zm;y2u&xM>NgcCS1LOqI^~NyaIe7&MIXq6TAb zfXfsNJD{lox)+7qCdzUwZI9*N8H|kxXoY}8(*qI$NKts{fDS|&BpzCIng$0dzjC-5s5-`i(I;?>-woc#P>o(E;G!XFDS2_1!myb@-!8Mywz2q|*67`7u&1%lH>Va8>!osj58 zXHONTE=g3w10oY%Y7yugqrm7+3OL}yLw*+rgkQjkhpt%o3kRm0Zfii7Lv}R|`8LW` zl9AcNf>#XG+1!9EgN#$I&JqRzBc>QQ;DU`H6_ZL76(R6O1E~%eG*J)9N-6?u;w(1H zQVK;bAbH`s1OM9!2~~KtM@aGo%ro7lkUocz6)K+WT>~MDr!(@L@z0^sF}hV?Z+J24 zv?!HEJjWh}hYk~G9A|JwjHRaul7YZep3G{)78*Cqe2yQ+b&eFHx^UzAidjynh&deIi!oC?olv3V74VLm#WE`19O4rjuKMMga`6-vDxY;^c|V{R<^Nqv&&hL@Y5 zxjD>PsNfO@Bg2A3ff|KIv`)w4y5Qe<@=V(W3>5gG<{K&SPV^6*|2YaA4S)BM|2DS% zif9#@3qm!q-80fy>D*;znw;d`GhNLWFK3F6SPhZNwu$8Dx}G+k!M^6?6?@*ZhASG` z*Gi`vKiKq_Wv(-h7WGMM73mfgdwSDm;@=BLCs54S z7hA%=OMblk4+srjZAzA3A%p70D-f8!%TnGh$p<~oid@(tKC7pb`aPzkjegVQ!hv8MVf3OH}K0i8McFptGMbq^1OFtKEG{0QvMX{=Jiy4is`gcVZ zXN8rqLM-?4ZX~TW=4(R#x;VZgzBM+$?-4jW)Fzzy;n)xJ3*2+1;j0b!;JN;#myu9K z?N=t#UK~-+vtmB4`{yie63~VTAqyIf! zGWlFJr~K0S>ASXZa%$Z5YqR6-Os=90e}T-Hp>wxn;`%L{jV6>krfGQeZv_59PwA8J ziVWtAhX)?)$d&epH{oo$IJ8aFg-Y?-!^f-I&h?(_vU;#F^o7wy+-mW0!- zTe65dI=b1?c8f-Y8&q#YXzDs!4ajv`P9DDjB;A-A>%&Lu|iRVgaP&jhU@Py(Kw|v!fE=NigeNznz-;!<3vDnF>Iy-+SzoIdHfA6Lt@$oaP&%eO3N zeAaUgx?Z{JI^#bhxDN`iI&(6 z79~9#!YLPbo61UF1}epJg@Br5(3;MAnz?%O4xSMGqLMeD#U}E zcHH-;%h#Nu@4e1Z= zF7Ui4tF5~wSyPwD@(Nkqf~2_7&+)2*+^R)PV{8l+OGK8Cp(k5hci#cF1+@`P05X?0Hqs_MF-||22Y} zu~`C=sSJFETf#s!&}%-e%Ay!0*c;F^qWM^wMutuFX;F?#sIn@{v>X|jKieGv2iA1l zs&CIaF67WL_86iay5RvLH%pF70FXsM`Y_OY6yc+JNYbE$kxx^w5BwTO3v6S$E(UK4 zgUmFVk7^n&u-eY7Uv%soBvcwDNXE)}&Xf*0W{@xk(~Wav_B4kxy*uwi7V{FFWTt6$8?+p~l&C$-{!w90XJ_3e)ERt&1G^ zoCf(bE|L9-YH}~6xXF}J0abvEPzUnhEDsKsCPka%m+zKM%7Xytj*!El&N;+cDB}oJ z5BZFYljfzA+(gzRaUBu`rBDuSk!R}!cQm^GIkGE2&`|P~eB=xU8UcDkvtks~LFrj2 zw1waTEeKO(GHz6clx3QZR4;0z?lHVp8LDm>GPVJL5-U)2a;{Ay7NGiMyNMo6IVPoe zz=?(3C6=&HOY~~wIDz;B1j;CK`W;!0mb{V$KtU(X00vd3GX`qNDSD6+4Wk>BUuG;5 znWm=FQxhR36hIyLl#}8dCCfxw%`4I*R+`Rid%&OjZDM>_j#{#QDwv?P9&_qyaYdSgD4U?8k z(pqv1g^iRr$}+-|C3(hS?`3k6!3OaxJ2TjM1~!cVI4LYGP9VdPk6Fl5hEj0IJQ=GFa;MTAcQ%PKrzZmX-Osj#o>rmj+U#FZ=ehTW{jcdktvrw7sSLQpSm6^^Q1M< zp#LZY+d7M75UEH&jRaUL;NZ!{BT;;WhWi3qKF|mZ;|MS` zWPsFC4zv6)F-{|XP>#(9Roa0Sq}W-43R;Mb(Ex}|!w$g3=g3wF25rqiEczgjjKHeG zhLI&00f|9=%TlU4N{>~gl&?r7oTEWZh!P>p@H2#~h^*T#M4sRv9jc-<8P)>{0*z+L zv@9Qy2|e)EK>ubTkWitw#%)VsPxHnC8hnC^QoaJbghYoGh z0E9)Om>ik1szHgp3~eMJ?8AjQu8^px8vzBx@<|;U`Y`Ag*bt;4dqN&%(zu^#2qDkK zQu1IUAS}Vt6um5>qAyZy4RJ3cicHK3H5nGCP@E=RI~5!JOq;4R%sZehQOHGcEY(w_ z1;w;Boo18k^|V0XX(2Kjpl?JeC7XBzZWTP)BHZ1k6Qq|4^cw=ANnq)Lbaz!KuaIe6 zQ4I_j7ZS=0|1)Zd7b5_(m+Ed*k-L1fN3l_5G;Wx9OyE})X!XgS%VA;!6X)-5R zG|OS>p+MnNV?@B41B$^CgC#@*KCIw8s552>!_6K=Y$gm zo4|unracjYR8|Ge@lkUhw2Hw9SumP`3N)}4=6DB8wm!$!h0HVykkvW1dOj!)C~HcD z1xdsfO*{sj4y;%}u+<4Vs^atLy@1m z#6s6BG2ZUxo#Tsls1Ku)ZKv{r*Qus8ihM2wv1@V|I0tIm?U1!ode*977MI8(B<~jKhDQOBN5QVmebn591d`J%)pW^lKXCpbTqBs0Iuv zn|??_hkSIFz1f?|8k>U=d2CJm7ZT2Vd{&chFf@945)7Av6uK+dAP)HzB(WmxZ zpf5XRheh`!WVo>rRuND!#i6NU!5;?uv_?z7p-Gx+aS#emaGEH5({-@B0pU&` ztOUA1>2VXYI|c%ZR+x)!)yaDlkkZy1LmtxJC`{?5&kQOa!Y8vpB|ShZFp9xQ1|#L^ z$pn!DnucCCDfP`ZSY#@+4Z*^gs~n0eW}~tC4Jva%AzR4C2Tf4IB9R-c7iQrcIxdT# z)tPyCU^cW8Y&kRxRX3->Yq0}&1sh?cW-dyN!bn9J_zDDRF4Bpp<8K6~8+Hc?LjWxL z5d=SIUz0zGhEj2`m{8mhs|jL>VN@cF2?gIDsA~ObL6nl72zyGnb6CI87N9wyuScuc zLju9O!Ih{N5d>EdlYrm@^fI`J@tlN(TY|z^+nhH+4MY%d2{s0WJ`3y)5wM_wf_aTh zyKe|Q)n!z#OHf^M>PD`9@0W{!K=`u&YcPlqeaZfu?aAs5JU*; zg%0(H-Y|#yT@lz^-!`WpbAPFamc1_9IFy3W(gyWg)XN2V3JzOm9bLk`(nF1B z0oJ+&yrK3(ugfAk2?$k9s5geHR-pgU_n!<^6<2=u$BWN)-s*8J!aU)acyL5sTO83d zF@EU5J$80WbfL6w@tO5p@tv!!%JHPf?{X@g(+!c&bz`0+=(}@XlW7BWbpKV|Enu7- zzUrK6zJ7~)R!Y`v5r3RDb>&>6C0(`W_l)c;k9|j#a<$7Sx-OpOA5UxRxU78VPDFrR z!#%{|%&P9s&LS@2TjIGa{{Ql#AJ3J)AaMHQ%A)mV`Ad$?8~WhekdA3)?=HoQUl`i0 z)skq%j=mys_;Z4zOm1CHiawIRzs-`guRLO!vMf5Ss;#;CuXIRSdv(#4oL_sr=RYh0 zG~DxE?0l_kkDvd7Gn`1(Su#GWcsjbXIMtLAx!SCC%c?@Av*SPVx+E^N@EwXR3Bv4m zp+lWwElMQa#5X0Jy(hjd<$Rx8q1vKiZZ*&+N^Ref5p=Hnu4leA&Bx6joYS@Gl8BF# zxVDp)E)CD>+W2U#@bJ4;^KY^F1J3YnYvv2Q)Bp_R$}l4QBCF3TD1 zSDly4@>>^lXWYic>%L=_Pgcq3zv1JqR~vm?Dxb~$a(09h^-T1eVwO{uUC-~d`IV|D z3~bfz=rFRo5Q--Ao!fL(RD9mOBlc=sS7Tlx^WCJ&;o7NOrEt{KWBILv*T@~=pDfi* zzIy~33eMWY zamNteAFLjUX4LGGw6v(VIEwkNsGRgS9PU?5dyiz^B&+gn1{jzjRT9WR;s1=*hT?DV&1Zw2v*!24zR^D|>l5xGn z-{yPr`nP=`GOrl=(X$2`KkvA;^W|$ce#t`4WykxWYh|(P4SI5O^uhQG8Cgwb?td`7 zF1=e)??j>;m(+&NOk$6qKbS}x`FO4&4y_hl3*r8q*tTV%c-`Z%x8Yb`Hzh{e5CXMoavSDBVoJXGp*Dl(Asr|fru5FUqQ%nKN~%+MpVI zn%gaMQPD7G9p|W1u2vlP?TYJqp7*w6yXS13lhVRR7Rp(<>1(DtV%BCq^2VnP=U~aG zmK`Iyhd|2AI%p^I6-io@*0d#ZCbN^1R0-Re6l8lm8zy~Nhj8+ z61SVvG&xNM)>evQVi)2%wM4pu)0&`1U#<%MQ#}vos-AVt_NPt9FB$&TTCIHDF>1E+ zL&qrzPR=EQ_&I^j7MYggzvSA+5%51nZi}QsL~g6rNk*6Cgeqz4GLOrAR7zx^X{)4a zyRpzOAw4CMd&x%F^af0?c-bbx<`l2F?ptKovo;#^$X`0Hm-8!EY^O{P_*|{}GZR=t zi|8JglHy3xT2rdDNYxS#Yw8xF0Xrpag(Tf6NvMHOv~B?aY(O#{vFS0>v!Ta84BEs% z;K8fVb`QoC(-}Trt`Ye-=s{N-ceq^jY^3L)g&sBy&M-Z=OA%Cuxw;NoDRH(fvkRD2{jQLDl#}ug5D!{s-o1ZNv*P`?c}8;1RZx^gCm-d zwDpMVkq07RIZHa`HC66FhU59P*1&|4EQoIb-XLG%k^xN_Aj(T@RYn*%4CY_}_O{7Nb7ZZv1{7cT=c`ae zl=DoQ3tn-m(>7&W9GWx<@d*}Z#4L#o2zrZ*4V5-BEnWept|6t8lci~|IDq0m;uhV{>A$(Ox1bK0*)$U?sQnP7R%qj*rtc8d z=pGIl1})KKZHc7H4eHuhn)wHB7AzP{-O2I&%Orq}<=#~YU z<-py#R~&cTgGi15lwrkoeA^U!!*z7pErinI(0WONuB1?$K&Io+j7r!x+8&ilx2met zAtIS99~u(@R|y@Ffa5gw>$KY^aN4t;v%q%9nbGJjB;c7NmYyYO-OxQ~m0$}HfB>S^ z0^-6g8LU$*W&C*wL1+Pz-J_IJXPh)*l&J{2!gy~kV1#K$6F@VT>CrZ+W`*oA@$I1K zf#8IaPN6!~7*IM1+8LXWPf3{~QD%&nOcXxF(db~W6t*jc$cMz3F7i7MqD7k?!lA%;aZe6RwcEb7j)9uTlLnVcuF(L4d7#8slbYl*$#ATGs0 zkw~258X(Y!D7Yaf0~izoi5l=3L?I|{7ijMnYIZ)6s0-Sx0 z)|gWWkfpoI6G_q}IV$n+WIpIaON5??>>yFT5!&^XoTovg#R65d={7^tq$!(RzeZS( zZbR&i(JC}0ISji1_es_!lQom&H$X`jgd%B|GLf|rprnnCMTX_c$mDABJQ^gmr^qEm z+o%|(9VD~@x;LR+cnBgHY6}*u)WIdO1!4z;X}BO&KP=KmH*i2~&uBJy(A0yr@t_-R z6G@_Npln)uL<9%uMSicTfjT${F@=~kz*vYYOTp>Ydfh+T;4K!p%Q2Ax5&+vMbnxVpsh5t1~Drd;1nrg zhRMYP42;c!))f<;MQx)EAwmdxLTH9|K@wm()Lf&0D`sp8jkzH>NOG@4Y4U&w0s0g? z87v|dZh9fHIHFEF@7Oe#8JI{fVQz?Q%IFpjH@M#IOn09V9VZFfG(!S+(U}W@= z5M=VrHPFsUv>g-;6hZkOJx@?trpaKdM3@dS>hM^To+*?W(gizIlTCST1Ljs+N1TwEMzekDMqw!6ID|YQiFn+CD>_Vvt%m3bz(c9{N{p6A>|lqGfUZl z$TYnG$&|pPnY4$r9+HbV?9vSp77>LNBy^AI#v&TRtOrQKf-h#bBt3{%)2Cw=8lk!i zg>BH_4Jv&dgVs!2EIOml&7JNzoqDi(Xwpx%TB9bCN*Qye!miqLQJ>%j2a$!;A=akI zgLYw1XAO~mFy4;Iqz}Gg1w~XZBp8<2Dw=LWOXFyxCmxUuv~s5wo34@?#W_kH{1_-6 zVy%a$NroI6dcxrL(+SX$L6$Uyg9bLROQDv4%fx)x;EIB4Bj~P%Xs@kcQ$zYvhtva$ zHomV@`!);t1{zc`gRQ3J8v#KJ$BKeG0b7p2SSEt?ApfI&{nN7+Y3EXrgT%hM@DAO3WNyJ&U%574DJIf z+@%}iFjgSu>|GC5y%LN?%vlxWT<9ox3SF`u9zd{Q`ZyLS$1G_h48hJtYV^5X0~vyA zIYM(6V83C(wjQ+KuitK=%+}3)5L9Dp*XtqsU}zQ>sy`-(`IvO{KL}XXW7Oea>-AWd z6^3#HVV#TJvI{PZU(c1r*5kav{fF_~Hiw(d9%0d9Vn}DRER$?{AnH~Sr3`PkP$!rd z4w2^|>m>-j-*^iKQSW-h7zKgFAjYWIL$!gTSivT;gS{bUABJ6na|#tDJbeY-coty} zP9Ssj*jfe_;4nQb9fLI~O3Wmq4N*2pgDcR1aR)=Va*h(T%xdV-_Uz{sNsh>gA1d zX0xt}H-u)5KzhQ5>-7wk(A^5opUE2z(o^a^4w+@EKP%W4Og$EeeTRw{{(N9Z=tF33 zLw(JGNCq2^=WMthCOFJxQW!y9IlI3Mqh8_)|FP_WxyW>Vw{hJ{)GvZ?rJ*EYw(-Hk zL*c3ixdM3Zn(a1q#_Prg>2qoj4pKk-(2h}s=7O*AjkT+&F4>`2TXip|uHpEG zabc^iK=$jY6LTVbL+VtZXLaGkC_uJ1D)kMTFt_4hdh8MjvKiPZSWNW7z0&6n1kdel z-C(lgMqwt!T$Wf}sNi$!MuQq`GZVI;359{fjH$VcXYQkJSM>0c;LG}i*zHu;cOzWf zjnAE1cBo->MQ0u-u0Ku>lq%dl6fWcsrlkkMF=zbra6k0$MhbSEO$ybe=ntHrIpq)j z4F0&=sw!-v}Ygazfa*t z&oqiHUEI}OBZZ|uciJB#IVAK*+0*w)z9N3+=no_tsw@02MfjIu(hOSKC!kx6yJ8KW zt9E~L13oamW<#m3wpO3`Gn^gjdegGeRPKB6MtP+4gw^03zO8HYa0~ZFPCa$VIDDjM zVd9i}$`-~ReY4ppWY6qht8@B$3-U2@rZ+n*nY+r}+XpU9wjJv_vh6}!kA>U6H;`*H zd)8g%rc$*-+23K+wllbkVf5ai{Nh&yJAUXF3lvitUgp>Ox=x#a$?Z4+4vh34JAH5Wi2Pi?c&d5P zr`&?%CYt;@7rWo% zm0OD9fctub|JnMBZOwYuefs>$0o{9KB6>0V-n?;nxj4!dAB_MzK*Ya@s~2o&mrt%8 zR2%bq&onQ%<6L5RK(}5Ujl8-TnOb#2%d<~Rt&a??8oTd6Wa*0&@#DXL$Cf^e&v181 zPCVB(xUJ_(pWDAs=04pthK+&SvupZWjECOKui>nv{YrT)e{#M#+MWHk(wgXmaNxfF zth?{-`DfduGw72opTwJgwD>?IySPn0nz_(_=);(ao++n}yYai{oo;!d6g%{-*Nv>v zqJ4I2_fTXcaq4bGw4Zmo?Vjep*zgNOc-5D`ynS$c%zEtfS9|yg&iebQjhx#%`|W*h z^unrrQ>hQKX8U@7ZEmUh`(ncTiDka%tA*0o_T#@dE$u?S?a(M!N!&H_JxTAcY)pKz zs-YD=9`87Zy(z`6}?@I6}-(}q3>F%WF)R`=Zepm zliNyY<4F6)*9-S$x$*VN8AIUEmELmt^r1Js)XZO?O#>f3kmbCkd*9f<{upJoQ$xb{e#BcA;o-rDi%qWrhp0etnBO}{Rb9UkO=+wTVvSYcph99#E z@0iD)LWgfxEv2-f;68as84=cBToa$zKDjFKdC&9y=9yJbAKB}y=`!Vu?av>xd3WFK z8^>bVA002PieA+7KbdG7O-}xGGHWZb-1^gP{RiczuWZ+?7=HfL@_2q>eEB{H$19I6 z*ibMx{@6a$*zn=9e&<^Qll_$~zq8t3Z$Est^TV9vNXwqvdZkMlY2MNH-s(u-$&O|H zubbR^`1VvT+wmLK6%R%7ccm_OStsn4^!SeE#BbX8U%TlFfA<6PtMQ@YO;bB2zm?Zl zUD}i{w9NakP~JRN+-nWxB3nO*4ILku_gP_h!@yL#T5bI@-+zI#aywQXI5YUPKGPL3 zHa%&tEoVF;pJq+vd9V9`V?DJ>-?UsEDdf>i>dXCTCZ5B$OceOn z_AN7H&)91%-hsK6$cjs+#8b#HdWP<9TlMnm*6~BGWC;1cZCjeU9M^}pw{)S?%}c*u ziq{^zP#Cy4){L|Ft=UMfQQ@$y9C~GfcM5OX#t{0s`^cHRyRqjD;lQN5!T9@;Y{89A zd}F9(Dc*a0Y0ER@+TM3gTa3grj#g`U<|TDOd-^jg5-&CVKoaZ?`B8N1vfi0C?qa{Q z`k6^1KC_C;N9LXVR`T_TzI5N@-9(uWjOs7D+IyB>I6Ska+lnoX|6sk)eJ1-6} zX?aTF-G+nO#FyT)6sN7>%kkq2UUU=`IlMH_otQkFo!zVFaineEYaK=;TI}z5@64OU zXyee2MhjDqjwUZPuIk#Kc}YpS9baDceP=bdreC*tW7JvktL(kRx5*D&G&(NJCE?Wt zVm30=d>>ksjqzts=#$##J-GW);meXR)~**OzcqBY_$r=EzC3|qlTR*-Uc}bE@`{<} zRY?AHXtfSvThE!>y7Zq%%tx($ zv!#1Yo6#0|vY;169zgfSZ5(^~aP^@xVF|__2|-g-r_1_fOsX7&j_Bz!^iM zx#Z+izi8Z)%$loOy6@|nnD=GL6dR?M4I_Ew*V&SkADc}6 z`r<0GaTQ{o`%UzJIZIPB2ln^K@8#n8(Pp*S`N{Vun$^!D3g>W* zi7VUeSn>=metQERTOPmEnB-1|JU^*7YBTNkidkzpk0&?g zcA%HtedY-3LuZw{+uD9SHrS;v)wu`Wh#*1wZ1e#=nVsZE{%HOF4Fi|E7HSt`W|#5C zt;r#mFKw_n@sm|bA-n%3CngpukGQGJ8^-RA+wDuceryXp1E02=A|Bni`epqt;6+I?3*A~WN_C-&W(%DCVLwBza^)vC#TfH(GKIVO-^*EaQLk9m&t;i z9L*lTTPZA;FQUdTO?59vs|va9p##5aQ*>vqg`4x=J22YZe~GJZ9?@3|4Yw;p`CpIS z%Ngc+XQ}jO2<4CKR+n?)O?PAakR#@H2<_XC51jk@cBgf=^=yyPJ+g1Xz{>|aM$MkT zAn3-zvApzo??pXU*uUOb+VI*on`@MFKd~|Y!RjoR<07v_`t9cjr8mt(V~eAo_PgC< z{eQHyNtk`YY?x}<(9-sz@S#|eO0n+7!QIcw*;80N)%J5|eno6YR(vA<^Fi)UW9{Eo z_)1s%KaZkD-Y7oWvV5D1O^tv-^;>aGMjY#BMsJH!F*ymDYDYkj^HvmAytxayueJlP{i)-=2(Fl6hie4L{K{ z@|36>1DDLmHp@`<_g&e6T2`gX&*VfQAA9;!gpGz%!q9#t_4y|8(&7yShcxpS#Kw7} zkpo7{z~G5x%~E#agw>cb{>3(Af6aPFE-B^%J(7E<_p@C0#NK>M_p#;;A4VQ4;9KK) zv4q;r2)QNY4&7>g^-!htCDrRj5%Ha6W2^UhVt?cRGuUD4t6oPR z_D>jl#|n;odbzRfSRsEfHB#FBfsT&FtW^!Sw`;#@?mBZk7cV|3k~8{iX<}pl*$wXA ze6#qbt43aq;Gvuzd(ms(KKg((YAo&V{A3MBFY(rT!)P1i{qyzaYW~mm zl`ywJ)R*lWy-$guybzJ^v$l^Sqow=6MAv;STaI+(oralM&pSgS$CXQs&8?qhFQ`)k zE#@ew}xNNS+T~wxsGLLVzI&-Bdd8w8brIQ8;JAO5|1=L^Qt-^ z4KW|-dVMms`T#kIyv6<72u5~tF@I=${oxTK`3oE6`3r3YH~lGYRGUXOb)RroC6092 z+e_VFKcrOm#zwbY8c~dx@z;`t1!?M*8FXtmK6Do!!OPdbF(H~b7CSt7Z|?<^{6HMs zi1N)ZkLq97UvN0f=%4tBNs#?2@yuPTlLsn2?SI*Wn#IQG;O*;2Bdg}b*UOJl~wUh9BS>i+7$p=w9+Gqda0maure`Mfcb zY!sWH9^6-Y zZlTv3+qj7J)V>n$6~3j&%`g2>$&9tUSHUdpXgV9 zCs@*`;UQR&lSM%`Z-T!n<9QOM8sR-n49a>@#CkfBxC| zgW`drw1zJ~Vhr6Xq(2Y_yj7dN&RfS$xZPOTBv+z;+b&N;lCMrE`iUIZJ~nV^GScv# zKKAp%OgsPjF}po_Epud^J=Xqnec~~DyU8~y4{$aOp>QeJ9>0yxAG<3_44b7d zj6T;rR5_qSmN3SQ+0{+^p}eCNxUQ)**ERQ(S@j8 z@6W}jwp@L}DwzDp31>hUZTh5rbwfF^|7mx1$5i?P=X9BoM=mXH*=A*Po04~{rS>fT zQ{EWqn2i<}P4SUen)h$H(3Tz54@I7yX%i29z*qMUxy_HY4;(uq6!ME-E_{fMp)qtj zm+R>{{G-!C&tb3i;Jori^}R!r?aHc_*pmZCc4Rp>|LF19frSE)?=fW)pcxi%!-iB0ap zr2E|Tkh@k$X3k`~d)9%>oVBDX=txzjBb7}esZ3H;1|n4%@B^B9n1@Ze8$TdCdd>3bReC(-S^A=bl3fG{DH-yENSom{{4Rs71GXo3G`%c#1)L)fiR{_ zKAaSXyB~9p4ViVoxhAxS!OO1J8Rx$KLG?JD zBgQ&%=hVGN8Y(Xb@ZY6dpu)q9-1xmQDx)5EwVqU5ooPJdL_6+0M_$e-o^Z86-q%CS zD8w|F{K}`nL0np@KI2Ry#eU z|4*gp=wfY8>r&M4@;9Q$Fcy!wg>gu5~E^;0I3?yZDV-QB6bZ}zVM4lQ^ z{?7>wEx#q9G<>!t``1~&`>u!{%F!4gW?0qW-0{DYQ1rMeo;0>6>Pfq8tsj#zI{S71 zNGe>GlJ79Nkr4DBnQ8o7ec%_lcMFu}3}O zlv}*XXGR*k$48jEs5ijhm0{4fE>_mWjre5GJ*gPUA9)>4xAq&PI0Kz+Fg3^J#yaiy z{W4M}bE~>PDx}#b;lkkThSw7=cz^P5PInS?i4Y=r9KL3L*+-y{#25_l9)cBY?+MQNoKb=MD;)F zVanQ@8cg*&_jogj*#_n6@uvqf6FTa+)22`zpE)eCZRW?2hk{Zo3J(*S(eF$s?Ua-M z(`%~Qrgo1VPP6L}m%PoQo`*%HZGV0hsa*AliJ9~^=|%u4L(~j$PfkqlDGsg0>HG$2 zsJ2Vf!}l`N)X*iulGY%pJ&NbY)QU3JQSBVS@k?!fOL{%U)b~K3zfmHUdAIC7My!#7 z^2Q{V+a5aENF}o^)OdP#29uxXrZvepNnfFz4a0j=erzzG=BJ-Klz*N|=p)`j!z}yn z^>mM>1P=naC#>9?Wk>17;S~lIa=utWy!aP|#=SX7MM@2Sr?p{X;0ms&e`ToM*5q?T zCinSalR(GWOM!`=@x7zlM1}dB8u#bVg@P_$b(cKeJ@S>T4R@VSrr?cOTjqy;+4TZ& zaaPax%L%7zcVmlEH-1%|^r+i%d(L;vy7Q0Y@RiJXQa5*{wh*%JKk}NYA^ZF^a;H_5 z*1fAp04HHho$)q3Lreb&7o{A%--2f-eXy}^RijWm*UsE?f}piW2@Nuj3~n)cWVkW; z2v>{z5U^*6`gLxL7KAka*Kdiq6Qj9N_tkT90nUD?Y!Jhr5eB+h3!FUbKQyD|@$umE zc>Zl0*W0@9CUaIeH}==nS1hexl>^Tpism@ggWF`{6684{{gRYT;SpS=;o+YBIT3Ir zV>$ zGl$2qz;Qa01prE*^%7Tm>M~^^jYF<~q3j-+(%JK!Akchdwrte46k29?dy|cO4E*45 zx89C2!(7U5#pd2t%w1!=InnZjJ91k*A>s}B@G1#U5jlFxetUKRcXZt1bD+3Nb zGqgs8v2&8DZW%fFqsc^LzN6f>QG7iE%rA6OK`!Ik?E1@IqM)v1@Zx)GBsDN}3D^DR zdtSK*m8HR%MrD^=NT})ejBeZU`enbWz6=box^2?lmV=;ie5JqTOvG+{qS}$yLUPNE z?V7Z*B`?2>QqaiN6xBA}4|)JHy5)~T$EkN%Ige)6CS50`Y)4LRr++~Gh{)^RmCm!l zK;cJ;hgqcnKkP2Hy=VHN$H^Qt=}f4>b3bb2u+OQSKUFs_rrt_^H8K`BC(;J|y0WMK zLj{Fhdk&gvztb-^k}CuIAK#N#y?#o0=rSq?9n((23!P}(Zv;H8zsh3;>g7B!au%}R z{383x_*zDX2FqLE6>1D@Q@B}9B zSJU{m&uP7GokZmu{ZyK-?Q$Wss!2aW4|;>j_kYRcQI7}Ndr50EUKq#qjJE%hwmsi! zbFRaU%vF{Adgp}X@U_>l$*xaHQfkkt^o2FQO{(mZ;oRSeb5T{z5fTM zx&Eo40#h^2z%T41{e=R-+4r1MsK7n~%lp#^`UQQtC5yD&dy>#)?)RCe)0xVNONOr+779T|JP3B{7x~Cx^@@n zL1hGeW{<9K2TeR3+Jk-3SZ9UY=l7WSIzl|>V&ql*@}b70K|xo7&ep-~ZmrNRrgvu2 zuN1pix%HgAYsBU*hq!xASW8TGAIh8Ehpa%tpnj`+AasA_g)!Xw+Z0>o#_|onXU6e$ zbrtq8K(Lz9Z**8p>iDXr&)S}*0?Kv?@U_`l#@CT!R00s_b3}Tt5%OKJdNdaT2AzG& z!(FDPTQilOgV+~qAb7fUe3kGSn#c_TX*IUAq7!vjHS@PFW~9<>bsxNhjHG`9B68+f zlbWZ}fmceeO?nDFmso4%P8pvFCDi)Y@d?!FSYyA{^p)A79kgV}<9OnR-(U{x)-W3L z%kc4WG=x33Edz|6lmEI^an$3~Rs8FYvv{g*Wdh5PcW{G|4?c$E_5ShJ@y#R9CI2ec zhSzl5a{}~AF2s4VagZK)%rB)V|Bbfa$r^^(ZCZErEo3|dP3*4zWCnvjvb$4MV4NGk z|F4t-FkkS$yKrf&#n?Cmf6|KWPdAMvA195%_RQcX{()D z*$#OfE<$F%);{tKuBn+uN6vn;_NLvR(KOxv1y*p>+^LN7pHIq=LQWr4 zha=_*`mhz;K;8`jaKK|LRzfr`zOxxtGG2JU5%TUH8h5>|XdcHp2m@{NwoBcn8UBp) z>rr9cUVjM-Y{Vy!v6rFDS~&QH5<(jHTf|}8uYqhs52euOGl5)B`XSx(#vT(eg}AQH zk~A+qf?oB8g1bK1rse$Op+qCR=d$A+#6=oj|4Hra<`Xj3-gNCX852XqZ_8YToN&5c z#BOZYyT?()b=5J?Tj|&2lc}D3YdxI!TpE{&iAQ!SWVSyeYxoV!pWkqBgM@18S_se6 z*?;^5apBsNh}zgtM#h8Cf2cZpgLZaXuVeV&K4!XgQVIMw)p&y_m^-yZIq(C-1vfhM zb&TQ1E9+}J6N;R0LW93-e=CD|*$K$}(6wdvh(Sre(@ZY^yTTZwmEB|1iIs_e%J=99 zI@bU1R;#){6-a20G@U2BD&vtO>%BschMr7#2kYAg>-i7d)0!x`K1_Yj4h)(7Dq?xKuWUb*Z@;l~(wQ7+e{{IT>9C)x zyMke)_3IRK&MD*m&z-L;9XV@vLGn&IE^C0wMnfyq6*eZP`vgqYI$OYM-S?lPT8QE+y3x#2FP zAd0v>-%kB{d@X)BC;QdpV~10%lR=ekJNLfSk5zmj1hncHbA)wt0Nz}v-2*5Mv(BWdGOy?b=q$N)c6FZLX_f-ggq z7ML85|EV39vTv_)jJu*Y)+7}vzY#C2-J_=-O($f0n(p~+;e7ufur_M)e9r_>=F%=G zyV`D>c*8%lk@g=?0s2V?*z%fgRewv9ymI%r{STL^#27xd;l1I0s8H}EKUWwFrwfOM zyN{nsbX1sj8e8cPa~iFsA+6)Ern)QHjM># zpJlxJXJij^)fw6|@(4Q}qTvbu?Ay8a4|PbIJZB>Ni~hPBYYAnrF_Fpk5SO0>;b(KE zp1%}4*hrq=3~PDmF^FDAPSiK0+S(3{k+Y6Qbz6RB=edICgp1L)3>IIUDZh43nx?eh z=#VN&>Lj{F!!|ybIOi3`#$CDX0M--lZ*bRM%M{$$fH9m9b?2t)4}6wexnC8k<#0d-17-@`w1u27#{y2X|wsf_*mQF zeQXYrUxtRV?lEk$l;^)`zKl^B-LvIgSJLj!g)b|ug+O}4Uynigrmw@($Jd}egk6bO%@q_R8V2}sO7~-11Pj1j5Jm-pjBJp?jPScqJJ(IW`6g9&7 z@0t-@QJ4;n_t;JTxqkPV*CC8bbswyDDoPvtkqhp>4BG9pz>i=TJMJYmDD00DnfE)+ z`U_6Sc<3OezkWi?+(5?u^n0rzk;>aO3ri=iM!gyQp%ju)@6rLIe{fv_LVK7QM+zo>Tur5AAnSB3gK+u8bI&RM`2|--@lvUjm)O z#0-Z2&XqL$m;ad(gB}Du*XW;ksCdYe3R~^moh?c87y2qks!d;Q?@uLUasN!{3#i?ua>IEpm;PQ$eBt5B$S!}mtRI*N6VyrJBBmnQlV17|HSPaM5#cLX=r>LjA}+Nd<7<-HldiXOSjbmlT<;mdceykaTjZwlrd!grMAK1HU(<4x_?*M4UKW{I1G>f zkV#`edUvX>nri#-R$_g9w(J%vz+y;|>oZ_MMz?{1MDW~lvLqh*E$<9{62MCZo6 zy0cr)Nc9idgtFy0Ee}3Ds3|iq%(@#7$qHB7X>7}*8&KpoHZwtMPUMSch;omp_~koR!{P&rf7uP%_dphZVggkFmegm;cX8BWG?9edlrNME*&C;u1<3ZChaX z%@?!@k@(u5`-M&Q0M^Dv{KwewL~3Z&3X{Yt@hT-h$*%LTsbuSH>&C=aSgvz={>)?voI3s5q?mHsKSqqU5KU))b{8&2uE-d1Ppn7QQk0bLPvoG= z_s0eg&ZO8z@!UU2^oZQ}X!d(!?f6yI14Ea8K;(4_BF^tD(C5U;PS^VLxTLqKJ!dzg zCrv7RKBT|*q{bWSEeX~|E(u6I95J7^1k2E^im z9!%&Gc?WseuQ^+;xZKcP$2*RkvXLBDwj|~0R^~1x8!rHB3$eqD_w|pwj?bmxNICP? zhypz))5O0UI8=#S*=+?6_Sr5~4Szzxjgn|~t^{V@6L9>gV~i*s+M?o(Eo#pv{kr3q zxE4sg?Mk$j-8V%1e9Lj{Q06T39EHxbqrU^R1O7?6=b6 znGPMs(%-ikgKj$Ce$t6`Ym;qfBMT%p_yOsH>z3XebC?u5NPgX#Z#~;mhTeik#-46% zxT|>v3)5_+>GUhp6y~9kA4|}n?Ge6-o`*psY@TDEq3}xBK-H~(VzEhDt$UvtF|h8& zC~56X=Re|0A^ z`hqMU_ad$>zfA>3dS(h6G52LBl`waWL#({pRx723OE%W*Fu-(WurD#9fff6tp}yBrMd z-G_)WHq_`B*CA@7vyq4US>|iSZWoaprh? zZcTFVlzZQhgv!$|o(<-M{hx;htu5>rd!sdEz)fhn+Gqo*b}?O;~`y`6&*8o{g3Z8U^#@J3#lzDKXgi>D2F0{>MS2} zwGp|sdj{J7szRz5kly_RgWA)H%sNQ&XjrgmTz|>tb~cPLFu528hN}sA4~~LovVgaz2)rogK}_afY21( z?|D~F3+Ef=f}wcDdZqdgVp~26#P5V=Pty39W`@M0DrUFA* z@@O|)-B#F={C%sKvA8=lE>AwZ+Zm8Nc((IiBbi@C7CR=h{Rz{(Qv6<)ShYtHMS8_0 zTpr&&>Cu}WM^cEATy;=}MpBM@-llu56;?2zA~|Xt*Y|+MKtbs0r!Tj{X9Fh(98UD0 z(PHFZJN~2;$n7zS^xmfvdA-MK#n85YS(Cwt88dtZ6YFZ&Ka8QC=pB%t_JJ%%lNHii z*m=H5)mE{}3G{~hTGKcR75>>_8M|^2hRX_kaJXl`*@hf^90C=w+Mvvu4^yNX`pQW| zdQXa6899$e=4jzhP1)B}$&)~J<(CB$=U zkjo*i?3jMKuH2rib!heN?m#V84o`8O$;UdbC?N5CBnPjwCng$KlpU_U?=#YPF#SYI zV{1L0&H2Lv1bnyEg4)E&PfG5`B=QqHk)Ldxi;an!tNqf(k&U$bGs4E(u41D9oZdzmS7qZ~H$ep1RgN4)*gO4bPW%;=oAyrSgG1{x~6 z3(m&)EEarM&p_!{#vMlpY-HmI{6S|GpbWocY24uFT6drA$e}@ls9SW4rRdn$wwVobyT9&Ez%!VKCP9`I zRou0q<)n$Xo_&tc=@U-ku{{QqwBHy^ezI9{xRB3LC%k?9(S3xT(8adT+s^MQ7z~-xwgzk zF<|)2LGw|=^=PT{EIU@{xw;aOZ0@r*I^T2yg`9(8h^#;X&v*}(q2YU>LCl%Vdtp(@ zw2j!?a#UlTQ)Mb#uCNjEgqZRRW3Wfu{Drf%pmVZ ze8vkezdR^08XfZlw2jG}2R2xP!gX3om?#9LSUnTalO{eQ+gy%PQD$68tPybyGj>XO z7l{?9ykrXVJqgW=GI=bNOxKxhgZaQ1VUX!xfrQfb>w%6jScXIu);&0s!&=8#n}$LO zR7tvEz_mzYqMplnlDT$q&^c1yV8iqXI?!41OP;j|syPF7Q`<(YzzG9_JR0s|oN%tk zf+QWW{hF7%(#VKn%!nQUth-#pna-s(omcDX%cj3|Kvs9#ox7UZ!bC#SI*+%OI$>3Z+0dWpqY`a)ITi^YgR@PC^3;Rba!v z{5+lvQDFHIOTrS01b!pqgv8}7xd0?Gm<)MAt)1W;u))YOZUJu&Q6i+%%Z;bv%P$Rv zFiN9EnJRdszdW|6f35)S@~Q6TVvgB3y%aaja) zrVGnkQhBrh@IMkbCkDwBGy%MuN+(op`MEzx7jYf@4hDnk=V?^}M}bpl;A$BK0tbLY zXmB6}F0TE*c8dL<|MQo}4(~$H^E?0Z-~V(C)BE>-|8wSrzyI0JpYQzp{|vqQIsE_Y zAw=~5`v>_iKjkA~Jle(na=|dGS#!?Tk!_`UJ)7G^GGrIazbeGCDyQVPK0KwfBw9qc zmZiE-#s0UsL^M1wE02~`>Q=5<=!6JJUL7Nwh-MagG#>i4I$9K2XVKty5HhkUjH6PV zzG%B++{Z=PQ2CAvHObA2zBq%rUlllJO4};5-a0i^)y3gO(alj3^S7GSD8C~v#9~5Jz~(Ytp6jGmEka6FkqoUr7j^Y&7aWGG8r9%@JzU@-;!L zyZqnU63O93(HBYGu8HB(6%pZEhHHse!wS+Bj|tqqTdJI0sO8-87@Vp!_@(vnc;wiU zj<8tH!3^InqN5drk3_q|7}6KV)Yb85BvqX%&0U`_S_4Zu9EmkPuwXaOgTciGp;=H) zFVu>P?v93!&Q~n=Rzc|gb4^~}VGA`*)zoM_%5hvXbKORw)M(Yw6=jO*F)&pf=7wt` zI0<98x5kBtGrvA2ghy`~*$Y*TTCfq&6vp7I@u<*p9n>ctJ36NqZN#to;=K_e^qnn2 zDB%X9a9YYWarjn_f8?f3kP+&FK?p*W_ukKuLZ_)>XTH&4o{MlP^@E!tDe&Pl-^c{P zD(3ZCRdqLWJRcRp*~})!s0*9PSHtG%sj698l-OgpW<`>vbR^!)MWX!jP>;;(qt`1E zhl&k;9h*9OODCh$X#)`=F4Y$(E#;z^_H0xTTuZa2>gy6Z&lHW4<>*w*IceWbosSEx zw?QEUJ{DHA^^Be9J@&03FTXGg$3z264GiVmnn;FIq8k847WsJV{W8huut$5UnHOS0 z(;sX_PU*OL^tx@pLM$b6AJ4+epG2vmqc8Ztf^@}l_xbhGtUHi@Dc*QfOXa?d8*pb=eON`O*ZMgJqzudSA8)-_@JiwI7M9@KJ!3d-akwixiBU+ zgKK^8jRm2`a;ePBQ-a?-GnWHHX!_WOiP2d}=u~P8Rl|1dh{yaFY-D+KshqG9EMlCp zVRz%`)`bZ_wEG(a}rD*?a6{wJ6kJ_bA_?DydnN32B zP2aA`nCOefa3j$LRv|q`{rUQwh;V;F4Kp>b3iY=vo|#%yOt`D%4*+_sBAXhwuQ;l0 zWo-A)q7iIrPBf>=Peh}H`Dqn!b}5GrFKNvHCUM#}FVw0!7;2OUV4bf*<^`MlV5w9! z6iJ}Ro{h%=!dY|vRw>cNnObukObkDA{Z>&2&@@uT#7~^srg-=mSWi&>Sm0KzSOP^9 zlpK-0K3|izhEtMaqoWI@sWLYE*Ihz1%7>lVKh%mj_E6a{AwvDli54nt49v{RY$-UtUJQ zF+$V7&RM`DmvRRdbJ$eH-4}7H&0U};*<uY7XXc9qH==3{-!=HdVC{;NCZZ6H=#b zgmaEARCNW}3NT&+EJ*9V7-pQgRfRd+a`g3rRpZ0|wrEKNrNgj{G*j0t#IsVA}po)`h;ID zEtd>4v(ly}r$l6z&OiDW==klAm#QMD7~#2XlX2F0d%+d~Yl4Ze2NpoHMo@!{_X+Vh zG5qOVQ5MC~B?}Sg(-snsML?tRaq2=<%r2=7QGrmTXw#qPXDtX45ga9#Q5yhj#o=N@ zJRbOX&X6I3kB8Hs?Rs%}bg8PqKJZyDesSJ{h4^yIfcm5}&wO`HhggCnIXHW3R^mBD zcV4VY03$KagPO`kdHQs%xLDPEQ4UN3;4jW%7pE3MQ^1f>ny(e}@qycR0}v+wOx&oR zDFU|TiS#vlYH5rl2Ih5ws@NXKuY%0nhrq#8lbO%6)JssVE+D`{r|kR zMQ+#xt^yPnBnC(1%$G)S3UFv=YGGjtR4*3BWL5V8O%_mNVE%&6$MDkpVy&ijvd&rP zuK;8tc&VyJ0^b#lsxB9oDh+)C0U>}w2w6FBdrk#ZND?^NOJAQwd{L@&zo-hG1geOc z&h&%oeCfO+%)w~osU3gmwU|I3`%;%kpsm%vw33)$-8=fC0KQQ^#$<2lJaufoC<%en z%d5pgqt{CoK{iJS$h|d&jsn?@LFw}YwOlh9A)jsL@bvwP0^!)FOV?BqhC~wZYctQl zz=wqCsfB7z{&cD)@zlU|8!##_bR4N^%@F|zw%*KK00hQUrIOYc9-hU4$pYTQJ6}n5 zg`tlxR4p08R2YfISrYPX6(Ufnro|qfGiCuk@W6FjCYXk;&NFkCJB*d8$)6JR0`M#> z&u;1ib+uN<<2VTi{#dhBh#0-TP_4)WB!&4+@nwCA1I>j|?83zz#@V9u}xi|;-!U}>MRrX z#YGcT8Y04Mb2A6HlOWRPhjSbmLoKxKgKv$ZEfNtv?!ToG%m;I_?PldCID$&uG7>v_ z1pz1a%}HEW_-O5ZSyssyCEMna2ezExFaYqQemFuTz@uA)y?uRvT+V||2)df|P;Rb;J z;ONxN<(E2YzAl#V%xm#5_QCyHRpa<*yfbCF)Te-TLi+kxOkD3Dy)a)Bc=&Wxsj1SY7y*57?JqB9b`$FbrI^?n%PxXG z2V&vtYr0r07G)mvEnp-PZRESy_8Z;e(Fo_L}w9xTeYa|FTng~={|smwt~zFh_#5%%0#)F{=xiI0X| z0A21b??Ty}(z^PhEcS6pFIj3y?dlT3D7-$5&e!Crd}nDES-B*7Y@U2J&f-WHJ+Bdj z>%o-O5bsco>$7sn;tAlPam7q*YAG!gwRC<{Z!Fw6pTm?=Nfmu;l=)O`W+1FsJO2dR zIBze_L55@NviFZOikT`sFiO{Jx-S~0J}yemBH-mtJ{p5T7{STl0}!K?#5=SSppdzTkQwdG0Mog zBhE&93GZSe_Wqo%oG$8aA?_2x#iFCruC3_x0KRSzobyXH3wK>hfN@7bP-9(7HbO@J zwF0N_8=}OKQ31N3@;?~{InY$QB76*5-2tTX%wiE@5iTm=sBbHa%IW-q=?V2(l}NSw8GR86-Uc`-3eGSCPg!DMnQipgnPz$~s=9 zmvmppl!Y%D`jjfTH*qV$qW2OIM8;8L*czxD`17m^Lo&eu4F~>_d~x)C1tw{uwkUOu z7G=31p1J^x8EzZC7eNfAf$R6)H~X@>3D? z&ha;ttfR*&{EHy0`L>3Ow=4QU)qoHXAqXE3T@&HV+P**AFnX+}5-3a{(Y_c%^0@O< zE^vB2$Lb9)WS4Txu`0|fN@8m-W0oBphhX39B7D8hk81{6Dw-AdPjP5mQv|zK zvKj)n7YqUgeCp`x;$fy#GC*z^oh!@h0XHp)gat#ao7mddNloR*h8Vg8a*l5NkDEo^ z5SKS&SiT`a_@X#4tHTSxz%)LX1tg~f7e*{gGCDk}MO!}waOC6OZ)A|QfQr}>gD)Bh z%Km;A<6ci$?$$roKzK$VT*90Cq5`cFxVUmrcU@OO2C=AYuAx_e!RdhIW|y?C)R}pe zfU*y4SOJMvASgm&-AL!~$UlaO)nJv<3)e@B%NxLyB%!ZsS%|KRyGCzHgyDG6R5GtJM+~!=aOE~NGZf6D2DYO0TM;+R#IsEYs@V(1@^0h`itOO{ ztwI+=;+UQDJ~&dFKRu^IC;@T?=v%*ZwN}~Jcu0`{dg#mqGj?BSf;F(_PbT>z2 z>(O53{i%6Fgh&SV6WHj)4lahPz-u_&Jl6H?#adMb`5kWPigWm=-4IUIly8jU^&B=l zmmpF1&*E(AS~AAEuEAt;++#a>@uR1%+o)pU5Fcal<$E)64@hFv(Wwg3dF-YIVW72e zcbJEdmRH9?<1mh>dEJJNSaA9p(#6<1(mPx`1s1Jto4veq6Lxx0C9ydb%!n>7D*{RI z^!?hcs)CmO2rP&!MnUL`GufhJ6KiM@;y{Ird{-(JCHiI_WDas`jG&M>w?2}!_3y{` zRZF8495s&E+@ld!%|W~x16mH9HY64^zq3Uc%8D$o!MN)i6_o)C9xKyn-fscU2+Wja>8YqweIi2Q@Nl`g{ejBCSg~$XggRr%DiU0( zWEX+Tlrpad46+w)Xl9M&t%UC9qv@rxCa#X{n=%wrTpuw(wvB|vu1=Er)P^t!Qk%Y; z6|tkE%2K{J^>I;W@zdIJ-3gR9WqD@_=fpp$A@ z)LQodELEEEN%2j#!vVfH-kvY1Gny0J?jT zba_(*qY@eOk3t zkO0f2O6Gq7QHD*a{?WwNIHWlG0v0Z)z`kYz9?w1u zVJpZf*AT`&1?G#&8Z}q?UN499+_7s7agYS&EA;5BSzaIJW7KF_7%0`2q|w2jG9vZB zCW&Y-t|vghJ^YPj$k_$k)Bt@81Q&Of@zwsKc*fQqxn+qgS~^-&o1?5!RIw_wdTTt& z5{Fa;jWqEZJ;Hrrf_&(3r zOJE!?4%|1Olwp?Uu3I9C%C+Rrfz^veaCcv52@GPv;y#!aIUF6HuiB12R!@DW!;qr7 z<2YDi`q3`d-Pd|Q$Afr2Rl1ppcVA!Llmdd|o^Ny%!p*%~m!qm{UTuz?n#-ZOS>Z$9 zE#Ek!Ajt;=NUguAve;bunoJT3HhQX>e<_L;MIh9C+@r4sA=r>4E}QTDZdQjNxhR3Y z6$I>*=3@bga4?%vv@=sG5tt~RLA|_&Fa}ZF5IqU9pW?l*t z1ax#!_|qGHRBeihHM|zAyzpwrInK z6eoO~uj4@lPA%#pR?0O4-tM?qkyxa8`HohcF3y*3O{ut&hsfz@>Dc&1)EiD!8sj@>L z0DYW>2CH|6>{CSK>~=f2;T>j931a? zpt_?h%zQjGUjm_iDqpMno7J%x(OPD zF}mt#s@4fl#iLMm>UsqUbL$0vI=AnphL^^otS?+exMSZMisX(kvS}L09ofQ5O&2O! zRKUb8Atgpr+ScynLUY_ZH%q1Yspf3FWNf$ z!JI~>tA>8m7KOCL@2FQnf9nl#)*YD|cYZgelm1$6eb_m#Mp$)q#K)qEaP*M}mXfU` zn{nJ6o&!w^tfDYn%XKZ^gwdj&n;PGGp(q{z5i@YRsEY0w>FW|!&g-nAtqy}!%;KVt zQA%QZ_J74s%~y+4<>keQr7I1+Fy9>Mgvls_I>l$Bf%|2|f4{0jczS+b>+;utIf?+k z58`343RCyXz*OX-^kOmB(CMuvxZd=A3udz=$2(t2JiC0K^r;BxBbbHSeYFA1{%FN8 zzp>mLjF&FtRtsV5Hc$l-1Wx+Mf(|5I<-mSOvGF$L#X2)n`Ag_Wa6~-R4;&EFQoD$wr?>R zysrk1l$5D5zYn;1f*^T9=xPRukYqsQO1uQ-GN)u`0pbw+<8M?V!lI_glk0(^K~ojy zRK>Al<&sV)2*<&~nVTv>3d|7@(L2!#Aeh!Nknz-xa3#@+NiUo#H|(J2WT-Sc2b>R# z*MFnC!<_3II{^sW7jx=!)kK)Nsbbbs1X!A0DqH4zVfoa;yvptaWN%nUb)Uew&Np{O zKprO`xETnuxAtAlA|vz}yJQm-yooZhw=B@nu+MKNUc`Oz4(E|m2HDJeu2&@9Ij1^r zi39U$ls47Xz%#P$UY0mp7rS~>r3^irI5sCm zW3CGpOvV&hr0j;y=1$jr9Y+(**wU0m65c5bgm40@JlgA;OIghzZ;NimT=8?(JTq^L zT)gxBih;=>4)8wjcQOEdl*dsRkAkS`nKhi>$mSo5Ian5XbkTM+E+%4}0LF(Wq6|sC zQ`OxY?&m#=xtnRWb6tI5=f}3_sybdY96h(P%N!g=hnFmz>xzf7MSbZS63%`DR>8sYKkH(l z>$Zr?WM3!Y?l>@4S}G$kz)ab1tGdXt(HPE0K3G)UsO*a{5I&#z8xwe($nb?~ao*N^ zVFG$*5vCR`u5)=Sw$;FSccxVNLe3|!x# z`W?VCiU5(zcO$=@vT%asV8Z*Yj*GAmqu>bT{+r=jIv-xgb0xaK3swW2EsM_PcPUoPq@2;Cg;VH0Y?dhL7Kk%#!$h02q4DLDIIEV<^`iB zLaA$Y!P=>tML_&#zLa&CWkmPZsDCb}$S4vX%?K?kB3+HMbrD}zm*;-f5K+|^hUCC^ zi;4OxdaDO+&E@z`IT3au`>dycwUgjSy9D~%qA4p=^Pu!`X1D^8QM_RjsI1g1 z&=sS0rZ#%JYQxc{;fmJK#{&THQ4V*r==CWONjYGjdvRbZ5`5S>2fLpV*aP!6G<<5x zPOw}wjPUrQU9p(px>e@;BG?5>oSIswNXs{lYEvSzHAd@?HUmS&2fnivTY@OV(G_-G zufWY5R?5T!(t0qGMeTGoTbliVeAWRBL(07nILggZXr#mo}R2?QJy+y zchmM%-R+4xcMZ_FRRATsL1z{KDB1Mf4L&5xIV%DnrCEj_vbQ5rcGfMqGus{lCxi{P2Fz-5wj3XVd``qJRCZ!niH@%Bd}=VCjP>JsJDF#)0}88>CQ^ zMY`FL2y^-#K1Ro+HbTn#A9P+2T_@MPi&8R4Jr7gIJQ|D;B(L8T3&|r%RI>d&W+Zc`1808JpW zdM1QxGv9rmLbBFzv?lJ4V@!jO3$W58`c5xggeJn+#pU4AMmE7JExO%F`sz*~P@37l&1bYnH@U)5d)r0 z%){0npY{-M+=4M&^0QekW_yA@3ozE9$Untk@MgF7c=CeFUP~@I#VY*wKE-a&9b(~w z>$Pf{K#)jTOmXZd$;ozzY5R%EY>qH(z2Z_EvJ9F?Y<=`m(+%9YPZkEP%3&H{0n)FJO*VBOFOiEjqMWA=7^k(9k1`%M<{%g zZ#GC5yM35gPOecI28cP0{q`VYXrTZa;Hu&VcE)tltN<8G7K zjf>wk$;;<~O>xiCkqv#WWG~m44p;j87ag^t5EbODDTFOSQz;qLLs&1pOn+`@g#WQZPAyE`2{|R7=ygcub0=FGQl9V}G zq}AvT8<*cDIxp@F!PC*~`}}N>9f<&UW%6~g`785djp1Qd;Ek8JN zz@4(M7$!FROSj)ne3Y36NVoIFg->zinxF_EFnBmPkNSCcFc?5WWyU(X@S-WNX}2RE z#f>Icao)!r4ac_Mk5m6rQ-J})cSF-Azx^1P2trkD{qWS_Iib!e4JjXVemodC-Nc#% z4&q&sZgRw>`yAND>NN2ZO#3#mO{XZ=UHtn&05~A8s|4aEdV|>i;DRC(l|k?E$f!vo zS*HNx(zv%hIq};D%BLqCX)m-uCe*OS~32_6PB#CwlyOS+OB&=az zUOu1rf|mEXFYag}!08JCvV>YnU0eAtC#g*l?$9rD{KBB8uK+_RTr~D^_Vpk}31lY? zau3*UvfEq9;>Y4q+-kCubmHe&|4U7)f)51P1_bVG4VCosX*#h5$?DvxPF;jTm8J?k zvzyTWXLp`-PdoI_XF6`wRLjhv!h_)=5}gCy`wJ5N_Eq(e8JK3=qz7B()soe&s&eP%Fdi3w5bq zZzZ{J#}4$JS~-Ed0S3x*@E$Ywj+x_PIRgPL1{5P4?0SdfDs$| zw6^M@&yw7Rz!CZGc}$6TyH!8@;F>6l*lv+4Z*ZgvW|7bDfAA4nVzv)w9fD#}e#_nM zNkBEk;mE{zPUfzC4jrB5sO6)c(3DxX#r*`l8kFwuX5AiI!u6U8jrpTBMf6?k+F$DT12dLK9tN{RCQ zyCsLNQ*veSN9x%aV5z}*gD~(+LtLsJ#uU|9uUa;K5bxSxux_t1NQAu?+^zE#uhDg+ z^;@L`A-kh~pFnoson`C$P(^|EeCgw!_d3QJ+-96x9{55bKAQL4UZYtj7=HOUpoHd5 z+G0-QIJeF%jxVjZbAX?Elv&y0NZeF_FdlvT1!u(Z0wUAvh0*y7}AL){t!9WAF;?i*Qc zcc>-t@u0_FK8agYnKe5Sx^vP)IHYhE^=nNYnz|ZdtSNj>Kx}LdUZC!mEF-$#P*Fw6wybTW_c7F~EdC zAdI;`jR4a~l+0EtsTEg03N78-?h$V8|C%QDipDx9%G$)z!ssXGJ}u;(CE2nMM-CKA zkE4R<%ZHUo1gcn8C0S-u`^{gn)n1mTBTEg1KsV1rL(F@Qd)})|?IlG-d8(#}ZE&Z( zxkCZa8v>>5rN>BN22NPVplQdk7YM~V)nNDgh;3K_bQb)g&>n zmCexs^fpOuceu6X*yq}#*PYtWk`^U^eN20eI*OOJ?G@Gwu^k(`Bet`pzy$lBF~&2* zPB=GYrn9lyr_0jzADUdF9!?I@rLcCV)eaAUuQ^kV>KzRQBfSQcx55!G$B|Ex zigr71%fxHfGE1Z4eQ{@EqV(#XPi=POTNiOrCUkE`OLrvVaklc%;HK$xl&#iwNwjhK zec%RIO(yAe3h^$I7Rfi{{Cs30C=;f;mmbJllN1W#+N+J*!J{?EPg36aSsZk~E3WbQ z*g@F#!FYSnQ6P3BMEO^{f%z<;n<9Xz+x_sAXCaERJCnf1ePDaHvjotU{!z&Lci1-p zc#=Rm==TBQP5dRf%IE~W-{Z?)z3w(|5_jL0}F1a)Ur+Xy%}MlIa6;+(5Vq?Mpv>-j}s5WG<%p~(-I=P$|?=eoRg*2hQ+DQcC#Kt9i0l;Q~>o83OS z6VrDsqnf7Q0l?OyKSCiC4(B#Sk?jvUrD*lgX#D+Ij9Ffk{QhCdsWmGu`RqfC@?d!i zlrZ~dWTJx9wwtTpCmjY72+w$v>DU1r-ScyR)59JOap20Cjd(UFkivQ+^L3K;b%Aky z+E6L}`LWJRGBNP!zx|61l}s$v2;AL13w$rR)Kod5Ju?(iULQt4yHI@hv^rWkN|GtW z8oB`*i9DY}ge7pu4Z@q_-56R+W>IE;cVZK%&(V-rNaWHw`DD^j>V^48$K)z0@5eYq zLZd9w4VK%VL8cZ6dY$98-wu*aQ6PMh;;3&wLbTvzl|>;wvAO$C5{whR{a_=Mz!HZs zN{N4tv$uW-0?0=A+Ro5@vmyEcVw3}mCX-*1To8B z&3l&9Jx}{(2qJ~|fD%;~^c^Y^vyzB9r7xKmsIy&Z#b(8duI8-K1+g$rE_%e|+dktVxOD z+<4Nf{rrOi3dElHRAJoOp5!)IQfbQaLa-#i9z+4oAmw!u#g;#3=;qLQgOC2-hqnV1 ztu<5ysIUj!iCQTp{`|XK9q*`z=KvKLPs&hPT#)-e=5~Xpv}=Dcgd3j%FmVS*%PK+U zryZU|uFJye=RV3825CoGmzM*}LZ7@%bhre)qVTAotsbV?!gAGpoHzo1?Gs3uR`Ic| zr#E@;D1>VW_m%2ipfl0yLt0l*zulQFR^5QUY5a!*) zK`4=0h?mxvfn_P8CJMLN$IxY~o=;wFG}xm6Bey5*C@_>JbOvJM%BhMx_&+d#3j+wOXXH(#Eoj~xNdE#^@@sdmt#Kiv;fMIPXCbdr3&WcLPoJ?b-mj$49AiDV_Z%7vGH zlXqaOkT`tW{cQ<^K^vYT`F+-Ilv@&`0pfc+S^=?w+h5DgSQ^dP$sWFci zMmT#g#y~<8HZb!CDN6iJ(py>=QEq>1;9wc-aAJe5EOgdH^dOs$Foo)CU)rDAXxlF~ z34D8G(v3>$(szYMgSV28iOxftlG|x|?(-UZ5I=>~RudL`WcuhEjfl=-os#f0^j^UAnM~avgaa8?wT4TSuX% z3z|kuCqAOn4Vf^zp8#WdXj45$Zmi=+k*m{933(nPh4%plU8bzQ4%^^)vPR_FFT~wR z?i+Szs;)-3#Hj_Z2pLHc0#y00ox6NL&=98j{u1 zuhxmZiS9;^9>`?5;JqD&cCN;=mR>ml{A?=A(-0F2$A-2VeT)r(v$~_4mhY$n+x`r= zyDmenA8(&SW64@|GY$1Wr-_04y%kbflS`8q`AKh0k@?NEHw~U{h>ItQ6$Ux6d~5vX z6jD7)vbhbpQrdpHYhf`W2-s+ORVu}Z)cH#C4U%OQo&e?%2wdt!5? z$Tq~iNrJ%7XGO#Zw)Es6+x95u11ma;_M%?7$p+Y(!g=msZX!ZceRT>xK(4^ z1Bcli3_S!oR;zlshq7lh3Wsrvr>Z2$ouq@;KxPWp?$xTp5z3W0q)Zm;gwDN-ok7@Y zsH@2^apR(-{mQaAOyloW_9rf?d;PLRAlDlNSjxAPz+f3{jbtDv67BeshR`bcY2eVH z<>1w_@Z=Ark6~=Oxh6q1x%`o>tV`DJ#KmNa|Kby{ePLh|7`Fs+Xp|vtpzT*THFoDT zuneRPrMf1P`#>4sWQy4BWee@|JEPX>d3!ehhL`(}1x+zQZaZs%BP{C(*84Q?+j;UvF6N82erM;VqEE8@t&NZ3$ z!_+g_Nx!T$1R|KnFfzc$f?$Q)n#49kAEwjv(Z&x@E;o5*^VBhj{G+<3JNs$i+-%6w z!b#Z47J#&mb<5fQe%jY@h_2eYEU~V0wV_EWyElP9Sv^S58)+Q98=*>dYtqj(6@fr? zrTgmU?PwB?1FA}357)`VUM<70$rY#43^OCy%m%!9@kY}D|xwlDqO^_AQJ3RJvYcOz0)2O8QRh1-a z*)*d>o8woi3{$~q8bju_t3UN@Y0~tt>)wazGzxts>JMvko$g|Z8%(*1ubnIE_Zil;^;iaw_mKNUwnoTK_DiibtdZbLUUbF z%EGmIs0;hw`4EFl2ZIo1;^zjop^-WEEU{Ns=b<4!OFZ~+j#Rixau63AS`{Mbwa?!< zKYRl{ftH|wD6J_dnFRLj>&x>&pWO7P9gZ)Jul{}d?-B(Tb^9Ww|L0zU%2g1s4OOJd zD!M&0vdOZ`iR{mF_G&8Kk|Uym~hCNlE6tr)wNpWGyS&A0LDcMN-=4D|=e02HvUAope*%>$C;mp>#vi{)%>LmpexIBM%nh!ED^7&I|yHN+5A^QL)IN-cs|9#KlAh0a#4hKVr61RMcXupSFshkDF zsSfdyt4vP<)Xr(igtZDU^NjUbYh9EDyQ4DEa zRw{c=_IiW*;zKwOC~-5Ut1kyOuJhaW9SJQq6oHx83s4c^RsNT!iGTQ=VPvyG#)e7? zizn%+k8)H^2Eg%c5^ohbGNK;v7{BAFQ zG1F-ZM^XTQg_+(>o=Um@8-%607t^;6W`RNH+jfn^qrK53tupYDCGCrGt3FIj$U?ev z7&ta`GiyEiM&S`AE+mH^brgIsj|tqhnM?irqfNsgRP((RxpWZPRNg%`#O`^If~=1W zdMncQsX=v5?F=3Xf#?%^kJys?*^D_b(TcP@RG{ygcpeu#sSw& z|JQG2MZ%P-a68%W)0+oL)V~RLLI3Rs8)ftzxP9#|0j{|{cD?!Bw7PafD#DEs3-Ov% z*|WFDC?=Oa2fFSCB=T~MqBLlBxhaw5#olJ}<}9)~QqO6d_L_`J?~x5Tfnar~MK{pqm@Alrq zjiGwmr!xmqtD9q=B#gyRHVO3UP@1|E*>s(02l@D_SZk2X`AjF7Vd`Y-Ixr0+AwTVx zRc{a%OTmjZY4`g{07Gc*Q{^AF%2(U14fbVX;x7kbV$|d+#8!W)Ss6|O0&9qi>C?Mp zW%Exnha{q2wmF>T=+z|oYw_D@kOS_wPJo)k&1|WY*zN$r^p-So``9Q=x)25h)iTR4 z@Fn!}d2HuOA6=d2K|hy2`36T?0kky56&rPu+jPJ8smkFb3?RxdE}5yKCVIO`Zk-ab zd)(%@JsmrA<$Vkfrm|$|BJA+Ec{oA{1|_H)ubTW#A?mGhr8DRhVZmSh=(L2*;q)|s z5rW8#Y?rrk?aW801#oYk-9DT2I1Xy1lF(mM9KP$5OKg7gvyVwX9=j-OqFTYfu2CE+ zy-6H`M62|}dj2FD_?F|IfPBfCun5ou;e^@zpd()#8)c~-KE26$KfIv}Y*fOB$2JWS zF6=D6iP3DChDx&7>Zbr@t&@Nfxb`O)qqwP~HNFkH3}JGm!RO6D`qzaqqJ7tY+=M#Y6}qq;U%-a74L z3Q3}s3l};~rx#CxPClJ?HZ-Z=L%c{iHVXZ#ByR4`Pj!|tk;eM! zNr004H6Ov&2R0fW7c0Acl0)8%82Z<{fly|_e3U97l+M!aXks)LT}ZCT=PcFj2Lve) z^oGoldB5|I644%U?fsKjC)zU?Rh9=}PwnW@Z}^pL-RA}bCXBHUztc&Y=#ID20i;?W zEpw3kb)7VqYOLF;$@Q?KG2kQk*ciT07l!V+16j7)yQ8R!eR@ME1t2Sb|IsH%gn2ol zr2WLfYSm~&QS#4D5s}1mO)qp>w=V+W@d!nEc=`v6k-gcWZv8+1BIo^ld|meT=6)8} zP=aUEV@LqQc&VNTYQElJ2lkSzGJbBc|Ha3|W_Dv2cx(1l6}W8GqRJuJ&cPrjJglBL zMt&B|dI(;)2oZU4X3LzwQD4*AH5eT-;ovYl|8%S)td$s2sOQgLNH;$F1|{aR zY+B0C4TdaD^8SG`Vh#JJRB3Uni+T7`E2_c5d?`1LZ4b zd$7LI3iH_Vx#%#!hz_aes8_Sayva*glMNU~hw-xqJfkAAi5aTp9Y;7o&blf4! zcM%6E*R$Chxim~{^ye3$PRk2$e;m>FDQPDrfnKmI(zQG5`C|v!8-x%>o!xnmYc3w7 z9jc)b6#Sg`Tw@Is!5tn&*@~CKprcTg$td?Vx16T&b-t)_1af7Bdj$x`ml|NfWr<^$ z(}36qN}Ab*au+pCgKuobdXWf9N8MA5T54qLH*gaZ0W1U!C4Rc05-$_a-ODxe zPZQ+6k@AUsQ|548;0y1P){T!L#0GuzxvUqOT!+xn3^3(q2lgBVmH3HurcHSSk?;7wykcBTMrb*F@U|K<$%c!99o#U zK{vJ~^k0-x6e39PW>a457fHN)>!Zy?rv@yWA}ch}aI`^5gaW$KOv9hrZ6zwif*CmYh5N-7QB z3ozz$n&?}B<2aW0`-e@)T_CM0Nm6SSV7Ic0dk51f&btWqPv@=y=B5x^f$Q)1OB{l` zIeCMt7=@2cdGi>R7mhK2X&cE;bjzFC>`mZOO1L%7)fY~&fBJI>H!cxYS8um8uJv&7 zAi*{yO`!0Tv6uK)EAJx*$LVIahy6FIV9_)<4On49XRacgj%fI2R*`Ytrtio!!owGmR0QChg)R145Q7om5O8hmNsI%clf znQs$6vPkjW(k=NP5L#CC}%95bI41{Jr>Yh49O{KsVTtqKkJ4UMp>oG(&D&vWfpel6Q|5? zrn~-i2q4=q4n(lSHPuQ6{fhf|JdE9wB;$(Ez6bWVIW{U?^QcEGX*_P>bI01BxGwJe zRP{Q9_392+Is%>;^rW56Mh!9oj#0I(Y=OS@I|6Vh7fR6mf1~O%C}>kBMOPVrjRUz z)5`9w!*M$E(Z>`9F40;%d8)C-O}XHr8}YP@hQ{Rk6zD8s>B8S1w;H!V4R_)|UmP!0 zqmIIFeaO}Tp%|C@$+?Y3vF-#{8yq3X`-9yC=#SG$bg51XO_IBE>LP`SP42`;smnKb z$nhK)%A>`Z7vJQ|)k}@X6YCH{iHw^>_vx+4blj;-Uo?R5fCjv`1D~pfN&BNiayaOl zH(ttj*!+wCI(jnosUOa`QRLePVX~J79m4Z-qRcLQ9_yq6vD(btFZt7+!kgQpV!dr3 zx&QhJh=OB6;6@H(FWut_qsFnQc6aA!-~~4I!$AfFZe6&R7wUR%O_K@wS7EZ$P?rax z7vl$WaQf0XC#+=)qZ`i#fYfm1T8*!4PweV$+9@yp0CA8b5kzigXExO>-;MhU@(%8} zGV6izCYSCC^#hmZuFL~kq^d|`O_o`scI|UuTBZf~D~Ru1gy#tN(Rx6CjSz=vkEa_= z1^0`UcfM|Qd({51jq$jTu})D^Hnd8ZyDvgSaUJS;&{FCplPVpa+lU$30;KZIxVR=# zvPfMy>znzvBi}$xN}|Y>2GCI3H&$+(*+qpjXb~a-N^Yhnw#Ytv9hf)?;jFk(C&+BG zHl$M@Q%kz_a?%kvynOfCd0>*_+b}!8kfhhOZ1=-K&r3H?_u`h+b`4H|s#Z@!hmIButRRfbx>bhq7|waPO8lT*t=7SCJ)p|os^V~|^Y?+|$V+!n4u1(V*Z zW-0lr2LCvX`b(=*@co*kN*t2yBSkl7(YPZtmd^v4)i?WViY9f(4%YZt+TtqMnx?U@ zL)Ue6bN8)}32Zt>WqwVfYc)X(kzs;8Pw?!-8?gB2)6howZZh+ghFl2^l`FGlQE4uZ zp3NOH@?CoyQk+~poPnJ{dW$+*Qvr=2>93cEkh)5d^JZ*?9kLtO8nPy3M^jLM03S0n z`2x(7;$0;C{nu^dt50q|&>Teo_L#|T^` zo2K7{`b1I0+%@Rc7$miqia}moxCG3vE zafRMm^-cQ2XAgpVF(84*-+_07yj2zcPl6aX06 z9{9i<`Q%cwA#9yz(#vPN$S6K*vmVAn2m?1-IE_)6;~USQ{WO`~KZl#(IV782{9+1P ziROcBW0I`?WEvW@EZw?@QGs=JeHda*o-rC4SNJ$_;2MSb3t?UK+(T#=T9NRB0IPAk z#~si=Bv1cFWv1YVP)YbO?X1Y!;`<;wUQU)*()Ei*Vt^{@gmPwOUdW|K%sGg zDEWi$;|?$sgesmfUCWlvIvXl!9YD+{P7+Xho?B{`qPc4e0LBmiKJlx2@5RB5l??j))r5^ zxnt^pP-N~m`NNTp)!jH67qiodu;-~yU=5XU%wneOO*XKm3FN(enumxiy6)b{!R|s= z(YMpTlJb}CuQTD`Bxteuz*sQuH;KR+BVLb`KF26w@}+~`iqzJ-PajCQ!oVfZ$9M9?$}*AE?&NawS;LzLPmX(hx@IqMR)venZSw$ew|4kDx3%sXVe zb+5*?r=it-Me*wXEN~DKWWJKToM!K8P#kG#@_nGJ!Uqc<%&cxq&?=%|=gRBtL5E<5 zUgyfGgLEMfa3qVTzkf(BfnGV?V^rg>UBqq}&@x|ByGMxuPrKeWv=T%)S=scvK7aTD z?5q%lBhbo!3W_{ypoMw+BCAkU7UX)~*^ZaAyW8Vv;L|FR-yU3KuLcAa*&YXIP0;EH zG4Bga5iK1>BXlveOS{S5X_C_zgD6WYH84Qp-2`-%g%GBx+X;4GI0nnSIHPmXCqLz?7a;NZ{uG`9oj)|3j-beifEpB)>wJnxk? zmA?@sZ~f30ZXXN>eN4`_zsuha0t6M*<+QVworEgoPiC=+RZjvPhlF1S`rSU&1yz*_ zgTZu!D%lQG?rpa5i*B|D!xWYH`r*t=Gf|`<$VEVPv(~NoABD}Q_f&a#5{(V|S1-p1 zx0U#CI~$yd-6v^nb?an!mL0T=QnjjQ7o0}3>h+&M+9kR6_TdE#4iI{0DW+w8 zQ-F4%PDCd_=<5|P*!<}Fm>HUgF3=CZmgQUDr;}_#P-3aAxVRy(#O5G8Pdn|{@(rijq%c=UQ2V1mlJ)?|b= zIZCwh-OmmvgKLyNh0rFlz#fFCL~f^{H~+ULRnt_IIU0L*x$3lTeGcGh5z|D7B`GyF zD#Pd^0B;6**dBChnkFsG^zDFRy}_vXH|OAQEZEW*)#|t*btmEP9o>TBpX5oxW6oVa}f8L>NZyvfV}?#A2gr}z2tV(KrPOiqGaLjmG~5JxF> zW)dDF=vFcuyWMej(s>{eP+uC!H>y*graA0R)x}Ns@YF7i1G77X>xl%em+xzwL0Y@# zNiQGtR;mQyJ^F@(>~QUD?$ftsUO=EbJ+Ab-uf{gEMr0zoc&hX87leh~bJOakQG5Pq zr%zZp)c&oS*VnS6A*Rq$Cc4@CZ{LxqaSzmpMNRK0u^9lFm~dNc$RF8gP4)gw6!63U zC^o^Vaou|#2CeGJ++iFmc(hJrYm(`QBz5au3W>vkn%SK>xdsr(I>DU#rmg3Gc`??Z z=ujrPs*w_RT`HW}Bn?WcsgQ_t%OS`=C1%_2+Bao30B%5$zgul5Q#e}roVtY5k`_+E zmKzP86zc7FAx@DH;6J%|J7~#^9}I#u-J%$QDbQ4-DHCr}oyS2g_CahNXNlv;>tfqj zr)p&3WZ(uyePQO%ywcP(f6Dv5H?Hbtp+>n)f5uhc3!5gQ1?3529RY*QpZEYSYP;nHNF6(wQQaf#OQmUmXPir zfq8KqUxI?Q<(=~wAr7)L$H^rg{)e5u0beaeFUV}mwM5a|aeRS*Hxoc7tcXQwvOIki`-DipP z=>vITs`HQMA>7UO7=Y+N>e0vyTH)cA@??<*EQTsC+MaXVvO(QY6sZ@rxaQE=o zL0H!dP%2v)4FM=yjk&fsv&-`4Q6Fo{&qf9<5e2{4WJ>_N|K;4lh^^BAML9Oc3jQ6X z>a|pe8V|H(pZ>aZkp>tu9Qp`Gsj@_|C%vMY-~C_`WNFu@n_4OINqQqci!X^>w|~9y z7*JMymT?B|8QGe7StW?HC6?>g&Rb3PFm+I5^DGi zZcP%Q=1^hr@D!p@cDk`DSDYfB-~K)^s;@!w#OS?`GF_cJ?9mbwze?~;P03IE{M)e$ z-0(6+IVe58As&u<6v>y;_`1TlTO;h+N8n3<8UR~}|7Sh<@tKb?;SkypM`hBz+Q5sbT9&67&1N>EBcci`*ImgQKNjsWeGD zg^zKP4a8&cY6&=n(-$cNa z={3orA8Mtuafd`%PbY6y3t5XF1Vumz2;Q|uHGL&WZ^i=$+dL0#ZZW>Jj+?eXUe{nG z(rZAG11INo< zyM31JGsbGwlhj8ERFofby8v-p$0nNH!)lbAo%#mK!?45D$7xW$G3(S=w5)h(>;7^; z!((`!)4BHf|I?`|Ng#*c=>kU(o2My&lB_|vtL~0$;fv6@4xS*jlV?!Jq*UKe9I7x# za*ajEm|O|cD7wbP*>VA5F#7VYWxa&(*iHv$c6M~z;&eP2wS??g50$K(yef)rXN{ng zzn!FKeIvIbES~|`m@O_R?@pdRsJA-}o@Wk28*x!X1WfZ4_&_eQ_s3W^tKuD=#YR~W z+je=;=k|;yVHMXg5;B(+n&^sL?+zwDxbS*mG6JQP_&X9sw5Ov%n31bcNq)pjm(LBZ zeeOUrK1t)31E-js#ZGR|u$su&WrDx2Ex!-U(DIBs-1fw^NmK!DK1-qmv`j$}%QVBc zATu1(p6hMrdc~!`dfV4Y?%5qMDVL;*}+9GeX8W=HWnx0*f%>j<^6$&WD7nO zr7{^OkW}jh$2*JuNDK0PjmS^^%i~TB)FWHYzK-lofH||-B+D+d`bvXj7kcvZW0bPm zM*%LZ$+zAoJ_Un8CWOmpp@FSv71upKNf5cgOB|Mu?Q0*f4XHg(PaT>gvc*~5_^M1C zKt*ow*-?iGwKFo1-J{TSTFTvanxK;V=pjcv8T+V4!u^pu!=Zx-Bq?>%Q~we#0GaQ{ zUV`FwY0@H}_zewmjf-+7S`{F=l2M2H_B8Y@onodP)DeVl8bZAQ#p>vm&-1@HPo3Nb zM=mkJ-o!6v%i-7q-wWNY{$$qKIo9bi=Q;=f0+RRo+QVqBayFar~66MK!6h-g*w0z?<+`52UhfZ|~`Wq!XMWM2L5*{Qj z!n0u#_r25hJHM!9J9%=iY2YnHtY!^1QQUwlmxkB_l6dHLnUDJzi7;~;ls zern@kk0iejz|J`DB*A|8MgSI7pCxV(IxE`k_hG7|t@r6wD?#_hv?QK?%X z5W=<3$2xpS#Y3?A!Pw^8*{)*7a>FnG=k~Y*p9GrhB_MYJ;y&7_Ti)x~Mp>7>uUL+5 zK6;=QBHw&+)~A5x+TEwk{bL=a%RE9;!rz=ZB;t8bHL|k%W^5N`*%2s$L3 z!KG$C2&QRVF7))qw`1Ky8`^Rj>++isJcuA|Oz7vBcf zZbw;{;Qk`V*!MAB{^;t3-5v?m$@qS;@M?*x)#TN|h*~|&oLS+Qr-R@S_)Fpm5(we5 z55m1UHdG2R?Q7=)7?m6#+0aHGC&jw-lab3{OA<%z$C3ARF}^N=r`?)Q0$rc@HPGiu zY@Q4zdKo;OM4v)q*q8l8+ zudfb9IV;k3L8A$2<*vABo$0@Zia9huhEhq0% zO_gMVZ_fjSqy!ctnQqUx^}FBZZH52)Q^+k)%Ki_XN#FL;KBTS3V_V?!Mx!QdU8FuG z@C2aOBy3fEZl|x@gbde_%0D|FL-LTKS?cO)$+-!TMy-5CsutEe?9N1*1kqE(NgnJ&m2#084&u)Dw0FJh|BasRnT~af(eCL`;C}9;S9#%16EDA1sGdq|!7dNsa^}T29`D2$_XB zvRv}7^H)HG5qgazT<_F5*$pt!YSl3Y&~DX5DRya1VBDi=(Ea~udYj<3t$be)0Nqs} z<)m={xi2WEZ{ve>DEEDDh!4t2_nUeqL8WtRHf>S4b8A-9R(kf+NxwBf_p1U(IRTZo z06@v6do}<_S?-+xP|_^J582ymQciCtl6_|#Nsi|a- zU-il0M!hCu*6~X#Z32}K-MyY7)|mVFM^}Atwl#Ta=48W3fD?CQq^4oLR_0GM&j!y{ zetsHSmLX)10vchMvjR?zBpI&KSeMzsbPo63n)%%^A(=&uM+-lNn5c?W%iK%0QGw4A z*qz2|AB<{|&*JKB=Yv;~ZDLHibA=a&#DgbOxg#F!j%-F<$2p*w_78 zK=8D1eI8)qAGQEYR`&xOIBp9#j8H=xjfUWh_{=@cMPOusd7 zLe-iyx#-6IlAHSp91W!#StILN+17*qCR?U%ikrVZ@1cPBoVRrAbwpJ7Y?!|AvR;Jr zKc5~D5-rw_eQRI?GOGFh*uig}J}YX=fpau+;kOmZT`UbFYP!8y4(OeWpnc}xc-j)H zeHcDTHx{&Po^#6cOGo);q6^uuxAlXIdCfJr+%OGAZ~3<>Y>+9xh;#xYP`uIcel*?vO$vZ_&WA}P|-sTIxKN)wV zr+}l#!t#$Ig60PHMm<07s9=$NTH|pxK=?No4xT20#ldZG9s;7Q1LgLs*yoqN{j-ui zjR+u1HLF+Th1aoBk<5--N1mU_4kvbb`fcOqXW;F+p4BNT$ck2K$jtDSM$NVH+|>w% z0EERs=7Tt31fJNuHwa7;Z=_CRJsT#^wrly`=QVP8>SDYX8d$0HZW7Qkt>BAQ0i%uI z)u`~h8n>mk89v|C;88LEipt$Nz*UyR@8xpBg=tH3QYX6`BE(p^fpE-!M`oubqD!ex))&a}({)VZ`^av2C?_AidgJsqf>M4wm%gDb|malw0H;LS#U6%zFRFu&HiXHu~ z9A3LUYf{ehlOQm-slCYahPozZ?LtGQyjPJ)?aX~Qz*(DDfnKl2PwwzcT~lt)fsy{_ zw}9<@E@(=eQ>k*@bjP-5Ij&e}9FH(px7FM89xAMN&wB+;lUJw7cRKy`FmAaRv7u;^ z&hYukUu%{6?Ma#qDLhOy2*z3%SdDrmeJQWj%Uxix*8Ul2Y}ZiBhwuliD5Ib#3Xj3C z;XB_?P6CI?nxcg8wR@CFWg4HoPG8v!W+qO#4;noG;n=9~1DAw5rvhW?d>nL#_I^ZY zg20KjrHgHV>cZWlP^Z|?J{%m6dxB)?p|PfcRpc@8QB2gAUL}18Tksbfhy)S8R@)72 zrY_+CU+!hx(MoSZn+3Hb<2rulel5*#zIcMNFHH;(vdI^!ExXRsTL%?hyn77TV!cXq zvK2mCtjlST2XCe+9g24}2T4Bk^p)Jyr`+thhf{?#=2%$@khpnwXFNHNBZpAH_k5w% z%48R0=E7h9T%)#LM@^A(0;ciJ%%KIzjhb~8vEFWshr`Z>uruzDn>7pc|ChfX_+kw= zvx|*#JWP%+A``>XEy&drN-4bM7yhTY(+xvH5D-^v-2Oh+6}i=^Xsc70NTrl`4}SA* z=9rf5VzQt$RC#L*dWsk8?XyAb(tK|6?cL+BQ;^avzijjig}MFi2ODECgtZ(j$ZW35 zt%Gdv_1rPiEEJ#S&Bw2kv2Ar20n2>ZD1dY-$n4=@l3YRkk~&6UYB_NK@a&=>Re9iUrPlW66|%-acc$~7@> z8_a{EXzcOO&n9{;H-500H449(I=L&CR;s0&B)3e~( znqbC;0w5uBbo*88nqrOC1k2rd_PZ+Ug--s+FD{3!ca?5LgcTzQbq&P(U@|%k-LPk5 zo9jHDZbBGFZa_2HlM@$|93nQP*1(y9tdlK5qx7`xPiw3r>DA09EfeDwW}r!+rj~-D zLSrB_(BybHa*(36PY;+nPbsyQ(>?Dj_)h~WIrtX^6|~aT7*+?)FPy`@XjP2ncCPxm zurRW{y?$pUJw*lvprNs+folS}39L~iPomx(DeH99<(jy`))vIyU(1aOmjDrV28qA$@S+BV?TiI_uD24_Yz6=~pT@8Fje5 zgT?e{n@^*~iu4p0lX4a~?tGL5ZE}`WB8)>k2xw!d-$`2emyFU+rv0Trm zm!AAlK^We?wChU#D#rM10H~73$kywzQ7BEGD6*MZV;y@@`_+4scOA9y_FI5=w)cj&&M9f^-3U!!hxBb~@3KxF(eLW)UVLp~6OoezT&~#G5|_ z;PSvQeDpfD88F*yAKX2g1(^Exar%`zLVY=TvR3E7@e7Y7o%8x+C`irGC7x_|xhW_nryW?K?|eqr;9lJ@U7?mINSLJj=I^-}); zEq;^cVUw1Q(`!6kD;d;&WG>d)eY4Z}dAdUbKRwuQvaijPscd>SR zO`hH1T=&{KyFYdeFg!pzBnA%6IdC_L|I5J0nE? zJB+ZJcZj;m4&zRH231b8{>OEYv7y7a&EpQr_U)Z0aHZYRdOP_aU)8eRvtchyGO5vP zO4hMg$0re8a_&f8Z2WyP#$YZDt)WO^XD#0yg!ESn8K=t)fi)erl#{mW^jD^;eUY3XhaZZP-UqofIJHt5ur!r)busisX9x#`f%e1QrwT`vts zq)G12(%u26QnHJRmpI#f6VyWJmJCw;f6w8Bp7|NfmoFUhErT|_Io>A6WFDNLicld1W!z42Bg0>VM z9NJ59LA=}k>z@G-hf%Y}3s~XisP#H_j1`W19(XacCd!oAo%G3_PVG)bEKftzO)Ns@RZ;o71xn&tr=c+c~}nbb+G|N2c{a5x5&ve)eGVX{e{?&Nz1K zb=)7NZ6Y~uKI$K&xf`%B7M?VOe+(S)mvf`O>NME{;l=-hW1($5DF~I@fz`USnrl)( z&_%v*cL;0xD&0?^A;)|dIHn<}V$PwLx^F>VNl3y=Z$RIo_QB(RXm+3cQ3Z4LDt2^3 zt-?rYcLOq+oTu$ZYlPNMK?1i9yrS=$3XbJ%blpi#57R-`g>FuF|A79z;M9?80Dp z9uYN~si-L1jhpM){xkB$B<(W(bmE1!*Z^-Ki}&)r^6<>a^=@gJnhg#oBa*ODquA9sWF@ok*j}tFngDM4FmNh-YmyuV?hMcQ)^xO}rJsQc%kk7#%ht6`u<=y! zlb3pO8ub<~^?VpON9p!?oL=x(s))sb0_Kh=EK2c++&^~_V&mhuspLDwihv84*g#6z zzvkXw!=_vsq*J;6~-r zG&Z!=L2prMb*LA9t3PX^g%?SWp~c&yYhTEeAmJSGxipXLu3eg*_j?$)j8|zW5nZO) zK4O0J@t2agp_E>Me`J=g#t}w>k3v*tQ=?G3_eEXG4gE}w&mKiLWQ%Nr7jv2ade(5cqOkgA2&Evk+*woQ;6_!PsR-=zh^V60>mR=QD|76v0cm7^3Gb^^+k=F8cGZ%zW&rdscU7~Gp8AcI?}32F^#D0>Nh5K-Rz zFamY8G=3TeoeIC4ZZudO{_M+jIR}ELA|Z->JOvTP_YY&o^Dgj}w7tSKF|>7ArCLB1 z_FC=XIMELeeGtFhSz^~U02&@ASL#)&9k_w@K>9CN=I|7h1un0KVL)E{a$S+S9MbsoZ31|On@JZuA}Q`wwNCA zB`vE{=PPyT1;`$oZn=i?Hf^@6sA4TY2=RJF$Vc=qCq7*z&HW1ZXB z(739}tbOy{0l`zIHSoRDiCs{Iz0h{;v`LHjVd5Kr<4BZ0dY9&4vy=WLwlk8rwt8x( zQA#GUj!a?=k=C2obTOjHPAAjUDjeHXXVla?}N9Zotb7*=dA=vdATnZJ2H8P8ptSyyhK z#dX+r>^TY zKNl&28UUUPYZXy$Plr(l(Hn~U9+pt;(ZmK1TvV0SVYIj=N;v(&*uwCNa{KQ9?LZEQ z#GVgbyr9Tg%DsESO!h&-LS>sb0X^O2*2+OMPbnW0KVp_KMNG5E!L`6RS?o_ZK1 zarfJ=I8pocyMNOWLKIG~9>o|Y%6t6-!Rz8i_T%6FWMVo|W9`CL;+maLVbM^JgA8pF z{EHu5H`E2~eN?_GQ5c)K=YjVV%g^V2x_=(iv&WYqcrV_Y^mrKoSght|W_p6P)yuyg znP$s0kD}n<7XWhYaW^>&GL5uj_Tb=_La~oxM(&PW@6lzFX0+F`9X0=S<}iw!g9oB9 zLSeX`$_kaslqj5|;% zMJ{-H)D@x#k+*KC@}m zp7(Aks({nEdAwcc4u^+qJ)a;#fwsSn@_90X-v^7Yo~ zsZZcYlg!Mk-^LwxFmxypumxX{sKX=@1(hoN$r|G;0?vlJNsm1~A9;aM5NNd~ zSz)s#HrDh0V%6<5r2J2y9OzVZV~=O}M$5&?$m8CjBUO|&#b^3ONUo0I0v5A{-6;O`9e>C@T@Zrfp z;cj<+Kv{U2sawk(FdGZwX1aYW_bxVZn10Gl55)7B&TQr;%?3~_PwDyWlRph!yiF?^ z(Uk5O>A6^*60oHoGCV)q=DTkqu~FMU_jRhJXJllO8KGE16;);X7rLm*`RKvDooMH6 zVxzQt=i`2lW(S=Os3FN%sZaZ}7)g zo@pRd>W1BM69L|Ffb(c@1S4CTY;S1t?N=km)oIEmtwIjd zOL{lRa900drOpO%+RaN=m%4zFg_M<6@D$ib^HSW$sAD$>p@8M}o1DDZkh=1ej)9h#tx-3wKU0=Z^aHtRCpD10kbJDob>@b^ZOS*jyZwn zGH`n$l%7&0N+%QW@ACCoKcbJ%Tpe*~VvN29W3g~%6jZUa7ckmKX)BqziM1TvE&hD$ z4qh6C8sDCSMDE*o1^g1NrJ)sM?#212Ls_ob9d{nZK2)ZJD^;YXjP+q0IwE;I3-#<( zKvJH8(!+8*g=O{KgTf}F+mqx~sFP_5OMCK{%_>r8_2!#^K!QM*j%OnuSLN&wU+=!e z06M#uLlBJ(pn}oXh@iP{tTy-0$zBSHz)C81CwZ54rv!Og%SJ~(v%hH)WNZ)l>@N_x~HyLA>( z$4LUB)h*Pht2ConQP%mT2?!m!!8(hd{nQ8hMtKfKC7G|vAujP=__sewtp}T*!2m{> z^2_0q&oyax(s#Y&!DoBHQAF3X4oEFI>o2BJ{4(2mG4ZUv)gF`d&o4)I`r(F#+pniH z-?mrs!@;CixC`^-I&hT-wMt#i_d7R7#SISs=u9sNJAGHID@TbBNQfw-^e%P~!aZG+ zj(@aqUf?kjpiGmj`zx|}^8j}1{~ z-P4F!m)xG39@1L8J@jvZk3K##NuBj(Hc#!3OjKsdw!l$qrT$wWLellp9o9%#`e7Tidww3_q}AIR6}d_MYxUDc zBt*?3z7RyMA(X=>jrB54?Ikl0?B7Wkbe)3050dc4Z<2#gtFDo?H)?Gk)?n5$D%w*2 z46n!!C$5=uv26SDBt0jsC|kW^ou@jqw)`q`FkGdMf+Rg=gR=d^xdXP%d!k(L2ahie z#GVAhfT;j#n~N|)f~8luy&lT8f*$*BG6%dT-LxH$2De!eNV`&UZU{6<9RG+39M(M# zKt4YYBLf`T{@7Loh5q2eVA370c=|aDzYZ?ubaE0prg8fxs0=}nsR`KAG;T=5Fsyy* zGfe$Iz3B%aZ`kJnh6}8|5P%?TU+JnWtY1G53;{gBBy2Vsa?Ue#uJv&4FGRwAdaRJ< z$s|d80Q0Uhc+w~x$22Xj=O#(-h9pW89Nj3z`%&N*Ke-w@SzYBHrvZ!w__y$tv^1)@ z9`^7ga>sV}EIk{Fs9X}Qq#OBU(ka|)=@p)kjjzufaQt*T?KNlWap)DRdl6A;He`7> zZRMtvJ)64K(11NBzH1%2L*E;Be{gA)qHM6_X`NxaJ|arQH$QqN>PBop3zqw6h9jH( zxJIweZ1yl7bk=ywnY&oI|CcYTvAp zGgoYI6vi{PJ-dD7!VTi};lkrt5XN&*l^m6H z8geClTmdubO|5)9)-hxV_`>Y_#CLHT0r+RTvrJvNJvZtKMJy+VIl2YN!I7_gjEx@% zB@n14Wr8AOb&^;Ct&+A}r@|C#;<#C_;bsdQO@QbArme_3cJ=Xvh0*!YsqhceuY96V zXuRJtBP!Wxr+}_s_J?+=`B`gg7=#Zt(tfK;S3SBQST>f?%FG5gjrwy3ShDc=2X7Ey zjPi-spAqXYWSoIrgNb^Xn^{ zN;iz#X%kK2(EcF6)cPmU4ZzTrUsT9k?r?iu;4@!n<@8|MUVVe!d6dS;pywo);M=(WQvoo1IX>pY`rwS^xHQC)H~8)AMKP!_1GtYzj;0>r2N;c+$~YAU!c&=^_IrPq1z z{}R4`X_8oL?6gPzPEU}(J_(3)2WgGR@>dR1$it1N9VZq`>04+RAF}@pRX!NwO75+L z<1+GM;`jX|C^odR+uTrob9O*T*JnL}&F!5#^kD2e*kT1SRa$uHd!5=~8yqw@jPy2u zu4LIeQ=20nO_FET7b9F0T5+$aa2B&!7Sl{crTs24X+E4ddUtjRSKc}UeNk7^7Z=xO zU|4g9w!o7u_r=ilpiCW-{%|mAw(NCb`N}sILEC0q@$o3#g&@|j!Y6NILqt1cUuRlJ z2Mo27_~{1}E$xtX3_?8X#n(3F*5pN~<1~x{=|#S?_R+bH+EXY2l^WRjkxt(SO z+G>B=69p`{KlfWF>B*Il$VKerA2wAE<)*!&fYLB(a(W)y7)~x~cXwhC1bFW3fmV8+ zxHh36bESsC;B4@;R(=!*r2ByDA0E#S@V%kWWSv4oVvS-d`nto;-FRESL%ARP6c`A+ zw94%SCKobt18#@;Wr_;$o0?0 z5B@cbKGyPMWA_r=77%+)S$!Sg%So)Sak;Uc`^k4K&;xmg!YXQ-+7@DVK77@~h{u<@ zK7@+LskBu9>UL1E%=}q~36R<+<7w>BNT{fMb{H|shpv5RJqJ>&JBd5QipDLaM-gZk z@74G;A=XWldEnjO>0_EGOGvaDk2uUmxJzGaE0cGHc>cqA1^O{+T<9 zy`-B4_G-&#JrLCO^za3D{8Fb8*3!wnvXcKc38gon`VrFEH-Wwfi=86P^x&JU=KAB| zq*tlcK(}4o`;0^+{sA7^c~q2&>eup8@yN9J8Xznt-5?A5G3(jC2i+dmXqZ z5iaDS2lZMR6{Kt*mO^?WQRrSIfzG3Poev`(tgf#?#`k`t38L^*YhBj#EVN1QNq1A2-%Tp*u}q*WW#jOw6&36;7yOgXaN> zV6m5iz|7p=ANOrjXV!6^=FstoZh-KWz2k{TTGJ##q_H~DfA$~Y)te0whEeyTm5<&= zrsacWD1}k>?-QRA((Ua}ZN%=SU4*mtij3&Tpc8eG{!~@2&x6qGU&S4q3@l~lMXny_xOT89PhXQ0+SBK5qD#Y-u?CqSb5YT!1TlK zBO9aIQ4{`UYUrayr1Fa!oSWH{_pU;l;4G{lO1b{`6VOhoK;=$dgB_f_w_*JmUQxhV zu@f6-_~LJp8*Ad@D__S+*F=I+a*0-Ft)3ng@Scc;e}2~w4Vty75dTg5L5ktP`qX}G z2;zsJ=hfUe-Im5N_1f+Xgg6q?lYmR_V5K8cCxlw5A28yYvR+fvrCHP~e3PVU{AQ5( z12Ro=u4gvt6ylBpTm1b5F8(y>b}E`gt3oN+Pa8b)o*^u~122zZI#@CGaMU5xGz}6l zOOdnk$?3o+JZJbsV3uds{<(jk(A^((;c7&$KaC7g3In3CE}??V?@n}1+)!_h-PYsR zu}+(M%Mr^KB0hz(uBoCV9FLkpdp0@1a?>E`th%?#$31bK2MPVj)E1XdBYYX~8{Eov zL#Y3kD@PFWox<|W=Jqe`G(*Lb?pb=f&LB!BS->KESM2F9;Z| z&}$X8mGsZ&X$OGvX?iMC6xw>aZBlaG!J{!40D?zaE~4B!b(KB!X;oAtH_Gf^c#{Aj zrgRzlG%5(``LIS^LYB{`;nk)fvaR^gL3HKUXC0+Rx=5$dm2Q_}5yWoq|mI2~aEpWTbWhO26BW+(zrY$)Bxe0-U< z3#3SL4#KCLz3kC+L1Lt@C&A=&2r^3Ky62#oB=jHQ)ySmD($D1g|kvqCGU(fbyYwGZa)d5{zVK$#J(6CxQWBcW6_#Y1%E#n5et_y zUEm%?Mk%%auR-mxctySWI_WUnz-QKkYzHB=QJOjeU7#!c*VD-eW3AA|`K^~GBQYSz zlsuk>SGT{9JDhbmGf6AlUg6!2oVV>;4PIHNwJ1e!^ zTd)Kq=6QB@4v?Jp7wbIEXYEh5@=U^q`rW z8X)^|%DGWjDc4xP`8G5$(sa81M{m<(ni#IDb?`wT;kL#u2^xkx3|=HQ&ym81Q=fst z$p?qiC;-P)<66nsE%Go8QxG*9^3CKVIrC|G>wreB*YJO9e9lh$6p0Oinx01lmyDYV zw+EV~hzPkoOM8w|*z*uzK&A_2w{><1wFB7V5X^?A<_@Esc$>?Hng2ab!>e@)yTKFh z!>eU)=R&9ReFGHR^CZX!-V<&4+{P;v=}{bx!7Ym_>vV%hsemXS`itql!+$yr<_CoA zMHy*t=3u7Ke)c)6{nEk=jr!c#9qtPg5iH&Sx4|{5UcCF5V=op;G%{8Hw;2Vm( zHO4>s$u$tdv8>7GUJ_cJ9l%e_}4&ZQ1P}EWI5&B3SjoxT)o4y4TmM z#OJCiOT(D?>~J3FV&1bW64^f-U#nC71FTrTIce68LYt8&+k77QHdwy0_3c-hjFX`? z0@R}|7c@wP&(193_LU9ZOapD_Ye|m>|RP*laBCk*h{1Hkywto zN8fU6@XoG)xy!n4ba)Z=QKdOohH_DXbI!OLA#oR%lN*h7S<0S(UH6REVbYXJozHJy zbSPoR7sS;==fRh74+sZ|7CM9TNo*?(&a(^aJjN2dxAkL+a6t#(ie4p8#QYndP-U4j z$J-5LW~bh(*dj3NyjKKyO_GMZ`_yL|5)S-z^URQTUnK$Xn&&h3LE{(r);JaA`82ri zI#jl*>?Xdrj=AsmIute#`zo;+_Bc*e28Al%W62{wbIi?;*&j@R@?t?8VHqk@cW1m@l6EtN_<`H|9 z=wcdPty2_^3Cjm-P?lSEK`LE2G)*u0+-n$uh2i`%(9;&2{}EojbncV%&6&WIfdll1WP``Lz^e;p5f*Rf7}fQh2A zbQolSj za4@iDF~B_R~=lv^?K_iCN>AskS?R z1XnjX?=7mU11D{r6~Y{WQw)FBpiHL09-rBacykhn_4G>2m(+d&6rl0g(s&ZPnd+O+ zF~r)!d;$_jA~v*!oF5LmKA4`HKQwu2FCO-jesrUB5^b+20!0lTY@|a-tDwgKke~KJ zZMhWX+|CC2rufJ{I62buNU_yE!5jr#IYvR#odVdpx8VI|?F+HOX?1XFe{L0-UJ8QpUC1BqpkTBl88^<}ok_xXz_<@OWl7 zn}6^Gz%Vp{3ZZ07HnSo$7w*Qh{j5oTVQ5s&I9gM&=nMJMY8CpZ?cC^xA;^c?hPkU-^7+kqz zzt`^F0kVkFoRT!W`jfXlPGIb#5g|VsJ9vffhZ&)A^FkMRGt+4G9pm@U1LlJm$CXm9 z`BlE(TX;gR{*OP`@_s(Lp|l2<{vvlYGANqsk7>!Byj-kh!GW$c%7^I*a5l)?KKSTu z(xU)%9oCv}Yohe{!WJ|EV>ZQ-`#{`~PF*ZNiO+i&nY{utvOKpHNtBrT!rD>*b3s|y z*h4SU{X*GTm%E1f+Lo4oaxq%Sny_-qCk~@zXSRac=OY7E7Je{zr*#-RiVOy)Ahpu) zYB@IrZTHgwn4@eGZ*Kqv(L5GDfdR!x;`3U59_Ae69YsXxBm~#YXR5;HC)1u%(6FFL z7naX^Y8k{L|ETW*qDlSj&3dVyJmHHq%)yG)-Dt5Q<_C$1-@XDzujSzWc*+WBWj7+| z3Mk@=EVrK2m&Pu(pTu6=w+81Sv9Z*@(9@_?qNr5T@M_S|+->Pt4`XUpY&K;FwO_9z%PmE7~3LG;Hug2I# z4KFnKLY*3f9YNgG7S0T;Wuu`tj9;gHSV8{A+XD9{V3vUum<=VDx-QmljhxEOs~*8V zjSWP`P8wc)Xl$gSS8b?J?fU*OB$j4D(2i}n`7i2n5_B;4PD9B@i*&ZI`qsw!bDcj5 z3Y zsK;lHLt3WKRI}R~H+wY!t?-o^Dk?QMB-o#ZvF^q2tl9pze@@Tw5By3EN2tC!^)XRn z`}@;=OnMml?9$cK@M^RLr1j7*RI#j^kvD|*;nm_V<7Op0hC+%#IZkXcJhD*{ge|SE zjtQlG(fsU9;^KtJTm2{P?fa;?mfuT=hE`K(_H^il(GBhuC@vBtJ0YkrioL?Ut$_b% z2Dj~X!@=IfL0?CJ6U==w(^#hq3zx7SMUm}C2K({-mR{<=4y_@mN%K)3XbI#1*hvak z6-r3MtFr;Ud=<7%M;)5%2bNAW8hqCPNGWI*u^|iOhrpGTiN65-LAhDtzEGu=$3Cs) z`~7ogu`Ubj^VrdK=GT`o(U95TTBF(xk0VDK<@zX$ZdIU`V1@HSwg=8CJoG7dAwB)}Dr^=c(zEZV z3P=I0!rhI1yQTI55CfP9Kbo&AC0>K>H3B4S*B5S95R1EmpzgAmpEKHszHvUbl z<7$4VcSEc3*lvi)zZ%;DWhKBUJinVXOM^3;=Sk`_5m~bu^@}s9D!ole5W8 z-%D_A7!^zNI3PCVy&hAw{N`p|F5^q_joQQW0P~Vr08eUWKzNW2d#9YZ!L!dReDFY_ zKY9}r{C+^NN&G;zZ*f%jC4l>`OQWqbovBF{T{{Wwtg|R>4Fdq3rHhU=xIB2@F;N3o zjjPqt@yyBgb>53f>ZGSU1lO4#-;nyYDlA+zE3mc@PygzoNA@Gr3>G&8T&O*YCu0X> zKZ&ryJ;cC+UBB?L+{EFw#wUr(q~(L6Hv`~*tBF0|~*7W}AGvv#E-aB0)X0X#@) zyj^=AUTuJI&}0>UA6T(pV2>|-f}6e%ul~zDvHH}frR(sYr1Ze>Mor;$_4c~}oj_v0 z*fMRm!yxhZhXE$=T${M>KDbY!{luo}y0)HonAN`rm=pT+(D%`#G7w@6X%a&ht{e% zT~Snt4UYWulf_dQnwBHV-y~1gWU~8`SO?v#(@4>3C0uF$K>YSyY@=KnD@IzFW8bNY zjf$`n;<)-*n&B+dWHPU4zPL9Lod%&Z@eXrA6VD6VsEdak}ZGYX3EtGVN1 zOP9cT=zVy#OMEtcMv<+zwve4T9n?P9$cNW9gzJ+eDoVgYFtv(?sDAP)##^)QxWm+! z&m&sW+uv0*t-6pn#M;fXG(DuEs@#4Qv|QcXIgJR7OU8x@CP1jDniS|yBmC>g9QvS% zc=YHzwwpqGJoJn3w?ab}3VcB>YM+e1p8I$=vh2aLjk+QoPkbE-7&T109hsin6zt%|uz2O|Va_qH3Y&fTB<)TavYv|5eZPtxYf z+3#arP^H%MNQWU>_HW27;(pHA2azYrbfqrmehPJP&ekqqcwH!+1U4<8f~vA$)N<#i z5lK^EVKlz`dJ=XN9(^-Q^PMpZ!xgl#F7R3ZPWgC}^k^E(C7D05R`3QUnig9Jch(h9 zbBV4BH0kQe-~PIPQ7eAUmE7n-_O=J{BId>ewZ#20*9}({ZwQYpsfr zJ~tifMo~HbK^H`&^ahHCXA0aQ&@+b}C14U2B#@x8KRi#{(-9Bn=}u6NeHA-QMHDc5 zG2MI~ARh7Uagf=N$?w5}Ga}WWH7iPK8i+MS<5bJ|yw2@ULz5Qdo9Ey>y*)&Z~{^%<0p+yVtBfiT!X+uMi zV|6fXH_swiB1rvu+!Ix${P@zxX*mtAChF-E@+ccP%$KU7emIXhLQO8c3c(M!Iyp!( z8vW5ZpN@5r7qIL#K(&);WMc@!_TqGl{O#9a zM1WjnolNfhSy5u`#oEJk!@9`+G)ZMGiHoco21QNs-g_ELuc$ZQ`LJHNY!cz9J$7$g zzYH-Ph=|Aet)Cnui(s|AFm!5c^gg^AoDv}AJ5Yuu36{EsS(arBCw6_EGKUibv`cL3 z@58H?(=8aq@^EYg=8bwqAb}1rTGUkKgK65tSpa6ixxxyVz^iGf^Ej4<90UiSt}Erk z2`mH!WN9xOxrI&M0;_t9^L8WueR#D}qsYWgIya;LRjt)ZSbOf^6lRpCTVwrN{rY$? z##Wd^T*hI-dj3T4R0T{|~Qb z*du=h>~FjCxlCG?nc3uMympm-t02C)v@yChI5U_Um=~m0z2-)ZClIl+{35Y%${UVx zh3(oqfBT#VDFpo4e z?`~-lwHq6C?s?y)Z_Xo!uC+npRy2yKp_^ATpOCW?D00JM@2p9AyI2a3lJ96*S%?+| z>+eGyGs(mE;nkm}Z~9bvMT1q)t1$~dxX*ofQsd@pUtH+aTHk(!eh%Y;T(|$=o_Fp4 z6^| zap60?f~W&*EI>?&=B zr6_2365y&<0i-|i=?agrhy77=scVyicyJj%&`Jh(LsA=c38RiL;vN7OVpjMT+?B?Y zNAU>!IH9oKyQEVPCDNsT`;+h50P${gtq$amnYk<9bcDQNF)u<}e;m;K2Qi2*aD-=v zi4JP2p=qUgPi!d4?PUBs=sHaGaGK^aBU)Kk(%nh8SSuyHMqN~tTK@SkHVG+hnUo9< z3UWo>IkO7|QO-~MCs8x)e2nwQ5nfJTF(mVuA<+WN#vuJzfj}ws<85iO{jZa#*h=@+ zE)V-Nn26(-I$9VzCQsKT^T8(1taA(JCntdcppR6^>n-_rDxB-LFLaLLt?uz#8>euN zb=ncWU<<$FS#!FbrfcJalaQpf)i7+oa~&KCL&q3mqoLGF&w+F4f+!$jVRTJf=kRvF z599>W&3XDF{q$8$!>hN!$Sg!Q`wtu75<%F#o(C@IqM7j#%p9E?(<1s^g@*-DIy{<( zL_y(N)(k9@#7J4I`_92E)7@r)u>@5V_^0p$XsiGK(e-x0ZQE(OCjh#4LCQ|>LHCey zdKw>;LpeP=!UttJvuDdBs7(57O;t=Pv$GdlHL={Mc5cp`GfCIE1?WDt042qMdbSDx zWt&Rv1prc(duuNUfD)(nD*TYWmu*r`-=0YJnZ1oA$NNG(d#-j^Zp(H z|K|hH0g8YK&uos88A3IeZ@(QS;nlD}Jyj<4i|}f4O-6A& zcn(F1@l|HvHvrrT$4)Ii8(L)Uz|Wix5g>ITGt+SbT@#)KXo1OTvd9ZtufZU040Wg9 zw-%tmxsG*@qHo0TJ=57R1xlLfYt`gwGBF882=TKh36>uQ{ck=I(H)PjlazA%iH%Xo z06j<7o&6-dI>1{8W3ws{hTJPz-l>F7IFG3hPw4U zcBq_9*YZ148%rJtOHA>tqnavq>wIJuJ8%}GOLs{itvmA*li*mm{_+PCPft4pIe4QQ zUeo07vM3Ky!RFB7k0TGUp~;>&8oDf1NWMJxJW|1|Nq><{K7hrXKLNE*JK1vUn|mb9 z-joz#@WP}L7q|m{)v&J$6j~}9mI9)fd6k4$i*s)bN+?tTOHp;>tPQoZEbNbMJ3tkZ z?b~UqIr_w!ghzB|MyXFtt^7GfuvMN}JkR zncyq9DjmengFq$t8n!shRx1+m7_f$bh013}sJwXQsQm5z)TD&GorTqfQUakdCyieo zo_i+AI2*C2m4L&y>ueHUT}h8k{=G?{71-8Hm1?5I_-&Sd?Z2g&>O zRCo6inS>gWmf(X_2H!hCny^6GKZaN1x-;qByfJRe%G_ayRpqTnl_b^(v+FG0x5y9P z0l+k;zD80-zmX^+>u931l=`y1=+O22Iat12=h#O`PDvi8A9{Q>4eE@Lxo&dbdok(} z+3Nfxx|D1`Tu`n|O=Gf)hJ$|=X!rB z%fzd|Bwz`dfDpb0WXI~(riaY`Xwt>0S&$b8BS$4VUegA9!%G0BNqF^fn7qj204Gf% zECUOis$V;csI_EUg|71XCns$>8IJ(E0%anmTR+AccN8_`CHFMMh)!D^^s*wk00&5~ zNy_}VhkqCQh6;^FHI{=L)j*gwvvG0)cbEdwHdUIeT?K45Z`%l6oS(_F-Df2E|dxDUwyk!CkzaJ z@+vfGk6xU`=KR}u6vUod5|V5`lCCd3Y11`CrG?Aqp2mzlC^eN5$!dww?Z&b|?ghqS zsQTDkuUuHK^9Z%lKe2em-Rlw2)tak!XNPfMV`V#eqXP~j0}C)W_T&#v0~1<#`bkFz zkjK8PY)0)uT`mTO-fzzVoZ~K?MBrmy|%$}0d zo*wOwJHC#z`d+G9G2jF(zDgdpGRk(M1^#Wa3IrA2{Oq5!$6t0Db6nc4v5Bxja?Tf?SZ6TEofAMJOsi z3-4F1j6C||6RRMgg_;p>ujTxdh<949XWn@C0|LK+AohPy=_I^*8XqMuh7pt^JPho@ zwZL0QLe-nm!&eT8(cC_G?DTku$@#IW0NyD@Ypob%Nv1$_Ui`F@aRzZp$@Dv??QD&q z(zEelvZM7j!AlhL(oe#xmkCO~@uqd)Bbclt;nk=CN>phbSgYlxwM>C(jBLV1QDI>% z?-d>dI_jE_Bj{f&;XXSKfS9DFSIT=-ce}o1XY1tB+&kOw4Rk>&Z;ZxI;nMJMU+lfKn z!SR+^5;A6i)Js*CZF&n^Lyb#206tV*fnV!kj6=%^op}>zs9a|p=hacD^2xSJY(6rt zo!$RjoJ*ekaAovKs1vOreHYpBaDH7P3RS>__ahBtb)@^KQfrO^O@I%;P*l8rQkMPAPRJ zFcI$2NJZ48nfuZ=d}p@1EKAHzkG~zH54NStuc9_b*XsqIc|3NKU~mE73*4h#N?e=; zo97{@<%^xu(ZR?;Xu^$rzd3ck^RtSwv@uH6Ye-sG_c|SSsu5hkG{oeMmc{4UVBD$`7EB1r`v3~yy?>$$n7l8?~=z7T4KA8GHc&ZWiSaLATIUap2ya~>@veb>} zB`{~u$fa9Hariufg5U{CbkpyWX(1a^RpN4Keg16_V)bN8akq_bjZ!rZRxY9etx}J} zPN2<)3l;jNv~mn}j2tExr?L{Lv+>O2YaBsvxH@=aQT)mzLNbv?$e+!o&~<2nuL7Nd z=_wim8}aCMfx0m`o|;IqM~N;m-F}EEWfxWI5&`P{-UM(!%KPIsk%U*5xXrPNBQ*48 z=H52eSXT+}d{tvnh9l0tIMgQ?xh@hOrVkFV(p|^hX>%1+Cw@#hL8oNL9P!U{b z>a%9YzbT0i$8Bh_+W^yZ$*drX%@KS)4z>k{+W9?y5ne6G*WN`2#t9qKj$;+__I1|K z=(|{f;MXgU$Dsy#P*{OF$I8YNFxg@fUahP=JMuIN_=TT4_LBU%ov}&rmk*#1v5!x| zOG?dV$F|lKs<6_Jk}w>`H5c;FPi%xl&|E7pF}6Ss;Obl=;!IDOp~^yUEyrnj|lt+TF-UbiE)JMECxeJ5tG(T-8;nf=X$M9;` zFjDKRm<#-yKRXX?5I6kLCm8}auUAC|$H8)}N=mLfIr308)A!sL4HBp80-5-7qVp^*&dY8{*Q`fR`da9?*LaibaI$d_pBC8}3b1x3#Hpe^! zZjC5_t4wa^RotVb{8USPAE8~DT2(L*mCcE3>rH^@%EN=eK{ zrN!eRsDEN?fDR03Hl3?=#!p@#Cs7>%^X5D0=KWtwaHjF>+TzS(pmxd`J^eC7lkjTi z5=ZERA-3`=q&SkV?0a_SsSK?m*X@|v{BdtVDF)yeeXK&21UvOO|hDj1SI|d2_Fk|yAb8~8IEp1Kaa|c#|Bj^0<%B>*vw@)G!B`~RY z7TS}}s8O$^BVF%D)9q>vO(UQN;1ii_{Fg$3r7bw#<3*spy>NQ*qWp)xq+Vp=RpfZ(_hF< z`W`#K{Z%DD=xN24C+Dr};4>9Io;kR!V_kxC)^RsnIfUeox{n6ybZ-KcQT4`@VAe-UV(7AMvC0%cs?e`;$lGx?RGA2HF(wN8@oYB=fS+S;Ka?kQYQ3d&|H41Yx$R<1#ZGMlbx?&iKT`8Z|Pck z@Iprn*QQDwbk{nW)>MAI*6f^_6w&w1<@Cfu?DR>Xkrx34(v1+~*Mx`hWH$1_7^j=+ zC$cCYUDx6>yT6i&jkmr=6NZCTubkKz&o%>eSt4`Iy&>XuzF8Ho#NDV-No!DW<-KI$ z3$8=M3;OZVtKg5})vE$RFN+Le^sfHdX%b%D;CPf-s4qSrsXQoap~@WCbd@g#uJ+6G ziK9DsQp3qYEPtG2yYP~L=8nc8eYcr}R~spXmF~?=HRQl?kQ)b{3}_)0qfvM`QZHYG zS2xy@r|)9sz~pmg^G6@S9Rox0_-K@>k_J_wgsz4CwZeQo_Q{OjNZU6n8xdg9V5ku) z+WOyrEpx6ZvlE|tHtOM>5soDr)mc<3XNIWT`h1PUQF)pPs$)+fc38stEsg23W@#XoXPadX>x!Rg@h}eZ;{va&FpCNMu#X`&XfM z2M&UE4w6kzz{|%WU1drBj$yhJYtDNZqVcz%R0v-A^d-=YKyq`?j+2Nuo_+W_FsV#r zHz#p&GhPvlF&H;u5;|rpu!NP}JNVwvUMS~nQ(kQUrAS^*!mAN=IZ6JLxB4bkaeQsQ zcb(-v8eXq3-H|4Otzz;1$Wvh@RYQzdEcZESLkgDL6rkx3Oe035AeWjj8XADs3uG3~fMmH*ve zODs+_UnSGVfpyt@n60unqBdWSG=6E;mIM&UqU|imKYQa*b0;Pg#BmQL*<>1ALA-L( zYf!>|WaGAuB{OJZjVjbDIj5-y?h9=-e-xWZUQBThDuQB@*Akw2TCx-Lqj$bZfal?^ z0X9%c;zG-z6~ahQk+)-;<_cUha^mfKA}?(o#ck>Ck~;`=*DRFrR6R57`{1!$8%3}? z>P@2CCiaIWzA?V9$av?aPggjZMYD3I^Ts3D{m60Jg*!N!9tXM9J)n}IN-xDFly?I_n;pdR#1F6VEY1E;GCGPe_tRkT#CfPGS>Qt~qQ=2?#dN5Ezp^TQ80 zzD7JBs+7pMA@*n%(k#Xxmp$EmgWaJ^#kgHvBa{8Zk7g>{Y%_s#|8F~b>mR==8?IVS zwmHyu{t$vmq)>|C-}kxJ?w8ljJ(DLKTIB!yT&H*utT6E;@;SE3?D^ShamOR5_s%_p z#dUD}Re`G!Dzl&LXmxyBE&Y6!jC#ogw8GB)9>MMs{oZmVGejvV;e47URH8F>rfRF5 zb1T}fD+EccO7D+7EU&kcjX%(EYx9#HPB9Myo98aSgGM5eC{J;lCzj*uJLB-?ieudW z2Vy^VG-<`Z1Qn((C{+5`BeEYy3pJ3?BVRMj*lSt$q`bK*&I9^ORBkK8@c%rrNeRfN zUb)qet;?gJV^f6x;i^A>3Y%|G{jZ^>&O}Q%xEvvfNISAB^aeR^Q9$|8R23{gFbz-~an7_KnKdT7AQQR3N z;nntXwVd4D828$l7gwuAr%~P;S%pf@##2J+);Q9x%!YasI(?xgw1(}gQl`BuSAaC5 z{z(kgmrpVRat8;#+i@IQznX+s^Yxrfk$Z3|w?Fw zzws`uN(?#QpMYv46UsTE0qtj8Y>nBBh%1o;ih{Uw`B9iTvw4wd z#+|cS2uuo|ScXMhVB<62eKNEp4(*ysI`E$dSQ$-rdQG}&W1U?PsZy~UsRlAg-pR$# z5(8_r>D!LCT7{267>|E2QN+ceQ(rr`DWNs9!KV|}ClVeKD;+t)_`1~~WIlJLDRz=dr^?}Jase7!eJKNDd-r+L&5Mps2^9`(l!nMC zgAdM3c^(KFchx7BvY3QdU!H~8Y5}R`N=LKjZKMH?`BTJjwQ3j<1j1o zs~kChFy7@kWI@QO`)>e-Nn&rLI+#o{sioZMZ@+vP+I(H9Fv!L$IEmY`QeiiagD6Vo zDPsurLAy#_dtuYRcw?2|ycK)&;0N$R0Br@Xv=|z&&a_p*X|PFnb$1-J&Xa*25^3N+ zCcEx@PeVixbNLYXNfb9fN8Mw-BNfFoFL&)#Vx3lhQeUr<8!pBD^|n@${XX$6r3P=DiPPh0lkFuPLL6qz!C&|TN5NR^5^Yt>p5y=c>aM_20k%_ypJ&Cfn?84m8 zxOyjTA_b9W^ThUlD2a%%Gj5lSIO;zSoKBmf?@-kW(rp6(75gCPOPyXpX({<_4bqmYKKyAOTHz?;W`@1*{_lbrxf06L-hB_i`J0HR!sXYILg zFpb(AxAMI2Qzh20-o2VnpSiEVbtJ=hhDZ`k#ezj5neri?h!;}ZXSnJa(hyxcwu7%6jyBgHHS&qGDopv zYi;FiCs0$x@j(&=4}H|dSP)3EwYw@lfkWWyOD}`K^{{#O9PBGk6>22>SvSho6&@e^ z#uSwn?foBo4QMlnQeQlbdtlCs-DH%xQiE2q>C3g|{@78QGf$-`@nO`UN=gmIT?Ac~ z35sEL|H^Ub0%*L8;vPbmU@;Kc8YzMAVCT<*)H+KvPrx~1WPY?4xx35Bs}oBFru!d1 zmn!MLt-*?BkcX$hOJu7*GTi1&TPtyFW<0VMBuQbKHsZ9`YFFZs*-gfkNt@&G#WrV@ zMe*w;iP#w0uF)vZpP7dTlMumpCV-QE>C38Ec7bDB+Nz?kt(T$gngm~aG>U-#DG~fu zK6?6L3C`>H2!MCqEHID1*HPl~No;~aAh0U=!HEf;SR&H6V^%p>?@4&IO=TQQ`olO> zFT$&Haom98&HLB~GoLEw><4d5!U$EAUyPsL1bN+fV}h%@DE$;);kRTs|eY>6Xmtis^fDvKMhW5YqPQgJdl z@ROK&HVLn8+^fpsQU}?O+XZEbxbi0QRV`0@r4zjvm=q z4H^7kYm~%-wV=r)ygGfFL;_MAnSMS^UOpx=r$ArZ_aufQx+68qmFki-cO1tSORp)$ zlaXyWXyyGeoHK`CmsYwFeNW;M`cGpUL6@bEzndjl-W-V|`y(HbY8>vglWk9ugb!XM zZQRkVN2jr^Qw+DxZk$+h8Rb{byd=E3u*&Qu4=2&Bs2{l7_ZY60d*`bpM*)4`K8#e9 zE4M}=-3&A>{o%(ynutzSa%OgJV(aE|2|(zvkFhu^3;?Gh5O!mE{?#^*q5 zgPDh*U;*^};7z1*JnJ6VB>1?hOr*huEz44~oqrvgI>78%H}Yca8l*x{m4^kR;1n=`lqTmzgtaf zpUZ;bQR%mlgUT}3?4J%TS}MA!I?##8x<|9XT9pN|uoOH#1;-C3-qssZT_S+w_xefB z5n*6A`V+I1_mRy}p-v25csSDPrK%M%39oLKlG_jqEJ29r=;g#mo5A?7ou!zK6HQrp znG7!GYa){>vDEE-A4Qq8@4V~BDoavQ8kpho#DuRsvI`}SL#X-WnS^lE?T0p5A^F@< zo4?kv#5Ivb{P;uV(NH6F`)aA!n#6wUZt`fP2yS9>8j?)%dME&}ud4aC9o5*0pAv;S zM`+6;c^HKpS0J+W%vf6!38r%}@`%G%fe$4r@UkLgIt_(iE9D)!+_ctMxFD{XB&kAY z2X@IF*nDP~x}O5H%!<{_H6B zaijSv#FOx9f>Ubw*~r7dx4S{G`-y;6=7S&%*mv)26uk(q=B`EeS>yg)X3r}KRH@P$ zHsJc%|2z&g76mX3>09INH3=b0U?ZeDRQ;JxRf-R1lMyJ|MR+yirhl}lBz4zstZ`&P zSqZk;M*}z%jb~aw&EiJX^-P)LKkH9CDtX8zs`Q$;f9j(ok?Vwoa_iVZ4HUNvYtpqa zTfa8d3KdDZc5xH%BD{JMVghMq>+@5s1g(rERXpAPa&P}f2lRj>NLANKQmzRoh2*Cx zj-6QI&T~IimjE18(t*Q+FS!V>mg^F;2jHcEW5vWr;pS`jAS8wor{UjQcFhX+XdxTs}Ba2 zmnw^$(^0ZBT0j@1N0U(;d%Ej}R~4|IfF`WAT$OTE{SK<{jXiT~JZ+QEa+v|R#pGh3 zg(O%_T4$h7Qt+i>=ex<+x1mv~KXI&p9N<=ciU)%#}N%) zgQOI=Y+uhhkw$$k5|mt9oE*mvj^KMAe@m*m2D( zCv5`96gUeg3X=VTAPE%VxwShR&qi(4IcSqPm5fsVkTc~w1SeAXrL|1B6>qPSq~6`- z2L0IY$Boar9zp=dfl8NOVG zIJb$Napbog6(w^EtFn}dLvt01y3^NWg=miZro1`!3KC-5hw*VDy}3sLA_;qAldlN` ziRQp2?z{|4L`_MLrz$4`DdX5OTTt#0R7uE8gV?I(_TP9&E)6F#mCU+GrbV+<679*? z#ScbfpWL6gD#f&HF0I{!Zaz0t!R&!zV9K?rNi?T6VzhN5`}3)@Gt%T5+XO@wTP7l} zNi3ag!+|e&_9`$*!!ufA>rtG{k4)+Z<<}%xygc^XbZ#*9&GhSJQ>KL$zz7PMid%c% zX5m~mcPf|NH)VT1OsTnL7=9 zgqNDT^?YZj-c*uIyw*|Y2b1QS&&D<;rDrxKlL&$&Vdjcd*_?tqK?YNm%qI~yJP&K# zs>&oMuLSpj`?@m#Lc$OBq62`SiB%QzhtpUsh=g+#Qi|AYFUWxT+^um4G)`QES0iAz zlMor5qqY*Ph&~q$OoQg%xm9L{GH6{E;!W62d7~& zc_KXxk|*G4zpau@D4I;f?*kW+EQ@!d-4ckY;k16YRs`2f(aQWR^1v_)@iu#Pr85}% z-qn@Y9WSjeFq>~olIMA_nANJ3gn#tN2a{nlwlB|~1&P^6cfD*9stf44AZ?hd0wp3> z&g{hTZ@lfewys7|uyCg{!WeCh<2IAsPznQFQn)860(4IU)aJlxc6LTST?OcZhFhud z9KIQn)DrgoS<7ZOhdD5_xU+fE0OxhRMlOwabB9sayvZ8+#L?K!KYq@UC0-UbVz<4# zaU2G2FdO#>-!hi#OTK=U;YI#W+so4YiJhvl2*Og*qxRfIcy(hL3cyowa5j`c7Dw4H z%LK3dF}(WP;lxq72XP#aXV5Z%SF92zt;4645Il9SBJ4vOCN+x4j9-sETO+Btsg>_c z@2~Q?q#_Bl7+HAu(|VF-e$+#9orcH^-uXI_cF>FP>MCd~ODQ!1n%K`zf=EjSXJlp` z>uB>&m4)(#DLy`TG%6Q)%j}g&vOSC~RCDd@D$jGdaa&<8pLb$=a?l?7(lZ9Y6%#OCRj=x07*6V7L`7=Nv%=P?tTiw8UNReZn}c5x{HSv5o!H2>5^N zq)n4amak+$g$tAd;w(y!hA8g5iZr8XJPUmE^2}D@hZR6BubpUoCFiGB#oWJySL=q3 zPlhD>_{2}b)b3ZLF9@4XWZJ>*Qt&+j^5BiGBbnISjQkysm)<)L8;RXc9y-4T&0Rb( z5nkvNvknWkpKb>a})jI~I^L)AiNN$SYI~`%2tq(~qYeFL@V*;*7w~O01DuTcWE~QIeX; zHf+`%+xW&9=w7`1CbVIhh;TJNm|7R(e|RD1`hQ5ms|!oIiv6rVMKilHzw)E4QWX-% zjp$K$sS3nWL-GL7`5w~$uh!t~<&WE0 ziA1thA^&6&fSxAr=RFM3D-oJLX(-II4s=wJ#1|BVFVkxRRb?MWzUnlD_+Wn)kcmgm z+l8t?XbUBg)!hS&jVxrD^*7(WK#1f8V74{XnIKgzkupiYKbtt@T->NZhmyGZU6x%8 z^)XgF*uA$j2+@zuY$Wet6*OCHV&cNm__u!>gbVe>k+${9!*~({h+jDl(PBTa7KG-= zYX0aOMw_nY;Lt%R6=}t_%zW_5C#VPKCY}Tnp$rP1l-w|xLOJ!TvSIS&=3#sk*%VNt zB;b?qQm*5-Z?0$hJ{pW;4P7oV_}G#~Y8Aw(b*Fog zhdc0HJV8Ti1(nyX(ZzBwUaD>WC~P8K#3!dugMHbiMdG7!sd(8({&|SV2>D!pJHV^W6we zHwf!@A<~^XI)SUHi}31nH13W8y<{;T?-E|&p;-mNYHXZF_R%b8L-#>SO8u`s6aVl3 z13~`k@BZ!I|HChs+TZ{ESIlRB|K;C(^>=^&Zy6E!;_pzT`YCep_fG%_-u?glh5vv5 zh5UzKQw$K!{{S7}uB$C>|IKNeE&3ce5=ZWjrc``k#NJ0g&`G=^ zR^y2Uva$NXX=jL~eNAT6((Rna@4TR;2fsIT`toR-Mff{V?%a*uO>wI~4%J5<#j0d? z8|p{^BHkH94KZ`%lSp&B{K(=4J&x`4flu{IB}Oa!Vv0%N5%|T)SqLr|lbDN8CqxPEs6_9ScvwB(gM*Cc$lQH15W< zA+30YX8)Td^K)68o4RUA`t-yPEWzknk3(81Kk+z8lIDL~b5j2k^bWAaIJhe?+HXCK zFv!b81C>5~qY*mT_$qwxfv-2!boY(l;ETJ9Z#7O7#b)E~ep}ZaUUd4uUHr%OKO3cw zlX)Wzd>hzD+>hGu(d7K|kX(8=a{BNa1&3aj6x=FhH0ANw#5Mk-ceaYM95FUU12YbG ztBdAxPR$>9A54?vnG=i8Tk`v7DoLhQjxY-fzyCd8o5tnLg6Iss#U$~uhi!&guGx=W zYgJ|+c{Di7Ho4!+vQE1DqetMakWL1{R91X$Ff}=8(JuUK2C~>XA8Mc@Qf_xu`Z`QW zSB4bN*ahY5nUCTcw=-+gN@j{C-^&F%XPT~if%{MVmGPyzR6H8#I;SiF>f5NXH)Tn97ivTr$!Xcl_l+hPB&kX``#q{6=Imwh#;Jw_*BG5|K7RL~ zpol1rPX`mw(anw95g;l;Hr zCyz&(4q;wb#KDVXR0i(0OH020%{^A9KYclgP>$oEUkjU~{>ugpHTokQA2;H3`aN zjLki-z;43gYmoCE_LU+NfkVx`bwD-x+f|WhRAo|k-i9ctkwj|gxyQ@tXTBy9xT!IN zQ50Wd3Ea9@gQKggJRVw9>opinFzf_fRm9{C;*B3LJb8W#MOh%zhk;2-B0+!2bceK% z8*RKual&$y&73(ZvH5C*l7JjCuMjz`&U7ZB{_MbH^}UNc_?;;wb4F|_`v)GC56nt# zL@a~VzA-WjOIN;&^dxI38H6a;nRK|lc+xVlFNl6mW3GR?KSEif)u@n@sLgQMb%g+* zPLkyFp^e~sla5W`6sgo@PEtf9*L*!ORL9PrI?Co+7h`w?GH(5!teiIQ58k@4KxhF-dTV6dC+^os{m{80#S*w>aEG>QNH}Bt}gzGY)%hz6xdl&%O} zPMwZ*Pb#<0yvPRCsS#>{*$JB7Qh)osVeTorP$N-kt4$C`v9-p!kHb0OtGa|cjel_a z{`@!hpl1WLyE@8W(~)E)11VZB5r-J0zODwl~oJ3$)AOU zZ`I%bUI0lzw!c*oy`2?ydUX2!t>4_q))!yf27E%Q$}goIHTTaiREsQjHC$t;^J#{2Qu1hQCHTiLcAi*;`R;*@xS&O{qLeoy zl>XBpGJxYHIks!nw|valRyg6(XH#=O38UsE1Y2YD)PCfIyZGF736NN7QFQ-1~vj?X=_ zo8Jp)=&TyO}p|L2&wx?zZEH?|RUsC>}%#74}aMH0}EI zmZM|kogsb4Hs$24`>QL*w$pD}C2_vDAab}VKMXZ${tqW6>Z3BlDRO1*2MxF5r{us# zfu~#B^-UkU(O4(tTf59#zZ>0W9IalLKwrL&)t$z_I)(Ml3kw2P71Kv;0YCJzUq@)h zEKmq?oosFAta2g0`_;A4!-<)8P+>t<1o!^ZAkc`{q3%HATjJL8mT_w3bzGOfj#1y> zxXb_RI?=ecdvCK%GPyx-?%YBRti)k*F{BqW=h`AP9RmnKCU|A;*doRrMHv16_|f;N z`Eja(>kF*P6#n9OUm?fgj%%w60;PPat8BWrcYpExL{D2>1ucn0aqd)gCsB5(`)wf~ z(cd!>-g;cXAV=S8?T&9q@%LJ6qF^8nh*Eipza%!&`?a4D8AVG{f%RF^jR$98GRhZOu85elRQPPJ|1A$*Ho;VqQ-nO&lDQL&Dr8=$ZmQe~x%~H5 z-ue|u-?j_;Cpxre70t>LxCvy|e$->W^Kmy-Vljoo)ocG$>AW=wV`iq?g$kMMGGSYP za)*>ZvpcW)o~pXGSiNwoh@Lz4$7dnoWvfMtb5FE<oITv-?pg6E#i*4(F{sC<| zSIbWvSZWkuHohd4sz??c`^scGN?sP^cu8S4+aiy|PcQxZelXT24RkZ)81niZUYWN( zXATb>1Yr;ace9+R^P-Vr{+GddWMU#(s0;H^w%BsNcaL=A1)8Jk!rVWV$Q_NWuJo>w zd(R@>QPJc%@p^@IXkn}WRN8-|rgatJP^5DSB%Pq=XZGgP+%)wC_{$GQ5!tJ{m-xGx zXCB*X%gQbPH#L%>=ic-~v-O`nX!J6lY}S=mY(6(5|KeTXp|O>-vUk}WFI7Jdt*+E-g0r2dAzjJqF*Db9A*N_%{WDh_in+ z#K`9MI+zp#{b=e8G0OkRlD9zcwKT5kC-*;^wef{pr%uycUbOE+H&nQ5=bDb_zc@FI zkWQ-$Yb*j{;_KbUF9$J3Owqaji$wCHYfp>6`!!z&Xg0N+|AzpB7UE=P)?8!x|KaF; zqT9Ced`|#W`hk>O!3C%kNI6ybLph{UHBJ1XEGPZ?m;_aobZ<(e(xJeRe$4C3P6 z`~7~tz`gg!ZCV9Bd!v9A;qVNR*xta$&8C0rY5rz`oQFe5OB?TBP(^OfZ|gYSxbQJh zAPGdAT`nY^v~^zCF`-}N?{#`=LZ$M_uNT2=w(jURiH(!Ma4~isFaYWQ7=m@JwJ^LE zpou>k>*6bYEyNURB~Qsh{X~zw)^+uXnSd!56RLeR-*=_L&EN7u%&DJMGDG9Et&<68 z5I1r>YiRYa%8Z#yZ>b+1U$&hJ(cC$t#)WbTK2Pk_o6TPDy#l^%F+tx%Oe@y@p9;&T zuinWqwYJ&l1vgkR)A?$$eWbP*S?$pwpp=9Kl;m4muQnYR3^|lbZea_pdjay+M+v|C zbXH6RNPyf_6hIZ~Mmsp${T7buJsVJPezP}4lnytB_UeklZulV+9voJ^+NHxWl|rg0 zrCnh}^4Hd>Qu5@)p6qYBh!%bDIaw5dOvFWKZ_co8$EB#g^BCkve%Ji4uSv#NKlS*$ zZ+HFaj6rfM`9#PuOzB!KIV974>`{vZ#(v#Q5#~XQG|U_WJ%6G=6@S!% z0k^qtD%XYvlq`17R0-#Ug3Kp-tA+iRY6sZ+;iBnzpZ4v~hZI<1^Y-MTVaQc+|%8njP+ z!k|9tUUjxzz~}Wi1dP0al?Y^3ynEtQN4AQfOw)Tl#5h7K2`I7QX~cX{ntTxk+p0iR zp9;rbOph;%d8pMKVSV~1Uz2aw`v-x^$t+=b>Ft-KQCX3h)6mf)Iha!I+3ElS_M%`s zyfAfxthHCgMH$%$Wj3dXc(51jw5=TD=->5yj7NDwD>ye8e|Ef5veP{G_{6JD$jx1- zn>}*8_&^36kl#JzMUZ*Z4OD^^w)?nASH?@QCHdVDO_)6XsILh|to^j{KMNdt{}8Ea z8B@F|G0oE<2Kc3@eYXWVtNBz+BYyC0OokClkH;STb|<71eIjp=$<;gizDg3WI^B*1 zjbGS)P$Z-!Or(mRT-w!;%0BDp?JdSC++AN3C8i$FcrtPD4Hw1ko?EyBsLY#CjcT$; z&a()b3FlevxDFnLWX-p?y|{tis76-O>+=K|GasJ2Tw|-87+|a_^PI_xZ-;tA!tAlTw<_3HN>v>_^D2-nC_F*^$Qi$&7XQymLkk$z=La@ zGfW}hZ#%~xKR(y%0}(m07=$lpp81$#lR?M6nWrQpCEU#H+eQF~wQW-Z{tM~4C)4YT zQogg5xU>jkd*o}kATd{dM{A7DCs%(THAT828oQ5w{w*DZ+wQF&S{OkJ6qGrHjrGl& z!u|2yF+yeje28&rM`QUr64AArt@)o1H5~(J8a64#YVx0YCTnm{+{KR$ z)%x~UktHTCF_As?vD(**8N2f}_j510UP`S(G){ZyROmbP-mH*$x+oDTk%mDk z-~MwO<*LJ`NmL5X_E{uHfV9hjVm`JXQpNPC$HhYY4BxY|jwSNtaVglTApqA0T4A$Y zS8q4`N$4n2I?%C|S}Wrxue4%vU{VE7OZspIXoJ|NM4*GB)g=D~|vq zLY!>jWRB6YsC4j0EF1G9%H|-19M216?6IWZEQ|EPOPru>l1g6rB%ow5cJ5b1kezrm zE*}o37g2SwMQI^0@#b``bLk`E54H^$Bvs7xbR7Y8GCRTlb1z30^Ur-9S6M=R_smf_ zUOQSq{=cc4W z;@pdXc#Z?GQzwIP9GMskitOg8N~Y@?RnEfsyU`6PAVXZ8RpfDX zLuuwj9UQk|=!&-8$a318S43&yt?k46jY!FJHzm~E5)A8fS3heu$6pCq|ArzM?oBCM z@9e|pG+r)wzi)B$I$4ynwL$$1aV!ob>NoEsbn$+RQldNU&Oy5(W!dUi1*$BPWrdjh zuG?`j#zfOs7UW)iHji;EJ?hw-`yQ%SO3@Y%*!LFsjiB?QWAO#Z^ReM<3b3e{Ij+A5 zAn4ucSQ%7pu==G;kd3f*)sCcbtbBLmLep}vwIIab_$sY(etd;5NC~^yx5`fjp5EMU z-Eu!;fv?XN#XOQ}ZtweTW28~cD=WuK8G9yhrvL8J(K}wk{Y(P!QndX*oK0UkNVM6t z8xwbkhc-$n@NOm#0Uo{oMnym(jFfqnKK2ovf8Nrog9<5?8M0WI8#9xi~8GrtjOS$#IG3P?8h(3sCNClzGG72PRJ`P zZr@-@#8h_=jXg7=V_Y#$ENV{0qu`V#M9I7(kxS+8pCd1G~~N3z!E z$1XciXD$p2HkQD|HuCfCs2}<0N{t3E7@Mh*C}8x#5Vig45UtwjC!xj_VUWoQWYk)o zyD*I>ZB5iMh0VCs!rQ>)XWBAj&(E2a!mOMyKe_axAr61AZkC@|Qc2AEu26saXuZ@J z;*IAa20=*{K}tz{AE=40mG(7(;b+A~F&g>sjc#)3foebif;3tzq;2iiNF`FqVI&_r zaSRh%ii^gNrN)(~ZnUXPdwhndRFG)D`n($=Xu~ViPmCsJ{P?exS)-6A*REPzb>Bk} z6mT*HK1-ikFsf9UfWmwQ<@ri7G@I+G5O7?T{DZpz@QizXZ<%ja(ZzDUO0t zilfAzhiJ6v^30O}B^8k>vs|I}Morg`Y?SDzG$y?-tD(BQN&m8a7rGU zLiJ>*;@jgQPb?)OKC}BqBNBlI9~Rnhu`gJgNNsqNTh(1(E8gDjJ}5&d)S@2$({mHu zc4OwfeuNyK{KX^2_E{URH;?R8rj1Vip_D4ed_tWC(I+brr1H zPpp&(;FHSq?Al&fN2%>0x8WngEcH8S$2Y7g4@YE4NnUg!5(J&(D6q)4wu;*t$ed$J z0ZSL zovMc)I53XQ1;Z3qOq4vnpymDmpL#crut(G~|*bh0viCnvYB8(dmb{WEt?%KPTD#aSl zV3;S!YP~1kDA4B~mWL^0;8g_f`nOb(wbA5d)da$M$D-BB%J}tCDQS?^hrLMN-t8g4 zz(lA0;7gF$ZWHEb5^;9~Q*E^QU@66>So##29E8cf1s0Wd%CW_8^ zUDx?4V^1#%x=!6aOf=uXNQ{n8E9G;-qcfLiR*D~vOpx@nWohm)r928EYiAY^a4kFD zI*)92+~quu-F8Sl^Fv@8C^Z9yMLanJ;a-@$Yx| zPdlsPoy5^ra`m^M(d&kdqpf9_YGrY1-`=y4sKqkyUT%I~Yx{8K(EWbAgz>SRQODvW z8aHp267{`d1$s}?UK^|~ae-Pa3W?@b9|bV9V6n>Yq}xr0%B;SBx@V_&5y6c&foc;d znv>;>z3JO}-HN?4HMDYqNf0VFclhTXnRVlgy$e&T0f_p)t<(m`;}5f9rgeWv5Kh%Z zizOm)?31{o?^!jNGJwFB1^=M^!Xudk%97iC>#hfKAYQoZjK74XvZrSB#DSTxIb9+! z0*Z11i=>~Ncz}+t5GW>|4hFu)rl5@)GvAPR>uQAmf5KA}E3$O8Kj^X5)-MxKt&;T< z*RfNv3i{uNxTkTy5P?j*a7-1cZk3|}=(iup=)r%ito2lg=BxlJ_g|~-_cC@uU+^Jop``^}}g_uJwR4Ib6H6QVA(h*6vPxj^NJvlH+~o#(x_N?RE72 zUr+*RY+8ajn^@;>waffUFgVpjvUX`Baj@Z=?8L`k|JLYCtmGZ16Umy!TN99((-uLp zWI#?{trr1N?M%;UQTxGv-+DA!&l8DGW9tjr!dU#E9T(|yEbr(|n`r*{*Dhb3-WLbvb${bB{a#Q%dbpL$n-7_QAEMIUqF8+pC}BkwW5G-~mcW+v4@1p>;keOr9ZF zrioR*0;gLABHEltm56U>+ig4FP@$KHgEebS>E$!)RZcaez{==sn6ZtZI-qswfJhxTObo!OV z`sceXp5qe8m0ImY%0MURA(y(}l9=j&gOjDMC9xZ4vG!LA!GE6c>qk~DyW;^Ii}lsw zkIrD=;E+0uW@_bMKlAvVg8#>T#x75!uAxGE%)f&b1*@{mNL2R#7jfi^2Ic)6c}}h-?a*S4IMkVg zR*Gkvk8fS54GmjljQWM|gtIcBw>6RfeBc4cML)|ElV?GH9FlSL+9f6e6%*%vXu7h( zA@u0PjQI>-07T?Y;+3T&k3GN<+>ULGTD*`Gi0@s3V&XMn1YgC!fx|jNc}6QofGe zKJBy+e3m03_g`ze4O>yAmOLU}l!Z+P-**!}nK%^b%Kv_)6M)6Wi=HM9+#KMqdGfV0 z2i4Vz%n%z}96g=q{>vt#+b*RDzM)?G-W(rNs4%>;cG5B9Onqpv$xBm~94xpY?hGsr zM%1a6T7A}n^X@c^XLmy&@v;y_@aFEGPZj5SRCa%)B23*^S?X8%4G4pC`)0HWGd3?9 z9-aI?M4?nS{;&eGz=u!&NlK19Wa`pJIS@q3cfIj`ZV5cPz-R}dmW6YZ-Sh)5l9O3P z)f$z*|5hUgSfUqyXz~TT*0#{yLnNoLnDAYQCH$x=9DJ+6RF4%)QB_V3QN1yY%TcEh zAO}4*v9}J^))yHdODIyk@{Q&e96?k58yL6Su)Vsr!iw=bMzjTlH@Lk|`4 z36AZ3@X3iO(`qWJ@3wTK;~^7EeCe78<-n)tApSqPVA0GQaOxFV_3PtI| zAte~oS@UiWk)8}xIOSkB+2eCPNcP}0f^kH&--po#df_8)mZMKyUJ|EHeG~r4Ne@SO z1u?#}DHf@#XuLFe?rF0eeuCVEq6YYxi@FITCtj`0bl=P0^Hm$me&;*bxJW9L4xXXA zj*{V8vZhm;x#$+)aoH=2nlsVIJol>rKw`MuE(Q>90A$Znw zIE5h&dOCqgFaww9XSU(q;IK^aebY=yX`4AW$+EELbIEWzyA7F}Zg{ha3d?R>!P^%J z2!bZYOVyse+j*KWR;6FcnI23cD+&x@eRH1OX&V6s#LnB05>Y^{KyzQa&;d^n(e8zh zkW#fGY+R^}jn7Mj8MVkiyD4$6#a3-CGw2cX%0k-@_YbEtq8V9o z_Oy+D-(vaFg1gAt@#@Js$Dzda!QOEjEyS$CW{c%-W9%%0B(99Mo0BH@T0ulA#WbMA zKY!Fwk)V<%R+ni_fJ%xWDR8%~McX;3zW1+GmS7N-O?-XrEHpF)nIG4XSLp4ICXw{p zIvx!X3={=oqL{E^>njW6gBPP7&Wnk+KFRJN{>>-dpks^l^fIyEMim|k;}AI-s=CQxnnK>% z`d3kkopf5M_j@{SqY}Rqd**2=S*Y7;VfVF-Lt94~`eb!kN-@Eu7v1ivI67&@1?J{> zb2zR?J^sIjwo&1Qy1N0Dj1z?oi`nUGQWcVV>?MkmTA_{ zOW-ymKWmGbJ@xO;BELC9xTqab@}L0rTq0Gz?mLezpsPl87Dv#s!b=MHNl48cjgV^4 zc@X{TlQXJF>+&_1TI*xg3aikorPSXJ0jrbA9yqu_5yUhcC!P~gN=V&4aG8ByMcL+7 zw6gL^XEl$!lf5((;|gh{pAw6Tw6`uxVD8Fsj%^*y^qWw|DqHEtWZtgagc9+Oo?&=o;95LfB7$`{xraC@<5iO95Y`y=a3&n2c!XtUs zqn0pMcRH6R9tKh~X6#0hIWZMUjCvow35FU9w#Su<*_;rEQ_yFQo{Uf^Y|Sk&fBi5n zppHHWk9R$kgvMD^($UsDOGRsk8&}63ff7NWkI!e9WtJ3)os$I(c3JNWAcHpmH@k zr5GrX3lB|}B$cVFj)gRQj2@{Xxl-csNLk1{4}q~0;?-7e!R4aS_-{%1)}JAXL>-NB zDj<>g`gaPxzVhJ@I&4A}skf=y-mC~1;{#>#B}ArM1HJjxO4Mbx*UN;Kzv=`Ph4JXl z|En-4KC#R?kx#EeNPkuD{!5J{5HuwT*m8Q{BMzACAG|~fH39WU$~zk)M}>yn=-V=o zKOB;LIo3HJSkl@STfGY7B5vj+RQf!z-@{@-MW~&G6D&V7nk};E!(a%}mbDvuE;i5O zTD&Yxo;7+P>ZBT{&QL`#HkIdBB>$PsPHvT$ovy_?*uqPdFV0;A0j5X`PG|FRv5@IS z?hxQw=a~s0u21%HoC$9VlD{efac2*X8>-A~`X&)2iT(#l zAvvmcG-1jk%tw$@qZ6NTI`v84IOL}OeI?!W4?3&GL_1#0ZkRtgGoiW}DBeru5&_1Q z3p>sZ{8^WNWzr7rr^;~Z3L|aJrNbMHPV6`QfJ&c1>#~{MKSGIc;4{}?n*0`K$(nor zMB`|@yDsjSfyYgJA@egms&?r|XlJz$Yo5jPYi6Z5^;A3wVUo~KeO+CKoe0s=sW#tQ zG|cc6*tW6VrztYd+SB=3-%8hqTAJslSPrdD?LrmqB~5 z4I?O^zD%x{STuST&o+D{(dSIWkz z7DU}HDg`u8W`dWXF={@z1JGL+2oinblO+a5x1qEBQmdX6Db>4~{8-u0*&Dlg@D zX4@m^#Q8e^sD~CZBU1!*w?0iSg1aM&*$h=KKR$>8@2r>VeJttRfdALU=)T5{SGMC; zVdg@uo;2N5AzDdP786H4!WXJfMJo1RFI6HyVJlc_(*Ga{p%xD}`&c11(BuXChTy~t zu_4;*T)AY{rtXLWasbvWfroRq z-#GQi_xq3~8Dh7M5%#%?B7m&VlEs;=JM@{xvJQH#rfU1g&UkBD4!X!s+8Wrg2)23} z0>YV|gV}@G_?lcY9{mMmj%*m@v#oiN1%KZ+0m97YCoclewnr63k>)OJs({vd$kZ?{ z*4^2RDX>5II+xgM@wtSfZ45D~Fn;%VzXuY>CPj?5SDQL)v6iFj*b1W?uJ#Br<=B@L zHq+^^xli0#Nu24?-7uB>_b<7r{T5%UZ{;#aL5pH_?*?nm5(kHv*gT~2xZ#!)FT8Pi z!Fty>g)2|x7fyN@$)t)UrqK(j@0_7AlF4=c<2NXv2Znv*E;4jPF&dCD z7>A(fSC+WZUj5jOm#Kx5$Zui41Z%HNF4_Zz-u{XEDFn0y^QN=_yY|YX1c2>^-Im4f z`)JUeDCgm?6Bv7atM*L}Y(C{Tt~?#-YBB?2tILE6h;yr4*f8zP1&T(k`#r+Y_njD6y%#jLt%7ej z=E47mj$ik3sIo-h22~q>j;lu;gugj@C39&w*(bX%J6gK?M;M{}++x+@V zpsn2YQn@*kpB$Jq_sMEz@TeCJW7eXa+{#JSbs9Tp0T6wrWsf~fuK8T*S}3w=xf*K! zaEaY>0f}3sYO98&-}xPF)+4`iPEGMi2*^yg!Ze1d1zVl?Vh;Lh)*H^wZMfXKX9%DJXZGhkjE%Y}Kp^iFXGXS}X!f{= z0YYXa{)@@~BvWaVPknen-TDDSy07tqA1`ORF31r!wzO%>*9x%~&#iTS%+c-kgs=#ZXxn&t z8CI5Ky;+vP`__Gz=;-k!Lbv!&hZ^g}`mZr+dFDdL5r&{hftdaRY=%A*l|xFcOLRB19or_5SrC`vWjM0gdFV+<9ke%^FIp;?6+*zivKG1)xt#T32r`?d zwEG&wMkwOwHrZ(XQjBW39G5WHj?6^AUJWtyGok4t`psg(1a$nq8{IQALz5#>Zep3~ ztbX#`)^M0+|32h!^x%(hv}shslS1j?nW|6cXx;HsN$dVgUDa)j&~w!YM=s^^6lBcG z6qJ&>q3gz1N)goTA_J(;J1$j-ZeMmx8zC?3NKq2j0%zmjOGtE`zXPm$hdku7O^qG2 z&^0gGIAnh*3*7B69__^sUYaPBP}SxT>Nh)3Wn_>z^#kknIVAEp1IVISkKgWNciWNM zLC`#ZN*`4?RzVgE{K8d;kt`flt1ESK``qiS-@$j9*1VKHfx%c|*c?QA?EO*w)CJK% zSL4bpk5l4`{Q(DzD^t^>uN(sA8A+69{+ME#n?AL|(&wIvKw(L7F>RR+pZFl-tvN7I zEz~U%{QSzIjq!o`>_)q?;6E-GNttdkul${kTSx|-cZzVZObetlu~ct7O-`N-oM$fe z*M%PWnwPFtzeVQ-+}tqhuN>1zed-d`|He%9spK~hn@S5hPR2I|PPlQdfv6r?I9}zI zxj%eaAQH{Ke%i1Nn>kutN$75hPd>hrcwD$~t9uj@5Vgg6Yf)hmeM`8qU^3OpY-X^Y zJT_UHzS2@r-9a}VFCnSC0~^&0*Ir^ZPudM^`F4lO*R^Gs0vfAJyDyP?!y!dumH|;@ zI_W!qSYB`?a`$B=*~cb3k@N(c9NtiB-*A%`l|t4MpE@I*9xCJ+N0ogOFoT<=wuDT_34b9;K85TsXNt25&Ls|Sxq`R7a z_skxjY(#9d`Kw?(Z4&bZf}u({RhWD0AkmJaLS@&4_)ia6va$XJ(a`e#o+h*J_6+1M zjP_DlVjb?0i5MgF)<{RIf4Jq}lr}rz>~&Aejcca`-7~b=9HP)1U`TUQiqZ%x#eEBm ztJFUX9Y?LgDatgTO56&WQv^Tu5*g@&$|8rZU3eN3bgXhIVRJyB#N}Jz8;=fjJ)F<6 z_u4Q*A&u2Vex{A4gng|@`x~#TE*fA(S$fz;Nd`Y(FLL)<8px;~AN#l6UeyMC5hmZ= zP{oLcZ7juZj9@ZJXp-?>!alW735r(Y%0mbo<_Mm@*0y+%$`KBkI66_W@xh9*^8sYv zYkf`Ta1&!vWin|kKk9@Sz#CNF*uFARGP<=a6{h+Xk&K#+Km1GW2X8!p5S*;_;(7G$ zA(dkq<7$=6c6(Zmy|GagWoea&b#*LvKcwWp7Eb{DTARw#FFcKhE;peZ{6!;>$-X9v z5P(rxB-B~);3a~hx?@uL8oUaJ*SBEHT^;~nR6Y|9#w%blM-*@M>_g5og~6ZDQd&l+}4Uif}|LRIF%;@FK-4-*iTm#6wLe5ZC>5t+L`GFgtO zda3bO8=|UHE0XZ1P~*7|FEuWz8qEh~Mw=I+Z$gx(yOu20x6gDk#ny&o;cf_%7<3BZ zU@%@sun|xz{BG#Rc{Yl!^-`=({rXsy7!FqE~MLG(tiL4d|)kAcRb^uhZVAT zSlz1r+p?6$H^K^nEcA}mc;;-!iq_i`A`+c0O9UVy;_{Ee6G((ESpC&qh@w@0Fj16< z#JPvY708sk5Y}SO$d!q@afu1uMn}y7k^CfFiN2Skn+HCiJ>1OYjj9(j$#-89I4}-p z7wqap2{-h}=Lq)xP*>6WJ%p&AXbMD()Ph$o!9bDUeW@ZwQ{xP~{*D;+@AazIVnWLm zU|+FzZgN-)X7%9dt@c|FfFmeRM2^wPUK&9LNqz@u!UxYUtxG|=BOyiy>WGwlhy2HHR`UsSdf&ex zIcRKpIlJ9ALX`XX2U|yZDH}X%L$^V2KD9)OyH51TYnl-Oe~+C{wo#<(U%ynVkG~L5 zB8V)dl(oZ+Em=6|IetYT3mZok2P9`Y^I*A<_3K+dyX;hAo$b~5l1H&BvE8^jSIr5=ka+WHabM>X0*M1VTlMzNnhj`WEW-wKv2@m z5GJf>c$w0htf7>=WRzPh_5Y=rOS7{7aDVqlh+j;vXc zs|6=PD>ZYq2WbZ~{RDIc}1kH7hJuzEf6J2BSjqtfoT=wzUScs?4>lZEKX_k9%lixe}f6Uzx_ ztw*Bi`oSd%;6!L@)yjJUzVl#N5~HW#xJZC=w!JjX#R~vV`6p)gxJxeB%RwHV}}jVN1>9k;(H6(Q%h^+?ri;x%$rf z-(Hv`gTy=-e93CZ{5>z|k)Isde8PP6T19aaApw$7GTj3oL1k&`5EAX=OSKW&sxy1= z7nDU%T}6=Dg@MM&sA`qRk3cuJ?eQK2)X>!xqKiR0(9h_sE!UcSdB1h&tF3Hxoop_j-`qt6q-b#MrQn;xD^?qrGnx*)=l< z$ins($3|8h+;}uZnqGCMHz5#uyqre}=Y^*mmW1M4Uw{<5cKnpu@NIN$o&M@)9#)F2 zez`EJa59W- zkmO1!eQfGBG4)z^3{4h*kSY+`%v%pv!DKK#xt5eB-;6i)e0X73y?A0sKuZ$ZH(4&V zB3yf^(YhKt32ZY(qVtJ$A;(-BgdPt)GcOkl1hO{7tC)1~Qui>H2vxK3ps-v*B(hl8 z^PRd|J-W0}QX*DMjjz98>2PzPiFIUqYb8%0Q0wL{ra!v$qbf%-J3XG6eEOLXMJ5*0 zE(7T@Ha@nO7loTb6vW<{Io=O2f>XA)$_plKvA5o!(vF9pY@tN$Qsc7`un6d5@!_@!fggx_Ju<(9M~9RN0cRmB(&Jpt+zd$ zG^zm-FZk=96ZObStVdoOnOp{jZ-r0VB=I67_jM9Z6p?)86Cn2ZGZy2EnO@{qmp+>C zLz2u%(U$7$J^1ygqSTHmxm#y&`WjXd)LpRqnn-k8fTZ1owJiF)#M~?Pb7T{N?(jukQWBNr?6IBRXj{_H`=~pB9|ETZv0e0=zN3NWdp|ua72z(Rgo2G*YU+Q*tV02~p?Xnz~c(X(X@63p*ZZ zta)u!j|=$UZ+(%qGoyHcNLD^N^AcChpsml6J(_5y3e@gPRF^Z?Es8j3p}&eErDES}XOWZ6SDc-~p}jMY``Q$%lHmfd65Bn-095gQM z_CT#SEfFZ0Dt`D4Idj>zl2}T*H&$H-;n!I$3ZX1Sbj8`@WTWED#o&EW@ z6tl5fW||g3t{9lSc46oTzRK>I9Mq?>k_lF`ZL64`I@2FDeK5;9TScOc3CDrz?}mRZ zNR{5Mko+xU?zkU+zhm1$=S6#Ke1=y!>c`if@=>(i!y#%)*^MKIL}rBpmuPE_&gVY2 zC$i2BS=eY*p=BEWI`bsJPc*5-vn+~z`ptapknKX$;i)f}XYd)KDR>ts0;v4&-cYiwj$ zc;*Y z6k~mtHD+0G>O=&p%w9X{V?ubZG| zCNTd0lnVDkL{~kQfJ^~B@K*Dcmx6Kpn&(48KQ#|LUP{{7%paEc(wvKAPE?#6Jn0+$ z&f`Tf-HzPy9#)v=0Sa=GLVO3~1dKaDaDs_zo% zjV&iUE%7>{WZJ8R$+tGbW*vmzKg6n@#^Q$a%j*NI%u7UlWg)#XI}m5t$=avS>T z2V&4tA*e94?r^&>y2u2{{p?C59ES(dXG473;uVP{>4O$v{pnxTtDUwOAcY@vgDfTk zHGK}{QM~68r!FNiV9tE&skEaBH1^1=y6_Q7AA86dpne)6+a8$uHtIxbUkQs!c3@>+ z*zlh-$H8t0coqfrqi<1u62cB*&s_E+1ptyQ^uQ{x<8`S8Fx6|@b6X{DML2Dn(auD< zkacQj{faE2BtCUz@xqaZhXnbXbB|;heo?5l zetp@(q-fKlzjH}>a)hXqR7_ram?R>pWa$31=jirq0gc_ggqfR#fMKI*IrfhD^H5uv ze5(UE#u&$s7m_EMNW&R)|J(*Ffu?;A=ybq;R)|&j|LxL;fZc7|QtaPEkNTVvri(KW zTo{z?`r2*hJd6`oO1|}dyTU>G+jpq~0Uy&scZjmPBa=+q0Uj@q`R;nTBvvi)0Oo(1 zFnkrTGpCj)iP#sJ^A<`K7S0Bm%r+d9sMIFpW5h)<(fslKGusB^t@?!iPL9Q)<}b_X?NdlgUAwC>l`@n5-X~=a zcQ!r1AsYG_vpw>pv{rJaUU)ddC%@HoS;^Ct>`vdxF_Xsg++5by zFSk$Cw(vZ8q1o+w3#c=eKJ|0e)Gy@j!cQR@Dqzmw*E=%t{3igEJ+}$MB=R( z;cwNQ$qS4kqFdP~ol4?=EN6~QqFzaB#pEd*o_4B`@X5yD@%8`sm**`qRyVK`Qk{VP zz}^%cBzom(=)xgVO;$=oV{&NylA*oS z%-~ysLl-V0X=nYTLo0t|u2T7T+kU*(slUg-c4HF%&pWN+r>CA)4Rupyqi(kKPl`gj z9Q~?pv)o%7h4I@!*^N-*zsLrKNKuc0vh6zGZndk_(o=4B;M zzc5K10Z-g`radhgYV-$_fYC-E3&|nRGTz$i($6je)Yu6fTP}-WR!Vr4mE;reiHA&f zA|mvQA5@9figGrp}jW>k;NouSmP_uW)MOdk1Y^1^q<%Mup=JJ~8MW{-L*VE+0J&nBYO zB1fovIo3DzgO{pqlM8)yHhqEeq6AxS#-0yGCTmjwxxwN@YU$Jk_#OmZtpp}V)KXK& z%3>AS9C&^Az~ng&%Ws-xhQD>;5;3=PdT#N$?#|{oiHTebXEU!t5Ah=kT}P%1J3gfV zN{qhv|5JSL-y!}`mYtq%k)YD4>AQ$TrB3y% zDwgj#vz)G^y0-x3ngft>49dL#K*^#~vj9NKQtsUlBxThAT0o`0-HD_e-`QD|oNUXI zQ?;!~vOOQ?%vwAm_~Cn>=l6j8-Z!LS5i@}XRcCs1HIKK+nvL%EP$2m+2@I1!-rZIc zuj{z!VM@wMinvBCXF69UGVsO{7l^eM=l~Y7I#Z_#>EY3!ZPSbs`AQ%wE|y;ZioJhU zrQ#;`>1Cal9B*1C&BrzoM82r>`xsQ(+5G;xL>iG5q8a=US_n!Ci=aZmWc3tSzr zWbCuYJxnx8g_+)%!uuZeL&t#5CJDs?*YyNwE>9Xg9gOdZuqat!36#gA%wJw22tt|$ zQ7XvecIDk;Ehfx)9De_@YuOk;rbj1i70}%8yz;O(e5nvMCyOBQ6GF?6w^^g{JbKcj zi>ya4KCw|=So0Wvy=?qgU)z(sn=*jmAH47zE#PR;^)FSJC=Yr@fTeqrDP4MOZ60Eo zpaiqXN?v{tqC3#M@dheH|Km#z$FGajjiJ*u4?^gdkWF%E^eCK6KXCv-iPX}*mFPL> z#@CQv`w9g`5Sw+$sJzuQTO*C&n4ZUJPVABYB!6&j#XZ!XobO-|+fkeh zey-l~l*BQ4+QS?6=mwFrSo_0+zt#eqLgk#DjDK@`Z+ECKvu<_nsU=~R^RSxa6w4`_pxw;!!6Y(crxWG3ldYom^{IiIOT2ZB4(MTMMwY)-2d)m$+sX^ujBDBym&|Pq zs=SS5p0#yZP6hW0QgfX-7^19cT4a4T!!R4IV(uWs@cJ|?6!X-J&GcwaOU{_|ofmsx zzn+9f3E?acvgr$tVxM@LRpr&S0m?7)reiwocjlk=P)f}_8dx+CZgtMkf-F23TBJsC z2OV8jxUwf?h|0Hbk5RzmzEhtCLglC>6wL#uEdoRT#_w(yGF}y-mv8Yq9n%DY6FZ?*TS3PhK0R%rm?tzP_nRylSelF zf4DaGUtU{)G<6Q~kx90>zB0bY=N=4n3Fy&I?fJMxVE2AU0=oF1r^gLXQ|7i8(nA|# zI!=;&?NNCa<5;+tj7s<6Z){6Vzjjd0i~tS5Kd;i8^ijwXQ8xD@!qbbW;)3bt~mVmE3f>TZ`#yd3B*L3iaytGUFy5- zl5FBMzjRP%H$8-eHk4@&kZ21`=PDPD+l!?2Wmzga^{JCmr+Swu`;&z~&1C<@{O@0~?Knx1=X z7{t?NTLX#_`^>eSd&NA87(ZI13B7y-s2lBC3)PlIfzQqalN660wO(2A(bqn+ru?g4aO2)Cw#Y^?q^;nH_VLu;0zV|NJC=645Hmhc^oF^ASo2(%Wy%aud*o1w5HCNZNQ&+k?XOr>juQ=0BUD+tgj`tt6gdAF_(ks!x zUWiBXPpYP2Os1bXj-KU+ofbtYnaZ}xRC?F9!YV4yG}h`9>+;;;ujIs;M{_Lo_x@JL zh>5@W$@LV4imMbG`wL}p7>U>Py8FJWrURJUh4hJUQG`LC!LXSuuoJz& zNK{3?bZ6qiXqUiL^~;QFVV_OQE-L);$b8k)7z;??gI5;Eqkze#*QLx)6i+n4&$mt- z!=M2=4LB_0@bAYTE{YZUdvGn=;W)7Ny5Nm1(T1F4*$iA%;U80z&QH za)#niba|p@c+76#p>q6tpXUG|EDZT4F}9RGvM7G*#74?CNk$4J{wCgfR=JAWF#2g%3xDUaNlSQ8X zN_^tSWWqP5Gxmp<7OuNH9*=b1DadRGTOmo}L?hdY7j=+$V?#3yES_8~(o%Af8sA=d zFk0G94?*Z6Zn0Sj1n=Y?j~=KW_`XLvM?H%NDwUNs_7-Gu!>@d+M&BL!r0sMfzdo-k z>Rcb=)gp_RTc5-q_qEaZE0AbYv4Y4r$aT2iS<|_4Jo3S?VWBcW*jY}_37PlWV|h?J z88}?JeHK)g$Tmf#{`g8C&7TeHWVCW%26xr2DzYp$+w_WB(LWgKBJu7SLiq6A z1NtkD7?waRpVA&2V*ob;^Jy4Sp&L7NF)v($g;8KB?gt-1ONXc0_{35 zc6+9V@sIamiEApZcSIdU>>MDBRSG(Db!@^sS}yY}rG>f}DZ7RpL0v za&?`=yS}r2mj&^jB_x-HG+bC9{FXRy2#f!^hY(dIpJ>y+l}Ipj7-4DR%1026)OOm{ zWS((K)PkHRPMs=GnE30^Dv>d6EzFA8{D~Gvslu&un*-zhPH^u|B)@e5X^I4y{XK-A z>DJS(#*F>rOVUa*dTQVq?-xb%NtMH?t(Q)q3o0xYnbXK*dF)Fe{bqDp2*)>%>QEyZ zRSFccqi4*;C8GMMt(kWD#NGZ}LOPB8_C3guX8V1S-1MZfUw(7}B~DIYzutI>3T#uC zNo>)?Zd|ot*>H)Mn|0oa#mDY)jvl>oP+6`(-W4)VlI_r(`yyChmN2<|@RQDMbR11s z5_QQv+MCWJ8q?_|Z`3EA7uaWkhPSbTl(O%8NQJxU+ue0J=I>NbGmE zvxHtu*~XJmmth%?Ejl>zqD5>3I{gKJ)Ue;dD3Shc?b`(r4fg%`!NsV@CY|J*ZC)wN zSW8ai3*yqTsLi3Kp~76Zbo+1`(DOXS#Xj{u^!t$qZwx>ph=V3t<&$cj&Pr%ZWh`yx zZNE+d(>H|wwMClipTN?Ai8W;st*t8?FeWNe6DM9$mD$}o52S;>W>+UW<}%Kg+-#cYk?rLG-~Qd7ZmmY8u-rB zt_6XcG!T1h6bs|kS(a9bI{W0uz6DY)w9Q7%rlr~1QI#sHGL+8Uml}zfbv`@(lCI07+ai)7d>xtrUg8K~oz9z@zr zi!+07lp@zU!>ZOQTsW zI980iD7BEE>t6Le13^#);N1{OO#`KN3Qg zbKe+smEP*<6bGr5Y&<9IiQZ|IH-pD5dNk59het0P7PZV_+04_UQJ2J4l4Gcx!Hq4m zXf~f3{rsf1UnMrKJRCujoUSNB?J%iks2uvwj!ZP@wCy`=%(MwgpXQTq6ER0kNfvT`ozyR0tWbF@kIZ9ELRDI$K zrt6@yC%tN~7J)%6$s%g5-_0XyLu6yC3 zQWBN~v4Si;@@=+q;p5Nhx~)S$$dQP#W-W7Mr+en%#~NAQ{sho~KL{yGq}DFm)oi9# z`gDlUVC^JCCOJOp9#fzs{Yp_%M-DOYee2!u2tzAMvQ-ECk0vI#|OP?GF6q|_B4Roc-+dSEsk-m z<@oAyxmwZajl-Mj)LCE#kxK77T`)@pg|SD|-bnLBX!~Xxoq8MUJoo=?`8;1P&F^=^ z>G+xLm{1>+MaD&f_f_=WFgD+S+kE2Kf~tVby!BAj)cJS&Ixn$Iv$V{*J9YWSrLXa~ zEUD7dzg&`GJU*%?(@%yv7j0vC6vswGS>)ZoVkgdY5|TW{;eo!yQ8|}hNo}_1yySe$H4SfCROM3VcoxC3a$fp6#N;@<90*_*<6GI$ zgObJ=l&4D@i#y$Vf#Ns5U6xZ%Y{oFcN&H6})nG#GezPpiosXVx524_!O7y#jF|i!9 z-@URZ#)X$`Qd~iziV7+hQ|E#0kH5CvHj1F4y}&1-i`Ew;Bt1B(S<-13Sb5NB-@DQO z*_@4+?nbu@oMBJqp^p?NUfP6#M~_Y{LC(9Y%h1&2M|}%P68*t3C6jJv{cg^1xU&D? z7%;=yAq}AmEiOrTNqSsm92fn|ehX!Zow^{CXqGjS@zNsD9vt!<0XK(u5Lf`;7?vcS zJgv&A0wP(N9dDs8x!?qOF^ZqpQ>`g#KT=1kO_*H!Eiz z2^UM@Z>lLfE8h>PG`vD$w?}UHHZsi$ zqOeo8K5c!Xa%&#mu$BmIu*X)eI+3kE0nYRy624l5N|Tp1FAR!k+eCj@QjG$c~smAY<)EM0^?&#AfFi4O+8)PJ+msq^>lSwWUyi$aoSV^9sA6C zb}<^EC02aQjnUE)_~>Z}HY|y>1^O-r9J9sk-1}n3V=pYmj*xV`DrPGWKOVlnSkrfp zQI6zg@jIKWIkeQ=n|N)Tnw-{sx3+9Dg3;V6KZk_URiTm^iOJwMbe}S%l~*Q~zxxVc{=AI?JV=`Z|V+Ppc9h z8TYZVssH=G{8YYlAhC((rK}+36`oi-wNTvgzdna@LaQwKWL;56Oq@D7v8X6E-}SxO zlrABKjgG$-o?C_co?~JQNnR0geIsmdFFt4m=Ni=Fgw^z?3lgSWYq#BdMIw?obH@sR ziaXba#J-P4(Zy~s8pD{EfDEbj+L@#6xZPe^hac=0;qRdmQ2U-YDbnKFpwVvsT&C5w zebB!2Fe=qTKZF(_%NXG3FS(B|1D`El^$Z_(rgNiHW|`J*CrqjmqCaXS+Ef-=gEil{ zasZ<-&0cwXR?2gcJQ2%-XCVUf$TPbK|Ke>QA6H^qn z88RM{1heohQr!!St?kJVIs+F|Osq(z!0w} z<$(G!|x<%qT99j_k7JTFp2)GeBhx;CF9z9{?CDBU?N4a ziHpdJtfZP3meJ{JIObZ{M-RWUc^1b_FZburb})6$wH}{ql%QmqI{T!JNzQa0n|O|D z93;Noz;O;j1o5CCOGHcsfp* z&b|#%#L*}ouM(v~URI(ycYDNTFs?E7=7~NU4|uUo&HRK4(T-KfQOc*UY#jhQqHbN* zQAES&bOWPCvqx^7`H=^GTgOdIH1KP6>T?!My{slTeQdn%jXHmD(dJ=RopbmP-*_5= zBMh^#hmK4W-1b*LJ8PGqYe?e$WVt2AI}iWyV(i8RDw;N3LHb|ixOiqFJpN|%WhcwW}9*&w2F`AvhN*ItU-?-vTs@_iCd2A zWg$*O%x2H4sk2a{*k;v?ET=nT9CJN=UL7A=#J1SFNhMW&uv*}uXF0*SZPQc3po+1{ z6?ij>=|CGl9qe&DK|Q^_z+p4%i}Z{Y`HCx_+V&5j#qoGY)0I)@ zj~rdhZ1j$fd`!MJw0WMjlN>GDwS)edjgfLqw{I4h6J3!cv;N^pvyTGqs9gdwm}P}! zHf}M4-Io}JyEPrn-XERK^@yQ8fE!bgQFK`$%T^Y$|C;~k{M3elH3+R_R!GW9hRz}T zM^8P99q-!)pWn13jx5{?j2}W>CYj3iT?s#12LdO4-D{k7;03rtEy6`qY(XJ)X3*a` zs?(XS$H{l*272L^C2zI;+F2FQtUZcShsu&jWe$3NZQu!+-D46*p=TzGBIoW@qpv)g zIa!aFvL6gF61vIbzRtN8+>jWmT)VOm=uDv=0QkXdRaePZGUSq@)AMlX$z z6rA(+JASpe#JKIMEEFd~X;vwBPKN7Zt;*G2d$dI8vm!`JQ{T6+aetLt8~QY-RC+gI zJlb!m!pH-KdBTHHntsis;BBE77z9j)!StJC+}-jxOQ)OlwKa69{f z&O(3NZ&{=v#Q$_%mB!D?J%p=lBi4b3;KVycQJYTp$LrFA(o)>@pR^;zvOftGReNu! zaR4z&(blY-=uyJ5IPf9)m93dem|5bjn=;7Cb1#wFHqlyO?bJ!rY4P!w$wJB^zxObV zb+_5!YC)73d5Yb?{SW5vzw%!y62 zxN+tfdU4LWxx_L~`Oz6n+J>eu4UhlPlA+mqH!~X{#E$3u#!D0vnC9vo7{!{$2*r_9 z6mfZcOTq zV5e`t*p@kxY!%qX5ACw=k>R&rDpDjz&orO2owHix3xMAE31NtRk1moNzR`A1{%SaS z4!Rep{azvtzpf+F{g*cGqr}-dDyV!hkIi|t-SZFtEoqjP08E`23`M@adl6H*i z1qnsj^S1M%(;oG^OR6b`N~}G<&X;X+<59On9#}lgQ=PFKKHmS{N03S{xkTbPRXHlH zumH>LucyBs8mQ(#Az6~xE>!o#B}N^D5%tEIE+93{PYPdx-M-H5hq^F7>XDNemKNP! zq-@Tn4`2l*+WH9X<>>0twVqZvuZ;>E#a9c_QyUTH%k>;qe)bY!oRT7OfOkFh!>cNY zhmQ_1$vxKPRDFSSrZZE=WOHL!A5CFhk~rivM43yQ&iM3)7dprI5f!l697ubY`rLON z-;0q}^qZ9|=LV%54_4y++PO_X2qEs0ji=`h z6e&f45%lX2VY@mc`pb|@`LogWJWn>OP?q2B35iP!7<6iHL1L+o+EolC3%UFKj_s6x z!W3wkXNDjn_gl!jp0MazN3pV+*d2*@Xgw5;UezC3sih$BrPxB69JShQS; z6q&~45^I@t}mORnHu+ry=r&WQaqO0^j z3@Iu)jGBY(715Z6)&0i8NE_ke=X!3##}Y8a(YJnzETyb*_iHHT<1OGs55KP7II9*Q zEX!$&`sMjvk|V))PRG~TBOmDuC)IfeZd`%htk%9=W*$L#yL51(vswhe87q)gFmt(D z$aOSp>H-C2efK$HXtHR&Bki_OVaCH2gBWW*dQu0tc3s+n(KunDnI2O6Z@+1L1Mn*? z_VG(fsQgDUhjNdCT4Vh;w(V#?LO(x2fJhZ{z_2GJrU!yIEhto0at4|^6yu~wgHQ4V zikB~SI@1gX`+eI+@a{Lig-K_wJ}ck&CSMsER?6!Iv0Os1R~7`0 z^T@4NHYIO(y!@agktMYjV*l_Hwy}+h_`^HFo=cJcX@#izW1qU`Rq3Eb@ONOe zwm$Y{;xMVQ4(ES(-imh}O=~`srLCVZ=u$<|#FC2xiy1asi1y6KQhiLM$t?AmA1eT> z?BH)KoecD}WxnJ-xX?zVQQ-RP`RE}}c8?MI7ss?&9@hCA$JIRX@UF}?Eot{90^)vs z`dUbHiCB~qC zv!kmyx37_>J|;aFqR{5(L=OmySV2kEh5HVE)N$M1W`A9+omL^-#|rsd-nv@pl}`tK zEbs0~LbG=rl9eB$3V@0B%=)FjS}Z?L@?^BXeo$xnS~ABNrR3iqme~4Q_a!x%ojBGs zog{gRW8N>ayS}p-Vu`jrn=9MXis>hp5RGGY+T3XFp>2^!7EMaixo98dXT|+0?vP=# z@v4PD%JM1lVm&p`>6N*?StV`-mh^+iP8^SBy4h6Y+q*eJ∋FdeqgUJ>T`I(y!RS zLyQHLuy|ZP_+#{`cB5T|VkAx%Z}rFG-`NPF8Nx?<6hR(5$1*_YYkN6Q-0CAnR%Ogu zt60{zeuA`bV2qZBA3Ks?5GUnZZR){gh__9{bS5E~)V5auo17n5^rG3g>}U>|y0GXa zS#0`FbM*_vEb)u1wzVgn==tvI+*uu|)uWphSXOg%Dpbaw3$MfK=)|~Im&ofgBb8CX z)OpY@eR}2NFhN0*7yaZfdtfshyA}AI^Ez{eQIxDNtHSL!4!}s$MzFlk?Vos^dwJr( z*Rv9H=Al@gq>@D_(GPmHbBikbwmz-I>%62a8ZaG?Um1uNy|hWgpygb0VsAF>H@)N% zlne*a)2EigMOTY6?Ng76@9o%;qM8bH3j63pXUZp5Qso{)yL3wG5|xjKsmtJTqbg1H z+LI73yjhNeQMR#T5#xiQb7~2qGy0u+txo#)_rS7fXkDXrWg15E<0@181Z*7hp$w3P{N4CyJLcy`29)_i8p=Y+_g>n*5Gdx!l>t^=)*hFhxyr$`%ml0 z6R0bd`X>TQ^h>v{bU*}=0v8{m#F0+niOZ5&HXL%dB&nXR`;~z5Ib+P0saW ztn+YL;mF#U_~#ZLbXqaV$^u}L*TDM5lG4R< zhtTDSM`bwYC3sUO=cV{-K`gR(z*A!%$@l6Pb>iK1`&y| zJBcr>zUtBdjXA<@l~Ecx1shPYWOu+;p~j$airD3ax4 zd1~rPi#AG?!6l62B%cSQm2Nlw6PL#ppLz!^N-_|aY7Cx}op*|(@gU9fI$v(>wrJ8Q zr8`-NDztD;a|6%Mx9vwibwgz_FZ+n@75tkg2bM@Tp+5Sp0*-9vAQ4-M^> zxsBBu!)HDY8B7s!QPZ_DTPIa^P>MIcT~dD06UeJNMUmKooI~iX3&XN?l1dK|3YggK z)qmCR_fblLJ|-MIheeaP(5O_MC1TWU-qefZXNCiItfXj0qHk@aY|%olT%F~p4EWAR zHU}08;}e+8^=(g6cI!e8rOAy$@Im8{kN*%Fs1RSj%VENU9+qxLa)x=(;!~0QR?ncW zwX2GVCDlCX49cCyjh9s1;u2>O72ntM%t=+0iz1S1mj3bFLK_}Onut9q7YgD)!_@r% z3VqbQp5C~$+**&b#N-MfW6LsetQ%kV4FhmIvg5IrK`C9u7V;<=%i%HUZ!b(_s&8vl zGEQcaTYDnaTwP&;)%jqEDdN_#p>KK!Dimb0&Ox~>Ur>p@LAvk6>kHE3U@y7mp#@nL z$=D=3h z0Zh<=kzF^Wa(L;0TS`CrF=OAvAKP$NcdarN}X(v6;=75g>Yp2 z0#*3kx{l$7#h2;7)ZHq)@lZFv3pE-!2q}Sm1k(>7^qdh(m{&T+17&II%0qy0zmF;0 zwNR6QP-HxQ>U4+$qO`zOU=kL{_uBBUgpRV=JQXe7Iq3Re)LjLXH;3COA6#PYQ(n*@NzHBjps^wREgO5k^4$OrsJ zixv}C4kiGWNG`z)g`%5nEXguQ5W!^z#>?*m6reKGqytQT_4?Ia*!k=0UibBfuWb~vCNXLfJWBv+;Wa-0^r)y>-vP7b@T=cb%aZJA? zi^1b#gOa&TUs&9P;TJ;l3j1BBj-q%oge3notjj8AQadduTGQ$Ck|IyNJ=7tBlcuQ) zsoFQoc>+T2+xnPNn0g7(8j|A}p$w&*36@GH9eeo@KbJ6;LV^H9D^>bn)cK>*x|_D#$sBGPv3U~vs7L6?)-lPrLrf;BWH!CMAOaBi z>|ivSwp|j-cH`$_wEj4(JY2!oSMshQWUSd*_}CVl&bJ@$ys=1fXpNdR&ZEIt5sdf8 za!*@WWoT2WP9#}C1?t)h4`Una5@KLqiDq&J>Nh8qzv0K<+Kg7~mJ(2kIZ9mq)O91& zHD`C5FiSfvz9qT;#O=0p(kZXb-1{O~AgRLK{)tBVXeDq@^cc6cmUtqgEC!pvLE`1FvNVojcFrtt+B4?$Tc;G}& zoTdg#Ynm#I_ei|6_ude3ODppL31a+pY13p(x)P9Q8{oB>x9KMbMu%o_ZWLa~1TkJfP(pozgG1|M@6Vo-E31jUJGmd0@@g z0KI4=`IuC`{C@O&i;^pvJ6%XPUwZ(=-DiJ=qJSH|ZX!wEc(S@8NaLq1RE-CfD<3sI zMCU$Hd?7E9%wa4Ga6dTrqMq~hxs{YiBT<)ybWdOXcMj#*{R^kcRjg}mjH|8l zvAgqV5^r3!B67ytfuKXDjEzggG_4zNVHV=qqbeWo1kJrAVI@c2@B4Jd7T?+^hZ{O7 z1@C0`589fnkW6R2SmZY?*W&!{>PJ@^#gJuN5H+fDyuMOkP5SHZwm@jljx`cDNFJbB zCDDH6A($$EU8mGsWI;J z;~R7`afS+V%F%DXu+V6y@>;`qdHh&o#_|Uq&*4Izj=uKzieq*U={##XV~c#nkDiat zo3n0R<&xrf|Cmhl_q`3j@rN(jTD8ati`{iDL;tIZn_Gu?$9A8DNr}Oyiz12yR}SHz zIg-%tPzu*P|CZlzETmRq-ua-8eNm3A#QT`EM8$UwjiBxHW}YKe^5IuZX(b6^CU!!gSKu1C;c4F&V0kMyW7ioi8bzb!m+h;%P{LnvXp107qRh68yJ{W zyQn55N(CQ1n7rkYJbhQXePI&@`d-g)oDmzcN{&IVUD~)l+GMeU zNaZV+HU)$a&otK-J_tFsd~ZHnp!n$m_n?h2CNgp2JDSX6E5yTm7VHfCI{&VZiCHy| zBAbV}8_B;2O)mzRmSNTI33v0DOt0jIz8P4R?*?^1t9WE_W4(}xhg)qu=QE9mcR5sZ z@z~T~lT()tQ5C7w{$Ub{){8j>q{jD!*u~7gJVx zox1TFlLvm{QbWnLm(b_|D1gNFvg9D~H#VB+_7Ie`N<|>dYjcBxo=*zmtv}wB^U*Va z?)ubB7`t_0)9I%+PueuRi!k1=t^fVoPzPqErA^Pf*dhYccqJ6J`c)x*0zFSQ>)gzb zEpDLE?}a6ay!1#=DSZWiTu8L1Z^5_4J?NQ&9NjbA!TLjGXgua|{1R1@lMoUZgPdv18QiseVfT?3)F}Tptc)PUB2R2AfojlB?!|Rz# zP5!%|Y!bIoOps-;v5LtbwPw>tAsIh#f>NH6KybalAGA@V*^4MdwZH;{XrWt&$+fzY zd)zmWz^FqtQsijlOZL3V>;$NA?GUj^l1^M!vvRr*SLE4YBQkB>?OHmfsM=x@xF1;Gqw1j#0fci=~27Hac*l`batv!mn9j<&d(K4Ip%jmlxV!v z5uG<8i~eNZA1jCm^1)?28tn8?Dw!10J9Wz5aN0PY1flZtE_llgepds;WqfSNAmQBZps0e*)({KPc(yF&+M zH6=e9tx4b6s0$D!NrMijXy9_*oO;jDy=I)7BQ>1!u#bmH8?%GEqU2-sbf zC>2?@tNrJJ>GXTJum}XHb5TXiK+C?{nkIt?q;#E(+%Y3?i<#yNPba%oLDHsGWhS6+ zHA)5MK3wR29ZP0{^Y*&EKI#~dHr4rkU4CMtilW5BqrOFf9bfohus-(^lR#mn_YUjvjO%#% z)`c!pH2_c)!eUFxa{At$~8vadH5rf7XjieGoi)^%#>E0NJ)2Y=jdFdG6YU=^IJ)R{uytW${TkUghcEQus!DP%q@E)SfJD z{ZFtF>ipEDdsJ7{v^8~1FX5xFvof!2$FyVfPdtmH)XdW$&{YT$w&A{v7076Z@C%96 z|NX)M%!O|dSV2blColx=Xem2+>#XJ(krn8MJdjlRNyCp67<;4NPVxnbd}4L0SpXij zXldfY(%h1i&~w^N5x9}}S~RgS)RO9_=Pi`cMKT2yVmfb@Ukx=`mf2LOKPj!GkD4LP zUF#v^tt`7?Q_C#8KG_a3`p{=p^t2jpAh4Im648me(F8xvg2s6!#`l$2ACd7FcKQ~Ud z1=X~v^6z;?ovNcV7l=mocY2PqzrHNQ!?78wc`tq1#^_=}V6GfMQY7TKvk1TGY_%yF zSQffm;8DJO)Hv}`(GA^lZ};%JFml`+Zj=YTM!oSj#r#1FUN}eC<4;>WyZXx?!$`i| z*eBHymg$(KCxnP1I+F`!F%GZo~KT)WpkVF@E6Ds*v_eYp*;!K$*+But+?y zX!t4E$cOM!t-|~%Ogc<2Qe*>rOr3I8KRgzSL>Lj?JF_u?^^n{_i*h|kH;(<)yD-ry z65=+`OxvWFO&3-?ffaArTJ`fx;2A%76c1k~|JlZ9iRAhJ1x#E0uiC^S5A zum`wsb}pz5TNzKEf34ZH8tv&b7nqtCoAujQmLQNAVZN>FxKrYA@WiQ93wc?M+C-lg z-g~Y!wz020!l7z0>2nB|4{u#*@)A!Bdhkkl7LeA#b0AhcnbNFl!*DEzk_itzTFu|O zvJfFpy&JZx(e*F*h15}KMcb3Al5*At$E&goKjeF7x-@rW6VNgL;Rx~)D=c%By23PD z(bcawa`&l^XaJ>i&8|MpSV;xgV;{e<0cV!&_<=>q_d{G4RCWByqXZEVqV4Smf3giL zDP!&f=++dAqNb(mMQ2jD?_+n~h4F@Q(79T;Ab&6{@pz<=+dUig3dI=T1{Us1zY@!s z@ZPzDNzr~!>wZo?1eV?AO7q{X>#F zcxh3L>1YsSf8Bn#qDt;V@h>km6hR$NW5M_dR6jAY@{3a&rG<}A&Ps3xIus6S@AKnV z&HCs&MfYc7^a7^w(J!FhJ8z99J#KoKs+RYw@H(~p)^K$G)9JuC#MXRndI?Q8J1_bc z$)L{aN~UqFD@#(!jy{1XNQ7U%gfV4)(<50DnT|JKY7|^~I}HKpTWG0SOTAOu7iH#;TJrXdsa6!G<}95 z&gd*0vA&>?#&>p5QgFY#mlx-|@h#7|X_OJ0|f_|N8EQZ2-KZbBH;s zW?+O!uBh?H`yLkWI0Gy-Rsi^wM=QK(?^r00qoup4$DM5zFXjDrPdpq|#t)5ZLCxV9 zy9-qk)b>wcs@VRQHpnV*E4O1~DpGmvqnulns6{+}5?Q2_Tj#z}4q{9DeIHA57?u3^ zd|lxHe4$ODY%@f&m7h>{$KpZ!pbm4emn89I@x}$pVbM#^Y3M-x_{u|hMV2UOV{fkh zdCD3+VZae1ZkxnA1;RigcOF}DO=0aaEEKlpC0XOu`t3`dqol`9ZM&dIk^ zpEv@QWlFdE>x1{_E&RyewGjwr%%BtbQc2k$4xaR5k6IMrj~`p*)nzGhI0+apx#&bb zggFM~?)%X1SBvqM(df25k+7_YL#I^*$KDtQA${wm4ZUTqT1>;GB6?I5i~aLeHGQP> zjE0|;Ky-U~zO%Zx+1CXQqS^Rsi_WKAd*T@IWV8zXr$oF{jGtG@_E@~MG<9BASVLJP z9MGSQGp~jK)Qq#j%m&vMfyV23|(VykY z-`ObPGi3CQ|NnRXNd0_t+MQ|a8^oGl`{uWsEt;{iJU2FPqE}V88;C|jpXN$m#?8~x zGU-_C<94;Yx`?DL2;j?F&^J)zl#JN3HAU^!vx zpgx`~Z*V-y829?Q3XqH<0o{>DOJ@w3+C%wWAB#nB>Q zU$sZGBYlLDD0wkH310}L&Ur+NztMn!B0H8a^E@UwO!Q3^F+2)}r174@rLB!I>7$n( z>NG=Nn^kx;D@4u6o#jeX78l_(Q0{h}vU0x38xR zfkYQr9KC+)QUe5xb$Hl-IP>H%7Qd+Dtt!hP*VR0u9c{B+gB(r86#Z#7 z9cC%<>H1O>?qt`d6}THYSk(*Z#s!*cJJXdRV{G~;HY<&|>@(Gr${n6|Exu2OsY85OR8MpWM217lh8P!ps<6w!qf97`#uUP-+nsu6p)lS_kA9& zF+!qbx#~o|l*+dIUJp8T8g7I8|1V$f7Th+Lr+EUPvIC%$l{f%h1T%x!fOG!e_xpf@|G9{4CjpG*9Q1mb z>>o!L9%Uk^Ayv%V8kqY7BHZiLSf}@g+0$-DTXZsOQwPZMBPZ>m74wa=TVw5I8B7)!Ud9>}V&coY#KJ^zOV92H0Q)92LPAfcuc8w&rpi|%(39S>2V^7#W`A~}(s zJ#&WUixzWW%bF~L>iP3b8h($N;^_a_H|7Eh-QQbQB)%RsF7!_Sha0nsymU}o(i?B% zy~h!&6W-=*fnuMde+a3U%2|v>A*vedY@hT*GCI!8h1xCptur;<0c%GSqZwOigk z96MMhJ+>8*k}K9x&96Sc8UBSxYkxY1ssl5CP!LJJ^46y+p4I8zfU&>&d|7@NxmK{l z*<$sgR$$!3>dtS$c34O7%;I;6{M5@V`lvr6t_@b$YLxoX0Hiy<4oeixNKlRt7%ePJ z6O*C7bwA(nL9?-kgWKXjKAO{RL)%G`V|kVb~EQfpT&c3cz0V|W(yJyib1d5dRHJ={SB_2B@e z3!>{<_2=*0eFdYDwsbUvWdMPGu(i(fkB2%5srglCz-Upmp*wEd*FF|6D}f}=tBuo^ zpw*AvilN}C)!w+ak@XOS(o5Cj zZ#)i=c-P$B$t!EU#An&I175aR7xip@VEyj5OvTWc2*}qh2&O2R9k9X5+{EMw43=NK zMAkAo0Y<6KXX~qNo%-_90H%#nDxKGvGc$F!XTI}iQ(FW9CNTqYfgOEbtnTq}WXc?Z zB!l|1rk>>9c>utI`ZRvc&qI~Po%Q!8CP+suX)(SfO43~R(5{<}@yx2b^GW3%uPL*>F0p z&5Vk@fBt*c9YIG!B;kJAEY}bBSi6|?=e~!D#K9E#PD`tdqVy!pmF9RY#ukqY< z-+2JhPD&p}Jx@37pKFAR95{OSHgv?B7iB1+D5o?-08ZPz)N%@TSHDmaSzz=sK6?!kPn8oZ3HePjx|)V19N?l;76-u z>YLq-BIIEor&MNZW7TPlhX|a}YWUuT3C)`4H#p=?ThGV|hoR09CimS9nOl~*FMVH6?ksf^#V-d|gi+P4&&aw?i64qS5Po@9 z`PSDNo0HJF%NqO@peyrb?(T`e5kqR_=)%HH3PlBKiC*i9x%toUH7-mTnE&!S7smA< zIM~`yWD;6i?UQ7`J#0R^)7>51SZ!&-uX-mmTe}}O3}`sxsq=2Q7`Xd8ABtqwTV_d= zcrr@xnPf;ny&~&}Hg96u8bxMAA-nVR$Rq0BzP)6#J@dmw8@7LfIji7(w z`Y?_xOUdU8+R~v%-)$%EIpl%UK7qD4Kmq3`9&i*1u#GQV(@8%GS}+u{wi5h17 z6_?TG&kZ0R#c$%-Btoeq<9(cg#mj|{$!eS_}sGrCStD_UbKOfe( z)mI^=Fzz758aWx{q~v8;N`D>L>CpBCzCiMc&0jt71l4lvT-JVXqQfugx5V_|MnPcW z{u<29?{vmbLPx%J>GA4(WQa_vNb@&D{-IGk>U-#7-$xKdA$CAP%7(YsMmv&{f8qNr z(w#;%nJQ7sTzhXrVcTwVM=~Ez9LA|csrTcxplK+?S_zody^ZA2z^o2zj;;=1ED&** zTvkzztjwLd9{Kn?-^Mr+Ar(Hy3E*kmNN@KbXn{M?)c>l;L_9tJ&wsCG+g2)%AoYg|%rfdD=yU>ZGd_V?U-hxoyX_DCWD$@wA2V>A=y~VSo#6 z%-XA(HB7ATa=_HjkGmUViC~ZFj+LA5&;>rZK&V3X&P?@}}DVoUW&Q|`m0w->Z zbZqu=06|1qYWk_1STWv>%4@`Xuj7ZBFm$I1V&x1283oZFp8yuO!pd=%*5)Usm(pbQ zk+1U_DUjbo@SE?ALX@YA;V|)MV7c zq?_OCyy)YExuD5EouD$>ar~->T1OXZzyG3jEX1 z&Vkv}FxfTKcP|}EVFL$CY-rgdzbpVYeKfJ-!}1$5n;b|EYSMB+m>)+iRw=?1Zq}&B zUBog9!fdplNoe*v8yA#E=N^JW5E(~CPQcwQ8j?H_GqPjB#Ezqg!0kR$mgrx9XP79+ zr6+p;YzJZRPM*H^^g9%gBnC?crRIBkcj`>~C+~SkO<~HvoZ9y70*4$JoX$P{4py2V z-#OJ~01LT(qae+H?;t3P<8g~PKG`z_Y+YV`=uic@VjTU!H0?^Sz4Mub59|E_U6Q3r zw8AmFdt%+KJf8N-Il;nKj|P~W?frwwP-_C9mB>R# zl`Q>nvsPfq;J};zdg!)jUMR5jnxvGHJNMj__|pql=brQs=mg9#akEP*x7#J4hv~AqFj%*&S`1-<}jayhj-F}vn0OKURr*BPw!+{3X zX-m;$@M%^E%W?Fz?qrAQxCV589VyFuV7W zu=o-tNLJUajGB%{SnzbGAgf&U!eRIAPHm|Y+)yj<`U&z5c3OgnV$!AgI!143i-)H}$02c`vc!RhA{mg&3DDYh z{^Mr2pk{*TBE+IiwK3Nj474AG|Y3|C6l#=ifELHhyIzWq4R5NkK9M2rWGL#mf+{3{b|XB<`6xjuzSSr$O~~ zB2QMItzJ&tUhVFQPAbt85te|qN6^9-lEH24k)qVBbb^g zv2=+U^*x2S_E6KcYO+Wd6oI22j*ODD-|nX0dIqQ?4D@+vw*@a0~=FMjCcIJjVT&{e&y)R>kuUztF0gSDFw<5nkmTQ6W?YZ_C5T{L0yzm6cN?U=FUeX z8TBrl`2j*UcJ2d#5?^&WO5`4m14CQtCI03Fq0#1~i$Er7Y!5^>A^$g7Sv+%+Bgd~j z^2c@pmUY}>QOKjS`{R&K?~l%X1TgvnN981W(I3rdRQAQNMfB~;UWt1c*1%eLTdsuu z-hCB)f0D|Z)CU*-BS;`D@_1m-0-O~50_O-fuc71E$Wi>lGoUwQVb(V&bNc+|WzctF zT#Gl?l4R*&JFIDo#=Y-Dgd-dzX%yybJYN5&&H0&6>ITHj&a=Oj=O&>W^3CwPTy!xQ zJ?$K{#BAltcfE>Vem8E)%))e^ftTL>{O;tiCEUDl9M?6)1%(Nia76-Nb~EhI6N=kI z9bQ%Arut+Mp^#NZ*qL|dP-^~0KQYvLNzVohv>TmKE#e037bAzd zd~PB{zs5a0iQDfWAeZ6!M?NOU8HIsZjQh2m%vHU3xnT3cp$ND4yAmfosTJhKX$`l` zFqeF5BXKjN-v6^H-?^yCOE=GIoRyHMFX61M)Wi4AY#wh+%A7+}C5hgYfTn$L8Cd?i zuf3*^LhIGnDaaYg-xcyGF`CqCOXq&DBeMs7Vm4a&8#BFCs_tx%roA8rCyCM*foYj| z^>k7b;w}lBK&SHi1Kkj2C;dT6BpbojebIHqz<>I$7%^$K=sZItN=3;^h=&GVagp!G z9`womnh?L}!rB_~4lLYn906FTF2=qH43ub25^xwUpwbmdIPm~Xys!F0OTY7d2w|KF z5=Dq@oS|<=bn36)1~vyC!fBdCLXct3w~D-U1t~+^4!>HL=Utt2$Iq+f$CoXh&vqc{ zNrV$*?!GaAyf_KB@|mgumfGi0t8{dxn{@hoFJARx6iTwZ>XR=A^>B82>7XRuHcFZ- z6Xhb*8;YI1su<|vi@+y{z+adjQOF9FZY`@))z+Bc8S!Oyl zD*OJmYs|KiC}~wsINi8U-+a!D+k2a7>r5xTV)WOJkF9$J7mQj6OnZGbm zghP6N3>^ay1EfC46z9X7jIsm7g~!WopO99Ks$36{w2eYw6^ekPbF7E_Y|^V0mhftX zdE_&-F#FXn;@Mfpq^Bow=MU9|N-ZV-K!X>($eO~*w;TF1vFx4iW%wl2MUcDmx(=rZ z`sbI8p)Ta>^*xRMghk@=K}GEStHhsQ1$8IwQQH$+i8ua~W_{}3!JbUL*CnT2w|uv! z-)bG|87=s+0>dmdGFBSn$HnS@6_ZUo#;SNWxNRq;Qc-MW6-{xbx-t# zMaO=0Q--B=AH(&P!I%lJ6sT}&jj*^&L5a)8aH1$=^bhH ztw~9wSqWaJG*lK-|I4K^#K;9`7>5c9JKZCPPKs@}-kUhC zp`~?nImSp^w+>q9pMIw|yE;l@nwV|@HSycDE~F28=Mc|@BGPUxb=-GK+Y#RE)vyh& z`Y#J0rARR2Ws+U6{kk!(0b15LeD+_KD?3`!Ccf=5hc=cGaRf<;O!yb8=Rx$yL+Pby zS)vM>CVzPXk<&ujlTiy=zs7Q>J94(RAuhg&dmFC8e}<>3K9`#N$Df8@o;V1OW#e8K zf+9=Alc^5OdiTq7$1-SzB**YCNKBlI*FRZ_Uyc0MI#U+W;=bQ%x|5pr#I;;{T~Z;W zA^Wah%OCl{ce;*ANwe*)C?ckQ5%_N6Q-_)zgf}!uQqJQ+ate7N@z=w^O>D@TNacAE zd^xP^hxG%$QWlx=&s=&_A=v}hSy97!K^8?k=LH^LG#a^JoA0@BT03@)n8|euez-^Bn|1uKz!N;Qzlr{{8_RY$Q?O9}k0G z6dVQ~f!2o!cB@2-D>AjND9I45JjcF#tpn0A*#4l#q4K-Wmvf-qorU@TWk~UmNd#WF zFXs`fn=5d;QGZyLwgY=vvRZ;nd>xtbod6J6lB zWb{s9sX2`F{m>+AB|Sc{7a*vhRVdXwdw4MPXj!z{HJ(iVL?s_zc^Cyfk01hXb{0^ap&BRMw2p>W=YNgfMwWbm(MYOgrJUe`lr5S2EMQfI5d7(s0kvPVSC?9 zBi{kCxI55I%ehgImn!w{ZB4=t;mG=xA^jl3vsEbhd=lHxI568K#!+xI@^^h?_5zwn zK_;A3N#=<1-O*JL#Eqc?yVncxuN~6F+vxdlD|&HVo6TkEZKR+>V zf2b%dq2tYVr>}n-nU!A9y)986bbqdC@s<(A^vHn&TTguwKOO&fBbQ!sm6sKdLC0Qd!*h5aElx&sGoMd5v325zczb^-v6vrCceG z3hI1EufB=g-q=}C$aYZHc=pEXxt&`b1(-;*2k&I}`z9py`k$2MC#a^WY~Nep8lkOx zG4Y*B)I5t{U(-r5NkCO64&!Z-tx9<_c@)8_eR$fBUoC?nZnX74i;ua$H>P@qZHGJS z(%d*q@YUz3wI^YVCYz@YuZg4$@um{Fi9f#_`lkzWG~T>9}K zu_3S~Kqd6)rET@POd}fa6e*E7g=M$holzvb?HTKNvnF1}n_m;-z}J=J#NfqBsC?ZJ{LH^_}YI*@ugr(4**Ep%wl-FU*ac z#;8W!7kQORAA4XyeK^$RJm8;R=mvsX^)b{^jRnAs-ujp#la+lhljGTrAHW2C>pC<^ zvSO1w>n48r{IArcA<^WGV#PPm4<@tMCn!at*u$Q$14<(gA~^UGTbcHIhCr_!20?2D z1}O5$$i#$uM5kBFLNM$NR^;s=;hU*V(XDMPbv%CFSUZf$>m1-7UpOdd>hqV~L`D#V zWdEv;@UpD_V(i4tXZm&nG9Gc&s@Oz(uQWHnWVXCfV*SKs+T-e0O8sIqivOvIm_Iu2 zVzLM_$@5kQrlOl~p^T#>FrDr0ZAHy?AQAYfQaTtOcvbuEWli!emj+ape945f6}FsXE5ZGy`yPp0HMTgzt#m3Z%%Z1tq}@Bi6iL; zS%>U|&1Wn3D#K}17Us``x|b>Nm|OyMLF{-+x-o70CjFyR55bZ8E3cMOR7I%5PgX0D zf8bQS-2tX?CW^6D>*o*P|I!v;_FPnC^trczjscPv047pj`*cxG`}V@pk#E@~Rs3?; z(`jhV%+bK)+{nw6I24CbBgawPf_C#VvZ4R3PLGEUiQqcXYsH)OQp-6WHC=c1(&PNZ zr*p3Y9cF!^=gn+t@{(^^R{I}+bKVXaYbIZ>-MrMXWkrGR5M(yVTbCZK zz%Q-uhYN6a97ZTl8mb_Uk9v?4{_-L`@DW7;u5V{}9$SZ{<{5G}IP|#Ls{@CEf;B-^ zyiDe_bUWUfmaC4N*DlKQ;-jHWXLzVd4jF9Gq(om|^gT*m9s3>yQJ|%0B%a{XGev-i z*If({e&fsl4S#{7s_|O~avneM>b2Wa9wm?t$ z!$Hg(kdEq>QTpb@peV9>p;M6MHKJagAdnob14qyRj}=HKbLYa~AB`NM z9+is~cUaC>Qkxvr9MT&SK&(pQ@prMsJQ_jMHw`6y2_-O30kgbuXH??~%H6kK8IUY0 z%$}i(Z#)#ig98tu7a|{a7c?kaOIJ|W)cJN$8GRjZdY0KfgCz(9O(uQSs{x86(Dm8Z zcEwLgPoi=`mPmoq&081ve&FxO6MjAQp%y|4MX0C!0OQR^Lqk&p5k^xT{nIxdEHY;5K^~g@9;z-1cs@_k zumBPFCrhuOBFL(E7@`@b6JWr;!FE~;9G_<{z08ukeHmhk06&Ucc*a;GlBe5;S%7*n^WRL+%GY{jud6U_trOg$GrX-S+{+Y96)Rm8G}w zV+kR7ZqqXHX6V;wn6p$FDC!(cg2~3KQQW?FcIt4mLyXZ2)UtxRp1zQ8T?o?$gxKQig5B)-!2-L({T8j40y}CMZFq4o^M2-hSnvw4x}2M6$uwgPY&`CTW_6u-G|=AzGj` zPE7n(UILZVp3UEy4o$o)3i(VP`_&uYv`}(cByg-a-<)hok57Fa6Es;~Yd**Q#M-yM z&f!oNd%@8kXw8CghM9Q)1AXIa>=}r``TmT`%X|Sy^#6Ju=^&%czt)++>5P12w~J;< zMHvz(l*z1nbWuZbgqy!`@yJ#rO5v@o`?7?kzmJTHi{qg8)u(`LC;qzqjC}em?hgAt ztX0cP{pQqjT|DmSO!l8uTFaXF#l$8Nz%tEqlVm)aUzh6Tk3>^vuA>@?K!PGonP0!- zt;DOMbe>aW-gmGjPbCa|_$#`G$+f=K1WWaQt@Cl3cYC2?Hx_ssRgf(qn&m7R?|T0acj_Q=^Pa43>_uh%m+q~s8zB|zR-PapaS<5`Jf_UJnV zA)Nr`MoB_QtNo^H>LyM<8YH{RDmOf%dOQjO$9I0N6$PO44f|VfHcYLyCp%i&$gwY#&f#( z7^0cLlMGdQ3`C8ffRM`yX5dScXGQtny+MIu?TF;P`RI&B2}H zp6lA?ZusK@<7CA4NxZoHZo9j(dN}yO9c+$_eM4;cxz&!}juIdA|M_nfiNp_E zbfUzDDsu>aH1z8*k(9(rxsCXSUe%AwSf6dmEe^g36nq z27RAIpN92l>Yr9VA!b)^05c1{rZ>KoTJ-A+vqiU4m9z2zcdaAf~vOMc;? z@X%2TtdrR{T_d9{PGIC~*bmQP^b;2fD$%Pgz6~86O*@%&Axmb@e3x<$y^O>n;^W9n ziN~$fTE(ZhN5KFSnfB)&Q*8POhV)Wph!qx3U7d05j6_rGVqo&$Uza14|*%k_Wv8Bpq@UTTwk8rT#A z(-mR$hM|uxi-9@wX~oV@p_ksPcnFHz;OfNJaJu8vvOMn~Om*k8_usmJ&pKv11kucW z)^ecRq~u#sBH7;hOi2boL@%D!mfkoStr8gM+*z4QsX&_VW+(V{X!DGbQkiyZWzlgO zl3$0MeXHlM8<~HBp|W-W{SUG4|-SMjuY)tXoFs&;4mD z>)0z$%GpXSzyHR=%m6D=@$!vj8M?Ieu;(oo*!Hvw1TvV}{3Rp^MM-y}Eorx{Yw531%~I^QBRJ^nZ0#eiIrV4_*0O;%2e&1PIT-hR z8_ngpX3wBLlqkM)Fs-))Kp+X~qmsO|8y<{8Q_ti8jwM^SwYBQGN9Yv5>HKLF3}$4$ zH1FBSing}j_mE`0R*=X}^m!4csq72C=a~o$KGeqmg04DuK5#5YC~yFAgk-nWe9^X0 zg%y_GyYvkKkaWBVm(OV>;$`SreuAf^cKgOBao@kymyv7PG{sQ`iU%_K^x83T3(Ej% zZh+=EJg~+dn&C3qzdsLuxaA0hyAhSqSo_Z|{p~Oz^GgBp&gPPrDluONM%@Fvz@;mn z-`C>Q<6x*QNV%J5#scYj+^GjRiNfJEG~*tRfEFDDE#kHOldF!0kv!@0=~_Nl$`jL` zS#kBmgSCokD{$ozR}Hb z3|8sveI(Wcmwpc#D{euw)5zXe8;ef0dmDN=>C=CCZ6lRl3xc!jJGWVU-=`|p&POtv zj2xt2?{9rPd+O&z0(}Vaez9&-G+F;i(XCg8sSPgOu3R~ep};g&g9)YABF%j-r*RBk zE`ZEWPu#v+&c%}G>sD`1mOm5ygy{V|?T{()VbmEtTLGYb+OG+D(#ESNrn3;=?@ho6 zTKl)riGu)+s+?g(%c)KRVR>~q{+ z;3fLwL!VZ8r~`4W%G;xfAE2Bf5`F81mr@{NY+pg4R?lmS zA|>nX)`x1=HRlKY^S(!yB=qsb1`v734+eme{*j4$4E0J!*502ub*NeM zS3P(-I0dKg>WHR4%Sn%iHo}YKY-d8F;yiPv%gp0s-Zy~HgJJKp=( z10>#kt?5H%lvTog>R~`pI7|QFN=LU(^v2MIL3e&)0C*Xc_}=%bTkk#F_;TXaAs|Y1 zKYB-_0QRtTJ9)0djLASJPmsbduOkbAc|+j<-e?ts6|p%9QIIG19VKmlaA_zO#0VQ% zy>K3$w=yax0GfT(%D{m-Bo4W`ehzumK}?Ka(Y~CxC}HUW;6b6%0(t78 zM-ne1ds!u%O#V#-{iYom3mhKz6}}l!%LUQ3byj##ly1R{2^iNzX_#K>t&b#Nh0T6# zb1jV^^!;QU-Wd~`rCdZS9-k^v%KMi-mE$TsPdS=GrBHF31d^~>MCzlFy|8#{!x%%& zd+O8v07d79XhBW~w!+U}xoEy_KpnoXB2@Kz&!(j9p)C}c09t5wWxi*oO3-gLK37V9 zU-t|y8Q7UT5YoQAe6M2m+TMCjX2!Pka5^w4)iB1zvqZe-nLAW(#_IT)`B44_fgvoF9g^xHUwL7tC z6_A!=yxTH#l284X^|1n*J`bn9163}ynKunSr6xUR*RxG$G9}N9ODc_v-ZHNJKk*GLNdUj6J;Owg#@*g7|_Zydkgv`kwgQS0>K<)<^ zZ-r*6Sb5S9sinHRAH}P8;>QZ>>TcwM?Qh^5FR zFA-NGCnL+*saJ_i`Zx75^$|PiyP`MyWi|v=acp;ap@b&(5 zY?uQ?ul zwUO2{C56-RI>eoC&gyV96&WOtU{aAetynk2#6VVAKyxOjDS4b%j|N9W4@x$->p(c(TTxVzAO_`inG=3?KCHX(*ojB4q4e?+ypSXv?^)A>4B$~1 z$-HR^_b3v_jRmd9nb`bs51NcDT6%jDv_Pgvpc=}|d?YVUM|J3$Yjxvoh;fn(0L@#s zH?-APQBaQ?!Dw>5m9CWU7v!YNQgf$X)gz~G9fX5oVS@z?9zzHeSrDnmqv)XL$3XSR zP=|Ia{~-1wthhZ$Jbc+R4U(+X6b?7B5|=9EI18P<@Q65OXWQq21BF1X^b%4gmlM*i z$&KT?_x$#tOyMZP(I3H!Yb<@=HRLZw@UWKpy>jUu08;ftN3)~7WbfHWzc?SDGT9Ex zC61pzGu9P>PrFB-|hM@AY!l4rBXu=oW)a=`F;9=Z+{69!UqSiG{+Vz_e zR??E4sMoyg*(o{R)-181DVj9jA`#^JBo?Ng<06=tTbEdxd*U~|1&!$R&uudPohFHo zU;;&HraA7?Je_Z!di=|w&Wk9~TF&uO+IJtp??_L3&7m_piZ|0n;#I;>o>3xr(>QYi zztd|QYGzqh(+&^Sda>VY<#IfqyzI9-AI#z9uuOcUY*vko< zoU7xF?#izxHP(DI>KV)NE^=ev(R0$xeo)_Ak+r4z%YJAZ2&GwZ5F>)UrCyih7Vh7= zgf&0$%bEDTa7->G_Q}Y1BUC!@s^>!kA_;CmDb0xK(E!G4nS6BYyFDKo*IK`oDZuQU zQAyKu-znsiy~m?-=pY=2@+zY*X!65}P3S_t5vE`ISdPT|4g&qoR;!os^F2@*x8S$I zs$FyFB9*V(>;KJz%pa>Nd1x2?nqX4GacpYS<^Q4~AH2EHhqm2_A zQ0sTzv_10Sum!`OPe}qST}@5*yD6xI{Sf~KIv2$PKqB-farYJX(ZmoL3(L=4g(wNZ zVnybt(%P%Q#sLqtoL+l+<^cKKp&^K%Vi$_;$mZoj`p`j=FDLOE%qXpiH1wz7Z9Oni zoeAm>PoZ=!3#z;Xl!6k!dBa)w*f+9IBH!U3PVFDov&h-zaH}M8y49XOJ|Dr%uah9h zQJR)V@J4Tnquk=SGigr@$x3ZXs4Okqf@}sc2;gM1bK2&JET{B@u)#8q|$yXsrAGBz){c2bP0*5WjjKkCKzYRQqqio~z z#p}8WQOr#IS9693+TE)-;8P@3S{xotLJQ@ooT36+V$8>9EhHEXp@;1cpfrkzOs~W5 zSUegzy5+h~X6`EJB5;sOn#coct>XzfiMlnw*l*p&1q{cgiEcpuQAOq$hJA`>@Z;V% z)FJVq6dcu=&9(iZM{@6<`AA*2w(i|LOB5-E;{o?7Uf&~2{JPj|PA3thE?LCOr91%4 z?q$#QU1N4?HoawqCDDcW#D({-+JTF4i=E?z31;^t+h?5F`j_KOOh#!@99f*n+SjZ$~3HQ2;r4 z&8mML_^}Sy0y<_Ug6};B}!_vza3B9c*{SrIkh|-NB>u|y@lz_ZR*3a7gp$AOUxaIv^bkSu+VHusvXmb<4 zeqrNLSt6eJPePN*$v}?R5y6z#9Gbx0*;pbH(qlh>-?vecmx80A**Gy#cp5KSw9Kn0 zOdVF&%$4SSE8d|P#)4#^@5Au05ifLv>iTqI&PL@T)3%XJDbEDHNw0+~B^ew|bU1ww zTlkGtmXdau=-pFj8dl-y4-Bf{bC>YIAj>mp0GtIN+$l*9{_RQgqLWfpBMq71tYQML2XZri> z@WxWGP!b<{2-%+5;E0eSzKy260D~E_20vzDT~SmLO46B$GUI;FHvIL~r%OB;2` zMdHn-4lX!E5{q z>*B&gkw?9<0`-&EQw2!f1DZri)vHMtm4ABXqDUPEmx9bP*oKPhZ5zdtDdC%o8lA28 zS`v?~XdItxUzXYW(ZSfpVNzm(tqoO{(OkS?sx7h3H$#WNb$;OEIzSK{*W*W_F1^9J zrlA+I=MlDgaM*LHWkGc7BOfcRwujzv)C3b`-Bdtmy^KVcjINu z>9}vm>=fnzlCSQE4oQPA+mqU&dppO0EQ~M8{F@NkqQUy7b!;65gM4fOK)j)TIe_Z< zv&+auDt5ZoRm57$MNKO_4wU)m0EU%`So?88%bM@Aj~DL9{HZELt<1dIW)2M+@qr@9SCm|F{k@ z(!?u6hZfDmgSaCtl_`?-7C-L=v)7ltYf{zmuqEV34APgSQw0e@(d>JU`=9f``tnj2O0t|BdqNSnVV+_YOnE2Ft6SyOsXd4{8PWU-wt(v8$uq- zDTE6TExo7k?2fW{eF6EGXoLxs#qEqx$R9+GyBq$$B)v^^+g6_T34pFSAmvoy0(3Vh zC0+QS9LlMgCO#1MB?M#=XrkjD}a{uRZH}pqi13_q{rb7adh1IEyBsTK(Ti)@+5Afv)O{+KlW(E=MW&UBd=M-zG z6f^gEHi`3%f}hulrNQKE61VD|IYbp65-20Nh2#E)2-dD19=LmA@YG2X5i*8dxnFgxVeGwi7};_Ltu3$sf@9B&<*)t-KBca`2`o1-@rv{; zCM}$YP@MgKg2^iH8QNV7v<#;nZ?0VW;9Gd^8==1aKJL=c3J9cQgfJS@8}f$<#y~sl zymg4>MH+udoqQR@eS!aA>f&`i`wALZg`$yl@%WZ6UVW}_ zK8hHPUYSlKyZF&ur*w>Ih_zhnYrha&5$`QI4hCU>=&3#mJ!ZS2WDrfi}2k zH6g@!mj^UJu_2)4s3@WW_VT@hEVmcq63~lxg<{J}IiVE)^1meyh=xWhhD zph>OQHI3Rivl+@A#L4(D{!5c5iM1corJ(fk;FPFW)23#+S2j!D&|Vj*+<|a3cMfB^ zR#^1&eAYF}K3cCq-~6&t(@Nbr_%vLRH zD|dY()<<(|Hzt0!A9oey_F(YMXC;TI()Ef`^4rnXbDTZ2`Q6wengW)+oI4ca+xFVQ zOVcP2WcF5BQ49JMoUHF9SzwmY@??PG_KQ9Iz7My}gP*8#BoYs(;j z<&%R9pBvhkh`tVAM+SybM!mdi-xQw3t@Mxzl@}%P_dg)<-qY9|K$p%fk`~SHM^`l7 z!f(7{wjeUd%Q3!s>s>&oJOn1JJxeAAZqhsd*UfT}+2RHVVv)nHJZOrgz;qYis9%T9 z_F06vyU!_^t`T=>*3A(AR_7^$cbCue8ueu6g53a1k8lwP z>V=QdzdQ1ankKN$j*S;r4$KcPAw0f(W!hPrz(u|{zA3}kSi`^EL&b``*Jmo6tCLvo z$wj<-9NPj<^I!y(-t(KvZ3xc5J`|6Z&Mk63@lB)Pz8{;quJdvVc#Rt<5xz*g3w131a`{8jd1V&WLB2x)xfk%lB_ zq>>xmxeoD>z()NY zXYe0f7G1PHdH!FOTZ=uP5G870>~^07VCG)~Gsvq=h*%~B&XG?j0-|QeLwM?@H;Wx( zRpUji*0$?f$=8c=o-aN+?NX+LwI}Ha5XF~VK+B4h#McGru@sN5%h||e-};Ur3hc$; zt&1Vd&L(}(YMwRJ+@K4+^TRU-jP2)(zF4EFtK%;LdwCwaI-E`e$KbfviP3CeX1>DH z*!I+@*A!~|JV+)!mc_MSy>oCL08c=)f>eQ8ZBT`y3D7nD0jTTCOA{d+BeGKmnlpA& ztC1vem%{MnzvgpuYm(XIKUjFNOGtbvzm=OC1TQwQVfgqnnOi!yG4?RdC?E!f{ewfF zkx1gclzSVdH3i6|Td}(J8lg%qwHIU_)F^xTY?Op{Mp4Vlvw39m;=0J0@H>bi)*^QF z%C$?;$J)yLXp+uUiIDi;1UOEC3>BLcpxw~9cDL<%KR_b+{l)IYObeT6oM%lA=-lAp zqvxNgJoU*%Xmg$DT195{RrYW(hTe{2PJ8_2#LU3OIkcisbn{l&mv;w0lx8pT;^)QF|avOVj5!WqfDeg8nbF zhtrt(^+gmvZZ^na;Jdbp=j)n)Du@j;|GFRBbjdnC33QG$7=^B<>;8WJ#=)Sm7QvY? z+H<|({NX)Xt6e?yy#Ozt#W;^MRPst#-6(|_p;Ffn%K79k-Z~hLS;wJ|piyd?suUb@ zH1Ub0Hx7-Z9U*g%bWX+@2%ClEAp6#bluY01=h3 zBZ`!`p(;FO9?u;!Ynn8@pEIrwXMIY~Jm|f`=?aqRPs=+8xRpGY z{t>=|#3%t6;@a&Cc!?ENf+oQ5*`L)0@zZx;a*iS3f}-8%uNNST{L`sJPU9;IFx?LH zNd+WHC=BLj5jp6p+cTT>{C0ms!Kf#ID~ehyinm-c`3`!<;NmcJaGX&ZqIzpKu#2TA z^QWcp!`pLx*{-K2)Z*)^w0-L7SEoLGHO@3hy<*$`-=#29=g7kla#2xBPp$anB6NU2m8)mzQ4Vboyw2Rjfk;Gv(Y3`v z=4-&N($Q>U6BM*}r8Dl>6@W5E+a4xHIdnd*C~HHzqSfR=Z?s54Tx=)K>K%7y+U0u> zKTGG^j15IA_{Ff1vKC!Y_lGvZbc&G?rqZ8j z4LNIHy&Sqa>P!qVJA|Z2<6r~%ri_ZI4FEMqhPXCx+k@l;h@ZI!f~(foPHkanl4)F> z!{@8%fW5@w`!QYk`qVcMV-q8BPOPT8)YYPxp4m?2PQEFAGVe1t&aLp3?&x7~3{NiI z)7o~imp|B`lVrebz^CWI0dj-IFziL?Vd$jmr@+LujdY3%6Cu#Cv&k^Z)R$uuzi`tf zjWlT%;r6*?Om`CfWa0C95UQe#?h4dNw{m0d55|Kha|fsSrHSJ`+)T%`Ihv=J{-eRY zgM^)ntoXQ_n;kjXIMY-?0)y<~;s6lYVKWb!9A#WrRqohFHE<&){-= zY&&L}>5_0&K#WA;wd?%unXa&VVQAcXu?TF;z}WnzQntFwZ)P^%jx$wpQ@MR`tuEl! zytP3+iFNF0>{`hIq8y==2apFX)e!GJ{v+C+P|TybNwqHmgK8gKsqlotX7OLW>Ychw zE!%E=CRv6I^e^oJoqzPo(cNRs5-QxfZ(li7q^Mab3o+M}sMW>2O*Q+5z@ zkF%IR?4IjXX=aOM*3kG8!~a&}so-au@|V*<$DYgr!~^RK9MYa`n}5svM~HilOIW{A9Jwl=jj zm)QdTG{9(s+-%s6#g`W*%@=fvf{?tQ9{n^hMCcrgu9~$gHLA#&*EH={Z+(LdV;%8J zCk{h-t$Gy|YZAW7yW&=9cZ}~ObGKD^8({+O*=jx8Cj*yQ`XB$I^62W^XIADAQF$-A zR^yl629D{Nu8CK}_-E26U>XIx*o1)oEhBy1FP3LxxcA|YKEWd{Ian_*2G7O%_Bbub zfbd6sUab+88mAYxq%WsqD~Z9%dc*0FL*fcrhfam3$AYAlfOY=q)F#C2_Yp{~z_Yq6 zd@#4grPh5_$?cAfbe#hj>fqGSfT0JD7nym9HD^X{5p^5w$D8${fb9oJ%%#U(k%hkz zYv09St3)l^Ig5JzO>GpX8;P>;qA0TUSw&!VQK^44AI8%I@Wd~Yv5rjBUR4zd^j$50 z%A>eckUBhDLPaa@1B~Zc zjLvn1 zr3Eg#f9eCax)0^(N`wCWD-&(o_Uw)kc-`e@|#W1ZR(w_RoDosOL!k$d}3_CScx{^+bwgKj@4&h zjD>uy!BYpWGmC}phwlTOCgFQdA{U}9Jl|D?SJhw5$uP(_o{T{Oc$T>nx~6^e-ty4z zjnnNJZ)OppQsJz>T9XNp=gIJ(5suESK4m?ub9@3sX0rOow2Rs2za*3R*3978-n7 ztUxXF&3p}wf?{3h#C>$)7!__bwm~X&fpjQz?K7pk`+T)#dB={0^;(*+6cr-ov*zzW z2oLq5G}?ua5x$7&1|XFnGLbSd%NXZ|=$m2w(DU5WA zaNpyO0ZtW_20#$_x^YvcMLJiP@9^{LY6K$&T5^S z#WvYlc&15gsK{dY<<{pi``W>U8?N}T*=gcB6#e8yKyyoA%!Sj|hJ1T!gW5i<*LXgF zm^!)$9kvJE7XRfOy4V0fL)(JZuC7h{q&J#4CM|yrN&`AaHjb05r?E4tlO2(Xsp?BZ(*8xuy>5I8eS;_D~D6TBJ znE1ima*C9>4*g?-Yq!&yvnB?e4`L*SqYWO^a%~EaY8LAv_pC3E&K=Wo5r)C#0$1FZ zmuBf{DySkIB?Ei(3L=X7=Y@zMX&?-Dz7v=WTj&mdNi+65v)Y`a9O%kaCwcoo)asYFc5?-Q@PC zu20B9JHGnfh1+uI^e;&89B=qd1rpMS@%4gtu}C6DL)okupY<_w9e@c}8*0`a{70$# zt2Z{z)1COo*^!Tn0upM}(^DM@6cs$ISFKrKpu#)|b!JmlOWx2q9;eG_q|QZbo8H`| zYdo22{qy)*Q!=rZv|ghn=GT`;bBE@vz{z|tow~$N6H+ol$$yvm-jy2n)?w-ez|(Xh z9V=F;Z1V8x^OgVmQ<)c=>Kfc3-yXiw1(|eqhe@|E>uQ3&T3h;Cmlu9@+Jc4+xtX9k z5L6MdQBle-VqIoKaF$Qz2RL~Irb0_@(Auhc#)d=ldxNnNk3nG2F_10KyuRF)R31i(hUIIATXL=Mg+Yw zn-WdLCf%(&y?Iw!n%jAR&uPTm^<1V&<^E>l%AFw7>`aqx_AGgosk3{Dt)_DxJcYW{ zZ|mt`oCPgrJG5LIZ$G)PX-#6YVZMRHW?ir%ls%c4iEo`_ICb^Bn;t0>;}tpA-B8QB zeTprd?oLPq1m0SXso!pu_a-J%3iftinj5Ih_ujt(w@g>tc;}Dn)ZqaEd8<{dSK-^F z(tEf-gqffx)^4|w7Wfb_orhq`FCEbEQxkNE?13Gph54Lo>0)1q<+ajoY;M1Ud~CY9 z=V0E$EvYn3TA!&DjfxG*nI=Di^#}z$+v`_^GTZuN+qfOA(;K(L>9J3jzXz3m|2;UF)1U-gF8#sfeXGaIV+b`wAvS;38vKiaQ77Kk7e#R6)lbLxi0&kfoa8E37Ov|dMC;YMNo1HzpkwL z0)6#zH`ejek+XE(&&V#$JWwQt3a&TWF_HV`e_5OaUXal=suQg$IF{M|>OpzdZ>@uX z9flFn>&tBGerXbugWzXes9*UvRVqwe|GL5^ezyOq+?$3Z`#!cELM;u0oP9IxwS+{u z!v1+5vU310US571cf~3$R0UNlnIgy*`z(YANf$1`*aZsQ3gU*4Oa8Kzn@xk3%I=45 z*oFLT6l-c-DSz2l%gZ3hfZg1Nb-}=Z3*?#nNfn6 z%wx=bl`}YK#E61FmIQ7Po+j^n*Gdn8#moAw=zmvMlD{+rRT6)>i1nqJ>tbZ)Zu{|P zG-*RPQ{Yq4=#>G2tLx8f_B8IMBYwrYDB?7`|AXs!j#zE`+>!gtS&mzqsv=@VP&R>U zANjgv2)Tz1^@D|Lh&RIi^`EwK)rbwwsDCgHT~J8fSeFY&&dvgYWzZK(#%2u@gkQh2 zaY&LNO?zh%pxG%npis-E2VW=7dI|J2>~BB*=X1R`JhL&^-2Ub>h0^m)RlwNG07va< zi0|5~rJdOE_WV`oJTl2HU9>j1qsW28BCjPxv-C1DArFxjUda489X*E7QY^f7#0DGV zHEJh1p4g05evq;#fMjxC-X!$U-NM=*1l9>&e?Va1Wo^@$9zFvC- z0VD<%>;&Q#pQW3?Ul1H;9Zol@0>|Vq) zl{Lchb)eqbph&d$`q*EOR$NF3xuU zrItMepcuLa#;BSon3awZmIsRvXJ^wec-WL^9Kck)U*$|=6P~2c$Y8MKjsxvj zI_y&vE~{HLu6N#NWH+;!okljZ@_yjsSxnTln##HkNmH+F&((KKx0U0go% zMMyeKu6x(JvcaAC^hXom&YZLVDy>JxR7wuQOWWg@J_6WVmvj13GJ0itPKL6JbW^Oa z^jlj3YgL-HN0Y!rR^L0=4O-yNhyA>iwamY4*1imJv1*y7IS6*oW|I)iUdnH%O06(I zV5(j~2!D7swQM?f9O5*>@>|+AWLBvYXST{-R7_jHjTy@jA<)t*qN$*~rr}SDdB;Aot^Z)JA$JpM)XPQ8q_O?yh8=zn+tty>ij2@lZ zoV)A02A3Ut+=S?;0Z^U9-I5cYb=9&@FMoG9@I@Jrk+`q1t`9)YeSBXjS%*nH9h+aA zI)MQ16D(b%E=QCSC20>*o3G1)w(XU~Qw>)D&qHnhqm*mf8BF_`z&Ga3F_5 z^DYECeYs#hi^1V!n%_V0?A$OpIkfZ2?LpZ3lou+hkc|gZe{YOuff6Nh@bVm7m&2hS zrp07ti2)kD+N@e_FX_FVc%iP;6_p>1P39hj%p;!`N6o>{{UcgY#|103& z9d9xAbgHP`KGjuaKi$KQ=%PZAxQ5)`@pJ(BudZ-QXK|}GN^DD4no4#WFk-!_t+_*w z?981^XVKlPemywQNsCBFnyt)zMSl8IO)EvBvr$D_f>fka7y>7wv(s)}zy>D~u?{S^ z@D1_qXlzi3F3tM}q#ZD!!aVUkr?sJE_134<%VDC6JQ8RP0$h#S9-aFXLIQ7g%NM@> zGJ*htGg>tmMEYifE6m`zN=JU+wrXVWT{`mLN&HHaM~a{|9-X-aqDX{^yjUJxPJ(ow z8qEs;=Ht9Hnxy-Dgjge1CrdBE_U$hgNVYqRHtY2=Qxqe}xU@wJZwuBcjd zZh@-T62)`X68uzY$@?AjJP*J8{ zP9xnQ%~rkSQ<<$gZ@FWi21ftW@)UCNen4xy`7{EkEJx02Q(1F*!JD|}W0mHe!G)(6 zMJhLQ4X^{H&~LwULU8XY8@rkNYrVnqPdT#}Q&xtWd@Q*cb?w{o{{Kr$7MU22Ug46s z77ouGi&(EAo%x5cj&$VxhZO$(1L=*cV`T2sAq`Q$(gk$ciH`Sty)lYxc4snuET~oC zqp4BXN^t)*%WR+d23tt14E8x&hyXI(xAINH`GriAbKCoeH@pvcKL0hE0P7o z&(qs;KVK;Ucx4x3gVl9X+$u}~xl{_@^TivNX(<>73wv*Eu?7x6nAXh+ zeeGR9bI$@?(d3`@8|9qN@SEE1i-^QXy>{b=hZ|xp?5YKQHg;U292}07>9ov3(g2-(TMS!dIwB6(SqnBOn_^^#s}Jbh(nU6Z0PT1L~a?nAJ#^!A&;bdHi% z&K~`h;OVm0Y7iCSMbuIRf&Td{K)H}E*4Lh$q$8)0kmA2IX^3#_&f#@66zVO$z^3gQ35rVw1 zY8KwdvPCMS3a2ARMugdS~jpNT4^ttnr7?PqPxKbH2kNJo}45;h)-_V7uH%$ zdQ-i1GW9Vb9gcYeksiJoFO7hc3AH@)sbbY4HhI>lH*UR-eZ3bkyqWoZyb3;m;n|}X zF{UW&VYhhW%w|gVO8CVO4qk-F{w#i2;X6}9{oSr_a5(_A4nRFc%OsGX{A}tQ*+ZMB zl^X{iE1W~zy7ZDoKd%(hs(eF7l@T-r45(^D$-Rk49g)*->eVMcqO6kxrT~2uo+62@ zONG~iIKq10(u}&)zp0i{u^jYH;F$`ANlRXP>zKH>cR1G@IXLcY#oxNw-d;!Q(B2u%$_`Jppl$3)7aYJPRM*D^DIbdCt%&=Kn}X z|47|rnzb)LsJ@=MsDu~QT1}>a1U`JZ2pz}kSES`J{OOiL2?5Rg^0bT4FlMT6V;imc zSLz(CXD3&hg<}_|1U$1<`!cZk(E^AC@{X!1cy`PvD{q0K_n_Cn-6N5G5;#Va&n=?9 z@;%7{9!Tr}oFbbf0HKOl zsY54E-2GD8G5TaunBH${y#O* z&dJ2v4QM1FJe`l$WU^ z4?=V!+vPKAZP~be+^^KAr<22E0NIGjC`ApeYj9zlE(+Thv+2}j2Xf)HhH7$e*M+~sh1fTv4r z(vcWqISzK_`(vF93~#!K@l_3p4VuSuUwy9L3TI;+71_PTVG;n=W-1b;ryHGQ%w@K+ z-pjp-=Rjj?r$r0Iy3Bfh|2UnPC)@L-cV2o}ii>5ZW0s4qZB?zovvjW)8yjS> zE{oNYuDeR_SkcbGM`z;GMlBtfUe6|=RWo%20h{-I&7b2{XF|34(JN>0jBXm z)a7&#P;m_)k^S;GpjqgAe>JSp#KA>Td~BY(%7xyWIAR_x4sawu(8&%S-d7d4&07a_$9Wfx=AKRn z=?+?m?OZT8xLJ|q_H^ou#uzRr*|WZQXV|suPP8rv;NBaxrxP8_Mk(X+N7G5GzWmAn z?Z`e^M6Y8^RDf(*W21(Vt)IhFQn>c(*g6a-TB!>-1P=$CYv-)LE_D`joqZFVv?$hi zNKtlWLt%qF;P39@aOWbjA<*(JC{PV^GHd>|IctBJ{u?n#<9T6W7#ttw35n12QfmCRCwg^}6 zxCH-V*GE{pRqwou1_wk@6cD4;m24ku)4x*9#aFJre`TiQpE!=wN)6}e`dR=)sHoiA zX%8>|Xu=g>UE6 znG*PFB;I{C(HZ{P#3#6~XGuVaHH0$&7SK5ZX6x1)pH|ox36L3vk;uBX$-3rnPSneR zQRnuCaR9V?4R8q0cKRD!*z5l4fNlL*T^=Qa1aBxc){B9ZzaE>YV^@$Wwa32su&!+t z=IQA}qp1%OFeYlb$l93&M!LbWriz8>HMIv92*9dRcovX${(lBCtRbw}Tnm`n=Qbo*86s8bDBb9e#H?Mk z60^mQKf1I5nJQ_0J55>!LPtu4_x8>LH-V25I`LtgY08+nn(qJf;0CQn=Y0Y*O~g6h zgJ_tBmKHKrm8;Xm!4+s5et!8ZG*sv+F`1q;Q2sGWw-^Jn`PtdoH|vr&?N?N}6k6Wk z#K&vGCg9Z3Fve?zg}=%TAUT)3*8#?)HPUl)Tt&(+k}+O`ZYF&<3jyq8YCA1t0}WkH zuZYKu^};1|Z#Td-jSY{72N{(j3E9frE&eq6d&kVw%g^TN%)XE=gA-+$dpe7O=@6ZD zU#7c;Nkfvzn_A&ir+V{lSzlL?xO^Fyu4^>V?pa7^@K|T?)IlrM&K#q)nw7+hS4KL+ zH4D15BDWVdWiic$QWUb5=W_-B?Jyinzh$-*ksZw~6I!m27VxFTmCMit+t4ToB?R0? zXVHfowppXR%Os+!r~uvErATA5u8Nd3n;J#r?M@ylb(z*y-bQAqt327c1o4owZ=kU4B4S|O) zw1`Ysx6&hXCw*=C3|t#mH)RR2uGOC7843ICCzl5}MIJ{k5Ee;nAf3>(db%R#H}4{w zhq!}NxWRC}>b;-DHaQA0G>9`> zstnv|WaIIw)`_oaGz*cbSb7_fy&$7isqD@?z$v>3*_U8fo4j|~&j?nleCXT0$c-)| z8zV!rn4VO&A!@vpsV!Z`&M>x%bqD~Vp`c!XOE;U45y`WeP3IPlWp&q{-)yvJz;uvw zYlw!c;=TuG;>P8`gNpV*UEI0Ukm9+Z+#uZD4kJv;=W3G!)^n9z?0tL zsfDo7sm_SxASS+C=nN=zO-)O1QTx*{j4<@*(${g*$*2;o%4#j^+8WY-%ZZz}4r90d zW8$ZqvNMgHVajtl-2{eV2a_47QW`0*%O4CK=D%D7B;tiSyw{*BF@FZj_L)cX*rvST zN?o7mY)oyjmcAmqY?D4Y_T0M@41Y*tBI z|8kZjj*-{oY;R(UTmNMq5L~)fNhlAYg;7Ph_aaG4W4roJcSB1TDy_|CQ(dfWr>G8kUvc@XEd**`#DjK}NkwaZQJq#T)^~vo1q|bnN3Dop)y)G5nCw)V_+X?&B z-r+22QEkt0TEA5q0+vo*a7)uZ_cC4ej-V?#R#(+-EdbHeh2+eQi&?0Hx0;Z9>Jst6 z+{x6Hbm>htUHNVcSKqrPuz)IHBuPlCb)H^3oF8+?C&cY>lOe0&nLL|KuJlF0-G9b1Jl?An`@B&YL7rRb|cd*^$|7?DpIBjp}LsO>6 zjI>@?s)!tc#bQOiVD{d_MIls?%%!uS+uUzRpgphnBNkp z*V82Nd{GeY;@lg*2#<)zQRmz1)po#8qX~H!8EkGk_%?qxEeltyYdj0~DHETowcIOj z789Vpo;7_IU}pQ7ZwTyJYeS)UN)zjaMcAV29pBu2@93sYOMo=xBGB&6d zq%v}U0-l<)aYe0?T^U`p9(;J{42O}9lwN=RgV;o*aPFIkch~1T+rYVYII)CK|ZZ^ zw5sq5chW`rYQqY9uO}h+Tor?;!>Bd6w&q}5@=#c7z4WF>ac^qt!(2 zw-OvD&~N$DEPd;UR+cWpq+6+F1DjuZMTpR)DT4r1>A0s|fgOQHS(amF?#swr9-HC= ztsI!S9sK|}s%TR7EHXAEt0nz79Q<@@!1O?{$ge)HVo#ET)tcF=Q%m3Gc^0pV zclmu_bx-dse6gZdDbtFf->igoaMg0=j#f}P4a+ZT}U$tRJHU#|;U zgK9f7%k2J{=dHO*>iYV+fbFCQE0AxZuy>%Tb(J-(cIK|I$%Y4&204p|NjjxfQ4=3$KXy==}HJlhckJfoqaCaw;=N{^B7HOdro_r`)YD-frqJ_>YYWwiV zWZ-twovYa(|3FpKV-#$?M*rhQ7@Wjm+~u=Q1~^`=DM}L&#oAsHd826@8U)q3NI{QR z#QQ2ms1o_GvHUi4$n0Qu7!d1)SAhHJLoV<7;`T}67k`(|R`E0v^iLZrb3-hIj~njZ z{2)`|A^N-B?2$9TnWqP<<#cUxcH%R#9r6)d9Bfp*| z?sVA4DH_1J3ZGkP6q1m6P;jR~re>1(nN3*p(=Ne^zj@~xN)wu8&`ZWx=1;V?9CRCE zjWRy^F+lB!cj!Awd}R|}?N49eC9S86*asZxyq*Onk(Q>D8T_Wo;}w2s0ttwHF&j)H zvoq~#bg}Z&U-8=!(?ma?j@@bE=sa3gI{kWsqHEb>pW%xN+wn(d5nZtPl^=Tc`i*H< zF0|6BIR*D$}(}rn@*qtq}H^wSDMru^O{@`GZgd*7R7CBJiS2WMba;cL z4)8^6|35>Y3qPcv%FzCvIzplz+_33QV17P7L>Zi(6@K1UMA(i5O z1iL?p9aE=4f2w$%qd&PY0Mz&=6Fi-lBQa__K8zSyY?i~HH8oLb&%2Lip-VsyRm2U+ zH9C6}r>NoO7e4BZ0zv^zq3cqB^}7Ff8JcZFNRNpzo?x!^z}vMd9dr$-x30-+mjU8;J0Fb!pyT zl~E}MLCJM*Ql=N_H~Aay!f+5dh|q*pXzWO+%cWsNY>&TKMBX5N;p&XiR1lA@s|xkT zN67VB@)w7*i6J2F2wsA$)@6>$H>>!U02#By=7P-AF;JGAP6HwfJA7P9tncI9gJPW$ zQZ+v{(vyzO2 zp_4nY_Yx3npg0O!t%y9nb{xB*PUYSQE-uRC*@1x}X0w8D07muh_QIR$q-R;l!v|u$ zY_IYre&;Cm3DSNup|cSZKUdJs?4YPArCID92CgqkeU<#X=3SI^fI;|Ru7IC1dapq5*Q%B$qV*=6Wrjl+Nke4q81D7U8 zZ%vHqMFuo|@O7~HEWC1^SULGIFcI2bKqt@HYPyfk3NcXGy1aY9$_A3$A%=AhJhxkEUY$Bj zg@YbTgMe$zbUlsxEG;z@4kuml7ekYlWsWY&!n!KALkC|BE(X5Nb>__P1_$Qf)uGD> zPP&rkL6tPOr)KYMoR$^>*Ptu4nu10VQ5qah4fX0IbSOR>>aEWKI)8N$U;X%^Ex1-)&#Rq*V{A4HPA3w@YW}{aNiKy(hmg|nwA%hCf_Rr6Bv?Ztfa({E z0JB^#a#jmYvHIiyLrS*wZ^%Y_5pxgck7AvjCEaI!29zcBVQA626Vug43xnUE*y;F5 zS_MU^QE26zgW(Kvn19{z#YSlu_m|INJv$|SeV}~se6#9hnmN0!eGwT1ND{qKviFl@ zYUkI$4+c>RT>=7-Oe>*&9Vf?ujyeZoyDJN3tTKOMGoK@!+Yj=$d?UT;nN1VY&>cSB ztcYOp)NVNNTKIn^-q``lW(~EVR~j?!snrF9w4_w3?QQLn3`0GCk{;hTqR^g0ccDF!&;+aJk|t zrSSXx(!p>3_IFBd1hC2D478+vdfbg7TiqRS0e5frEDr6|wMssSI3O;q@|bt_SgDRC zzG0FEIXVdpjE&v&^j>4Vf>0sAR%IGnW#9VgikHshO5r?k-FA3<=>4?77nvlnS>xC? z#_kX8_EC_X20`X7U8l&Fz{w{k{Z%FFMP`pY3`y^QDESAJc=h>jRpquX@L$gZY$}gYiZM!i+;dUAP9j=UO^xl8Nu)mq$*%BCuH;SE@z+>Ey9mbNkr#DK7TL zra(O#43fc#<5|S#TD2E3TQWuGrjNI@wK%qZoH-=v+0fc>B!6%cV6-C2rHjD7mg}#w zuLD{Jn`m;bgHccBOtbv;9IQj9NEdIi({F$@lq#k+RI&VYVw1gzI~(f~Lb)(;-?=)Z z16fAHu29|fbYp`Z#`b5*t;O!7D>YJ7PHeV4 z!A6svMW9odEtbR4nX3~;NIKBp^%r3mSf+OZXs(rU`4@}8Zz)ZF7>)v+;azX9Uy)hY zzA58ky;!7{E?w|c((;+#MAq{8u3!7`EZrxh3T+#`NDdf5{LMVyyh|Y~IE#oTXALfb z-SqS!2@;krs-mpPIM|>@t4s3}=(VVQzmL*})g55sg<2wkP+ z(WPSug1ikR+ZnecixihGL#C<8sgKBC#=E|0L%;m+?68kf12X#hGHRuJa;2=EeeaM| zTB$&0O{f3cs*Ce4A;K+9Mh7~E{puoWiG0eBF2&eOknpKpeF%&Rh$}bhWOC z9Az1I{Ey|A2@s}Q@G++L<*AOSmRenkMnBm9A6@Sg+_tsn`2wJC7eLtw4nXIUvU3}M zC^zNYeog$LEa&#Z1SluB=XF&qDqS

O}5Ezs2jiae8L?04h@jka7$vQ?CF}vZ>n} z0Hh?}SrGtb-K9xN_FG1ze6wv!PWN^q$<{l_tjAR;RmBtyobx-s@Am_o^ZOwz?t5wI z?;wP6NJeHFVg0OKuPrI!lPGBBS)u8r>;8%C>5n`_DQ9aERN3KZST?;IR~WJMU+t^Pn4!RpY2 z_g{rnU8@vdB>O|TbYR;e_UKdtQkA6ECrv<1Y_nE=G3uqDJQjQut2C_7*+z)qZmRr= zddKg#r=Jajq3ba8f&^B_O^%kqRXOX~Gc1SRV)h0OAj$Z+3DQSfLyhA|GU;v(V@fNj zcebKdq?w%nYEa<~wcdo7ER%rA=U(`AgNNb^DCtVFLqf|dONyaNlwaPvG6wbUUz&dcW!IY zrBP2ziUCiULqZ|5MHe+$a>U`Q=&xQLVdKNed}BbrUODgpK$+iDOd# ze0dZE{ms&>8}FUjD4Vp>nt?c*x(hv8l^j_dx&Cq-SQOznmbO3i$*Uz6ey&oHCFVtJ z8mRQyx=)#wbo)(&kQ8=T!5!z-*y6Jqcoypdqsc;wox2mI9;mW(`DA2KEO52XL9{=# z`GQw~%SmPNjX|m;$_4m)B2}3^ikz*WNwefu{A18Wu{>j^ssf_TsOr!CfyBBlKbgd_ z24-XbMlP^){qJUNa;BmPE`+skWv-a?e&nDKT!;UTlUd?$WBpa&v{UNkW1S`(3j!Cw zcEp|a2V9lr(> ztu-gXm6>#JiJw9$*SNj?PzT8RWPr(qpb4_r_0hk4tq>ZUebs5QICK^e;1Rt9=&2u* zBG{lYIT(5vNA4yYWml?-EKZNtN-{4oNPR21T6i_`FmdW2s0SWmK%`<}u2|i?D~n>| zFjZdnu1Z<|*;!nGB^UC$(2pTq)G`(;4Jxcj`pDR&=NRWg+! z8>mP5Wtk_jIZ0?i1>st+Al@dmDt>Nc$g}WondCT8xi#`Bfq&R8ydCN&j%XPECalf& z;XE+>SGkTwa>k3O#?7AU6_uf8cP(jZ@>Kr#S(LiBofIeou9pJI9@qN{V2L$$A=!9% zh$+{OpqYNwckr#yurXFQ!Ww_>LzOz(}Gd|}t3m}haVl8r5Ffo%<&6=^#PP=;G?FF?CU-y=H~ve88AJNseB zKd?}u-^&Z^cC!L+vdNYNXJx6vpk~Y8owW0XonsHpw#GJ{XX_ftGXVQM*#-}?6!=8J za&IHUFh_p8iGn91U83aV4KE7)O4dUcl$XAaIv$MVMsMnQ<-=1;Qghvrjj1<-%^TSl zAxfIIT!p_uW-9W{(>BdE&iatZrp6l6c`9cu>jQO>XZ!6riS(&uKn(o!sHZc`&P5N! z>#kcXNo2Rp3kbGU6&(j#kZxLYDs%Zw74 zn#x`~^X6vV6KDVn`vaSjOvB(-R0r?d=DC$lELYvYn{zu6f{}XzjMQ-Yo;%!fk}Bo=eTgw?_}&uopa z0$!lGYI?WH<=OXOYD2aMPOwJkCDYayAm5S{OB|vU_zN&~>_YbBmkRbJ_T{05Xt|D_ zweej~LP`9M*Swn?@1+(bHb3p@(zECnx8M1;8@o*S3(Eia@iM-+DD>>(EBI`l+4l>;ZXc%Aaa~ zqQKNCApaidz^JJdgo3?uXx+fWxZg98yhIW-T&UmNXKf0u{(Io^)4N$)%QOEL$8Ap7 z32UrTk5b~23iHdX-EGc^u7iPV$6K~6Qms?R$2;ri9cY!6oV%+hPnJLi+A4=$;7Bw( zaYBQBe`sqI`sCEccxb%xWg@OEQ39HQY&f(pRXA6dX0Dw@FvNC!H+~iBl7JdKdqZgr z==+T8AtlD4KegwNvFhb>Uk3o``gL76Pqt+MDqYKYpQvUx%v7Y+U7J>ghur{^cH&N( z!VTNSrfw(9{i{vP4~WDx%Cr1oob0N-UXf zlR$eFwk7ar7{vq1MHfjtbsridq$D*an}Fwtqc%$~Q;&C(cgVN9W2aVx7f2~Ygz+5x zMQ6ZqaNzLp(mk}8JvwfZ+rF8+8X)^RR?aU$(<%f$yc}BH7+U;CCt*gsc4k4OoH~IN zg&`lE;(HdYCOuE7sWTnYm7NlBSDW;M+)vni@&b^rs3s755hWM9v5~4Oxz+%i4@myyZlLAGJ&%%e>pe(%fHX2og>Gm>>7kaNx)^8x zn5G6;E`MJpiN?N-F_Yh`#6;)Ra%1FQ60tJ*% z0hB_0{$SX*d9~nRkl_@HUnHrWv4fDBxjWW*f$5yI<>aYvF7U}8da3#FXxD@Az>@2| zyi}3_$f$+(pM0CtT4S@*-47`?rQV!eT97afil3kQ&*4X}`R*aMB&Op7k5-i&1Tl?> z=#NfXp%5*SotOu%^Iza%}n0!RQkJKH+7@w(PIwo%-kllCL5 z0`Uz2509c6;IccXwuS%_f}&7g`HEgp#cX$|;lX|%T9xDdk;ajTQM*SAGnM&%yCSmd zCl;G^HKe3$jlz_gXIs5*Cm|))qp&GW{eI@YT85OuA|#%v&cA_K)_n#|^VSftF&GD# zrJ@4`b#~&{6l4PuaTcOTAs8m-+f{&|kIrlgnEgm2TOI!cRdMtMmqDBYaG-wSTO??VA$)K6 zIz$Ug7Nu&1rKHB@BD;4UXeir?+`z9Nrj`YO%AB;?e6T3g4^cq=kQ}rzI~@qD^77*J zn*c$XMwC(5&L1i)zSZWJSd=S~_$!}Q>aT|Is|cI0G%~eFw%Xt?s@Z2gP?^05D}z>G zWGbRjEA(zv%J}v;(15X(G>4pcd66wr;BNBVY!S*W_u*M5X$Ud$-L|>kE^!duv^gnf zEl9aR|9jx;zX{^KWNy%XxUn82H3IW|i_pe6ieuYS@EOyBe_6<-F>{m2C;Us0r_ z(+_?yih}xqPi*-hYt}~=wj|H{SLHGa^@(R-1~wdvLp&Q?6`~tO|5o-S@}Um~^z<9Q zKE^6jn`o&jLz|n^za9F}Rra_muVL(Rd!r=&{O0EZP;&7aVy}Jn{(xQ*86T&sB(WPg zFC%9+LeYHoB(|wJiE&mIl5HFC@>=~@j_WwS4}}$pYZtv#g*0lVQdpf)8tvL#u`jUuPDeip*N zS`UuexYKyfaf{eONkkB!PECf6K^*x|QN*cBYiEkOy8B1G#n<^h30k?HuD_5=dTBr zRJ3o%5NaVC0^!?Hl$vhBxftMR<7Moice=e94nPZEAEp$XeAS6GY^e0bIMaxHe>#y#gmtB4@a99emh zAh3@w+8PiQ@!Fy7IP@L=sRK}rpnrR&p?N6g=nD_w%OKC1PpG#_TWgfv_U~ovUV(tyh z!yg_)Fei0jVlO8_pu-D>!ZfTcNky2xRWV=U$emHg<7HucWNSXkf%ywK<17M&bSG+Z zB9MT*NFKzY(;A~3_v>R+Aoor!3fCw}<`CDqD;GCLAtozgw)1KfKscnNsgn>QPjbd7 zsT7tTnp1H64P!=Dgw|w}-;P7LOvJv&5$kVV2SqsnDa6r@YB{~%W;wMA@nHQt)H!IN zk7CbpA!BzC`p%8zMaDNq7AGt8f-FFLHx4}mMw3h$Qx{ND01aJawQL5d2P)LSD5*`F z9=nr)hHxb=*AF}1jA|OS3YAw#NR{dui_$bkAYy zxQDjBd$1@@4Rr`UMH_J-RDupxc;|PL(|_XX5EFI-J5_;;w7MGM?qoM9%CyKhwMy|> zsF{?S)~iHwA=mHQ;6)EX;T_)#YIm%PQeRW^C2)CY7?^NF09)sN9e#%5Ai!~a;gFVv z>pzivRYCHVYbVAAq%eWR(*rtpYU+B4l5Y;$HzdH{V>@eKyc`-7my0{`+LAzJGYAu%z8G1!-DxJ3bpX(^-Cl`WDghkLr<=^) zDA7hjOCnVjvLk)V!#>?@v*eL4XWf4Db7_8WsAWx?!e*qprhPbE z4-B1kepzMt1wc(d8+O8<8-nA{V%Hxvr=6`f0>3K@R_@JI%eI|gU`(6Nos0ql(eY=K z_{7ry%vI1aO`q8uV6l=6g8^b;81&rA(ASIS-P5SlO&T-^d|{;H`n(5|IcXz} zcsEX8*oN!d8J26*9>B=9GUW~1jZEj3P}uUOk8Lhj`>SJ^f*ZZ8Ewfd~v9{ob+TFCF z?XLXIJ4kKye%r*#l+0!?RaNNnrw{jrHRvUwT4pD3MeiZ#7%zp4)kzQDO-&v^Tbmjytw#R<0z6U{tns3c1rXFMZZJ_7L!RKg8~| zno?u%fhsfx`3kd}^cC_GDI4f)-7DpeJ&bIfG-Zh)GU`3YH;M7^8uYYPU-_cdy9*bA_{`JA*-1aVB;uO!P4(K&5G5T8*M=XcX{*WJ zntfw)tT{61Dq~Q=u>B8We_-IiwFzwR6v~u9uMTZMi@Cl3$*TeZFgAPPuK?F?op zo|vpG7x!x=4i8`|p0&hx5c{(0v9Bo)J&Y&Z|NdZ+#RI3OEf5DC1FHxok^a*x8(Y>5&>;6-;*{URh?c`t&9l4CZc|zm z948%bel<1pG5RuGlnD$EdObcw29B_X>ypd}@D>ia7XiVjRi zD4J}y=q1JEwjfESWN3hsN^H3SY7%G7>7nUp8}Vq3t(57CiXyZiKKUa^da-SJv@{#M zjP*P(k=ee5Ey&p;tJQDwfG=7Er@D61#F&f(gVak6WQ5=oAFe?X~9 z+F4N^g{F>3|M_fa(W1g!KD0p{l95OvT??ziWdGo!qe#mu!s9JSh&YaP+jA_(K>I@) zb!#WW>MKhRCp`n9Vd_gxB$y^a_*Z`w4>TinCj@(5a%P@D=m+v@&pow3-K(ED7|N?z zi=$xBF>{MKS9{?jB%1q^8|kVnHnzO2Hea;!(`!}GUAxo1C6Ynoq*-A$tcMd1(Kvmy&YL+0IW`MTGVhkO;@kkB@vn z-9C#(UPcw`VY5UrS4*Jlq2;tY0O_|;Q)IK_S{Vn_aj0Dc81T!zGAtWUWe}|K~dmPFo|Ua{ZNiRO6kE@nUw%bM>lBg6#-G z6JxJO8g6V1g3VtadowI`O~1$ABLe8wM0r0lfB9F(7RMEKd}tOaaW!x!)*ROe3vX>o zAk0y-!m>bO+to)NJ#}uGb&oFeM&FgaTV*PJ2=5ia&E}tvAT-@Pw*hNn+@sjWM2azH z%3GT-0RNA%#U;HcHau!CLP;VT*AzK&x1lL_kmL=*yo>gTzOW^>6C8#>C=zi9_e1iH&P)MtqdK39ldZYy+*xJd!USbjBKJ zOmu0H+v+8q$3KjQsYTbrQcE9Q*a!(nPnTurUCfm)Uq%|B*k?W^rOyUXX(U+^smkpm z4Vtq`TFP{T(4`~9FT3%9$V9;zM+WDSVoMh+fKfq~uHW}&&O8V^z1-}A_`5%&=n9}NV()HKJ zUao6V%N0qQ9n}_!&dyKC+3xJfC!mi4e3`(O6^4BBS~Fn?5N(;tMlEcbrR$q(NSU2&!qxvwuomzf^5ZLS5u5 z%-(Y?9bjB;bZF2^lCs)MiqxcE_B4cyoau|C4FKS8Cl$r&{oqF*F)(7NfE1|nv zEGJOcUwC{LV(!)urW%0n^)gBE?cK=2aPC;2O;#n4(-v4Lz6>5KjiAS>a{;8%xYqZtwT&e>8&IW|>oncMf@pVeT zRQ_jasy8WS$j* zTkNYSxevgSEtsSqdU^R;MAM2H+)(xo5xC%x+{PqGs^3*B9E(hCw$2>e#@C;IE;t#8 z__^1$vg>`2-4AOe=v#&B?<|U|d-+@x^w;1N{IkTO-RI<<2b}&|@*q)fod@;U&s1iu ze8IKuvNmnHld#EJFWZKGYkG*?9Ma20cbKXY0SYy6WeH;CUf}kVN_4lNhnejMrr+M$ zw)jsij#+IMEaT{Z2qof~fxdj27igh1dEMq0vv!CPoxP!s74u6H5!h+yeUR=ZBvfwt z$af5PK=Ud^j5;g-oNa<^zc)8EfQ$4w$z}xRt%v5zowm#d#?DYn37M+Wi0GF?8wW{2 z9tOQRahtPS;fz3HH&5(TdC!sx@ps~*PM8PvKYYmn;>@EKW{0)K^s#~1R)(eM1;T2% zZ(V4>;8#HT=$={Zv|m!pep@IIhWr2K3j=$m_{QVN%ZHdc-?524yy>smn{S~RHF%bx zsvY;t&IXP@bGvyT&{I&db3tZVf>FTd`a?Jgmq!{(#%qf%=^`BeJNobYfq^ZX`(bKv zDr%CqF6 zrr8-j9$+*?nGmIr8F44p*({p19l!a2zSA8wmzeFK$rL03#z>k$_InVNHIPyBp&)n&<@u{;@|DbZ7&jjNp4)yMC<-vLP}=@?W~oGxfn$X)Cr_UZIfkg{8xZ z>bCQ_U5~%rPaYij7BYwPS*uu{F}?zaI&SPQpL zd`yMmsEg}S>Rz&GOH%61>GR0O0AW?`u}b@%LA`bTm^O=~QJNF3V)k zp0X8qnW{KF@qIX@xZ$pRT}BY}(hv26gE#X+NX9}20DYb*&f!LZ(wxl+N`kXs7; zJ|ykJ0h)@x`-)N*8^@hc(|JJ70A{AbZI5l!PL%*jKvl}O&V7Rx_&e{P+DQ(UnATHi zsak+`(}bAGH~c?SjMTEYH?(by10c_em~idle;T-fabR|&SBlgAMug-!etBn{6h`4; zbT_FAslES`9j%GfyocXXOl%?d!pB{m<;kq`%M$Z&XxSh+rumywT_xPwlOBLNVP5!# zhUNuIBoMTmOMQHC+(Y4%=)mS~RM^#h+cYhzTJWs7YT>L$XcWafYy*VPt;wIguvxn3 zx89nLTU%f#hManxl1i(Sbzjf0L~43dTBx#*W82hjLe{*>E#@BXJ6cM~6p!GoORW3H z-;SQ5cjDAvpPO$sd4W^GM`tyORnq+*0~{cP#Yy~P&LW{mQ{FTRw(}9A!NFjU&M78UfG%DXQP$;a(&p&u8*+_ z6W6{Vb)xg#*E!e0-v1s0#`>@+%?>m7Ow+%rvRHcU&O1BX8tAfUy4D<7KdhN%ZK<4f z9i-((za+N?mqdcx`yOLV7{&PJCppK7W~!j!z4A;{RT?2H?G2l_Im}cU(x4UkZ_eD2 zld14i$3AX`7&iyCztFtrps9Q7;ck@r0<=zsH5o=`V_-Cw76n5W2z=@ywsko7+wD@$ zZX9B)22BUrI&pOD_UTy40SC#1l9}}n&NAx>MniF>W6B~m+teNSkt`(Zdt=pE$ zSdv`+)x_=SRi*Wm+&XnEy2Nvi>0jHtuNLJ)x**S)SNZ6XV z9$WNRWYDCbKcZ*b*6$ZrfAq0j2r|NMvMEWDK^potRVBL?@yzDc_3oi&7<7dhd6y)> zwrW&WT>Y2w+aX*Cyh5CeQC>vTo;Fv|N@eim5M^LYy&OQ2NIN>pmwA{=w}&Vyaol@v zdKA;q(1u5>e8E$n!LOG}(zQ1>D$kGh{Q#w9vgPeP&m`3^e@OQ4pl+O!Hl7xa^z@16 zWh&%Gk5;90&`CB++1G*>!x*bbz{h8v#Ztey7&MbT-}SYM$|InK zY(1y)EdJ!oKn;|A5@0MzA==E9km8qB=7oa-6yMu#qksfCi}0+wsxp5bpgaqvk1bll z-#A#|nTP8<`7)`z#Rlpbkj>!E|;mm`4 ziU!Xtez9ZMQTD(`{md++FbQyE{rL?M#9QqvaPr^{t%nX(Rc5_ykEK^Sk-7w4f1)s%Gn%>_WBt+g-qJX#is6ANXr*$cCFh+T&gIMnQ2|HD6vB)%106>v>c z*<5@v(8zkE@m~^AimOyuvQsN`yzg@V-)}C?HNX-{i3W+yKJ<{*Pbt;c@EUiw{4zAM zrY2Bq=5|PxsqH4Rero0K5l!{|LpZ1%2P>1tq>Xkh`o35<5N56-OT+V?Xsai!Fv8=C)~Y#4D;kbJ%b(!I+^ zzJ@{@$HNr)5-uvU-bvbQId0tH5 zn<;T5;oJP)Ys9d-!CeYe@=k^1nZ0qFR=Dd?QWE7;AKX$ep9C1gt17!4*?OMkjz&Wp zBo#e=?n` z{L~%CZyZHe%Ql_tqc%Ow*g1Di2<}Dvz_odiU#@FHcN8N-{4bRH$RdJE_eF@3n52^F zvmiFSOBI=){xQ@k30}t3&>cJBy7Sh@IEm3vMHV)Ey`qY%yZfEcqAP4Yc7~U#l7iP3 zb8W$X?Vm;G&PWQo zc6zBI-EpXIPoN{BX2g%rLlp3m1k$75FDZ?)k?-idB9Y#WVz9Q9wfJgo6rG2*#-aaSQrT#Db0t9iYCrI8i&G(SHK8u>iipVN`oS>hMoqwwFWPX#5d)v8NQ@=y#c!gd z5=1@j+ia<5$i>2?ucg&}-`D7Q|N1)(02W19q`9C%tLU~4HAyPFh-utVRVdrwAguRh zUyUvJsfLwof4&l7vdodQC&PH`V?6WdSfeY zzK!kGQL{R~HG%|DlBNbJPOg-)wlTYR?4jJ{5NbA>qVB4UhrK`2^AATB%{QQxlQ90b z{WeyZAa0cT$5%co5@EZf<#TI;T$fGHOFXb1kwY!#i;_RR3XYqs)5S!7(5&uz+2S)~(7Nw|``l zt+hFsXCDqM6tM1rM*;rk?vQ!{g^fh)OC?UMJI;x&el&q@-WWC2MKU?vIRo#f@i;ni zT(=+j`Q&6Q(G>Da^SeQ_1TXx&A9QU1sM$_!S(SOA70wCH`q1fYy@@my8Uwk)c!(K& zFn?Ohnn%fz22t1w94%j-iX#7NRVud5N1l#TiU~)e(As4BO&xr4J9#TMZ1Yt~L`xj} zu{{di9)0bweiULQkuhqEAP*WtuT)7My!vtE=#`tpl?9$b^CFHrD_;v!gQLkj zhXMfC14-_^b`5}-=tG#Cm}b73mlzy<80uiA{37y@?Ff#3L@Ur=sA{(V^oAr(9cp{0 zq0S-z!J+tJq`7{obB2-6oe$8g;ZRE~R+>G7xtK$7JUE~El-6O5$``gg zCQLuKi$9VshHD;tM zv6m4|LKoBLM?Ta&KB@QzX5oZT8$l0=C!MDYNhO1l!tfs-2PZmS59picLrZgXm4ZPB z$e>?aE+eh>wUeXRBH~Rb&~ozb<3$M4hdNu|KW+jZrK<&lqQ4!*ShmJQGmpl0im~mv z*&6(onf$=JZ-Q5*O7+8LE2X*PM5@xKm9J8Pf**zwUU zIwL6*Niie1YS`&R>e`v}rsLR#aTVr0UYLFp_b5J#pY%sIT~$zuB$~I%>xVW@BuhJ0v`M%c`1;Oki?|qDI<%lvNfKH|yB@|;x?1C{@1X)JHadT%OrO~q5eRgdzxS#$bPNXrg@~T*ez25O zR8auC6YqP;R`K*v57&_VmoS9ng6U{`hlE1`)3Mp~MRMv^k>=a>czxH`c|zL>K^8Ip zTU8;@ESL6t_?krJ@sd;zuVQV)2Fc0#ko6K=TrZG0uUr8(F}x zm^T*Ss6}dlL-dkB9K|qkk{WmI@S*Q+R#b+TDDp+zBRX#U7ZsMCZ~2pcQ=ymD>7&qX z`P$U)AKW~|C>Vi8jm=Z#{V>=$_7F5*=tLdE{pM@945qc!ksF+AxW*#Lf`E61LFxfq zq{OpMf9L@lCx@9Y%vuSKyT<0#?Z7!`;}Iq}$BknHlgrSLfc$%*vFqv6%>~AwbK{n8 zq4!nATpwHAP$w<<5j2~8k$wQuo_Y)K_M zj&XH*?Y;tbBICeE46F!a*M}>nDrPkV%82&p*dptOJJboQrcn1~m;efW>++dJQQ6L# zf*<%lcPuk(YUjDRA7Q}U-&|lFN(M{{BX2E|-Qn&41x$LR-#oV=rpW5Fg{2>i!M*|1oG4 z#Fxhg0>^u*=Qzh2w8g9$rDpZV|K=mve!_r2)W};BT~UGSKkOevimIPzxntX|Riyc# zxs=tU+_B@~G`37%@z*{+SyA}k+BZ+bt$v7-QRnwxizr_eK}F5l?}Zd|Fm^P2^-yPw zPFt>uEYHgMV)|{YbA`u_j%ZsJD2bGqZCl^{r?-)XAB`#BkF}B_ z%4|yF7%ub0o{#G{FMJ!f5yzv2Jj^!^EefdzfH-Rc@?CPCjAN(TcoX}aS+}l4tu=*t z@|0HDXI5U7a^0t-O%|^H_O0ugkq*-hT9U7w>aqg01P()r`t94u*J>3d?b@LBpDx-c zj+lW%vvx^c6i^HjWsu7D+{+iQ9UCROLoLseFzihoqAZ$Cv1R+>)G`oY7*x(kl}qK- z&=@=5W{}}>^^2$Zq}gFaFXEc6voFVi;TkdY!N}AwDg2uorZ|CKzI_}x+Yt5Xdya`s zoxAo`W+SR8CQesK8lWFYh2vV4Y1Lm1Oaq&G)kCuyFVIUxN0a4TQ{jj_bMYEx^maQ+-!J51+Dm-#p%rwFRaFI;vioHbnE$?M4));*Y9kdBv2zY50eA`h3O;sf~uCCV7D`>75v7DZ_kM>BQ`3; zFCc2tU!lyFMvQ%f|Bt&qFPUQt&As&iAc<6!S=}tw>88YQeRE&Eedahm-fiCLc*dpW zavj+n)JhWj7@|^rv?!;SQe4TCpxDT9C|SqhZ>r@dgrAN|7Fs_^4Bhs^ALFQS`W>Rnw7=rfyP(F+@Cyb z>bOmDq=oObX(ksUj>P|tSbI?32q|SNq~=F8HRqt&?Wf?p2eHJPgRXi;eLSdfSp=~3 zV*0{Cb3TrVMC!9WAKK%iP@_aD-TPcPa!^_%?Da!>5pgw?+?#a5ywrF;qs|VYTjz9C zr7}ymz4*8lz4Iuk(5VSG&%9a%Z8Zzc1(GEn_c)(lwZHj~FxuI4zi-!WpJ_<4gT9*6 zA6w=ozmW4*9-!+mp_5zfJj@S>*LXVnuYBX!?0-|H%IUp9f>;!r4Ko}trY?R$3BT3j zs5!|QSrx{oAp{Z5^AD7r$fjBWwusb(^gD;*aL@PVehTAod|@^CmLP*AC_EJBaaIM(^; zvyPolPll+(P}BXS$e8#!1l#%>kIp(#6m2Kv9X0Un#9{&EZd(>NFzgu+sdR4%~ z)RUoeVA0GoIAf;6ndnS8c^IrR+3v2#e;5*wY-jGcZLo8Oa!6}9#NbSa!6nEe)v|-B zb-jcC7$y~?ci=P%b$E*fJI~Ij@JHR=ZZlg}q3c^SLKgoqZUQ>zWqbEIw%=_p30?-y zWmA|bwMKsDtj#k=763#mzM-Zyw*HHX1h{V@`@HJ$W!okU1b=yIktAOC>dnuk+(@Gp z=hBiSkQ*U?Z_m?OBc0riYBHH_gZyo;g3hU;S^MnB&d*LPKG*S3u`qcC7m<#q)N6wq z(hel%ticO;mVXa2?|YFE4^oA#NC$-p6k`IN?%jeW5#BF4w|b^aWk}80q+2O!u;bL1 zPOVyq*SIpW^0g|Nj`6H5Zu|CL)O5NQ2J)oH6iHMhx z<>)}I7RFFp$FvIA;4fqW(Qs>ldF2?pcGek%xXsf`0<{%gN;)@XDz|Mt`+l%eof_NQ z4l&jY!+~kK=xQ^?0I;CEpq6pBzgc6!-e6;5+j>$uL;wtlcmI2pVp@Z{Drt~Te~2yT zMqUg3A+&9+R$}SfZ`wTT&{J#DKS+z&Xr-EMXZQX0VC)e4y_C$N^I^I-SK;vH60|Xd zXEZkk$ot2JK^!}-h42t`(|YPlKsw|MDCa+WWmBSQxfA_LZ>!hwu#y7ZbK%HB`A0p- znF3*ki2Q3$d-9z0p8P~;hDL&7-CGc{rqx~f3YFE5V4j`#G$cKE=LZLV4L~MGL2-1c zS`0o=6bVAb^|5XK=);&WFOmwEkULluOU~*kgtOWcr`|SYWi@EBc>2WYocCxEaDXhm zKSYQCTi^v0%~Q;fX5Lv)=T}c%8xNX{IU~*}$a+&EEpkC2hnFg%+eS+ty$yme@G=0$ zQ>?t*tmN9I3a^MHTADiUBR+Y-4y?MJ9IHSyEOmK$v5IT_+jNn&hfh(9`Qa#KEkXRHex z8=Obfr!AeGDRzhG<#U^zwde{b6|EWR`mshy^RK-6#4@)ck5F3# zeT-~AY2N(9KS-BH@ro*Bquk)v(NSpcJ8M#ANtH=BUr%7l2W;a6>Y!t`j%@>CC9f8G zH=rBT^FZML{8THLnh`(w$p$E8+MzKs8(522a?TZ7B7HM^=6Q6PJ?NZ!7)c=U)5@Ym z8vJZ*t$YRcppIoW#>pFnsqeQfs!Sp2(P-r0B()c>ED3lmIaab2Ni~t3H;(qj?r#e5A)J2*#+UI| z9s&@Sgefd*Hp8hk*Zs~zbn$90G&QLrGiE*7WrE-T&#ik3175^7+k9SHVGVlm^~j?{ z5-~Qqhkaed-+H_%>T=oLW!f(qs{*+7A$Bw%O3D`(HUvyso!weu5d)=e7hZ=tCaoam z!FwAe|B?x&CmNxbq@%oSnZ8j{6i!U1mN!R!O`_B7d>hFtrdeZt*3DCu5}@Rwz1cO7$CmrTK>^^BvZ|>s z{lGmwvCJ5XE5M(Gd=*$3i5MP*_{hVaw74%Jjsbf4T9`D&TVYB3$sj(n@V!uHY&t2a zc<0JP5QAvo$juuOM&GB|o6?R?)*~$Y@x(UBq}6lkA1Mt2 zikIr^-*`yovvE?ob%o-yhaO6=B<09@F9x`)2|Il~?U}75R-YZ!mZUtdN_DOMqp#&& z^oBNyCf~u`ZI2uLjn>lqN$pmI1v6DB))3V3v(VZcYh>K0;2IjeZUsndvdn*W8QG35-Fh49hygD+ePSnl0pKK> zomlgyjXX0t@9O~OSFza*rmA=RjMC|OoNLZ4arH2>#EC@vhd9#sde~SXoRI}wdQB}G zT1gfiedW7>P3PjHutDNJU7uRcOXU6u$Ts!TVz2!#3oIqevRFSeM$IlZf7;U+6G@dm zi{T~6d_K?5o@?YrcTRlew@+{b@Y(i`Rg#ptRr(A<29BaUig5I|s>*mbK180L6jsW` z!v@M`s>QhygUM>q;jh290o%0e&E_l5K~<=xOTxZQrC-v;SDwyGEJQ~ZeH^CD4gJ8lwp{;byn|qrnM2h_ES|^V(T{k(bEC+GG65v`dgVZ<4NT9 z?c)$996Ly@W*urxl}LJ3WH-*@0i|B^k?;KN;d7KBQcJQl-)R-vt!EPp$Q6N-D24y* z9esD?b^P#^k5J5XKdA=;Co)4(^UtMn^695*`@P+b^EXf7-Tm>vzzAon0^J};{mt9J@klhY zDw9@*Bk8&|_th1QNl>vYe5k9lt$UKnVlWew7jlOlUFd`Xy?GT`8jAcShc?oeV=UK3 zrA$?+>s1A<4>HN?CFEIXyOB;BRQ6^?7Eo!0EckQa#v7eF>?8OqKiRgB7b%%EsXh0| z!ODa^Rn1yr?$gRV4CAx!?4*h*Iz52DwNa}oR4Hra6~e9Yi~m-HNy8Wo8XT@;6?o#a zuRTCcG>I|hppK&V%E0{H*W8nVjhLq)Rp@w_fJn>@ZCn2f zG-T1xflkt&T4PQ184=YJfGn3-jX$m4Df%gse{9_ulk&m9M8(rN!V3>4d2@nQ@2fRdM^whxWw@#^&?fd06|k zH&c=M++mAav`NH9u6386jVuI7vG!3kZQWx|Taa^!0mf9AC&gmVrz!wUKpU+NIm@W(5I*p zk3ileZE!c~wSqWEx@Ys*BcG~DauF!FIXS_u4=j$_P}(Y>7rrzD!#ukBkO;TXV3xgXdDtrC4|1?8o~mJ`y| z!!8CBDfN|a8|(j^?Rd>D5b>jPA5(}r&Pa_A0S`D8mC7Uk+s4efeeH`8*#C{P!FUd^Zlkd`b zIeq41pABqOp0%_R`zV}I@3y_#j$bRyzx5FmQaYid*l%Q^v%65R_k0rxrpjc9`_w)4 zXCbn^D=(f8yCnb9kXD&|iDMa_L2iXlUrkyh-u%%|ihSrCRZ>+&M1@Cjjr~*X8CrH{ z2uDIilFe#)A&Y`ft~6jYqun{F5QhsDF?V9xK}O6y8rVBsJzsp=r4@;6ZmG0z>unGM znQ@5U|HsR%HR(7)Nnp00*SE@CM{BI)*Hn(;3*qOvy(lE6Aw~6{6GAfU`zpH zYK?j7p|IPWm>;>YWyPGwWsU--5wQGu>#8=|w62J`7aF7hOF&SXZepE_!}F z2%I@Jt1k(4eMxkOHC_cw=2urSoIn~XQh$!N_{}iCBH^|+mlTmVn$MS=!**;vzOW(V z7-oY>zuK)N>2&%+Bi%mCb6=b_$l|GEXUN9AL?bG<-dkwW(3d279tq~eB}u*Zk~BJf zgd+y@Cy)|M3uu{YKIi1sJEIoQ33>Hr!`ONqAy}T$4mh;9 zz4JilM3leVJaig2O5XF4s{(~HJ9{&6Ts~A70yj5zr3tfzIxWP5XVC>g-l0m z59!}lF}^D9_bImi!l!uBZbN_C_&&oTnetAT;{V~ICJP&9Nt1{JA0hKgc?Jp$Q%}Hs zV3EzMBnr9KoZ>(sOGevOfeGmF>Bx zbl1VuXI+j$*-}N=>tpP*$bb+U*_pq&K*5bej~76vu{z%g`Pp%6PAK#6T#<+nO#kTB zLt+SN=(x`*g*bT;;=o|uYoN$p`wS-`pn)iRyL#uy)A)kb-1^&>fTNlpzt>410Nj0( zQm#ieb+(Vq$!QB^zxenLBCw%pTHWXW*G{9v;x($Gup7J7|LCV=s7>Bmo;~@2YtcUr2AwcUR^V_n_C!-1=Yu z7MQ-quMitAv#kZ88P?Q=LT^hsjxB(3(@vBT#q38&GD@}~^9%D9`FQN<(9S*c*su4o zGASpO`Sk@U8>Bx*4T^2XvCoq)YE_YFR9FsOEvLKr#}}SPfx2g+at;Nz#q|1$Z{Jk5 zPs~owM`eXgyC!`fk236zRRy`I!9Q)~PJIK0&O+~GU4W1exXqXOuk4bPHPFqAE@Gzs z)4@PTH=ro!Q^{A6a0#3G^-Ifa>nwTg(laAktdtlI$*6dXBah>uhT&&OQij$c=SnOf z?HQr@Z=^SSb?!79e3eXh=h!To+x@yQFz8h-J?VMb0AsY(`GKDfx5`cZ->s_5w&Q&& zrUT8LQJVu#ON0Uyb3Mz?uO;nU08#tt`7Zk;c5sBh@zKXPlH#d~WMF@L(ZUb>6axyc zCmzM%C^R%lXg`ObABR)o=EP|?B*srw`1>*w|L#9ekMxq59Ub^6r6Ep=pFZ-^Zz!a> z^*|M~&d4SZo0Ps)2X~$s)$hOj~wl_{ zHoqj@ZFhyI?@-*-w2f}za)7BtoL-Xzz;1kS>b@lv0`xoYV0Y8YS0o9Mf8MVN#6eec zsKs5idVOMJLg37>oe1#k?V`T&)OB^0!E2TB#`o@di`sTkPPC5Q1ii2(hR$Mx?>%B>Xu+uN^Nm)3H6%u*vW5{+}cb}_;Nnp0W&p<*g zAo%28SLe@@zKU`#q`%o5CtKQZgVeq*7#3oW*1vjXOUvn21|)^AQCXZmHxYV8VxM?q zvr$zSgXqz@2VgicAa^rK)%oT-4bdEw3~maqW52C0a7}AL$YCW3JdJz|a?tCfn<4ts zJ`9!?zrqk2(Nf#TC$^T7LC(4LF zf%F#FmLNIqo;ZzYNS4YoP1Rp22u&Rf~eRftNgjn|)lE%PXw+qlwfOq{;*Aqk)1E)07tKBKM>M$;o( z!2EoL*z-3}11;$#sxZ{-?kc-8@em3i%;B(+FZ8^;=r&)%!3(BVp@S^GcTfsxKQ9Td zq842SoQti20yzAmgP6Lkir1hwrY8fxp$Z%(_|o4iz*t|KJrA(*L4=smc4MhH)RLB2 z-%8}c3CiI$wSI1+Ni|{8z>*$AS>Hg}$K4GdnfhkqyvzUGGixPj@%(v4s_TrWxHHwC zo#^vp8?b)*N`rZTQwbd~n}Z)4r0L~VQ{N8GTLIL{#y1(UG;62sGx+)g@$reH<2D2u z09Zh$zbCb-Ooti8G^~Y{Uk++{*3-<5Zs+_(;8TF2S4(_xCrF7wmn%(QIR=;>wE&yV ztcv?SCa0rRwa~6DG5c`pgS}7#)BSvvp-K|@%tvx@NYURM__fOH>!eJ61IWc_j{9n? zS13N+P!A^|TI}^TO4+C>jQhJ)GVT2Co9y=Qmw+2hRf*#PL=6Lh7z41y_BcgniPZ4Z zzrC`Mde5P&FcYO;X06q0eOk<`({Y#lGmPtP-${|}|MQ`UEl79moyaQKok2!)sPt9x zFdaom`hn;;&Mr;<{e8jMj-hTDuYH{_aYR!GLcScgs$%-ZxS`(hx0D-iCq5`xgtefS zxLYIJq>7G7%yIvCdgeP?YJrz-dA(ZEXkH-LD$AzN>eh58KJBL}g{Hk;VM~&d4m<7o z1P*uO0dNnlS~?t8#-FJO#Kc2!atfvpr`$NmO0~xh!&&bM+D+fV<2nzm`@X1wZ0RW&>v(G$eQ|*Z-ml!A~ zDF0XI4FKq*bT9_yRApoAJC+WuADi{gd@d;Rg>DHbYD%nOuzRrXxUQckdq!QW9SguDwrQ<{4Dk*3l)t=)jb|=})aRCoSx0NUt#UiG}fB`T9cg zCc{7V1Du9Dd*(ydBYHkoQKdyEeU)^mTpNwy{s%(09$W zcjK}qmWbe)Z|Efj)r4~k&4PC7)_?!sg(FW#j6EOMC4k&i)%k&r2gXmXjg9P1yPxdw z``HN$3mg4JTXy;eiib8x!!ac>@by<`dWnJ2=tcuQJP$02%UVyYieQqdwe-2o*jnc9 zp-0+u+WbjX`3#~3w=vK`y;Zy0&#W=A{?w!H#(Xx#dkR43OHfSW}0YGL@C zd@|Ish^EioZ9cy(@nmj-2|tDiAhfje*2*zoz84`DOJf1 zbXjtZI4mWF%5j2c&eqq&z@H(lJ>T|NqWvzvf?(4vO;a{6cPq2~RB`Aa&8dfrr#5a$ zVj0{SSydyo`ip^O`Z~y_ByvnYlvF~ipW23dX3c7^Y@XukTgz1Ci%UylId;FNW&Ikz zL>x{mj$@HoPnM8Og*-UuwIR>AZD*=duT_9_?(v`=Xjp0SyoC}8)A)A2nmg!tT7wzZs=3`I>6T3< zYA!=Yv&zN{kSp`&CmPD}`Pp-in>S6p@H*ZFbHzOik+T}aA#_Q;cHtRyk0LED_-%DX zK+xv#K;y-9M1M1C(K)?oUnZ^g)ZAoO7MpKs()5W2%pYJ}MpcFuh|EKI`qD$v1C)gv zbz8y>aX;z}Er?cjUN~sT%3cbUbnMZD2#OO1cvmnKH50 z^UZBb<>vcy$=<5S8@+g=i@57QsR4AO3vOfXIcF*xoLfzVa{xN+ygZ z8qV$|W%HYQ1;B7VCzp|Vo&4pw4(7+Z;{Jt(5{c~LME|C+4c7rjmMULDO*?#-6bfcJ zzD#a3q{3y-!pH0CbRSSKr@7ia0By4N*C#1u#|A}@USm;w`#IR3B%3Tqx%$&Vjp;=e zmzPRVQ*b934JS6b*{92$fT}7C6yplwY!7Rj18e_62YAv_iRc@1irKaadX=PC4*Pg# z=s@$}q0bRoso=Wp0rI2A&W9eCefDxj0z&FfnM+Vhg`4t7SQ@4Tzc9|hfwGe<|WH1s#q<1=fy5D~KD z&z0QaAn162Vo|zU#$+}lW>0Ocei^m6*$XQNv0p~eTb_G{nls8_;884AQk55>8PpVt z@MqX8y|Xhk97mf`@!FEI7&zMldgWTUIp}#nkUO4FtfBVG;%Zv=W>2Xk4dBwq4 zRh_MUak0Jz9>=30%n%61LE&3d6%=S3IP6UZ9v7h?!|U)3sAmjfo;;fP zBqye|8|SSBjwfxKyuFfh+aI7bnk~ep%e)AcF;oBiKTn?p(6d*HP!ZUsIkv>ZDCxyF zv}P}Lg=6zoHmI%1xyit$#o0-4H1^=ykjf?o(UV_#>*2u50N51B~^>B?tsg>Co-ihi@P3WI8U zY#g;9XC}&c<0bA9FJ+FI9e=9s_jMQt1w?$5w4IpfB*NBz2>1W8CyGV2n-XF$KZKgZ zx^KhHSf@&P7UfkFo9{jutXF4`;>ItpY@MQbfbq#YVaCsw&Gb=xaOLY5v`?L{CgpYf zsRxpr-5U)RR>B*I94>eu^cfe(0Iz@+<7Rb(Ztt3*Hb^rLMUID(KU zB>{j|W?M_LBnck}Pz4c+P4^*oN&MpzxUzWlDDJdac*Z4iM*iYL!);7mu50@}$45C? zD%yZZ{YOvU_`!x@u{rrMNcLhtm8O7OdwK~YI?cWhoY+d5eaWJCldBOcutqLzZ^E9$KPQdp(l;@fK;Z{lHH@nhjAMK>9491DbUWCmX6;3{c zx9Bi1D3P@DBx3USZkz>Jg|JBEaBOjs%nP{R)49Vdi(=co5Su*@wWLEUln@|&`QD+} zC$Yh$-@>tnQ5O@-TuGX3-Ge-q;vi?)D5Bw{QBoXdr=O}4)(pEnj2#5W)~Y0F20sy- z&40P}d;Ig&!izZW(+VW7fLg{+p+r~;trmKYv0kaHYGRscsiVs&{r@#N$Pz6N8bq=(n>aH z_t&c|QLC;Lvvpm~ZGQ9s4!INGA848Z^Lft7E8D#R9Q&LNQ@x!LrpF<|SJg7qz~qaV ztZlwHSy$7^_GB*Yn86Dh6H2OBKN^N~c`u}Y-G=$u-0N$!Eb~mSA+yrqFlq0WFMf=> zm?$zkzJtiJEZ}bK8xqOqJ_IO{w4=+plc6pN1T8oV9P_8RAs4hc5e9#n=RZ5|qLL)e z{waby0D!su*5X+LGSbv)c8ugYA+Oz3f%td;T}W56r$bE^voD4%UWL5SJOT7dwjGT6 zbOk>;@HLtzJ6+PCSCeWjru!VEpH2qN1CPHivdt^o!X%m5Jb^;8eHLg*H|OnPV~&?L z#x|mr6lUWpDTP}YIVPm*x#|j!)Q_Mk)*&TxGMk^j3XCwNWb1EX7-pZw9+mVuo{g+b zg=iZ@KL`fV(R*JLh^D0$8mn+DAkOZ;(R93i?qL)sDNlxttj1TQJL9g#-+AvjI!|g0 z5qvHagDF8`>ZyOq?wke|Zp6QrcLo^D4wCd}k|uPUj)tw{-F|!(-m13N$u7LA1RC=eWJQJ+kV#N%+E_aL%6*Y z{l=L^?%5ozGMB(?^~dmv}1%Zqlk%lg=QRzbpNjhm0WYM zm219_T4 zT(v#m`*Sjo3fAmsFUrHfwY9P{Y4DFCn*zujGY##SgWe>wW@yoeXQ7r3sZA*VN%J|W zOp^|iU&hV#Z$-i}KSVA}zX(QN8>UtTM1u<(L*Pk&uVpnXYvtNC@rw^OLL!oi5}o1i z7g&S7a~av&EfS~c-@x%MbSA@wENnOK9{Ut-y1tcPhQaa4#DjrARm60^A^qagBHA`! zoaRB_%2#-qbgYFUi*B}9_O`Ou9LGbOW13s|c&e%t5LB&}O`Le!Yuh@%QZU=rl=_Rn zVvS6NrE*X_5WXC|^)V^!Xfd=+RV3#}qdumj$4+3?-4sO@fA?P)gHpE7Z8#@nCTolu z=y7}KKoKJB=9Nb$9jCV;$BIPf4CkcHs4;sq8tRPWCOZ$4&0HmwBjPUe@ytRgkacV` zRS^yXj5G2o_tohztO+9JSdbnKHMJsw z=y$@T_IF8PBpl7!?jWR9HQ9I0$pY8@LkKygQpV%6c+j9W#yV9|rN1=6gID>dfukW5 z$Lw`!u9VMpx;)S3;?L862po7CWUX4p0}UVidsXEn5wezrgGZ-BIC!Fn;LY8yMLBna>RLz2E`>09x~NasYcFzI!C9ENHG|GX;YY-|Zm z=>2{$^mv8b_)FnGUa33w_U>B2h7&Bd;0U87nQ#z5(O+U(P{v z0zjMow?{`^9Z9MG|Mq@R%0h{rZs3~W8ca~oHRJvG$VVuY z|Ml3RR5{P!+kq}~Ja~L&8X&8sw`;3A1EcPSwc8>LJ=CgPCW%*$jnf=%KD~4R035zO z_V{IrL0zx&T*{qx$;|yHp(Z4aBXHaBf1-kGZ{ygtc_1<3@k_qSiwKNQpR>&dyZO%O zby2(%MKk4t5KS#eA|9YTbGs@{ziCb^zMQU`@x;RGPd#0@?w3j=KqPv(6E!%s?bSbq znrT5BDIfS)4&IArz8fN0YK1U1&oK4ZHiEGtz9sy1xcU#n;3&2c{>Jmt6CaV`h_iYy z^;OWQGQ-J1X!FZX$Ely`0%)(_Ck<>(Akj5}FT+HQf^5+Ip@kAKa)Iqptwd5H>Q3d( z)&a=hI)XD=HVNP zQs6vRv}OZEu_bo%bkYTYzq{|Z8+mEN$L7y4zN(-aGAEJk?LT7V_UX_uZL6`WpvJU^ z-ji8hGY$h<1jdKJA~_}9?%oyvLQ?b>m#H!YZyTjbug*}|aPRks5 zq70Lf5q%01JH1>VI8N#p5I!lD;qC^()&rJA(WOFc4u`?{(6+HQM&X~YNl@Jw>dqUd zGjNYQ0|5Lma;OqVQWcrhSk2$>Ym_)|A4hH%IdBkaZ5h`QryknYKMTxe-!hQ=12)&& zC3^U4--%LERV|o?r}7V&xV0)iiQyVDD0m|z({X0j1VFg|UT{W!e3vG*+XsAUku|$*|u%#$?GmkV96F-UMBWN1}Z}t{^En9 zb7is}BH(5z2d~dd(W@eEZ=BF7g~$N=#n>6fF`l7rvn-+^3o6$JAs3u#8KStmben&t1y<4JGO5i z8{OHXOABSN^ccxh6n_4|-wB*lRd6OAMUf?zGX0U`IKA}808k~?z&N=$vRsO3{*T=7 z!vG!^nzK=#TH?zkJjgtPp%CnB`zVdwyC3gZXDQ3g3mQlK# zT`zw!j1Z&KiMn}Z1Tj>VMWr6{o98`U+I->Y5SI#5tGC|hT;1VsJsUy0D^LdT^o-k8z%8~|jxPW5W2om2#|FS{l!p;bhWMYwXDY0bBkAuBBfr^$bhP6EuA1~hW;#%A-K(G;ooFOV(n|%Oq*k+SKeJ-)IJ#iq zvu_YGx`c2EgLY#<67ZqZ32^#8z3kY0Udh(>FZ5g#9N2E$NP0pi4dByleSaKUrehwB zoCeKd$#amUG^t8jHTFKRFl z;*R@cU(Z_1MihT~cla*?0bNWXz2SMFBm8$B&&vSe3&)r6V$*H&@B|tays&%@nrG65 z%0TDGp3#GvM^{)tEeYVO7d{0<@TxsJ7&y?5F1~#C;94lhw`VWvQSaQ!}UI$nLibhSz zqMJVqth%okywcBLwt;2`XWU)a=9>R@o26&3#_q_`DH4-bV9Hj+>323t&R*K?QRLtn zJO`1?1MX>;#Lo`(!wW|P2Fg-up_SenSS11bd5CVD zdc4R%%`AGkkoh>!9G!LOy9ZGw`RQV7DP61nv_8gEX+Gzl4*VL?+VBrs@T?RwwWgNSBga38Ei#9uR`~nCsCV4d zKauGZq&e#C-sDM2ts{=6KOXsA$F401JK=g2FyRa@xHDy=8P?X=!%MdvXe3wWs#Q2u zWVRV&+x@3w({=YCp+KYqs9Y7UouR;VvBkaNg~fvG$h8EiqM%4gVt+oc-HDH;^`D0` zvQ%tC+Hr_CRMXpk*R2YnG`L(IKnlQkB^lgl1p1@R2a;p+aY5G#}FzU2$ z$F7H;bNRal50T^AnGcBc+ZGe(nS0RWPHM7}i=+0L>7|NRxp7Zr2@hCUwtM&zIN<4J z&>ZMEza*70ZmtA_mX(E~r{NnD*TC4s0}*{ehW_{{4*ee;Ct11VpnDG)%TNbdFIANQy~ea7tUUc8#Kb)hOK6_UgQbGEF{vf*GT~`< z>s#M)^k-K#YIYr`wop8R*t>YyqF8`c)Cwm!+i!g%8z0%J&n68@;y^~E7Nonbr#%WL zv5n!Q^NZhi^452B^GqWq9)uDRlgpI4 zqFf)@xMKzuJ=<8ib)unsda8<}*WRQg>Q?gkNo1SnFXkP=ZaMX|-t+aXW4luxXoWXCG62~HAH}aUr zm(Ik3^PDa;7LumFMH7E-@uGo`EG64~i5!k?glLi3fibnF;udb*mKpOPqWC2y9r%M& zpC(S*QLm=1h$2Yxz+BeO_C9uX#_$avP%K|0_$s!XK4HASdj9p|_Bk{fkS`qefz0!8 z4%PPth%@}5?^~vxm&~mS$fyFPkj7|NVJ_QN*3h!YXOOmB+es~F=?4Ne>!C|5nOgkV zf-qsk>wkOhx@Poj7-4G(7-(n`j%@O~p0zQucYJ4E$U{IAi}};h)>6R?aEFNebfo4V zpltj9sH%$|S2!GK=}BiVvH+!K9b~2?b6QgKurdGM*PtugIHAK#d*f=DT9X(XqgH6~ z@vv4|bdmJAUfy<4W_lbY%3@o8FAJU4oXB9rV0}&4i0orHmk1}N0zh$xZ!A<`i#NvZ z`me%L?#kj=m`WJm0`<%FHD(+x4#9EbrlgjU`k#C=iPLnMR?^A7 zMgu{nRs}cgY%TBj8cYi63PU~}8xX3 zNWY1Hd*??VtB7hgsSD+CKmMV7H?HpXK!pqkzQfyNwZm)vhD&IrCE7nbUN`s z8ZNigSAU2s63xRnC0+}0Tdyo$Mz*d3Ns!^Z2D~&s*zq^|^t@J^f9=D}%s?WQ_JhDO zQ>1i#IMy)N3ZGuWg$#*1tv`Napa9L%f?=AUFWYGD%A;nZ!!ClLaL757hop~N`4s`Z z@ngrsSfttUq`yA5Z4#%dP(l%ht7racKeSLv2oSow|3`#GcyZIe@(~XAz0CnaugM~s zU&fopsO6*{h_@~SOImJsCced~JY2#jZD^Eb*Gg6EL3F#4wI0Pb&-9#2!$gjKot8y} z=kWE1D^l_Cg^80az?-8kVg$VwUi(_4_!To%Hjh07ci<%WZ;J?fRfmD!v|Anoe;dIUQ_=H)R3iUbFzuW$n27me6DgTO_D>qLDiQV{d>wHQdKM>;^}&=%T5}@cGAbvr zk5AfN?r~%zw6cE*6D);N>DmJ1F`Irgu*B`&&H$6{PEQOxRRPzA6J4U__>ItggZQax zkrsxbt>4Sl{;6l9SgCjv!(bpZyXaDh&pwJUsw6Xrap`AdF?$raC>f7~HyWV>GNaJerbo>LSXF)D)7}aobc6U6aC8<>Q4p^j>@xx8ezc*-$GGvTS_~7 zKiU-8$d0-ctI>C1xS8ICGg#Umh8PDNvwhK?ByV_UpWjmiWGBq4l5zlp2EDR9akhho zgx;9EiW?{`=NeTJM_>>G({C`=!4^NX0}BwrR=M3mYTu^y)B{;?>qh~Ur0WBnXL&Yv zvInPz1dN@d(AVTU7Zz_isH_TjFZD1fU)UQqOyg}te{~udrt2f6ibNuAf3C!fPi#)X z>oxYLrvn>7D10;wT5|H4hDaK86-w@w%2@RNB*f74X^g4!0m|rKo^Cx9ZH(C;YYb8E z=BomZsZ{ZJph;zNrw4zT&y8A|(WuCIuK98XVm^ZeqpkAcxeeIu*pGb#`m6}!!p6V; zGbbgL5<9yU!P&X&8zfg~#%DgJGRBNtM3Wv?!%r1z`Y24E#)?k|9wnMxp0R)MP`xCQ z#1FAWOXnW8(2?EMI}pG;orq#N>8 zXgIRsu0!T>q3Tj_94lZ|l|l6-X?7CQEIYssFEtWJl0qF@^Kt4vNG;7r4N%xTZLqoVR7C)bdvH0% z>;I`aO#S-s1VK>~(p|HGE~q5ur09n$V!cm)(-}0FXR)15Dk^a@nSqm}_O+^#FgDj) zrHz4m6nOPfA0u}>Bm?umB%&3e9n>D)ngpm|9)~G$uSFG(x-^`A*ir$mJNbI#V_?2N zqbej_PKU^~UDEwSukP=)NORCosLkEgq@ftSOw?8t67j>mi6*5#`Un{eG+xTJNQq@W zt*$T>z$xrohWSHa7;fC|lGOeQgb=yJL03V`K5|>U^2nTLF-Wt~N&V`B#g~)%Wc+;4 zkbgREpr%!lp*Ya1%8d(M$Q|`O2(Ui8>4`Z*U#;*$uTd!zjS@@5HVmjFv!B$la9o{N zbMd-D>Pr>IvH0p@YeCNL4}2uo>uE^3(-Ns_Rhe}g6=^n@QgU!c8%RbZ&$|oRy*{QE z4+D!U+6I;$j-nbXKah9`21?PXTgVT?fn{51-@yk)UbtC-VOYyl8IFN}K*tL3uDO4X zTL|0nsQkReQOnL$HQ6>I;TojEXOSBR1M(w`&smG1D*z8>)MC<64Ib`kmk1j7iVkAW zd=eo5zqD8l&lh`x6I-K%o2o+SOCqCD*@IUevKP7fCUiB_0Q7?rz-!4P3i8Ig+^|NK z$Q$qNy6xJ?q$Zfwl0uSuo<1j1A|+JPgLQ6s_Hy9iuN}9Q)p?hgNnRmg%r%HApR(8c z?LNgVF%Ccfv85q+pc8m~Kr@9Km*!7a;2+_?W~oJA=SN}X@tN&JzLjS@6aO4S617?( z0~;%+`@lhK$KO+n7Y=H4Vgo1hYvM-Sg}|zkzV9HZhhox&TBA0{HYh#|H4^ZYd^a$> zn+ni*@{@NKFff55ji(naO2kLKkkF+vqKg~DsfUFID|5@(_V4c7cBc3t2zBn-pazJI z7Pla?^qOggb4op_&DieGh~QBaSex!utkI>S1BFP1TqqrkJQ&nbX!yRt?nefNK2A0| z5q?TZ$1_r%q}iu&U#m#dx>R~HgmbgwZ@14|8R#PvSh&?*e^_j{=#}*PUHflN=Ds=( z8vqcoQPj8O{a0Kg(@?ZN}f;q zdlsMveb}f7 zS!`9p^_?aD=fA@hWLVSj~kMZJM2Qy$Mt&9_~ei1ygTaKlu99c4T)b(%B&KP zV0^)weK$UhP=Q+6__x~<%cXt4r3`W>+(EZgoo~Nr(W>B{#)uj6Pr`nxQ2Sbv>hVlP zhSrJTo0owG_U!G*&a1Oj&hW_ zzLKx1#r7C6o1>5=C$A!uMes(M7nam(As2SCe-W#D49$)`_Tu$j6-C$ z9sHysxS5r#F0VitjkL$-9>pF+u0w5vx8;I0$ELjnnb`hLAa&%X^dOoRdU`jx?E zH$0BJ(G@Go2K0P>V{1t`^-%lpUD8rcGc*QpGFPuXJbh)+*^$lzcF#tWCa2RM zBOTxeEfnd5bTw(Ez*S|y&p$5}j?X<5q@%#K+q(}$=>1%=e_JkZbOHDHod!=G(kI}m z_opvygfb&qB?l=5fwZeK)EuiFdwlWW!bVubFyR7_$)-j9M%aO@KY!Zd*^S7!DU%lK z&sEFmA3~cXc6^N7j4~3%0_e%Sq!tESg&(4UhY$nPCpxVPCzp-PN=`48vw^-Dk88Mv zAM7gAf6SEG!>CIoyL&+V-xkL;1ja@Fo-BR_*IvDSX`|^{&SLBQhZYTk|9tPiJ;2=% zS;z(0GRI~!D?IbvfH;rCrsMurI1VYk1nGHIQY7fyJ0sWG7+O2YCzs9dHMvr-^YCs{ zEYBQX7^Y1jw#}5%zgu>0&R#lBgC(iyiM?m3H+Hers#M{S#!hTM3PS4oON6C_W+=5lk&`60;DX-xpS859ROmd_$I{h$ zYS*1u(nz##6O;F_fRiVtM3s1?IA3>c$8&AR97|6>r!WV$jYyQjB;Hz6Gi!NlokO-k z26MlVv5zxcKn=BSR7~uk>urPh2mm^X?mtxXq%iL$DdFplJOTXaUW}ZyMQ1)gm)a!b ziri`^e!gn~rumj4olyOo>)Ed6Qq1u|1z$(4VrQDq`lzjyjVxo;MsWpy*ehWDc67aN zsS|4nYZ3}zsA&j{B zLB!`f7O5d_WEf`FT-)~b|8qh2N&JFaD7Q#B;&Ssb5`b=EWVG;KU6r3&FKg0#wmGLT zV0ZzE*uPd$DJ*Q2)LQjAigKr3uX6SEfpvNzr{)ldnt7fh_Vd9Ida z?5R>$jO`4qQE^@;-`+Jz5j(Iax}6qNEoZ0m6s-|xVcR80fLM-&+%Ot+Y@c{(n4PX$ zWKXqRtWIR3F;34SBv>0W9%xWWO z@ZD!k6C|@&mn%9c2JsGm$a3*uz4_MEq#MAcq|gj`Ub?cH0HIyX_B5c?TkBq)ipw%n zSZW(--k#G7#3;P7S;?YUaKlw?4S_>X2n1Oa@7}dIL?SXOUB!;MuoOVTj@En*Ho@wY zqQIc{`k-{9Io_ne;zDyFD3S{{%9X%*Wo(V?MPVmzJvCWTznnf*JP_cZW(O@*!KKBOK0l&&Zr{fN$(c! zN9rSNt6Lk+`ev0n%{X=dM!7dl*7|Tzf&M#n$Bf1WX4gv9O`La0iaQguqP)JEJ%($U zJGD`$d1+^KM9P%!RMQ>#594Sbc0;|2MLP>w&7Wp>D$QHCA-A{Izf7E>&& zs<_aN^ia>TORHVH8D$c~7@MetGE3U&Xlndd+E6&(iQ?!^$y8Ch%uerEnKE{UB+(0c zyp~RQHOrle5}om@72|31;XXB$hRd!tIwHnvZr3m?qVC1sTbn9?DYt+v1@u?04?J$Z zYgRdBC$rLnHJxHP$9p9{9BjBI00VZL)Wbi;YGJzIkeWh|pA*lq{_1FaXuxm^zDv@a zo7&AAs!DR0zU?T|1}aA`04CcR2V;$Im#}u{n?o0Qnrpihs^#08Z;m9;p~_r^8Vnbb zjl{?aTt9PW#%?)}xafW_9ojH8F*Y^>#Pd;qVYzMw1{J=prj}|Zy)nZ&?%@HT^-3V4 z3hn24Qd+QdgWUJBOc;)d-Ji^z9W88y0Kp0yoH;YU^6j);Z(z^H4kogyKfVN%ScK-<;E~05|i9 z=#ubhI@><#G1S30?FPDcE7+^^g%^dIMJU5K%fxHVN4Us%Jd&3?UbtShT=1P05wUKA z))y)WL}SXqRok^Y70i0t!8+Hv#c>1JsP=s8*#XuP!oH9FOUd<8drWCgpao3H8x z0%QDP)v{IA$@VGHNTu2`(r@-j0a;kVn%OAisY~q2dO<}PQ)9@(IydvhB^Ve^<)hcu zZNp;CwkV|e+CO{5k8dC%*@Yb}>XE$9g($gFr{c{8{kTIT|M~-2=^%*zb~t|O-}Vx( z_7TM3sek$R6@no4{tMe@wMMQt26$(=nte}F31g#@ZIAzWYla($LXLk>H!%Uo;jb|@ za!`g>mP+oiAUK#wxnItYPs! z8{=1BNBl~j>#kW)O#SUeHfiaZ<>tJMMKSeP&I?YpJY7ljLhKT6E+yg-&(gnk@&6Mv zdn?-~vxaNUo5y6qb_<(64A@1_o6Ghpz^y*ZlbteO@NTYa;vj3((#iB7WGsDQP3_`{ z;v|n%Rj_n(zUsaa1Hn8!@8T}SJ1)2Y38NSJLfz8n>Z(&3>O-dFtTkNU2$QMS(`?`~ z2vnn%@9oj;f{{L^T;d((Mq~V(pnF!qVosjWX=7ngC7Z7TWBvcz1zLBO%LGC}+vW~# zDI+K~S7Pk86BZbR4T&Oded_{N6(zo!o94J)VFA}lHNlHg`oL}M172HY34$a~_RyJt z3qE4kNOB?@J0c6oFL?jBHccjr+qy3n6_)s7mIxpDRc6_>$U#{TdrSU>Nu|eeOM~ad zh^{+x3-=J4s;p~63PaPnp4`<4+ucyrK;$o2T6MNHd<{3UWao)C7%V(nBPcpcYZ^gN ze*r=ATa{x8pwhuXSBCAW7c+Xgau+nvcfhmlODcC+O+r6)ie$;3iEv%IWf)*lYUhoOlz?ey1{ZWA=VY7O&x z;9#KTGa4&6{=L8@UQc=MZ%;7JlHINV&Cfij&*huD667yYl%biVv#DijHJv(3(5Ns1 z-7S%MLiX}%&>alX_0^2V{U(gBoo+Ttw-)wnlTZN~2%N=&Ue}A+Wt<5vOC0(6-=Qld zo=}Oyr*10)LwxpH#U<3hxlo}5zWkK+ETZEp!NGeFN6rlrrmFsUyy<*HYwI=Ut+-wr zon9nmjbJE!{DQ785XF)-*kc;wexpBUP48CoJfR&ssGVU27k+Ji5stXFVVeW5->Yvutg#TU@hEd!SV9k7VD!Y zyxWG(b|&7LRB=}v`STf~)Boy#(ZDVhC?~$we5ZmZPnm?Ctemx-5_iV$tm=U-<5b<} zaHH9ttn)Ih7_`MO&yCZniF?9ejJ~G6u_nkt^QG`7S0aq)M`HOt83f zz#D&s!9`2N3i;+6K?t(%%xhU{Wa#EN4`>ULs$kDwj;Ok28P@bx;T)gbRH1cihBjCq zF>;1wiY0b5oa=a_)%zO)GB_ZrWf+xfdv%;t9K2ytmjD^oon4*g6yb$Ma!e^$#+ORG ze#xNM2tetr4~fK}8t++S+Ik6fU#0ZH#Hvmi<9$kRL^X1P!B~cExX#|)x05esA**)* zLdOuH>zSxw-l2z1!4WrtU7OqV*|F)J&os1(UT|MLlun)#%$5m6c z?e$T*W4n_6$#Ad<0_uEaP48??kkLQ+frSEnW7XnPt^wN42VP6l#GZx2-2CVD7)_gF zYiX4ZjJ&^UMkOx_i4Xl5O6QW$1>n@X|F|RpsJX#!T!s zfuh;_1kv^>AW_&fE#pBsJJ?T{__yQE@FZ#W4U>BE5jD`WX^e^=i^RWxqhZ|KE894d zKM5NXSZ(sD^=vbER8L6-M+VR8*5qkPgumAH8~2>dTqDa9fm7p0K2VWxWeKNzUa-RW z=-kL>5`-dcvzEPk{e)%c&I`}Ft^b=fOC9c`SsfG9d`ayBxDm|?#3xSeE%JpD8+>I@ zVzOa(&(v81Dz{XbZ7oSlruu3R6_e)l%6v5!YdFPOmi&u;udS&I=GRQR*+xR6P9i0S z%Ka-wecsgx;`Q^AYE;>u0{IQBLlo+vP4Uawh{`vvRtc{xhQSl!THdJX$w26Cj2y0j)f;LdNXW1{Q3vR zRGyQJmUDz*`pwH1!_B@ljEPPAX4Nj#(jWl;Onqj~G=pZf=;C(>BaH781;U zeXp|Uiz?FCwykkMkvnoN2cMV&b(=`#gU_B|6d>P_`D&gH-aa?BN>GE0ihWSvQ`Ta< zD(O2NXoqz2i;GQ+zftyuBb$aRx17ZYyKqk@{3WCEH|KDJakPz!9{C-^6n?v~YB=_$ zL8LIOo;=IaSiJ-QzusWBKr|*4D}H-5(Lb@3%wi-WSQY^8(+-Pg!O2UIamr|HF?eH@ zm5Ka$zNlh>q3X;tJ6TD$_gC5u%Y;ohxtPrumh<>~PvngUSiTY>2o}FMQA@wO_aon{ zq!#$iuuAaB()d{-(`aO}Lm)&2D{Xx;vtXC?F50P@;jz2aSy~;U2R~wU+q6A1n0(>n zRq8yVHNLGE;htRf=Eq~nwTf!>MF)OND%G-XZ0n*hU0Cz1_NW4#f;TrBr^mMR%p%K4 z>92ks$`AI6F_s?tdx-g@?v;lL zoyj~{!UkC=5#Ad^h?krVmkJ1TYc*GkWAV&-OP*V-Zd+QM3Rl%ll|429m8pF05^I-z z5x0+Dz+yUZN$IJmlz)rSzqTf76^&+zwsWFL4HQ0CLf56M%bGh^w%tVHGZ`B;HV^FN zAExS_L6X?O8b{&-0c0hY28`r}H;4GAA!fueyu$Ci#fgm-&@s?D5 z?9zo*63}{!+&0Jsm)-oGy6d<)3rN5n`GgVxq5)FS^qTiXXH3RqPqz#qXfV2yNE-Hv z_njD`E!$2ywp;VccUJ8B5oW<%NCyU-qSl(5C}eP=0v2!-M2a|wetGH_7|S{SDXIQg zFYJ+vAlhTzn&B-9CEb(2UrF#wA{iSvc?xr6a;Jnlhv#YVwOcs}36Dy-WN^83kx*q0 zEE}(g@sDx-Ivd$euE(yph4(`vJ>SjD4h97x5Hqfm*ukRSl$yAtq-yoGw9?DC^Hu!e zkscij+rY6n+j~a`j~1zn<$~E_r`FV6n9o^Kgt%p;IfH znZzf6@jOD|2UW+a zQcM>J?3Y zYKS8}zV618!gQIE6&1E!64_d9!^U}JQpo%?irA#L;F>DodX+itLs_B;X8b*wsa0#9 zdFFU|-c2qB2K&7-c_ZCBFja59)F?~|ul6Lm&IuN+@_7_|VF#IdT1u^|JbV44jvVAPs79B)VCL#P` zxzS|lK#%1P&%5TtTD}c1w(nTKO&)`=rrwx|N{;W6jM-#Ob&Q_~f%CKtPbvZ+jLfcU z(@V(~bdogxVuO}CeWJ}pIUuG7!n70&+#gCZe+ql;itJl1=kzqm&&@E zH<y&H#k!TT-Rpmqp?V73r?Nh%D6HJpVHNf&wyjPq z8ClpD4Lhbj=N0PAlTO&%D@(eUB+2713YEGs^MT!3OAkXa^wb4y=OmP@mU9=Jq`hA9 zU5Y{?Q!SVy{?zW0t_>^02ylv@;GeBG%7z*?uT+;hM-UfTwnhiJb1>-T&S3?&P^o)4 z##Eh&EigJTRu1Nl*SmV-@hIx$v7DG#!%cDW6J;-r=G9b7Cn0JwdQYlQ^tBmyGDdK% zxieA0F|CQl;xYW2)ea9S^ymQDbh7{K7AoaTmOCPiN@J5Fz0H?Q7IwV$e!DU8)U(K& zu4+`tBa$jjG|blPXMHiIQ#uk8A!}I4?NohkIj`Fs$8Y4D55YuvdOQxg{Jx|*!m1JV z>oVZgmgCpu)GLoyRlQA-VPJ?)Mdm;x3=~z@|BeNgawtMrL zSF5g-R0;(?3f4@X_13fUkr9@6(xDmd(#=}6k_EE5?0J9+h)S=d#QHvlT#QyPUqF77 zS+frd7EcQ8(HLZs-_}RvC{6ljIPqd|-pkZI`Mx$zE z3dO2rVA|kBnO8+28f#s*(&3fz{PLWOB{2a2L{RDb3;@6vvI<8AHU2Yo}vUjoRavp4WV}iCXZke>@^Xe`C&Z6Rc^QMD!TsZvaz3tiP;P zEP@l+j9rIY_4^!(Aa2T%2c}|bJ=K|ZI81K*5+EAeO%(A5V2G4l&ks9 z8kyOVm@>fB3ss9cCd}c?S9+sD%Cj=L5uv!2Zo!-^SWIKvHhF7oJ)f3gl3I9C^=h8& zQ~H#sU?&Dbn`XbOhRXJoS1J6G&#ZgJ1OO*7`CEgs6vN7}MZ_s6dlmb-QLV&gI@=6blo96U-f#URc5+x@|-f z66Y}vu$fBoL7wXjTj&xb2$y}YO^M2wWt{5cUDvfuz@eC|24e3%s}#NU!d%@=Al7$| z&NOm^!!aRgt4Qn>YP-0H2*f&H^aPI$E6MdW&kPL8uum2TV-UMI+nBCYD`|8FaZGu- zW{MwRhc#6*V~jDkTrIOqRddQ`qH_AwAL`ZSd(+;pq>sr)7p{mX@M=DVJa&<-<%wi< z$u!2h&T#`cqd62@epauT&^H)M)iPyT4ec$mSXcmxJ4=0}ATd^~zURK1xY8Cq7cGK*51%eGFp zb?n-fSK_#H#$&}6tdz#T!u%62BFPhd%ID1x@3EHK5(Tv9uI=U0}}|Cl}5wVtw8tu z5|*0)$)XbNRX#flSnT9cpmk@-s+h+l5Ojf#{MEzaJ8RALV0uM?HX7>%m6Ymjn(U>Fxzu}t$IXDS3d#g^t#a_&1r^W~4txsLsrp`z_VhguFmV0y&S!26cjJc(g z%{zri)cH{l*{K+ITlcpW!ckTKircP$XguPqRp(r+yGB2e{nf&nMMit&$TOU{v|(3^ zqrII`$j0MCN-Q!_cP&2CFz$GXGmr&=-l!a$YgDVbV$bBb$_F-WTL&r{8i~ng5bx@`fAWk#@a5^c?bzgiUMw%;#MTW}TB*28 z#!p5hB?|pTd)o7yid|XQu2{4tcM65_SY5}cc;q5WaI{@+Q<~53&SjjdNHufNwWS09- zRK$^ZFKeW+%B;9PX+&uCD*Nt@oD*srdD~I-WE!xepTr2KS|;GZ-F&7}Enz!0dY0@w zBS9d-)-`V5a=|rU@5GFASmpw8*1eMDsBm!R>QBxnjO#kB_o85BrBQK5Wxn7}Sl2(r z0-50Aim<$1SoiW+d#}}+cYCVPr`yj+EcVX_zZjC4^f}t<90oM&Unz5fJ9&wzd>&T< zXJQgTyH0U_zUt-eS=y;|`Kdmrv@UNHnv*+eHrH>)eLv5-w?7uc>$SQ|8+i&us85i9 zutaE&M+&Rnnwk*of>*Yq=V&v?bAcdZv5`tT8UZssrj6)jcW${{VTn+=IF35c;z;!Z zI0)%NvEr3I_lOD@R-^_jvV3bUZJu_F%nadT9TKDol?Kh9DhwEmFrLIFBxze_4zg-b`9 zxc_(R3=8%{o&R-{XP5S727z8HA7P2inuc>>Igcb^dWnZ$$9KOEVbhwdV?7&AJqdV{*hpIvjWxAk^2V5ZY}ytVMw@q%TH%WlKPmof^Db1 zBR%YcbXF(a(86My_;Y=ZU@uIS&9+m7V@!!*H~k(m^~}O3Us-L7>9~-8z|Ku=`&+1A znGsS`S{pZze2^uL=1Ard;QtaK25bUR_fN?*9~Sa#u)6OZAyvee#VS`p4nf)Lxm;LlR%?V=-4VxyN z){PfMcq>l;e*mq_MH*ADnv@iczNhOGr16k&YNz-Ka z?SGB_?DhejCI&Q4U>?2y^m>@I?)ZLw|G%?l`3pG~BY0ih3LXDmN`$YPC6*8T_7tsO zQ4{u|C7$>X|9$>20j{N0ND`_0;N8NuaJ+ukAWpo41JRZuPW-NCStTgpQ49O(al-I^ z<>mU41+DLTksYU5ec}3X#(0Z4)eMTU$6Aln&enTAG^-oMyYD5lSbqGIN{|=5oO;-4 zhMkH(X&Ji80t3)|>N0KUMr!B(;%}JYo4QW2gHq-ZA674WUj%*}5_WFwnJUT8ya7q| zMxIdK{GN>DYy)4t?r1l{hn zdafb=iuvPs`mv~~Gs(Neyz6U@u^RR7M-5i4%L?;S4dyjp{59Uc^W%`_hrFJ8M3B)d z>6j^9St21(|4C06XXy-8v`_K|f6G;=Q2G*&)50UouWz5mNmc&d%FhsQT%dJJ4Mm*M z_h{XDzqlAITpo^@y6H`icZ^=3GZpPhmL>xih{Ql}+tE}A_XqsMQgh%Cd=?MV#y#^d zle!%|w1yi^(!W{>=u^%7@sAG$OdG>KQ^bTZw&Ha4RY zovpdVC9?lXAUyhJsgK9-q*(f`=T@aTp(LFT%T{xE$jZEMf&=q4l~VR&5IM_wZ+!4C zlNSpH*{@1B?BBB2JdI9=d$?P9oCT3lC5*f0@tQ^xN*8;p(u^rhyrKAd41H|-`UkYW z?0EPbhXvjH30N9GqBLia82#1`*0UcN`930ri-Z6c(LUs7VxkyfcAVNKrmpcJO}>il z4x1(j^4JBgIn6m0u=M0^p8ok0tuGu&IaAq|&gN9JZY1|;kDvzyE4YwPq@&e7!i`w! zSs$!S%$MFT7kTbtez2dPUL=}18yHrOYxSvy%LhIS8>%|a)U0HC_nf9GA6TGY*E4%L zU3Hmr1B_A1z5h%NQ5S;Q=KF(u;je3z1hncTd9L%_F=y`%%27t{fA0m7%o^OR!HjG?x`wK_P@kfFc|a* zU0$KvgM>Z%QBbd&Vj#}DADe1&iK8Foh10sRu9Hj2-~O7!-I7m_Gb#U`VLwuBg1Q7O ziGszYJArtlVH8@DTg}Fi1p8izXHTAGlB^e8rg|nXcx|V3ddw}PmCDEkMzw%x>C@F$ zm|GSgS^aj$-9uZ=(jbqWAn1}$Sgtwktkz2P@$~}Le<#b&aADtH{^o>X8CiO+s@Snw^A^NP|(d>7Oq-Fl>===yFic;#<*i9A5;>|CT`y^ zNY=>{9-JADOMLmgz$m|Lvu9^OJu)iS62*$%cN!lpK=}EP{g4O z@zxA_Js!!DMdq3{hkX}$8gU&h{a-Nap*vWge9Wq(NhhCf<~1s;w-0j>|2T8AT<5@K z|1POP|GLT)-pZ2XC)fuvY+=h-0$2feNe8RWj_Wqp+&gv_avDJU)*7beX9qq~g1Svx z_Uc#t*p}M1<79Sv#{t< z2hDTcR>#6Un&g6H7vxT5UllX8C2_#rFtwI`TyrO(-yI+9-y9du>SOgX702eUb@AQ0 zJ*@6qYq>zPnY@v>0I+OJR;Duf1DAu2?;Tv%^f*~vo+Be(S}sh@5PSD|19*n`Dd#Z(mKGg7d81eD#EdDSv%Iq(t8VD*NqBujq7>7u%+p%IE;!?F>f>_2hdwljeUnFiP5Rpuzm-~lrN6|s zd=egQz7S}u;5uYGE9B$D1YKBrh4EIr^i|Vuwy|K&wB#;Ad_$Ik#?@qX$Fd;*gdoJz zx)1hTxBCd%|AbWoli~5btQJR9%#8E%y0|F^y$1 z`VGsZ?UuKn7Sa^GlxG2laIt<6poz1`%`q#U)7X(=KKX3|@q8A<##RgFgi{W^!GK@c z96dufKDX(o1vgzYYVGPJ*{}a(7}Cz7U@WiOymoLst;AY4HIW-o51$5ObyXm{*Ql6s zV^xD}&>V((Mh$eoD5SB(ulX7WCH*ihs6yB_{ZbI|j_-jYcWhSeTNsfL&1b(0%n?^G>-n04aV06zDC zp|w_*pSrFpulS}sj21;C%C8S=tT%YdvL|N4w?9VEHk$X! z*a?oD*meOXXT4J~T6f38?qS3U(`8Ses%F~WJr~ zJqanf<=@ivcEm@6R?u~xKnZJS!hEGuJdwBEgx(0PiwT_v;)KBo7K$I7Bf9Vi=R(7C@#9)lT+pl&XkWFS~gjEo4GZEV&pDnHUk4I7Gp-% zH8dpin$&Y(*`|C+lWn;qB*GOd^-3q3tgc1}K~NoA%Xk`!fn(<}5gVIxWyhh4IaxdA zue$7qY=C9Hu9y}#agP-sL@4@Mj16#b-0QllM?++sksDJ<}$1suSj!(?sfvbGTS^og#~eIY=9V z4j-6pfLYJQSrRzC9XpluIYw7|BYKm61n-VU2Zf1*|BG`v>r{N;BRT1nGU_>hp|X|`&qtQEg>)MS z3Y*DBn%Q)5c*F~2U2RcySt^$;n~fw}(RD7EH4+JZRxKCJe9w%g(!R8+ay`cJ5Fd8jl#C5B;qWw*Q#rFa zER^JvgplhX7=oq=O^6UW5pIqab({a$kdl$3)&FcX>BoVj#ybRY{xARC#g8uiPygfp z{O>LjKl``;bz@0;16zuy#13t-CcuRT557pS7O**lxVLvXz-&Rn6`pdT>-_BThf&NQ zG8!9|W#!Gyap@*&iwG|4Xu!$(%Y(3WG%j}rPYnOMy^B^x|GGlF>WQvAPFT^1cjiJzmWVhQZQY|) z5ZnT(3X%@q?ztyV1g20W(<@6`s37)#7k(&)9-@gQu{fjLn(Z&m4#GmGEQX)R%7NUi z5(W8(p&w`sQXsgvl0bmp(^!_U{aU^g{7ehxCY;%hI-T@NS%YaL?lq zKpoA!ZHn`6aU|$iEx6JC)%?sbgu<#Q_54u-WNXDIU;C~jC%9Jh=-pwCoAOE1MSLP* zBaJwYgS&;N6cn!HdDj<*3tvG11qq1ZuXALzxPP3}+t z$NF9%G#Qo9b|{Y{5`Lkz-j`hAp&>#s{2cAS2SZacG6aZ|rk`6X7i#!U&AdDLt8X|6 zua#xU+{Kyk#LnCoxzIKOct`No_`z}cS|a3@;s>7O2^~Rn^cxu=@msFdtayWOf2DA5 zzf23p#3X{1eSs6@s5t#kfFxOge-vIG?^zOdEM4v>{(wW^T!tctloLDBgS3+t_hsi2 zk#Xf`lSk`qJr6Mt*So-j`}n&gyRYy)0`$Xaoz+1{^7?5A7Sad+g#Pq9xL{^DZu%kM zO$syCRafP4&k2n#;b-|L9P6ST4o9N3ZcknV0@ zK@{9cMDE5US=vj7DuGaS^xtf8ILr4hhH-qVoqxIp8A@cg5Wh|NAL8uIEcrjrz?rxx z%G=`6d&QbkiO#vN`O0yr*e2h>Y7@Skfq(_oD+@D-&G*kng=$}SRvD1d9~W^#1vT!# zeSrm67W0B7BUlsv{2PB8Lec_-LN6*50@RI#+3x#@gdBK;Ae`qQVmC57zE&#f?5L-) zY7FgYhy##Y4qS5r@Ja$qq(=XIPX{c?q*LOwDM5~VZBj-Do(q0WU>__3-LOPlMk0z$ zKhXdr!%yZ26M@JMm&!+lLjV0ZQhK%v-QUd0FLBSXAh_$``~dW#^V($$Gmt^QPh1n?~wfSJ&j4EsA^y9ZDqlYJZIBUFF^5P%Uz@~&pj+iQZvA=A^Wz@5qMiS!I0$ZU(%D0zp z77@Km0@?&ApA7rAxYwq~b&w<%5|9O@HV$_0q+9=x5b!m;wVD8i1~Zjj_mxVlb^C1_Snut=Dk%FSaj_{N^xFmAHO)W%L zvm@{&?oG@L4Rm0J>&66-lPCNw2ye=Pmnsuz2~vUDUk$B+xt_!|hAJ*UFg#i$ns`OrwkQ-;Lsrq?cBx zNZrveLL9iKw%$h_R5kaQ!_x~Pa(5^_iV z%MmE-=n5Cv@@DLKWH&E%jJCET_&s-U6q8eAM~Qro#x~r%-;2A(VT7Q3e9P&=Tsp@| z60Yj!I-4x1tSQS#9;gZ5$+EECiA7N0+MY_%t0Z60aM*=H^x$T!`>RlKqGTU?<-OaU7L(NO}p?# zTBveZe@((rF1F8yL=nXwIE6~j{nNpFO6Y$7-hDtM0ZjQMEGwqnjfPKVGh$4_DZTd{ z@2c4GXC-OMcdHT}$J}(2Y7&&RHw$m2{f{sJ`S?wSO9o^qcJv1s3zny)=-c0LVEU0? z^#WY5a`z%&-A;@%N^IddZ~=cWvT5k)TletA$Q-&#VUX<%+}esbTK_r@Eey!hTUG4W zK*v`Y34Z!bCbNZH>04a80C#ZXde4&;oU+Zg?93@*HVc~Y*K4|?;z`?(=s&g#hHxdT- zHHDcmcu$1a)|x;c#Q4wenS=*)TqUXT$~lDI+}6494DMW6iNTk*o)Z}F8JmK^*?7mj zQXC6_YhTL!+rh812wYn<$fh`f$6sie%|Oxj?xSnt7@-lzKSv8o8f4jT$)IKhVE4Ub zry{*mr=(gZ`v}AJ3&T#JOhE4bZXU}_v~L^w{m%s}nTugKL1OH&n}84_<~o~}xQ-oE zFk`}Ek-U&DR+uLs^vn z)mkDMm;&YA%w@D2sAq3-Z){h7B0HrE{E|Yxau#Xm!KIP{u_+=9Ge$PxbTnekp&K;E4y?p z2i=bAe{wx0AJ~Ds&QV-_A|FMZh%5T|{i(;Q3V163g7=ZMoIiM3#yshW6D=t6e9B;T zzc0$6{wME#b1N-aSlEEwao}R%YjMuRk!~! zgg*Kl-JH^B;0RBBGGHHK-1zBoVr-?Ut-+y38c0&0;P!^Mv5XtV`@e%JmdJ8aQ)~70>}53!6QHcTMwX&T zJ~Sz1uyAJ59L~2n?pjV~Mh`A2aH44uqLH2c9d2w!gh#g%23z^+boX|X6*#cP-7-1< z_h3SU9upWqP#jp;78nhNX0b#B2Cf1CZ#}2U=2IjcTZC?j>?1!_J^DMT7#P^6RZo1= z`Uq2c|C6Suzx9G0%R!RPPh6J|{vA%N0P1~gCjel+;pgflB|O_dJA&Y5N0X7Icxdk% z-2|jVjdAFmEr5B_KQ3%HGn`|W9Z|Wp!_hfmMhv}BK?v6v31Hyp4_9VU$E5tus)3mh zViZ%Zy&!NPSa5Kys%eU>$dA8*#w_5MG%63ip(f0eXun%3CVjEXVtS~~mF zhfRp^x?gI*pI{OQBSfP6-l_7UBLB&@mYg0BuLS_`Uw`{{3<=Af2PeD6T-}OE`_nhP z;(a+Zs>s)H%MN^plY^~x3OAXon~zChE$pR9W);wtM3X0%ltUy=@HcZZcj!5j4V#gffw{DDupW^2O;$%F z`QTSd_a4cvXg~5~YJ4Cx8=rno-~wbleh0x;729<7k=UB(gqXheavjCA9NHZh{2X^{ z5(2E)YwZxzpLp$FA+lE3(^RZs*m)B2uE_mt9Onw0P8@woWFs#$VF}~*Tmt$hW1cvG zDL%H7nR3IoV+UtXhjRbTON}LM035CdU)4rx1$Py;jnV+7;k|!i^4Nid(g@ZA56Y2I z38A?_thsi~UQW29y_Sb~*Wi}UISPWxTX$nXifqrs-pnEJ;9)CmLm2Y@L!9GFg8>xi zL>Luaj+s5W?bp)}a>&6%k816>ctr8>e_||+UuDy{Uf=_F6XO!udR-I)hjN804~1<1 zHpgL4g$~qx!OCs|0t`ZMFV{K_ZQni$gU`HA_yK!#@SyC9d`Vf@QiG%wKr&W}!^_R_ z4Fqo8KDt%hqL_?^0Xfr-nv)B=_e6j@{jGFlrV~EcRY`&+urdUp$>;%m7I?Gbzl`bN z_wIARH*&ZLvAUI~e`uCDu3T)yzv8am6>wopFVXnyp{G6vZey_JI_@j-^fu;6vSU_( z@9b*Cl7M-V9i#5aB0BIyhuA`3!ofoK<%1tEPm)I72ST@%w{kdL#s7!5cWZ7V$?}N27sghDr?PfAq7e^54=bsv!4i3N~zv>QC6zohzp554rTSVrl)&) zZC|QV5&xedo9XII&{?V5&z5Dwac_E8*Gibahdv3)O*<^&K9nIU=TA^|v_y zHERpmxtvTL&+_A%YH9FNMH~J=$faf|feB#wV5Xyok8asTVdF9m87o%^3m@;$VT9G9 z`g9byIePE6=Vf)4i^GK>Hg^_QSzM9Y?C1qWM#nMxuAw!g;OL%Hs#k#VGbh%IzRe-9yRe0;pqlgLCO9Mf^NgMX9~+e!akU&EEAv8AGOm-kW|#Y*{FxQg;ObVNB5(!;=Rx zwVxS`JgGP#9<`l-f{I6+b(yV;F>7A;0!~jqn&^*?JioO*{A4^0$rj>~d2;xhSpdJ0 zck*DqL#-K1w&hE)tEV!!;{O&8|XDp*cfn;yLyDJ zYn=TZ4#fjN^r_R*#<~o#mgU)|Wb?5Kf2ry75ul?&+n)(vAoIqB>%Oek7xTK_ndU0) z_62y^nx57DbI!O2(kWreDAGERcjA>TtsoVIC9>424WI-`fSR};%z9Xb`{IXp2G}H% zZ{(5Y>Mj^LWG$&X!1f@c?{KF>v|D$o%neHvN} zgq_s3wQzlxGEM;Dd%a}{IHJl(D?Gg~_@S^p)Dzb`*nA3H)V4Y|3!sLE)`PY^$XuD+ z+;m>edflgS+4_!{7iP0zknPP_`WYjZjEi)iFl&RqSXS&!1COw2JuIFvJeUsb1d%oC z%0gkg`kT?3b8+=2l)TOwAMBC`K*$Ukd$H?!k(_**Y_ z_gsPL0CDW*6M$ou;~*#HfIU!p>-1_&vo|#MqYLJNrzaYGXCD5%dIBGXQOx<-I#zDj%Ly47+3%g-i+R5wP3&US)@-e;h z+zU(9z91~h*Iri&#=@1bX+YCdkJj!3DNHPWLO9Z`1UX03fZ|N=(^)Plt00e=mwcV+ z$;B9X8f6q`8oQstCqr!&a>7s`A)uWX%j(US{vItGgStzl?>WJ;!jgg7)~Tza{b?H% zF~T$cVgMPk^8l{P;w|P^$m5oltGXeh`Yuou$##l4Lxv85xKlOx_PN=&SnaM@A)t|E zML|=ODuH#v)Fq%#7+i4ll< zOJ?;oaQ-PC-dg>sr6nx-AdWUD?Vr22#evvk6bl|+nQfxJlDmb35Jz4a{I&pW)6FI@ zE>f>L-FsLXIx=L!9CcO8UqLZ+Qb-?o4xnPf=IB8@9=9A~LlhHyDb_RXK5q;8i25BD zqLLx^oa>VX})RZmzP}EjI^`QI(eDN%< z69mo-GE~~dAo?j9X=k!P42Bv@lTtCyU>lO^vnE33*f6VymJ)#s2Hxm^8jE$ZQ1GP#{;aSdu!Zc@u9I|K41FCwv8;43KVkUY9zK3;&@@z{6sI}yA9fm}z zXrDe)(XsXM20{IeAalhbUw`lgK}h%eZx&`3FhgjmDO!$nP{7hLYXrd7wQ1LTl{@W) zvD5`-(F&bJ;*n~e^WOY01E`Iy=g1IGJ-Qv;zBfiFQJur*abWTIergw9jnlcC)k&{u zVR}H7ofq>@mK;C1ybjAQ;q*}y;u@twD~IaitOB8OZS$D9Q)G6-v=LQRk!rwAB#?S{ zp8r`@yWM(}_M32cfM64(R+=Zma({z!Z(=N@WUc;}_6(5#O(2YP0=w&G>(V+|;|R!b zZK#P1J}VF=0%aZPP+xX2^Rp&NCcSieQk&hNc0YiDMrWJWcR=0+`;#}kE)&wHoHF_0 z8Kek8w#xRSyO5UZ(267SrfJ}yRH>+c65ICui(f*}RkB~~$+$n+^jRYzS(D{!&-;BX zY>NWty$1iErTy`R(Y-u5PVgYThbk8Uxg1YE&z5hkf8N4^fVkOR0fOHOWJ$aqmG+xb z#FcTJg8Z>B0LM&M(bGLf_Rx26tjkeb?VGPIZxE@XIuR+h2xsyeqd!}y{_Iy8`5|cV z(J<@3d4^WJ=+f&bN_F6+x*SjkU^muX*?K5cw0*iMUu%c(aEuN=@g5F8g3sNSrN*m( zlt6SkcJoka3y~0gyg-Gr7cx$>JnJu!7a)or=1FO!_8(Qtx3G<%!JbI%04-)+HSyL) z#0ymXiqKuq1vxa$J373;m?Cj?BR@dr`&uLQu_J= zP$bfQCTs@?XAU+*yy#@!wf29Ug+Mz^2l|SI1;xZW?u}9VZr;l%@m)(>h)0RLtQ-Pb zd=Mhc!MU`~ZZyR9(+qXJ&5$r}j8O|n@zjDw=m62u(Ki9$^0-(|J<~82sjPH2tbQo^ zz)&}=9DEF^3y@KWDumb$-P@;9$T+DEK?E6d5%+U|vvlN{JKL|ibFuk8*WK>`UKgKia*s;0@0C)HDo zYN;z^8M`+|n$|}Yy~zEmQ}pqI1C%}$jG!!;0aEwrU^{EJN5z#f%$uIgZX!}p^RidN zEqP|nvG||wl9`H7?qGA-OQeFfJV43t2fB#wZYOQO((tkMpzvZ+EqGLE^9CV13=189 ziFKRdsqmveAMSnaHQy^rFNKna6er1S$sJMWllu{`RCNUZ!b_E#r>04-^|Wurl~pzA z$o^u|{(pnOEBh#&Wv#sFvTJeD>)Ozirv)d7);y@9baK)7wK}`ySIeWN33@3u3es2h zrWcf%IqCIwGMM^L?s$yZUpF3x7QC=#-vVY5%X!dmSn~OeKw3mP@*DF7RlWRV462gU zJ>_)MSu|CK4Wo|dW7Qi_IO>xG7e9mpMy@a5-WJt#hDs^Jvk8nJ@D$Xuv57bkT|yGm z`Fm2=9|XL$mHJmyRK0p6l1nkAAbJ7LK0D)*Rjgb*;;j)ZyoT6VlyB~7L{??xER^Y6 zN}sZe0|eUfLKLAbr<1SNrBGgviL3l2WSe-W z>qlrRrmWuU4-jj2Q^#6QSwh4IJgMElRCtq%%T%;=lUdr=WMA4QKOfE2=e`It!gzFl!v?C*zNbA1Hi6VawYT`) z9o9(hUq^9UOry(&1&@l~=QPK2?+oB$@etnBmeI&V(;>6m>d%El{8WleMcLedNA~`} zz6}l8Pq&2_MORxS8PTLY_cs7&x0{ub(l`IMgPFxW*v_j=B!64!7pEFBQB+r}81Vyb zws(ZBAZ2Z8_3|;F6d$09XZ{}9u6*{bZEoaODt7HT;dLspMB7nm9!Qwuk#}fAS{32N z;&3-B0UyF-CUijiR}>FQE? zM0nlaY!oH!b0c#Tbhbrw5yVN0^Cgd>irVe=?Puv7pPv(Q%y?Bv&v+$&ZM+6`k&njf z;qY(n_l#GJ&ip8ct$T60IHP}t(bzaz^gFNF1IDL?M&ATq_nCm-q{L6@;+$eu}8@+y{hz91T2 zfaKC{c4Xo@&mePs0mzk^UjzP0l(hk}reC_y7nss3-HcC)lOK&&MYY68D*YP}z#om* zbi`=n2RAjkBtv9rzi8iTp=n6}tNVP|wfSUXxUE9o^o-XGH8bOtR*eI+XTg6EjDEqG zl{)@jgOZRmBNz~Qj+QkNmtA|Nz^3CrsH?JO@fU9Pj8}T|6etr;x21;>qO<1a1M1+M z0`*h-60nC2C6+j?ao$cr%gz4V>MGiJeqi>&3^q{OlEWXC8Cp2sTZPW&`SPj$7aVlSZm%x=f ze{mNWKqp#gpezujlvsZR4?x&C+hQ|LQX)?06UB^yjR7=C{;IMr$rHHouaWA@<6gr&5b{*sE@Dvfy$bi|v@b2hlPE&u@=EB98dusrp@Z_~Q$fyHP-y`Qt!Phfhm$v4AG+yCT{LXkq z4~@A*Zk+sGgb?^XsW!-YwC17yXS#LdEtKU`TNG}p@A3dO#m$WK9^kalGIOV ze{#-?hMQ3toA;L@E8~t%Tn=BDa+2G->V2TE%y_kz?>=}M%7ijXF8(FtzV@dXsIc;A zONRP)t()@+^UQeFAPm1awEhK!e4@;F-8t8NG+udz{grVGM+mx3pOK;; z+Z()MUa0;N#)DaH80gPS9nyt98m~{Zddme=SgKmj2nrk4I0X_e9B>k-J#LMKO|UD)Yaf`<~o&L_a%+5}QCm7(xR!XZq!qNQAts-X%oserwFbzMB?QvMs;Ox#ytl z)c%0g9v6Vcn!mq<;YaNsjaOM4`bNd7yOSBa1Zv>Bm8oD}mIn*Jo=V>!-|k#lZGO>OFXHk9`?M+CS1*JK~Kg zh$*u8hioED`5sWjwoCh??h<6$Um361^wD_55344JIeWXy zPT_e>5p<*t?q6*WIb6pg0xaJ($tux~l#M-WU9@ink+T=}K zh7!l~yho#!Em7K(hu=|h`6!go6X5vfQb!LdKlBcTjY~9S+Vrpnr8+*u?w}W>r!&zA z)c@B3Q{G8tIG_gV*vlzHIWbXu-t)N66A%ZSQ0)sn`o(KKvmT1`9}J8()T62Rq()TW zI%iSaBgew@Pez`N^;Nl##w$^adLlGb;yx|raQGP}*0QE_xhX$$0Hq%?@U|&TerLRb z1fe_M_Ka6}ykwW5=7U9S;VI zka;L2YQSGtYzI#?$f0XcckEF*Rr^FO{%E}3{Ly%omyF*$bz*zl7t()`ce25>oq$5> zP0qSp2AyNe_OAdN7W*H0FE2R0`Nc=$)dx>V!jr?_q_GMmS{=-{sXHUn1NlD=K~V`i`>-)wr)=jLWzwBRLBtz6mK?oQl_(~ zpvl>^PhX48Y67Hm{Be_BS+Xx&k7m5)*o19;Fi^PN7FAV#2`{DB&jsTi=&0WruOe__ zOEW?Qp-P_~FN&iHng`u*Ke(X5Bi@jHcxPi>Nx$P)*xl6`bOKNYfJe}-!xbUc-i=fn~A9 z(+K6oz8l6+wt-6rUIl>(xUy7JKKr(3ynaH+>xliq?&y3>!L}9UP$hJ>XS_BeA(7hm z1{;MfCn!O6*B?Rl zSh95}&;&c4UCh&@rj2%kK>kl4*Q_rV9MvntzTkB=BT(&L0|>Z|svG7jLOqXfFSviD zYR7c=xfcMiEebWy;QNidq2Nr~2Y``j6U{KJygD0G0A{+4pkUez;+(1iT}ub5;~$Mz z!i-m)b;*yGeQdj@vGo~j7f3qd>gp;aF63$dI(0quQ(?n&PsO9nkH%{T3C0Lk5_rcg8DS>lv?_3_)kDmE_T#U1WfyJC%?D*dTCqRdtTE`+T*=+b0G_`z=oh z^Gu~vMh6GKm0m_de0`nF2Qa#y#nzH@Fu+>r9!sUR#pNbk4cWD!c1wf52=!Fk?GRyHbx1ayfLt@G@-XnVU6gk zanhc&orp&)r^x1m92jJT-6>vg2}vKR>w*~Z zcxdx6uu;xXQa>86EgY7M3q)dC^DHXm>rYs!5Ha1dmWVyz282|uM@Q#H8OxSt$S)=F zWoEqEc^2lxV@YHIZ_wah3bo}oejyK13_Bi8AW8>jt0J2ou{LORfLb~kzkoP1PqDN| zZ~q2<%+!iR6`*j{$Gq?`$A28;8EgU+$A(!w99k#F24OGi_(0e}*{}uDY)(+9P%_wJ zZ9S<$i0<6SrT8F^IeXT`W>gO1Sju5mMmgQ0<&+(j1fl>?g>_{UlvB9Jq6r&VbcituahHcTB&pYi03*(H5U)$7x zI%v)@V|NAW5W3$$*40g(qQQ*jDBW;xX)_C>+kLTdO}+jM&&Sl8FR=L?(72pz+uju2 zCvnaFCPJpdnJ;CsJvk0(TU^mR(Gs?2Tz#iE1V2nYk6A#cjs zzhym~C|R2HI`*hSFLk3F7fe?DIp@c5)OF4E51V5f+yZQMek> zZsq^D^rfs(kLuMt@)sSy%ywI6-jtFF{F*SfGc$4_;Caq z5>m=gVpAoqS3EJDbAm1L!Vdtz0j{a(b|?=Kk%H9#oLBa~cqkA+MJy z=_V7QS2{>#QhP3Y z+p?5JV%(s`-QLumZL1Jkxzk#4j&3IZ3p+62p&t4AIaGbY97*ZD2ol;5&nM5rO3uptznTLWwyULQ`nzZ&j{PTNmI~ zXMGBbCe>nN^D#(X+0k0ubW-c~!-_m671s%}7GS$QR37eNb#P16icOtKm*A^->-APZ zF;BAj0@*3cUt({E6E?X!FCp$oH)5;!5EW{=is#a#cM!z0;*~5b%D$lrS^{L9+Y!OZ7w~n8=3i8MD8nB38Ar*?m7v&3RyD z)S)w-Etw&%t^9!NO%$^s{=Sai`UHwf9cn5pdQvZIR=_Ab@a3t79@5^tzh}t&yfBcO zoM6*O?McmiG*VlW9naNtGJYxGSeT$7WK>5l%$4pc*NgmOWU;=1h&7KLPsY_dN1}Ey zE6bv$T|bW_&RB9KMRlYbB|5}CXe1(|i3_aCHB{vl7v{ap0B?(cSFI)B{74{=YaPy3 z0c3)Vq%XgQ6|=Xf3Se13%(tL|^0xB)ac+Q}mQZb7W|p#{SlDXQQJ#8*mmIOxrn5J$ z9|yT!OD^_VW^B29fijf1T!A8RtNR3N5XTPm%AJz}*@?PJYG2HQsZ{i<*u*1~19%?y4#wutKj1|q^J%wZwLI6I}aI4QO=7WyU2eoUFAIDM=n3m%>ZrvIO9_H#K z7SzWvjkr$Ct$4up#Iyi0N}R*T;+j8Q!mfmq~Fvu&w6ODA!W>gskS(hG=^iGR67?sTR0Fblv8>%`ikJ3L+>ntkZS!NqyfV(NQ`bE8UDt~& zo!{a$jCK+Md-Tp$>ZepVW9D^?P3CPg;ggI<)21V=HJwwCM@Z1ERJ!HcY`FLh@)u}38Qjg5@?v0xbStDM6`kXPjtvAKSRjHVajh-<_ zfyrH2_Bx9lYY^FUA{lArr}|nEU5u^Ir|U9RW}$XqQPysD3tV!|KiuLN23%?xeAXAh z32Or?mHW#cdI06DSWY@sds8G!D}Q_vGtGG+EeawttJt|6Krc#n&N(jRLplL1Z(w9@ z>3r_ zyysPB+qobnrYs~FV3xD*gS8;5O0j$w4L1F@2rn(>Usj^y)V)8P8S*pTMe7SwztW(f z1YSj@L;#AtV_$l)jD@n30{TZ_!_cJz=F_2cC19^TnV{0D-b-imNe|QBn{nXX?pA84 z)W_d-KZQTutT@e&CRBPb=k0r@vF-SDdjK?zD7|=DNoGT}|D-GqNB?IEgkAfpm`UC9 zB9XY-YzR-LmTo@iLsQ2-AI@VQZa3U`G8+^%V>&yhkAVvTTYDy*W%jD`>fz&*^Dg>NFrm^L+DUO#t5oRCq|aH{Zp}DoB=v*`=K_> zRv{f$17F%JUO+)j{c`j?lrQ8*3;!cFZ0X0`wSj+e4nQFoGf?`=npYeHtU5BSt>tA9 zAe$g;{!FFf-JLeFSL0M6=wS?S;l(|BJCE}k>oa!P0TNa7NIPv_ns4CgBPcM57!avo zkea@k0JzoqA?+b4Ki{f-LavpestvWGQxS6ps3~B*2QXp&xd-sqDQjch4Q#FOcsipT zY?dA0VqmO!hMMtxKQMm8-S@*UMA92Bl{qMR zllR;mKk1D^X~KDdr_+V+BZLj=!cPr$OI&S|g5n$bz|;$E^Q!r@*W0z6Bnkl)(2)MH zVc-lgTUKVr2xRSwTC7z6fUr}*!{^Al99n(jBcWuTjTn>ddv^&NX7<*P{Xnt$bF%a_ zN*;hdaC9N|m}|*xfI7k;%CzAokQhpUV}vGI$_%xV4W1ZJ%Djv~7KvU>-hsjk%2a*0 z1)E3&cK&cV3otBT+uKU-^bfhbo&9-E+=;Mf#KT9tO0Tu6j2BAE$s<)0XS)%dwaOb9 zj?xEDMoRyVGU}HIs$_;uH$p~h-Ye~Vcdz%IKDuNgrqba}r#jp;W;M-8y^fX$f}v!4 zx(yZGbyg0>nMsSO$2r)^8vGjUWVyX7DPjbc9IggBSrW!ZYn z6|`}U{_HG{QD0UgFJtf~^=1faz3PD4s?27J88!}~u+afi@D;q$n2Lewg^V2^U}vyl zCt=~!>jyCt2TOK+J9cv^=TwF53(2_&D#~L!p}f0#HQ-I3_6|&PsCby?nW#bbh9h^M zA6=^q04-9rPaE^6I$sak-;{;5Ohk1e5UZNA|0F|~_}iNUTa$N}Vybjf)dP(vizB74 zAjuzOfXx)Msw$?-Ljb>q8V}EF-nfAWJG^y^-877`Jly@j`tG(IkEEH%%m%jYvtvlY ze!y$*W(bfcBU>jbbyrAlnx+*^RrQ}AV{0NMYKr}oLS2thVFL|DfS``u>Xv{8G7E+? zY}vl&kSStXP}U&nlzNx-OCWzyxC|)^9Ewf=3@i*+M%tZ-0p5Gsr%^HsWzcq<=TQVCp7d2x7sj>{yJR_^ zpZq11S!=s2YAhRCbAy%7vZMRh()3FZ+5)AF^_ESD zVI;9hVOgC$&PdBo{p{%h#+nf%*fS!U+Rl8brW>Fg3VLRrE8QkTrZ^y`x;9tk*YsXu z>-vHQKeiSISVv3yvz@4n@XY%hFHG%hFh5E+&rdZlfPymCw=2{Bing2`n}0N18y`!z zf<9u)Vrt*?xc8)0nepqkUUoEQ_plIJK-Av2;9eDn&vgc0oFCp_(8vgD@k0D*v!}v* ziS}*Byofxm2CSu@Z%>O8!gmX7kO89d^9mjAL@`R|vF!zq9eL9Q4d-5B|J&Th5^PeK?M!;Wsm=nM0zw9joKi_bKvfu5(rV{Yov$hYo;3}81MYjFw% z(NgS2g%n_-PM9{Npm+`Dyv%xb%FcgvQjUPmM)jDm`ieo4?HTC{07nv9hO#yeludSy z^>;Hu2vSg34A3S|XtBissX=HyCc_qyo?GSmZ78TvdpC!KaEwfIGIy5oVPUz%PU)h% zlI-rF$7M?TvXnkNHYWDi>m;UC-Q81vklmTXA=~@Pb|9z+3;~dDV+q+_K+zOMXdHJ_l%tnTmBO_<9tHu>N9Z+R2xzmixNEJIb*9NnIQEWP$?X#QO&Q%*kml3sjY z4)WAk^0HgJt(Imi2z4`3Ba%%XY)@ynu)58 zJ%&rFWlw}s*Nukr)Z`mo<66VDQqSDiY0M+HG>gqD|4Xx18 zt+@%N1*m+d>#K6}i;F5K-ST&q8ui7#zX$DHy5 z(|zm!7ul&rz2@p!j<7*i%J}ywm-HiR4!JgZo@M@AAgj8syqu)n-~wV>6l&W z!F4hUN|)Ib5t4QqK^H-hK=~jW)Fpg<{ zCv2#&JR{voNTyROH+l!)wDC{s>u($EAec@_6k<+ntvS7}rfwZRDOKI<{MN;?YxRAU z@|R6GBT!D+_f7NxT~csGMZ=aW=SZXYhi2Lw8c+gHcYJEpy_5Pz&r)I zlX$^}7zE62@zxsfBUck8^~@T()i&ow_RJSX->&@Atue*(x2>@O^4Heb3hh~A==WJ; z6s5k^w4qkd8e`HQDAysUy`M+h2F-v|me-LNtl4u{TvG9M@8gq9N3u8-j9(3zqsvY8u z3*m)-586Z?ZZT`DOnf#LpB ztTE+BYfM=BXpO-xB5XgHih0%hoj4Klps=xO?Z$NgFA|ix>E@) z?x}1BK$AUMe>ca4n4yHorw4@wJJ6}9s?uF2hzo7Q>j59qyHc(G42PrPz+H0xke#Eb zhO(n;1C(f_o|)lo>#iCstwL?*Ib&g;x5qRf0V)3%9X7nmjsnQA`}MBD#~FcF+7I$m z{l^ijUxw7aw&z6XzK_8Y)?D3$F1#ABwF0dDaQVatjcYgr&g!BcQ84 zT4P@P>3_F1=JyJ}v&N`8+eQ?A>+oxUp0&(;D7ScT0?nSl_R(fBM#NfeUe(vnE46o%gxAuJ1PI8t+8LGBgwB-3_;Y^ zYa)?l3u9wsdY@TiddOm@+_T1sdY=KLGhSY@34K5I<;|JoW;(Esn&7{#h|Uf@aJ-?PRP zTN(KMKVXg7I77^kWe38Xy?$A)`pNIL#%RwPBmb~9rYq}JD*pWMT4Mlm|DD#@i|Eas zHO58)hL6zitTFu0w8k|1Kgk-?9Eag1BBmU}8&iwk>?V5W#LN&AdA=Y5V`}LV>~Pb_ zc>;r`mgxZy4R}IkjVU9{8cRot8Fo&ESO5vb!9)|=eNz>dT)?xaM?KH?E~^x!^Y9jm zi}2syRYX%QHbG7AT+9~odafQ#ElfSje{$=bofQ=%B%CMmYR`#yuuzMhQrkfUXpjgs ze`CjI=v`|(c(PzVF!Eyx>>N;tord3~E&yNGPthO`>qR90^@5S!d zSS8XM-%pfa|r3>uZSbN zz^h%|Q^;K(yEv+v+>dxfAXS>@Y8y{S=U+E{Iv$9P-irW4Fuh-hTxHgtJhYMGzu1B& z8EH;6gPbuMo*Y+Ib$swtS`+FKV$HC~l#6YI%@LW@Lpi6tIumdo=Wz!a1^r-I>u!*qHKwbda)J(?&rt#nK>xR6;DPfMB_UrekG+qm1oQTH>?2BNDc*R% z8t`n)rJDy5lZ>gZwZ(fH5NV7<6%hW|IrKgQ++r#^x~jj`wC3(?cx2%321AgiX3+Y~ z>almQg3+6U2sPBsqioX+Whh(#?6UYpJs?ZYjS=nP7JP{LU#rY1yi4lO+$}#4#sPwD2Nl{kX>R0x zia~=wLc+GouNLtA21jf_*=E)eDMVJTu+ygWr$Tp~gFQl=X&tD47UF^(8^Txu7RpR1 zkp8rO#3gh&s+#xgCAGz^56}lus#s60i*lMXZ?R8sg&j=+3jgzN6B$;cjE!v?w6~H5gCFyQZ7eu`&gqi(9LyE9_KV=s)fzk9Tn|PG*o2YwxCn|+?Nj)qpn{zQ1 zO#YjP@K2iijjlRi&it?C8-ys-)XjtzYtF>is_HtJ=ZDWZ!m;TmC@Ja~=wu)615Vht zpGa6XqXv#$Wb_co!RX6?Oo=Lcub#!Z#oC4bEjB>_2y4?B0b}iX;7jyD#w#81vhXsP zk=5xB1TFg=M}Y*df;h?#yv?AGGq#M5u>*=B9m~IZjtbLEzQH4AN;S&KZojA$Qjmv}OzUV_eB(^%%*4Hj*s=00La6*oq!ML2W9#sZxIj>BI zjtxFwW0pg}YtFiy=gqaipA!K#T`Gp+8f@R2e8fYjy_H<{B}K3OeI@D{E~zs-P~LS}^B2*r0r(lU|Bz5tvv$tnK^>ak^!bGx zur>9DNYhcA;H|{uxUFA^@qsrmlw!Gj*U^HlSe=U(j8suk(9)? zQ(}D)rRwy4R8y=?Yu>41S!n60#0L5iu8vHGDg{52z8&C&5S0a z_iLi2Ue0@@C0-k2{_be^gD#PZP-1(}xCbzMb4QPuUXkq$CrfJ39mPXa&b?KeZAYy- zHjE>sPazUaE3Bl)p#CbO$IfIg>Zm1cCI#LW>7h)+I`0*LOxkm@{qX6$ZpTZz|xS`mK+`kZhCi=gjvypWnXi1pe@03EYkpCQlY zR^_Sexa=gr_LTb(1>!+XcS3nY?Hf$Y22qGJ`917jN2lWX;$>pP3DQp?gKau|5`MGI zOW}ElDh0^f0Q)vgS^l#(HN8e?I!mSFfCOrqTtBO^)7M0>Z32b$xSLq++wd^nxKqvM z*%Sl)p|G^6lD<~%V@Vewi+*68gZn<|L7B0!{x(l+uVd_tDmI_z4N%AldT_wGv*fpa z=8cgs>=%95$}wLENiB|IK7D53j2p<SMSNBKkF{v01@u( z-%HLd`N}tJ=S&g-e{r0LgS1y1)_hgR`b7AEUHmO;Uwjwd(J?lL(8Vo(-=K=rq zdh@)(kF01<{Z})ds~a+XSk2sS>o0MrAOeEhjzPcMnBzQ<_l5Vom6i&LBGu9&Bv#1n` zuV|`J=c)7t9&lPGfgDmbC-5TkA!Ff)n}5P?qfQu-THiNbRl9r+kOS-oVcY8T)|>`W zIv}gp4^ct4T;F6kVJi=)Y>bsk4-5Xjg)d?hQ#MgQyUDy7Og=BsW3EasMy(9^gx)p4 zCD3qrp3XecWa_+L#;${UM#|8>1y{#UiGZh8zNDgTKP%v=lil7SQsnC90?3-=seQHj zi8g%h=Y?=YVdZr8JPL1{_q!_MwVeG)A1F4dc-5KYCrz{aTO{vKqr_5D#485hsk z0FTa?aX)1j@L}2gTGU*v04U;-O?7o{+}TIsh$| zLG=|Ygqm70X*olsS}^++yGU34O6Z;GYRTOW50t&J{m58uYK_z2p zf=p-33%8rr(wF2hC*ts~t?F&y<%-BI0kW*H?uPtnw}c!Aekvg2hI#uFjA|DcvzN!^ zug)q7pdHB3#QjVA1-~ZEW7jTK*$lum&yJ9fJ3T_T!37gKiozv2v_M5iccpi>%(y8_ zJq^RULeqx{CbHT(V$3Pl_1}lLusEFwehN+}@YVeyYGI5k{s91Rs^A4XvrPvQ;y}-g%>q|7f$0dP) z_ivVZrJUs^>?~oD#~Omz;%u*cm|HFzI2pSfrHMe)`yyN7+? z)xy~HiuzzG52SMBeMU&mZc_K!0&qg>5>{CMg}PgM1p-w$$uERse@?l5fB#l$zIKJ0 z79fiq%pV~2CTmBbsSk)4wvE+(6Tl(qZiaL~{Pc|JXdds5e=wp__Zyx_^-U6n^lc6FoXqTv9P`$k3>7_{HSL$RYcYrkORLvkDza0`oMA18rfLJ4 z>Nu2vSxr6q64tbxj{zH-KCGhuYeIejAJyDjN8okpLM~gt^kW7l}lst&Yj+58% zf-X_@+%8T14<3M8`3h+TD>p!KkXd%m8=^|L6%2AZSVsS?96YL`d2YVq!_Ohc-5qwn z?5OOtbaOhSo2fDzCH3={mdM9?PM_#Qr~##=!ssY z16aMZ54(~V3e>XiXOpB5NIN~x>k6IsYl`ZT#V;+@=~V5J?OPAMm~%o(deR*q1YXjw zqUi;88JMgTcNd?oMpY`olTs&AiDwklK_bs8x^9oh*!&JZtVM1|$6>XvZ8~KUgATEF zq4F+Aq+*<1T7t>tO@m)b$zBzEm3p?v#=fhTZjiMFtf2F%u&ApA#;LTQ{Pj3w=nL$X z;TOr{{p^0d3=(RS!|hBWn1Mvb)+B~vsSag&7ZHzZw;6c_2$_G!u4I!i8GW07P+>%X z7n+dq2)4tvIlcY0RPpv7fpX-^AOG z5S66vh5=2jOF@nSK^>*;!3L9hD;}VreiTN{yqfZV9$VTGt~Zuc%R`bqh>R0UWC|FH zb_G9PQ5k@yUhnY|o;nK#d%a=cyko^9T@aWVwl#TWDV~1D3=R!2B>hab>}PuInED|$ z2CZ>`xJ%`c=(txIC{`CVvOlRgPGp(h?v}%e1&uB}8mF$N@t9p*h{@Ysq|1e7ft>gk zGdIXbG4e~tc|6#200)~7R;*ctV*6Qy0DJVJS-&C64z7;ZOEvVukQui$vCz9a|N4Fk z^gL8c>uX!((-@uKzQBZ*>^?Ie3+($70P&8v`CL$xfsHw3^-s?7#PrQ*9fh;w)6Zo3 zvhb*X4=44m>Q5mqOou=c`aWRHn@-KG2y(B2puo26E1<-DLNY>oF6`*rCOc(kh2kSl zZ(iHyC8ljKN=M9;^SOB?~{_Q%1_zxt+AqSWZl%}X*kB_J)~|9Ny$m- zZYE;tgZQTWpqli51r!H)eeUR*fikf-nYko!s!a^ zX7avl_*e6>yF~ad;|uy^vZ@DJuX#MhvIpqL*(Qg~#Vw4XWKKozv;ryxNE&I$+He~? z-a#UdZt1-jPclK#odllPw+cY6eeBkmI{eHX`Qs>unfQR=SQ1HYuANYy{T z1fij0#XRL#(=DFU<$8@uYVU4X*)cU95%+n<8Zr4*Wi6We`cmeq|Aw_DdO;dq&5%=p zX+2f-78f9ORVY+`ooSN|TbVL5Igz>=Y<3;knDghLS9r+%>1SlAUR%PlH7{Pxe#xqO z6vPC(p)j77~>pSEHdtN11+wlYxLKb+cQyuN@`HZ^W-QDE->T=Z3iv!uxVc(Rr zxQAHA*;{zJ))uXKTj{67cE%3ghI2`=f58hYJ$-7-C`WCUG1Bqdq#eW9!&DcOqe3uZ1UIm?O&y2j3|u1ZnaKvnnlY+E%Ci@{*Fs|whM zeOXNZ1tgWV;6=7bDhJ?2vPikA!HZ;4&cZ^ZWK+(W2Z^#%%GnoP?6hm1B-ze%zeyw| z?m5ivS@g_H@5AoHq75XFkm*qHyPWg;oy+f(b7-=pw<{sxZkE#MqT!Qat9{p#z^zWh zKocGZH0QRfp2uJfovFn?{(i{F_kQ9>ow$IRW{C{8f`FW3-_y8*cp4*0xc`yOTj$`3 z9BI||(5w15ZB6M<Cb{hCVDCS$=qD;0+PAKzqm_RJ$EFY#s6CI!9GL&l-;(Hk!x(H` zLIF7ikbX~XzEJ@1wX|6Cp8{ah-@meX3w}UmDp&SIXN&`bej2}8e4=MAsjBvJ)8Yrq za^6+&w7!lhrP}+PM=O6yEyt-@1Fq3|IEabWLSf%jG4$ov1?o#De!luX<3GyE?roQ* zX+HKQH!jU5c<;0IaM7MA{4$&?yzi4aH{KsT8_Z4sx6;Z_z=nx@1kjitai2vPi39J` zC%m3BaSq!9KRf`Z0Cd^6(@6rIy_duhKj3=7s`k9&(!Pux34?6_5M!N~wQ6=`S-+q4 zz%nY2i?Tj;Io7lIDSYN~#o$9=Pc7w~fYEZw?dw4wTMp>gIx#6MD6m)i(gOHfd(Hi9 zMb_ElgyGo3)EhS@`r83Ztr1k&omPxX5z*i;vkU_%sx}{olkNo<@4oP-6PdNWw+)Jp zm~rVMj*4VKU3E9jUFG-wJv#U-Z_i`kn@j_oAof*ErSN`(B zn&A8#57l)zzbXcD?!bOJ4ifg~00wMHl`ZUsg7yNKKGpc7ISb@l*WT0~PLe}`Ge@Uj zU-j3hp*oI>=3i4XvNmDdpri}cgCx30rDJhuEn7%^(f>Ng9jh*+e-9Q7F91KC|EQI) z7YnlfHfE2(msEk(rRL$90V8u_G?ekXD}%Lr4S6&+Q3qaxc}0WuZ>@0q{&It9K-`$d zm6!WM4{S(L{A3CCL1))vvT5C{gT;~F7Naqh&3$3?w@iL1sif#_hS5i&I}b7mL}NsF zkmGY(OV3{kQoa~Ky|F7XG)k1nw$~N7)Y5^-d$v^Drpl%Io4miPT-BSj z6=K`ovgTB<&W^)cJ*R@M&D#_RRVwlq!@favJ^;O#0I9)R!F1>d9mdl}F<_|()v0HDV`})sJVHwq=V|;Y056288u%+~A@Gt9oA+*2u zHkl*lguAI72CDBH>cG9mq9Gl~`dt98w0xG#Cv{$$o!~>ecUN&P1D|Pv35|&3=t>xv z(T_W|gGQdG?T-^WXUk^&m&18Vs-pg3g+H>!d`te!4UBqodZ&;y9@hpq09MP=p~#Ac zkHU9b_|jP|eyVi>l07dlX`iye#+#rcrt;{Xk@?)WoqqVM>DmvJBGys53}d*J)uY?B z-(?Qo>q>af99wF#g5ou*i}}FwNe==&v39 zV+8plfHT|FSXes%6f7-SAC+VNZnvE7HH@(f!C5^QC8;Mz_4*zeS|ypR50=2w z6wLL^nTht*Vmh0fHAc?6LvO5`1A|-oG39ioMTKx|G-kjqDkws^+U-U3mY<#Bk&eJJ zcSUrJH;vS^DN-aFZ!z`X>*%^qZTRxMP4&+@)6eqM9I*LLxtc13j@r3-0!!g0zS z-(*8#H^ifBEpc44zpZ#s*sPrkCs!s$4pzOk*$E(>A9VoBK9i&rV{=nnrB_;T6l~`K zh1gb~20}REh<#&vf$F~SN3O?}z3EN(#6v@8=WB6;ySIfsb^Hoeuy(2*HCtT!G2qZAtk<7^zgw{}q29WXmSe0Z4py@n2A4Gd1hcV3Iu7Sc zA8=*lAnm%A9h{xiuN}#Vki){@@3?hSz10z#_wo6?| zaOr`_dUgw@MjHU6RL`N|EXvyh25S{Qla=$NKt;iExS|>Z%3<(l`xJJ+>c~x8IhbOD z%_%oH4Ssn=YsXpP5{7Hkt1U6&*Gqvrv!(xztqBV>J?p!YW$l1+K)QNM8rOmKT zF4R&tv7BQ*p*6&QAtD>|IiF`+UjEGe%AU_$GH74+eS1y5yf*8buH}Ah%TCP2wNuRd z44)9&Cbwj@J^L#CljvcWyY<$((Fv0Inz+1AN!k>#El#pb@XGz$tS^;% zA7vf^g>+0kr^K4hTA$Z2gJeJD_7axQ>k~$&O4Fvav}@3D$3-kodX6dSNnqhycgmaN zWG)h)BrkH*ndAKCQ`zvm&2u*6SIU^YmA>i9%@FcH;N)6cB)|)!#n_(`WkDdeRw*BF z0V)2`8NyBy!RAe^b0kS&RA?Z8!1e^GU`u8m{!)Wy4{%*)6R^lZcD0#IiA?eom-hMC zmP?rrD#ZcJD}8th-rn9^dzKWqeQ$2luoqASh))civgnuEnz=uq4?W!u#0~91ZEBKI zcCB3ybwYXOYeOG8<$cFOCax3UboNw;a|JMZD{uTX#^!-3niq2Fd_darv=*I#9QUY%L70Ym0e8`FKB^Fr^5AK(<&fQ4qNt6PRK zd9MZ*9loZu4PV~YUK0QXgi^r??6SR~A5Klks3p>WVF^g!X$GG^O<1k9sawyduu&MW z_4Cxj+iP%kWWxsz`Ak_@OUkyGi%IB05aUTA=le}X3CffuHu0V zKI|(~T4=O@88Z7jq|F-lcFqET=)wT|997+LoJpk{#Qs#C*_43ovh^vSb3OB8#_pE< zy)Jtdcs>6!9O(=DZ+l%k!N{X@9`c8&HRq|;S0=h*`-az;WIKy|X;EiG&8PX&e6%vDqoBMo{7UGyVy*{unU$Zo>sfn_ zd|MQWvk{how{f3tc-<}8xY>#s?&f^_%s>i0sh>z`?Zd+Ny6 z$h*jkAUHCPy>s8CjM1WmZ7sg47mds{VY z?9M}Fd+GDhc8oA-I{X3-xMMRs1#6|fHq|CM>o3Oj;hLFp+aD==EK=KP($)gjUbMu( zD7vVjy?iH0%NWRyBu1JEPLu;#DO+Q`m`0G6sxE5T9O!An2_`Qq6uA#9x#50o29wh% z%;W&nIZBIqboO%4N8!Let>QQ({#8J`8l%dQNCvA)CokBd1sB%0fVJyN94yUEp{|xs zSATL&rAL`!>?N4(ut55NFcW1SE}H!eK|KHI5hkFgckPeYSa|CC5wTfovO&3eIfqNB zw8)YQ2l;1h!47DNaOXuScPGv;i8dD;->15Y>QSZ7_2a;UJh#6BBTCAzBx_uG9S?_* zhUy=6uvdx9$9sUbBVgqpb#ly7lrJ~$yt}GQK|mU z0(QP)Ujs@YJg+K7;($%AWI9{ z@JX<{C3A&@j~|#-?7#_`QcCqbTngDxKfwyGM?f2eh(MXUF`#3sI)?tFb$JBEng=^y z1HlxCWql)w-S0CGBY}l|6Q`D{i>XSJ>b+SUS2%W&D#UFUNfWiKFlS}c1&qeo^mPOE zFSo*JYS0C+mtDSBuBCH zg+(9x`+f;Bv(Lb+V@q-!j08q%0svqKFzO8yBLe%WN-rowH1=UTM*H(*uw@Y(HM2^J z?_nh8Cui8j4lu~K)6ASonIJ`NCxc%*r89ZJTdGbLN@l}-O0An(y%9u>G;H0PY$e9N zOIOV!4G|mq^`WDin}8B$_OT*j&A&P^gH`Fh`vRkLIsM8>SipgzPd_WQ3P6Xrvm3Sd zdDWZeqT_X{m~ywph$-cQyY{m)tjq%QrU8s|Ux+jNNzC&Vu%-GWsM9O$G#D*M)$3db z06BO;1gjse0D%ouz6&_ES9+@79OJNAaD~Hrw438t61na?qr8(PCMg|+({u!+crNb< zz^!nc_{h#C1v4jeY<}~q;2poah*|8RqyQI;WP)I?W>DZ+Bm%e!K6+6U&r?ZorFe<% z#lhnwZa2d53D1E#Uf=MWlce;%*~BBYT1 z{m8>#0+Z~|sRr}9!Aw2|5^t}jKhvkPpFGanOpzZT`~A56--1H=PoVI>0)>{<^gn^Z z|1Ut{{30hk&3ZCDpB3DNc(>ad6%mc=M+FsL!b=yL#1>=9V5isxh17}kmJer*t4H1Z z7kLx_m$k5R^I64{Q!Wa@zXye?OV=k56e|A&3jZ5WIA0R^%wA^C53nzfm0MoVnT#sC z=T);#{sAZqy$N#S*b0A!;%TofwO=y;g#mtb3(GN{sJtFz&NBdoamtF8@0C|sPt049P$QZx*0tdqm= zw{1%~qV8|}WI7uXlp0?!-z>Qd`=uY9h$Jmy^%LjQhXGwV6CKSEIPRf#SF~QTA5mm= z*z4{t6s9JL9V}J3w&pi`VL$8dP%B58L!rv+;4dv$M8>kVaOd_zm_V}?9>>+8xZyaGZ4bRDwo?92;Am!w>&JePMN{7dS-9)dRbP2gc`7?L zS;IBlAFr z&DZ9!1Gz6geI_NPyggs^&R^=Pvtt#Tum3nO2p54wR#$H*YnKL_{-migVW{fFLL!i3#1AO`@$f*74oMow;kC6i3 zS!8Ld8=g~)|MK_gy_|j`a#MRNh@-*Qg0x_bSTNei3QBt1B+GL|x>!T|GtV)#BjQ#F->;rZ@W7(0Z7<)`~n zD3a&B`>4Ri4gPU+P95FFUIDKf!OQQQ zVEwM8v^u~%ogC`!qXO;pmqz*6#1NOWoh+CR@+jP*#8Dj}<*jgWfLg(ta&>@%!SQr9 z0mkOT@n0-w;rtAqT2`+;Zh*mHGeHa@bM;?#@;FB_3HM++q4NHibLBSad8K@nh~%-= z=6#Z`elf{CCpGf5-h1Cr4cEe1cc87bP+DHWPNn{Ri$BRTG+H>vRz*ZGUYG8;0MiP- zt-O9>t!>BENbNlxJAwchdR?tZ4v-wx+?|JDS`fGwa~hz1TKX+2n>VM7b5!dg#EAtP zjyJ^C41~q2hj~usD{+(uLiJ?daK+GP^2PUHk4Bnz3w|ljGhh6hR$Rjxr0Ek}PxpyD zQ;^{;l@l``KFGogMt0$?U!Dn4C?zDjrIIq3RYU6>2c&2o%MHqNn=-=P_R~0~0hw)U zf;~v^>HG8kM_^B^Sazn{o18mN!Hd^Vg3@{rpVXW4fq#60sHc$Ebye0rc}oB}9jRpS zWtB3)mM>SdV!`-%ZK5%NL=O(e%zvWTPB_?-xxKT!Iu??axBS3>7*^+KZ_J)87Xmu( zhp#tLYw6g1n7Ig;FjG)au>X%+PjL{nrJ{0K)`!lMqWd6El~&;e&StR2Rh*qPvoZl^ z>rY8g+P1cg^1g`zube)E-=0aOKIKdw+8X+uZb+@7tiOc|10F#40L&_<{0nf5 z97*ex;iH=28|^HT!2n4>w!bqhJXodoYOonsbKH594Ah^%qFE0Y4SL6~*DC;9t^t7% zOm-5k=)8BAYGmjkKGJe2+f&9c_=ok}&zgRzP+atZ z=jcDd`80qmj|<>(Ij{kB<{b+kr&B$h7_op)LJ!NpUQv2CuEAY;|8WXVyYy9{=ky`rERae23JSh z3GGfmC8+yt4+}#F_OQQu{K{w8b7*gn@_yG;5H@p4OxCPY&avezN#ZmVZ~=$KOSf9H zu$%N&lP_$1FCc5}_(MVa^I)U^Hm&C{nY@8gSN#>A=k~kxHKk}l z9Bl@5jd>0~$}byZ+dpKy_Z9D|TVHFYXP0&kW2m2Jtr$z@o=cxnzWjwxd4w(W!y6iv zzIqU|ZgG1(Zo$SgcdIJve0~MX`4Bf zJuN!Fe1`2w(|gpCBuf1q5MPsIs^%{-=jw#2&YW3d*0^)!SKZPa?&|C0q@HE~Fi28l zv}XfOAM0fOz_kV|#tg7DT5h93BT~;{DCPw~equ^{&SN6QS~Pv4Kig%boVzYJN*Pja z!9R_PV{?(jBnp)*qI#J3+C?aZ{f94djAi0Jes{HCscm}inE{@})p2G!E_b_4QSqI- zaL~p9J6}(m{Ei?hw%mN}Qav33tu>skw{bA3fAJRrLzLgtBAec zL+=2J!m{if3(_;cQqEhz1p^jZnE-F5FFZsNs;aJ$&gP?-2ixF!vR=l^apgroNlkHP zKdGq3Oq|aLozDw0%9w+-cm2f{{pndIt7ldXtZx}F&rZ)8I?5OblC?Vc`PjEuSNAvx zOn%+0)sI5Rd98b%2WwcKq}>CXj4j@3|1P^zgF7?4=MGsDzq%?|-G7 zr@*M1Y;ic7J!dLW7S2-e0>T%%iarb;3Z`K@k(U8rP5+@B|Er#F1=i2 zk^;|?;nLU7D#MLbf;~oQ?R8wi~L$A z84f7Kl+H9RsSSDjj-QuguWsn}&WnOd3AeqIspC|1w1w!3&dB8kEhe!WSo_86!h4Q_aW9~A+WU^$Dxa*; z?_w4|fS*WW?9>a-@7(~z=Th2LUe9X4y5Nr3R`v3x)o+`cz`ns&ZD0^6;*~~xyuZMu z0swpXv2m+YT-tL0-EqGuCfxgQWFClIW4bn}loKQS@Z!_^`SAW>GXBxx$hm0G!4}<3 zVD~uxmHQbP-85ML^DG70H~56tTOku16H4aI_Dz8Pn9M!$6CKE=UF7qvLQ*-%yeN<}$O`c>j6uzlIf<+1Yu z?M-0A_o=iLgGKB;K!U@W>pbW1b1HFnC!RFrb+QyRn7VUnPtF0hm9R6TlPrNhQk0zv z%5`x%-1aNs02Rr+zm@II0NslI?!!ex`zZ$6Y)=FF=t|~qbfVFghyyRqi{W-xUI>uq zSp+p>B!+7HsKWPMf?3bwieP!W=I4%>H5o^WV7$-obsdD5J;J4Z;a<0mWU%GV#0_nJ(Pv*P{ZOaV z2P=b@K3M}u$AP$Y-Jrc)e2Ox6$~RemIQUUk*;=Teik^&Z_!HXjJ1~kmb+#TX|&b9zNW0R{3appNlnNYqt zfI zBg#VSMkk@nqzdu+fhbu6w0BePPA~4pEEyo^KW|M>>Ezmx^k`kMCayK9HT0}2$mqn0 zWd?gYRWbwM80oAi>lmZ3zg^GvRD2kH%6xgdbP2wuccwD5s4oZRw`BL0jI-)b1xJiL zUa^KnRb~23aDIUArt`NhVq!O_Eq&()KOs0;)P5L5Tg&?v)xoo@dKW+#)70UWTjxSo z(2UHS+G8YgYEQ)(6PBvs*-5astaS;9419;duN_Z-3bA_AVq)biv*tWmwY;k8l=VGK z=l`=l2;5S8Az-nzEZ6r7p7o2WKomH1;>|rJ=^E#rL)CDcBE@Z)DIIJd1n$I{?bH-P zy~?X=IRG681^}ao^mJBGwuecNt3Wn%etp&P#lpUyGilAm`6q{FpREdLlaIft)hd9qOvIk&_GLH^bbuxBk8}D?ZQbnN?#7_G=SV7fb=uR$y6?I8syft!^WSqA)alSJn0SjH?vFB` zi0)vDiQ3n7y(`45Y>V#0YNyt&78lC?6|TMXrEF1B2g6 zgMpT6++J7(XwVE22lftPHciUJ?Q3~!ey%Baux$^g%Xjfr8goi%B0bmu*AxYi*}Dd& zAzig2ay7wUM2`gASJXE!b^k=3<}FezCG-FTuwkXTwIE^1&h(K=-l5q4`^_Y-4U!d|F@ohP;IX0rvGvB zA6aky!SpORCByKIUw!woVlpWvDU)}Rkrm(Afpu|`GQHWJHdKH3{AyocF|s+Tm>u{!vl=~kf-^f^&i zqVf%ngr+llG@JzXE@M}rar3*z(^~viZaL>;?&_zAD5V1D2P7Ja|5erc2QM0YWxM!; z-iEB}m$TE)11t~x%|)mGv#pSu?B7t_t5h2fP|May&>GYo4R89hTl)o9&%q^k#oOf~ zGbce$sgcO+dr?-XuVt+o&e_}GuwGXg z@Kn628w?B(H{i?n*4NDAN;LfFX-xDo70bx=VK5ip!o8{zK7T}}V~zsOiW=J0=Zcqn z(zA-;S?$UrjCS6cLQ*JvfSQuvjdoUpj>YaMdA0uKCl^_8;!(iyQ@-A~zH|wY$IA-6 zcH-yuqA|9U(@?)W_=_!m1lJ$Mx?#PQZ~Ykgk6_^`S9f;uV?>H%$BU-MqgdF52{-L| z@*7x9x)h9N{trN}eBleevlS$?r-gk2%2E&xZMOWS`Q=tifguW585b zuZYIb6E7VE#%3xM13p^<9&uY&KYT@Y;!0ka#_+Z=(h9maQtx#a!pC+@PZLU29q;Lc z{feVOGYH9v69~)ZB{i+HFUB4SQNO>hR*DDi-3P8#$b(#_qu(Ut!tZZ0khl3MLn-3Z@ zv&^}xJNF8fXM12$9;|4TMB`V2KKo%x_m#H<4j6rn`(*clx&CB~SgO|GZE!e_6SFmD zQ0Jc34mx7v1n~6CzB_4-#HRzRpAN;MzfFIpZ<`lhDUI&X4?u(Cy0+8t3-)jZPV$3T zOjR=e>Th5vW)dnm<|q1Gyk572LaYz?2xE7#S5QoYU+lyJaCr}a2)o}Df+<;due*kF zgR}EqZTmg8F3w#VN<=e%_$8f=PfRb4Ht%cmg-c5HMl><<1Wd)Xb0Lx&i^#Z=W zzlCMqy$!JHyY|K`;QPnL-x<9v73cT$J?zwv0{`0K?jh73-@mlW*XLmQ0l$44N_;7S!@2=0@_Ol|LHZ2wI0#ReK^Z3d> z-l!4^h#0Q=AC%|$(brQfZORuvfJ;5}xsU7@E@4TA5AJGDliAk%<%1yj72Eys%x`ht zfbp}I5Vj<3pO4GZx7h@Fu*j^7C>@;u59iokEB-TWp+cPrZroY)dA^EK_Yt|X>Q`wW zxDhHyo=sK5bgDIGFXZ0`WUOkPVj&>wly=pCNbxhQ4Q~=VQW<(~>WR^4xG=Z)Yf7W{ zC%H}y-|&ily_rgKBBYnLjM2qk*&b@O4^*6NpvtWG^)`G<``&V5EIie%9jMP*cX^GJ zK2V;m$K!_mX?{YLdH-Qn)rg+?4->3D1-P~p)PbD=DN+ry>V8o$dV`KXaxO2#$F|s< z>CK`|$d9BKfAQq63AgX7233bH0zDgyIBJDY?X~fGK4i*z$GJLm7#nL$=zaU5vJ(KD zjR7O>Zk2Yj7EvS5YM=KM28`$*CO9)(dVlt#SB%>q)tpZc!-9>y4ccP{zqN(!BChc( zmGNUrE}sPKG3w0X^cmDSk&0^Ok{4Ti^ud>YEVzqg52L`&&T3bKLt7Th)tI68!ZkCnF(qct>l`yGRf`!&zYOmz^YXh8zyZ;{ z6U&3U=*>+~-tmW{IUTbU`n_gp%3!<~(7l9OFh{7@DB7zFM^ZPRkXQB$e*K7a=XTlR z)f;0Pid}Ph`8ahL!}dC?51#6_WN@(hx_AN5~qMkwbfbzOQQIT-O%b zAr!S6db38drNyx~W~O2W_N&zFN4tR0VZr#z`*#lWG#tX|6^~8P7waQ=9 z!NXXuG59;L%6{=(5c`51&x-pvldin#Px7?6^mx|=Fbk}dF`=|YPs8AV(9@-Zz*x7& zTAy$MwOwd*D`1rRsRT#M_FXYX!-R~)aInW2|L`4#Xu*1p%l5Ct%(0YnoaX^Lr(_g1 z0&MF(8Q{HJdH7N{oArXpk{Hc*OMC>$f+g9s4{R4~2f4E19lY>^xlV&OgX?HY`K=;4 z2ZQ_6PdclDV;!|qp8~)kg8li4fPn{RVbW4nM>p<<>QCU9VW&BmA!%FHSZ9;;#w>Wh zWnFuTvEwd8Cw%bKU2*RleCBzaNtQx6ZZC>j(Kyy4KhSiYmlG&!F?ueO%dZ=P#k90% z(y&@aS4U3y60$W_-#Hc{;$U|ApuQ{l3HbXVtTE{Q;#0@p+VcazSCntkX3ues-PwAO z7nqV_sAPO^R_D5M0cOPZL|QMo%6kH_N1|sOkZ=lYo!Xbpcnwys4LF)Xa7a_ecg@nfQ04K!+Mr6SC?#lRZcDCb*nZpnPVsrtzM!@3P$jr)jK*VSv#_ z&bf8JmM@>-pceZ+;_{l8v&XVbx$zQ97v^(4Z*q>nwKJBSiv!%}bEu6w2Q&*^M=4A$&yo6*~%|{ zY<|}0`P#?W^@p7Fwl=I=+TgJi`(Da}lW-iE&EPwYElt55XEUEo*IKAZB$)j6t||&w zmDety(a(ju%Qzz_(!_#<-nNL7^|>Z01^xY4sR2{dzIzYw2p#l)L= zK3ZM*jjrB2oB*P23^!9Ls!QPe94VPk?`6Zq&qo)3?Klw(DjneH^_mw|Np3JU)=n(6 z@_rLyNahBBz5uiwEHCQ^8*5sQG3l!cA(8lUPIrUZb9NGvlp>reD0Kt~_PeZjH%7{p z0BVZZvw*aXKiLJK3uIyZWuqR)h7Ai42IWxhe?=l9mwMMPv7rR`=z6#G5Et(kXX4d^ z+SJGvW))QDsV6rfJ1+o_I;uAF=sA4sL6Xs)6=PvPB!gF2C(jPR`x9pchf8~nU%ZxQ z8tIhj1)zvH0F@7MIw$K&*R#HNqvzdp1XgEl144eeA0xyW(=rX0eyznximG6KT>Q$B z`%$*1_+6HSv0SCR@(GYor6k`V%R&BfLlLZ5#_t{ZiFM2G=Q@l2M{VX9d&jy77cl1P z&pMkHdpKlos4P0`)0LO^sVFi5V+b$Tq>EHQN>V0DYwxk1mD`Q*)a~h@QQma~oC%#V z%*H6v8ad`8ZQ|Is1nqfg!x#D7ARl#1Bu|*9=+f?PiQ3(O{VWOIaVO7!`bqE%x^SvU zX__tsZ=-_5aI+MmI-}S3z<*O~#W0K?A!EUL?!z0Vs^DJDME2ij%u~I=RjPWGmaKIO zDLi|Hr$N2;fmBil@BbQ3(#)bRlh=ojVj`c*9IN)Mp@AP6JsVp#59Y4Lf7QFa<>2~! z3FwllTvWjpvd2?qU7h>f&{h3Y_n|x-*X-wc0ynKF zUT=j2oU>$GyjA%nwt#b`eqX(OBAg`soR}WRdcvl~NloL1G2wgpHHH~_YUhEpps+~p z!=O%8R&EIZJ9X8z>uH6}s#KNsZ@^Vr*do*5;~W>J41f~%bJ$^`0YAe8gFPfA{>Rardv8PhO%Vth-{zSSVk5z|) z6EekuCGI}Y->X{S{sO5z#)^yY%Z*h->j=us29a#-sz_3naKcalrBBQ!aFl>P(%B1w zix!?f&pE>mK#`=w(FaGjbL1Pl5_VXZ|(78yHl5_y9Rk)%n1>lF>bOHNrUz8&L zJX?PPE~#uuS-%3)L+oFpb>!ZcEqFPrU&QS5_;MigL%>i<+mwdYtldybr5|$T(Wd{t zwl+BqwE9TFT-*HiasqC-t(CM+*;hsyFU*>b#l#cuv%U@W9-xZH>szIAOt7W^zv({1 z@EISqYZDrm$A``ZTbxSeW}3LcAQvvdOWaN9Z-W?aweQ0g-Ff199*B^I;{b^iVklSv zoXK}DZm?!#YMjY4#gh=+@Y1FFDayy0(wjQdlwEdCPxMM?A zwq5M2l&ux#o_zQ{KBmel5{zX`@8^|gaR3Y(zG$`5e~KQpVOGPH+w~l0(zm&8puZ&h zdUjPnlKb}nY??ATElO&C3Y=4Wo;Ai*fO*<{K)oBJgVoVaRw@($wgGO!LRVsMtWIL8 zjPvrBtei0?&O~wrDWzfkm@xh$-fn>p_&RF%CiS&hhKTp zGv(9x%$b;};6STR)lp#pX5E{vIpCA3yXjalw*4=1+8q>r+o`akUf;DO0XZ_I{v{YO z`;i5Qsvtezc|sg{_9E_iN~|K6dvZUXt_FY6?9KpsI#7>OflAcbF@?xn#aVU9()?=p z5-d9V%FOE(orgC+{t_JD)s{sd&jaqHl;`z_SR=a|iT+++AJE6j-EcDRZ{)AlUngb2 z*HPXR9Q>i7jvo7zb(|c~1keBcFt8M4^*Fo*QOe+M>?xN_S=}rT?qPYRBY*R-oYMwj zxk5rHh*^F3wGXj0qcZZk6=Z_6<5$9h(k&qq>}x5nzWkX?r(2dlifp=opK>3+**TSZ z_JLJ|!AfMX9;1Bb2O?imd*ip6edljYmXIW6s!7$*Z?;C_3;P0BqEoudeXJ^LE>sOZ zPp9lVRg)T-BDLZ_2V6J(A29_nc9BoPJ-{h5kp%dRh$X%1tNmEHn+Ma{x3mdxXx0GY zr{8As-Mx`c!SA$Xj*RUcM`PQ6 z5^$dhPK?>XE=DeKAccp&{XWHawOu-siiAs1(cqVJH`}5E-pYRXL>=1)_xF?YELYHr zaijd?W_u~GuXP7R>0gP(_ZTbh!ZM~~mGSzD#s-@rARgJ%Du_Npdsl|utm5p+rAtUc zCya$JJ#SL!_~nWxmb$^2{9V8j2V92K)%_i*?+3ouJS4qYgI|SbfO{PnSznycb{;Zk zfP0zDee9cH^uSVl&=l+m@UG6*04xIQS=4XaHjSUPL;=2vYQG2x(p=ICPS3qP>3AE{ z0Ih3qfzXZ`opXO66gKtYcr}^RKDe?HaAiQ})9u{Mev(;%^ecla6UzRjp?vm+WA*)! zH)5Wo4OpKujL}3_t_HMvg)JxjEGpi;rD&Q=Zl|>GvfYcRnWlSZK)GIM_PFoP_IJkIctF$`T*-|%>H~;T{av1qo#T# zKC&{iZ}&U>k+iGy-ve2i4xyo8G{qioe zg&1}xXm{7w4=jEk>1kz^+T!HREiYDsc%>7$E-)6|&(GEKaYy_|n_5Ixjk`gh1c36I z^5wW{0qg^}Gh-fZg-o0X)b_>AjS0X76YAJ^K|oV~hkc&LqbWOJKy85PE)6}JM0!i@ zKn4y_&%<6Mf3(~T&~QxW&W(|F(yU2UvZqc^zHltrrWhYQH_atYT)`o{f~NiS3FyToIvs!FAsB5}0 zF^Je_#ZZSY{E}S?l>)l-@(IB<5_r2mjJ?d7G4tvHTS&=+=o!|mYYTgkq^6|g@vgv( zG1fT}q;M>$EWvYl-JfhSBNP+5r}hCU z72oH|)0+KvpVTH)-6)@-Ka*xkk5C|VsA=)X-76!7Z_KEx3RK1g_ z$hK9NVT|k8691F40@!^S@B=VhB9#w{X3QR>2UKn>B#btoIz~KzeYCqP_BZ~JSVru# zit|UXib0=Q^m>ldW-v>4tIed8WPgW!B>Qw;=k(?=eVPOIt{ljGrvVEXSuynM*fy22 zcLyV*5Rfr*9+#pyta5#{hm%h49#$|NEG=Eq?j~4CI*PU^k1YC_tUQx>@5*g*rSF^;3~>Oy*ICI}7$u#ZrP9@Tn+R(G7KjfG zNmlaPwqpa75*(B8)znDkQzYd-eVwyEQI@3VnYLiT0==8?JGiv%RUeZ+5usBr+{J~w z;C`Js^Al=~!@uU9GegtWh2tqAEt5#;{-2+NH?!u;i=0GLu}LmV`;p%PsMucw?8DiA z!9LbDQ1n>H>}7xhM>`4fI6(wQUik{h>fl5Dav{`r3;-c|LdP6=Umfd%U#>7w5Zp_$ z+VcuP>CWb}fFhaZay(6{pEB#pC)*o06wdA}jxPm)MfrxRKk4`_se%lqqyGS_Sp;c6 z4}KUNF<tH+fjV4oFW-lDLdl-ZowP%oc_{3)q$gLfO7ZUOe0 z-Vzik8Pw?9=Q@C^QyfrMr9*Lj-2L6xIN#Bf*$Q0(e!p*xFYE#Ki<;Gekv*<8uwCOP z&04|qa?eDp0e3nw02bu#eXmSZrISp-9^TueEE7bn3x9Fd*O<`jd3Bskuboj1!xGHL z0jodZ3c%>;x&F0*uIkL38dJRO8xA zQ*fM!Jx#yRv!b%-0N`Q3h}gXZ2)~orB5USxQk?S9JGomevm+0nZaeE6JLWx$KWSxM zD0Qz=Z#l=#GZlR)j`Lyoqu`RuAoW)YF-7BuefZyFANRMg+7hv?^qlA64oY*Ec(+Nk z{H4+=rgQxjyT9Nybb1lD$JK86tLi?dFAI1x@)Q&025tzFT-e@_N#4^+E+wPZ(;F@s6Ap9zy zrtNgHCTl2uCC*HFobU=1PNEXVpXOQ{zXI|(^GQ;?oHpA@KFafy_r-q^nPGfnZ&L05OA0BCPQSENlei4D@jU; zX>1g|95e2;G8p&KhFU;3C~Oo{hk+P|1AwzHuPVo)BNYi(KfoqaoG9SJiEd+^LO8$_ zYjJyaVrxLzl6yXP=_lwGU{|s&C<)H4pINLC%??;1~Ga+SJY3g{U2vFEfep*b!P`;ZLnJCB(F zFetWJ_l9A32V_}#DX^ZF=`ia@&=&`8k@yYv^!g0n!Ws6&Tq!mK#VL(Mzv%f4u}>Ya zk1ftM=Z51vBrobXQ@H2d*Q;9Pw*moYs z0Q>MLj{X6Fc*>Pzkl~zJ`^10$`t)*kF{&G#QXKZQ(ea)TO+P^I&x2273wECk;04@32q7`g$Ks zy%RglV7+%baT7|zk#LN&`M_ODt$XgTOz8yoVBDwpL#8~c*=PT%h$GGxPBGX;?lqRd zKG2?)#Txjtn4|FelKy=Yxl1297OHF(sMuvk#QceEoJaTLS?BmBLIF13D(fP9}Le_yI zBt8cBs92K^;y@G%xnEN5J{bI+kM|zL^#>c2**8@zoO}k@C&nGgFgEOgY56uNEytPU z$SN=3A3C4#zI^P?5#Yh-v6(ny>P`&o*3Jfs4x2LExUvBberInz0e0$MjG=c0%XITu z){9BF`+7M@qCsC|ckse*PgPaQN&kM3Vw(FnsRBRM4c`ApP*w7v5SD#_IN!*u{*W=pAFWuke5V}}+=oG> zpj3<(IdHGeC#k}}*VYGg?O9h#T)lUkch=#YG9Xj5Cunp13Ooc?=43;6w{%T;yB)t5 zBxS!v1oHBrNOfrq<70;dbZ{5D;;k`hyBudu#6BjgNV@~p?DUgUriiP*USIqGC|5&i3??ua&{L1khbKinh`I`a#i=lTB5S2Iwp3fY`J!~ zVbj}O_N*3knjs-xHGx0K}U z+Q-zz_Cnbc8?*g1d{BrYaXC2O_y2x>=-?bpc2$)B{UTK2AS1P9_wo zo=m$!5J>G_bl+?|c(W3eos_`hUzVr`C##HlRv7Iv|IqO8lv*J;kI#58cvL1CP-TOz zXPVY^k4H`j_v8*LGSk59`5r($MFH4}lZ26iMBx5KFmvEJZxg|Tn+}Or7gdi$AQMn) z-lyit{|)eO2V7Qn6<9OjqD-I~3`2gKf&c4hOTQsmbq&Yx|2o!EgOqQFTH=`U?MO>p zSG1FXmXNOf<2XxQKN)6;L{~)q+fkP1--e+7VKRN?Ungi0+W({fz5$m1$2QBzUex6{ zOvave5-eqU!au|VrM;<7;j+5bg)cHNw$()9o})i9I8o%64>bf3^h{6Zm{|Em6Bfux ze5sCOIy>BZ#3Hx(V!LBV1AH~7l`mb#nwYx**s~9kbDyOAJ94bM2u6PSF>Xp`>)tJ_ zAqP9>C;XPVJHL zL95E#fgT4lmFP-1R$qG3ymyQ7>*ZY?4)DsuI!L0~V5_O%?ao~O13?~H zZM(i}s#}%dx6?dS?A@DGOiwOuW>MRGA*XP&Ue=_KPz8HpKse?(&A-2;_#bw3z^H2O z?0*qcq4tWYUsK@Jd-ouG{ZI!IN%z~cB$@tnPb%90q2=zVoPKi!f??z%N-e3xwKF@UtH4L(f{Idn?ZO;BrWF1THM}U#pss%%O_*PNTZNK|#m_ zm*EvjExuY&jei_Ej1z-N2~TpOe43sE0SW(SNdB$ zX^4V|UnPT^@iUcy5n27kzmeQd16&$I(UVpw%G_&LgJ3rG)`)^C%94MxhgK-x&woig z)FntdhY7-^khJeY(hyVK!2eIaXhYwDF%yO0_b=j_bh44!zONAz&h7waMR%mQ4C$2i zbH)Gb;;)G~s_2*&#Ac5+brc>dzI7Ft_?`+B7`5#W3QBzT!S^2s{H*DFA40KpMJiTM z2>LThtyraO)%4O4{+RE_XFCd{KuF{n=U%_!iu;%Zof^}DD4Pdk_Z#_GN%gPi{#WbH zuxSDKW`*%iN?BhVIr!e4xzAHNtE@{+_>Sfa-SV1@?GF2j(N_v36*BWmfQIpf6||2A zu(Iws5NGLkIPk8gDh1$oy;FhCzk|ZjJIT3**BRn>e&xFYf8?D@@o$38264@)DeTyt zrF&{5iWutAoe@RF-c|Zej)JO%*Z16a4LuNB(-3wLR;A_+J>B1-aQqJ)ubaU6A60e9 z_tWOLDnxv6_R+w_KU$111)o=z%D?-GLA*Dc|ZycyCUB05LSDSwe zVkg~a80CeRJqiWPoPM5%Bg(@DN=$?fWgqsaXc!cJ-s^A~#<6FR?3CErvfg1#)iht{Y>!(|I0>EfsWS8Tv}P!(X_%J%+hcyV+$|d{`jI^2Q0EAv zTumM4bwM=p7vOddQ6a zB2m#)^=p0b-jme^j#4mQlF#2nLap31ODRvgUItR8EWiCBtrTUv*0{goHJ9`M4(j_U z#Ln4aTA66AoTnw#>4YPS7g?%WJ<)=`s{Po^4%d^c$`&Ut%Q)Zcd=y&BeT9EPQ38Q4C#fm@WlF^7}yPUpYtA%ufcylVJ3=HkM!8-WD9%`uvAD zHvjDoXibMNW)%D1`iR(16m_@Xdf0#@;xchtV(DeaFe_9^f?(gJTWm~FbVEHRgNk9j zG8Jlg4-1^5aKmwH9e5&4DBp&>x^M_p>$LO%uju}TXDB6;@8}}b>T@;p1Bhcb`jj(qHwh(aY7)lu@7RX(KGkXfeR48X%3bxM z(Ry9+XuwfEo18!m%hZw&yK+drH0IeNd;nKrCiG;rY7;SI-s`(@!Yi*91j(K{l8MuXNtu7uW!a~UUnRf_v zOS%$#RzTpNdilGJ!mi=|hY1Mx(%CX{zX++l|8Y}oJgjR49U8a|uo4gR!JX#aQalxG zSDa2C_QW(G>g0KF{M=tuASm!xtl}(2JJ>>nppEOH#<`S7K=Tc&314@8muOT|OSEAa zg;&hdT80XE5)viZr~}! z_iqNKaMe~V9b0HNq=8Aw3u`W76t>)5ad6L3eACHq3ze!otq_qX?Upex=@Ft;9f}nW zl$MSp;UUV)O&De>YGL6qT7KHBfYUuM48cb@5v(>qdF3bekeKlt*}n}W%~tytJccg) z#+2wt1=DYH_~^qX%!Qt>I=MK{;N?bhuDfLhVzH|74b+!rf6^>`fSVQC=o{XbIP$g- zs=)qd%IrpY-}KHlkP_)s^)1GTo$jI4UctoQ{?JQb48qhWYgH8jJgUB(@Jzb8GNP`x zmNbT54By>=Q4+Tf6-aHK{hb$?w>UUOp!bUF&y?08i%AJ4!(8Y&GdoUMg*@CPK4uv* zCbJ^{o932*X(}9kE8~fWVo`>zb zmVs9ve~9K8yrpF)KfnskQawykZoQgi=hj-TFBW>S2}`)w3&g+7h>@}-A<5vet!n7v z8#XMAbA_%^Go5^}|G#(;rXaqIU^@4E9s~lAN>D-hJWY+s(Rz*hb5$* zfe&#UcM!3l&37c>p(%yaEc3K!T8{~A0|!$KWELJL8D{1B&qM}(*if`^Dgq&DpJ#uu8b!=hQ&O+QdP71)n(&rv$a=!q7!O$F%@iVH2_4gKjX5Kq%hZYH|;QhF0w?H zM5;RdBQPn)+o{6d7Irj`BWluC{DtreTI5B9mdGE6mfark9EV%IurBq%GIS`zz@W zO)w5lE3>*7D&2^f%A90sslH553*Ta3)L3iU{q@hUT{;O?yA|2pakdPUAt1(u)Pr-r z$!x?emutCn8)2U;rjrsut!~wtb=CiN3R2WkSSWT|jxx@iN}fxih0QvQN2o2ws+!wU z?yo2b2EHj?-Kn)(Fs}Zgz@nyC_gbs%mW8Cm!1)P?SJb$~a+k}mJCLei?FLNT7BEkL z)YV?wg5XPVu*Ahw_X}GV-e-YwD(NL1=9`;{*vzu-A1`d20?=6{E=x%ONIr8 z;vNLgxvj?!`#?G?pq2_T%bV?bF<=&ZWReT*Ys5IqlwC97IP)#!hDfVjN55*UbueE# zd$Ojc5&2@PYiN{I?d7v4PaetFxk=hxyoM?BolXNXP<8%ud~&8zAgY1vw3rM>47ISo z>Nc%n)6ISVaq$$3L_~6Gc#*ohNPdKaLOz=;Xhyp`6f4WrTT@9)0G@Jwx@$EYQzn0o zP)a=)AmXif3^-$^WxjH| z?Uo0@;p=lYMOC}xx$8J)p!UG%i&j%3@wui$13`?l>F~!i!5hd;)`C{eb3qRt0ttaK zzi0-y2vU4NWFyZTwH3ANcBs!kRKbM0B1%=bczEvzQvbf&F`%|l{&iBj6$b?ce8e!4 zxtWWY4_CV$v(pb-6*0>c4-JxK(-`t+0tkN5kQkXfy)A_~VYX)=ERDOT(yWSZ%P_lo z(9!}dtC)th+~}clc+7e375#!!ZDRS4afZbu0_H}xY&o=7Jzh2ZGIXM{MEGMsgR0Kr zxJG>}z#bY`29jaggf2!-aN2R*)^?-ST3vd*XDTP_4w-#ZDcav|R^^CdLV-&Fecp6% zHikXd;GY2y7gC~XfO)t3q~*Fw;PTFA8M-fxxi*f7pPnq&$rQJ1HlD%eevuJVShwoJ z;VVzOG8;}&u5Fbj{aadqCK=8D+`B}^Y*%F&llr}5v&`nR`*hL zy*VcX-)&ma(Ork8`X;XyyXy6X@Y`$=}Er2g8~+v-Sj%kfi_R2;xvktw^ns^QM@Il zP$H?WX|r9)lBa}7Us|={vNN|waM9AGB!p^x@kue}T2Afhk!xyz5d|n9-0xOfhh?vN z6VMPx?muLIP&F=p+P)qCxbsEM^-moDIqcmF`i{G50}e_u7iXHw&)();Sp1< z?Ua&c`KV<>{3h#M9Q{EU%KhKVaL}+F%UOMW zASsyScVGgLRN+AiVIu$WRtf~a?0N(yDYcG|MZnqv00Z{YWEz0zPS;RzK-}iZbRrez z=-Q@d{~5(ByM%vpUy<_f9T`>wAt#orCEqk%twU(w{#pY<2)^3QPi6(Gdekt8kO}dd z;OTZjCR1m+8de}@H%mk!ox+W<4?laG8nxcK;~@y#zwM*t@YPnciJzN9xfnk8yj90EVQw!6|Kk)DnIGO_ zVUkS|Cv;PJpTSL8qODT;pYKX&DZx=_b>lBZA2`_ogsNK6s5R~!& zH@J6ua)6=d4l0}g;bie|CX2_eb7#WiWcgx5#k_KDJUn*Wj)b|;Tz+cgiES8x6yz1j zOCl|CLG|THL*Gg<5>AAtX<`yn*!x|{MA3O?fG08$tKI4;ra3T0HOpO@%p?a7T@PX{ zSC7XhVKSc0Fa<9hv9?|71SWBWNQfg#o7F~NS}5!pT1JG-;=VSsWtRI=bgk9x^FmfL ztEJ@GBgcdx_Ja(Y5iwIy7PB;NJ?xpL#-i=&i>@M>zL}n7Yd;fMk*+q@TaA`eT|aVB zi4_N{=7A^l|NbT|)2bT~vMH#h)9%|TR7R`a98Z44Dz0UewSlBnZEiMw=^H}vi9FkY zF9HHxZCYDR7~5}FTNPIPGX^5Bi(Dd=#I)$D>&Sc>ZHxizrL4A| z!;U<`>?9a04bEj)Kr+Q&M^;;=lt~usi;S11E2}vW3059|oWe{)Yly)Y`&~1~7p#Ku z*C|$lxJiC)tJZ4DN^P_45JCy23SYu9l%eiS(MCL|zt5m}Vdnv3{kvpYzsU#E9ygd~ z_eI+>OtfI~+K?D8KkqpJG9hf_-c|lT+n?QJ=bPJxV!|->ha%5;JeVB-LqNR0X|=wn z$Rnm(Rss`VupyjeLU=cyE}1c9G4{||+Oi}_wHj>vLm{3PxG7L*c3sOo6=8LRiKUq2 zKLX5YtCsSasP}{LKn-P*H8*0|c-=2XT?0DO`%= zqmWOK@lZd4VW@Swq46mm3Ry6;D%gV{V^mPpuC9bi(aOmU5+vyT zBuGsU26aR=9qB&-3Zo>{gd|DTbvcTDyBeKEp(YNTm_wbsl~9z(dEb)Y;J0t-I{Hsu z|2V|}R{8eh|L^rrzVzRIMoY?nv-SV)_3iEQ8~+-D{>9031n<$4fBBcU>8pQv>o0Eq z#b5sKv8~wsC@Qsh;Z&`q3AG*>17{C>ELFc0X>AlbiafHqF(i6kF~Rm9 zZ4+M20a8A>a552&zvs$v8pIi70)x2=uQjOH^jrqa;$${1iY(5qzcxJI?rJz3j#TsM zRBWv$;g+6e)ULuNQfNN%q7hkkV01@Li?R9;kDq?hK;n#AHbLaLs;5Wy-1cHdAl@?I__)^rpszAxgM2P^h#rC{hR;an1Ul)St>V;gVL{f}pD zLK%K3u|-{F1DRBT@L1fB&gb7f1Zve*L~@cMr;{-5u5SdlB!uzX-i0yH)zV0fSl@sM zRT8MvpJdbi+|0AUY$Ld-$V}_4I7i7B3yaZ1&++0O{KBh?Gx|h1KVfkGKHj6R;lI0G zs~4UHE+4~~1i?&LAX8;+y<=5BOAj^&2zc~>JKG?F)xQ2cr4;?-YI7jY&Xtl)ZN#&? z^`1&c>xOwHECS(^(kRJdY^X`UA-G<>bL2)10sS%1WVlOVy*cHT~z5MYgA(&{(;LSS1 z8(4$5UeocRNr+6g9p}?Vx%d?<^UOKEdTPST3D7|Qnn~PkCG2q{fhKP&oetzTLS`i?1hmG%k=NK!3jVXVQ@vDX_2j&Es{ zfdng4O#G}pcQ4th{W>g$jG0Qj) zd~Rw)%c`*L8+}=`xiM(w5N08|U78ezj|>T?6!%mj;=*cv!fHNqs9nZz*&!kUiuJVk zu4@gX)HkjCkSDl*MFF)u*EMpmGQ`Rbyt=W`>9%0Y=!+H(>Ax>-y5>T8Ho` zsjP*k`?rf8Bq#*K-7j4uM$MV$$Z*f>z)+kC^QY^n0e%;m*O_4XNQ1@LlM;ijmAvfb zCZO=BJZCZ&S%D@RRI6b27v%=Jy;ew(-k$O&)v@z~b>$=o=u=i7*E z5;grhuyJv=r$CHlBvLU|QT0FFu`%*OXyA1T;)&$1g|oYutN&i@Yd3&1w~`5V-t0;e7tv3b##uP#&bdQ$`Gsu*P)TdT6yLuQ zU9#sp0UBGaCq$MsE&1H{j#|xusDnKVL}Y>F^S6xPy3J5K8%)6&irw8HBT}g5yUw>4 z8JHEe_H^Q%PFIh|3I@lLw}Q`dw9^3VhX@{Y1PWXnsZ}VV+@shYtgpf!d58gchnArs7&-mzTjb*W>)t3dqJ&jAM29I_wF&e#7N{_azEw2DG&vP6jGYh*g zEXmPlsv$1xoCq_hvOUwBTg|8YSF5FQ8VOa#Y0PF`OE_MW8K|t|&r2yF#Tq17JJQ7z z94$ZDz!i_vua7>|2n{FsxxSIr9eFDk7j{Zw1irjGw-P3x3r7{!+#@C#oYtThwgxYi z(j&h&U>FcMFj0(KK9V?Qv&7X_X!$2z0EurtX0e6N$ymzZA=V@a;dv=Ovg}!QE=6BCMEV0>e8H_HffDjPA<* z#UQ~=2Tf^mwysvn?ps+dv|d3Sz)ikkd;W00!lhV-a9=b_%)^p6ybW>5r3y&XR?9wi zODRKU$Hh=CbZi5d34N(sb9Ex^Ib*z%xVtT(5`wKMlE;?F6c4R7z-&vBSs?-bxT8o! zO37Wdp0OuGzGauh;2^Pgu_9Y=2P*m}<^e5RZ{S%c-W2Pa(rBdosKK)YZ( z;qgsi%zP;1B(W~DY$7~$-=nOk)zxvh1D-w-2+={Nzte+M)pIqeqIoX3 zt`Pt?{3lbi!Tw#Cqv~Ob#LHjWF0>-<=7)vh|e6pXyt&87Pn0|2@h+Lcv> zi@uT})d`3KV1xp?+W9mt``a5(ZZ$v6!_oO8OJXSUN(l&J{pOop;;dKf zW^D4!Qhe|c%UhrQ{0p4`61v(oBsd}RkmcWT46OFnm@dv$mhz+S+x^tBF*_*s&jg^G@&ur0}OqbBSXF1`hOP5NPACCPz4=zcXX1tk+hVVu7N%ar-k8t z!zkb5l6cKNKPrRT;!mEz#X5l`-#d`Kc8C`$zp)7>kt#n}=%TRV%hK?s1(qt45HfEN zXCGxOo#{1%;G^pxcnA&oD~Xu~Wah6jfuPp-+JSLz3#ItAOc{ZZb_sa)IsJX-tzl19 zS958BNY0AE-NKd0Ya+_8vi3>X=z9HX}?faAC9ILAJ;JvJ>-_=v)T;aiu zpyLv?{BkK>)}bB}5V#`#>-BG76k!UbD`s)az6ku1182*>y!_43d(9Fd0P?j0@Zbhn z*d_;?L+Jue^_Fx+zR2Jz@o*b9^ZePioW&%%y*L%wst`db`>;-m<*x{<9ydEfY1$Y( zwqZyaU<@v@wF|6#(qCP??q5uG;qb`uB7kzjmOJ3-sZ)KBG75h%3BkiJH3J0 zW5Sd+d8U(3LK0LCB&pStduvR4k-Q1~>uw21Ip2+Be|3LF6O&M|bR+Ua({KvRG^^)8 z(w2PFXSz^94}<1|Yux0gZbL!<%+r^|yIsZP1V$m8v8OJ?5%epsj>7`B!cC3*#y*(~ z*({}vaelp_!UW8b2)%HmslFuB&QD@?TjIFv(+&iA1lt0i`SAjpThtDH#yNCa`5k;@Cgmh7kr^e5VcuOW$p* z|H>l`ug)e3|5^{i6^UU46<+@}5`9KezU1--E}<}FpWPToHTJ%Y`yb&;i`O9ZfaKLC zeJtVSzLXXQ&=W%|B16$`$=VIX+yD(*k8Z0D@`W5TxAdgbH)_=1=OKl7DfKtVv}y(D z`#NTv<1q(v%LD$MKulhKESNfh-T8@G{_HyCtQ%5%qywURx>WTXfx;1gx?MBE@v~j2 zP+^8f<9##uNrc*Lxbo1Um`Uzd=AlX;9vikbWn*IK2NT zdtqM}$VseKJ(c0-);xypUzx0_vIND|izq^ZuT3W))S%gI!xtB@g0Xl*SaqyicKB%V zWJ;pK5|WKnL}O&-wGF5yccJ-=Nf%BDjL9E42=z&1c^77|4g|;J^l}|=Jmy6-ZsdYp zX(-}=i=(AcLrpF8a(QgxVNGm-fYV427(gD@quq7W?ioqB>zpWc?^#Z^}$*ie1l z1NqqcGlYSx67EK}y{^&|RNI%PUFSS+DDHY&jx%?gB!{1_Gr5Zl+-@MmcaJo$7Mg*y z02ib!B#htP^#UQ-12lpnf3!l5r_Od@8v3FO125@Rv0>%Zd|1a*d({+jg4W^ue~dirf{ zA!M4?-mFl&{-zn=+wf3Yys!~At;&^GMup%_JHUYczxk6xGEHsCz^mm7P)G)H1KhPG z7iL3`#maYAlBTM_bH+ny@06?d~Tj_-5{K=PKgpUb8oM;ktp z)cKB&4}<dv?u+d!p3(E~(I?ff>ni{39KPGqtW|&axZ64c|*PFRG z^r@#CV$e5z+BLAA&0=&!hs31tkN|DAnr%}TXqqhif&5Qog4U4g%j}Q zrtQV3c;S@-7=pFTR@w7t8p}YkAk3QKbZqmerXeEMEI+s<()kIK(SGClU1=!VQ{;47 zgu|)jP1BUak#YybQ>Q({TUhE!SSbSce+A&vpWo&}$nvfNBjR^9VZ=LP^}$s1%a$p# zaY|2FzH1sc)?Vs)XK9s!=A}4;HU?6}JNu3y3A`5u92=$)vG&}pt>EDF<1m9O$drJB z)i0W)U=&*`WLAlT{72Dtqk@bszLEg}!Bj3g_S`LZ$T)4I`nXtt;Vru{=m`@P(#fpw zq!ec9!NY26QH;FOzI|FP*oe>TZ|-RD$-}>AaIe#;HMh1ULhz~PBYx;I&ns`w5!J&i#a3zZg=3QN zozmdzhDMlPt|DMu9DUZ#rK6kGg|7Dp2M?#y9s;2+9VFB+AP~<2rd`&j)y)IQ@?|+{ zPl7>VGtcl#8^gyk4|y(wx6EGO$iCIZI$RnL3&aFUxqDs9?Ka4v1aA=J^jy<7&7RqE zn+PDv`ROkKfcz5ep#2@aeL)6i4L019-! zK~VXGD4@`0iO4SZpqV;RUJ=6?E*%1s}gg~C{?8vH1E^I28p5(G3VztgEp+<0Lzk+!@o$<0{!Nyxi zWa|JXATA~`@9kIcoImeLFK+lo2blQXCIkS&w_Z64L!^@gEq!T+Rx6kXt|CqZ(y7re zD~hTj3oQ-L#ZwHRTV$G_&%M)GZkm#kNQ)_IrwbF(+-d_!ClOo?{Ip#M!@E*NL4v;% z#1s!+v<-sce$@Wb&BeWNorLk_u4zJ5wf?^6+@?iw^w`w^R_nPr2pJb~)fa>%vxF_% z{@33?Fu*z1deZP<_=)Z0)8ZMO7~dWv%5(;gUKkxtL*y6h{ZJ8BP1U_Hxd0oHMM3Dpv ze1UO(sa=N=s*4OZH$D(}zc#yTBRFF|n0%uVU((oE!N)$l6X zysc2=B$*HuQ#~@hGK75BU%Q~a$hd!4RTYo%)hPk`k{ z**q0Oxa{ew9cIUAbKSLFNlqE&TPcoB@MK}>MW=!jp{|9pLm4q;IBxOe$!mp-EbZpv zJRF=W?^JLV-+|eH;MI%-GvD1T(J;L|Z&uP1FbmH%70X<_!LXLqt0afwXE!a&4Bh*% zNpRVuMho2ihI_IYW3*~X_Eb7fNi}Eg87c$mB3@`3S#F$KayKD+FfDxuq$Q z!KJ&6(-mRR_I&^xEf*Td(l4}48zZCl=*6i7M@w*MTY9CVM!}{uA9!B`@v|#$Yy-rv zF@+!jKwlTViuS~AopgVBkRI)!; zINEX`289V^D#0W1s=*v~O;vk-G8`q4NdiG(zIGsm8MKW}_yduyBIw|28*Vvl97OO- zOgM?!wI>=-SLOSL5)gt$0waT62W8F^<;vv)fg6TiI9nauZ{3;1xilE3wRt_VURTg9 zIZ{|jh>Ry5^zvQ~luaDk1c@YxD$?j{Ls@f30aF5LIG#SE;d2|f)w7p8>kZjMDI>sd zuB1+vA`Pr|5D=-=203^r1$U4X&O-4Cw1OkU|Ij2nM;|n#umB<1V87R2!qWp5YXY3i zg3ziQdiY#ZWZI))k;w3>&a1Dr$W|AHa7pP)Cyk+q0U?)HxGoADd8oMVKGW7cz1@=VjnR|PwWJZbx`0egrk)Z@dY>r8pQRY|Q` z8sGqHoc{b~3NmnVq3KulnDU+L3Y2@ZA$vwPFjoPS*h=t{=Sj+&n}}N6GXhs^D>w4V zH0Dczd3ni^#V<4gYr>&dGET{1sLmJ>hJN%>>QkqnS-HWw_P${DA0TPIou4Q)n8AI6 zf#7MZzq?Qm53Aaw3gLr`YT{x#wcw~W#J#hD!9YNNud%E_j@1l_>U(D!;IIL{_xdq{ zqqqc7tw8o2gNQThEA*a5QeM3kJx6$t`>8P%+sR$+%HLaw2Q_L*UTz>UZ5-2v&UQ!~ zMnKB5n*|Ap$j>sg$(VEAd=D(+Gr>bzzhInS%O!&~r*z>PNJ4~^@{VQ_nL_C%wr)eG7ZbGP#~z*bopI*w z@M8ZfSwv-eFwaoR>&KMS$`je)wr|1?r!d7C`thL=b@B|v-`)1nhI4P6a{4YGkP*jM zSiD)>E@-&m84jfPw9z7>O_FDeXC=nja*m8(WztXlC(0la2!$^1i^Q6)+qpxjd# zI@Es5Z(;~_>as(>(ipVW8j1%kJ!OpZl`w`?)*GvO^iURpPAO>!+znAJst0(LXxDw$ zp!uGR1a)6E ztWCu98!5cFGI`(jZ^He11mo9zqx#jMbX5NS0Xz5=2$>M*U)_ZuhzvcNdSivdr6j>b zAD#QrVWFB2_H<;XlELNv{4JV@+T?e434Y$7YOM+dm*2X4?|Q$+(z)BIpjxPp?HX&g zQiV=dI0=>pZZlFud6t2mXyTmYSH7@S*p~=BqGlJR*1bpf|b<|MD%NU$0ej+f{zr8_8n7eW* z)UeQ3iXss-yX;kS#AGs=h&w=Q{hd$Up1PTfc|9Z2Y90K@R*op^m5O@t9DFnh)WYJ2MEVDd z;ZX%rm$$1sx@T);U%4+^7>LIiKy7Qwbp=^$#osnt)(S^S1a~(-wm2Ra z1t`AYb|Gla-Sb>of+m@SNZH|qmOP>p!v~yaA9f2)Uxuo)%iAY60mUTYV=ufyP*d4+ z%$7q=NAqbA)TgY{gpr9pL+HDk9{y`&(!F=tc?+CNiDMEt`=U;UHq}kTb5NPI$FM=! zR9@+X>$~un{K9C1L1OIqV1c6<0+s}XOCqRo@q9>!OUZC7i0>Pp!TfkN%vR5d$$MMD{?Y_+{xF>U_1csjhLKxikm||1I zpUXT+&oy^Bl)V0FSEH$7E}H+4;HBcq2ZD5Ft!n@QRQD<%%%Qm~kVDe4sTawGSZrQ_uCo z@zCOM?ZIvM!b?XY2zJ(1l$=;N$Dk6EUfA`F5$btE009&#uV6?vMLWht44ZH1;VWO- zo~eNotbcV|0xXWsZ;+unH!gmE&(yeLWo2q`S25+ZXEG7~MZ?F(-`uIg1dYJCv7Y9z z^s|d2UQw&&5*)hLF}$iKr9W*VJ~3%B=NZa+VH<&w7d9l3VmcLj;liF3NEI3hX6|v4 z6K1v^T-dV$;#||z#{%gj$rtUuv)d0LhcVm%85}Q#kBb%fC*AQ_HsH(IWye z)`Ou*%Omet#86&WU}&`g16EA)M*pD}+5;$w=f;fZxvWsA&ps>GNrRu?Ktwn}1+pnf ze%Py^^NtMDWLCrrM}~L3i0PRU<-4*SpyzCGzunLRNmZw7edIVpri~eOp{uT)s8mWc zS;wp%Yvo_uz@d3v9Lc2zHxGjyc|I3u<@oT(u19idAT2bvwEiB9B5^VOUQdD~O+qer znM?r5%;~DjEPG}ajurCnJ_6x1EU@^UA2**KswVJ4${$uC;Yg`H62-A)59`uNtaYR~ z?EFg5DTK%1rQEpJ*q~C|)%$n6eG`H>wpwA$Qy1!2n!}%Eft0^N@0k5PxmZd{PJcr^ zpA|7=Ix44L80c2Z#}J`>kQ|zPAyGC*&)PmVk&X*ryNbpZcO_IIn3^#Z7t-SJ0o=|D z>t57)WN4|mbfIr_%Z?bQ($S596sxZF9DtcbaJCLAiFC|!qQO$~$mXhR4vcA$d#Mcm zTpxAAS6Ul!Hk?jVy<)dR%=KhcB1ZP~c$$lgZ`iPVZ(^(3#b7Q}eLN-lb61XKNCGqj z1~Q`Rf91$no$$AG7#RogF%Od~Co6rahH17+g<1~=F?`MpD#69RD_T9AV46>A%X zV8p+Nh?6V_&{gEUM&Q2Dk}B3xb096oZ0(hX<0>IA$gNbU)^a*C2a>9Im&3T2Vc{W` z+FaGdvhf{f&4wX4o`|?PS9!8RTx5xuz%J*1+?%oHo=FT=FObu5foAYUVf`5>n&rnc zPqgNMkfM#bo`x$_B8BI&le3GEt2{KTO;i?(`P*p*NeDG~twa?}?MY9f)LcRwt&Hr~ z9g2Qm#7F`8o;1F`@=n`u&}Ja|U@FBBI6_|evP92W^68_K$Fakcs5H~d^=raV%1>U$ z%lED?+2|9)sz5028y7*C2X-oW0N!Ze%MIMGrGWsI=H{EeF>@egu+oj;$Km%nil+sq z9WrSS@#L76iJAyg`RCs#{+>?j2#*G4xADQpBI*+${)c=pfBS6DGfov|PGew}$lzf> z!x?{b(>^xZ1~ASECfnBi3U_;W8==dAX@4bs<%NcJCHS<)Vah<<@MS|QajH`7S@>%W z1Ys1%U+9eMV}(Os&^JlM?%f)DsRUj5WPxC;G)ctAkSlV=-wAFub9cXiAp^uJs!A>X zjG=m^&`KtyE~fFXZN*R_;N~wJgroUv(!a>J2SVGxzqCz&kS#8_NNk&+WvJ?9^+j6F zjvO^=HcPp!4iyGdA{dI~(n}h3sIi43O(H$7Gi%4RDN)@-d!CjQJ43=!t6=ANsY~q` zW7_+1c4mXaAV~9n{-?(}ibTr2LSOO-=9qGcK?kxiDaAc)tyV~Ws|gg(M)G7PrZaPu zyBiSsgCi9pXdL}?ku|~uyVg|lS*E=5fjIBkSQ}4v-W(^MuP9xhc_;Xk;cW? ze6!k>!t|DAx_wD5la3j=v-`$i{bWjLnSf+e4%I{TsWZ)7zj7(g6z@!q%jy9UC#R)0Nq?J9hx&>IF#I2I%YwfRrpsSv>)g zk|n#kBlsa%&Z^!Gk&^AqzOp1|I(x4y*;$pnSJs!cNAnk~ZWO@~3E-UfeV*R~5$C+; zAAdJs-NxVZRVZc|AZd}}!M;vTZ0V51Ae&96aDHia;EhL;!L}Zif-?#`hT&(oajMu5 z$8vtZ8ejE_r|DcLw}C4wi%}6tISU`v95FVt_-|eeCXj}5Mcak+qNe;zg^+iX^M|Ic zAy>jR6E$u+1-n!uY2zJFLL;xDzCVh6&RbZV3QS1N6aLd z?@D)V)*>!0HC(Lsl@VKZ$i}5qt&l1Y%FyMDZ;DvaCnMW|;Uyx91}m|N6*oPCf2nmp z1!~+0#AiS4eL-q&m5po?At95x;p3Cza(t(gt5n9vxX>@Gs60P|;IHfKTE*0G{_F-3 z@%vHu2?%_K$}_oFHTy{$6R;dXEsy_NQQ~{JRjmt=7g%h~6S*Ib1sBy*tAt5nSji{Y zu}jJso1F9+YVj{ogs?F@Ef@P!rH7Ktjy@_{yCx8Nw(+nlDg#7{JUz09$L#LK%ZCku zp#^Hwh2qs=+v;`}>ch3!k7J(u$n6(}sZ!PD3gOepqZNyYrXzl)XU_vX0j{XMx7J`-)P}U5ir2mXJobjAN%J?YU z2&D<(#vVq^l?8IgLD-v#JAe(jXLfznMZZu{q3k86KOZ-}4_@x_27Hx3{rJdQvk(t{ZMQrR^A3>?QMQWe zF=&UMxy|4zzzztdyAw!R{ppf}Pdum}KNDUd{wCaUM5_DtB+kU2KSjicS?zO?HXB=I zG7$~FbLarl#LNo&-r^-%2N{^t&mj6o%%$&L4SI4DjMxl*MymxC;W2joiz^X&C6@u{eXmR}1jtS_BNet^C8wrKW9}H}J zON{_3ML+OS@jACU2#OB95#Dk!Vr=QDm@mleUE^0yI;K(2$`tXJuNm!cTYa?i-d9zqr4E-bm!k*Oi8bVFkb55xGAMgEbi;o*H-!9^j%>pyOC zYcmpa^40N;sgR-!)IZ2?`eJY=SC$aI4T-VU^vSxKLdRe@(h++)!EZrv{8J%ZteX@b z{Uq)^tIVw#8NRp}$f|rplt=c9%bPZgR2xGV2{9x0?s|66rNP~$4FVD&#A2kou&oia zw^YG!vwsk>C12hNMH;0qg>XlM$u;Ilna77DojcSyWOp&IwetFS3vgVcPA3|tnH00I zL6$5{le|m39Zg@Z5!0(NOUo^uZ3V_iW=tZuN0nMR8gXvqR`brsA`G1M1!~PSSy#RI z%4H}|>1i{tKh}SzXe+#SiEd5q5k;5LGQ1Gm$C<%|HQgk;cQryFyVBiG(vDPvpDmcR zEzHe*{x=w|%Gj+s$hEb)*w@ZYj$cs{SpLh*I}J-$2g<1v8zjzA95HG-=2GZpc`)wF zy{!W~$}jo&pvZ0DRU|Ogi9J2naG7h8K9tD6z(^PP(X*Y3uUxJSO4^{*yIW?2$t@GZ zPSn*H5_5~QhaYUZcp9L~e^X{S3m3DA+Sir9MW3asntNC#L?!pg@0ZW4GJxdW8*^=! z^{TU<%5*ffQlW7(r}I5%1_as-NoGHN*T;^v57|T|r1HqwrQFsYVUdllRBq-+TLF^| z*Z-p;^&GkkZR)XFc^&6T6b#Hqh44}!D`5yxAGdLd)QbITf}s{{R}AjiaKcA`#bfFi z6|8d_`EFv~f7H@(WlX}U!04Seis$%)Iy=yME8(s7^ToH6-0Z0hoX^|oTTMeG;)O9l zJgI0Fo0aCP_(aDGBx%MHuJBa<$V*#zP^SDw|Hvwi3zw4A<~Z++PWr})twl~(QNZ;u zemz*hGdDZqJ!SinaK~oDI!U-g7xuusO;GvQgn%m)z$flLq`m7&v%9tzbfGO}#Gh&z zU|vc-u3(hqR-8+GGc@dKAB=1hl8bWs{DZ2EFe0PGw~dhsNIdbi@RG*U=hQnhY-ABl z^=bZ@OQKq)o2OGiP4?8WQXQxZu6X{?q)l3gj7R$xv*L*J@AunGzKN^3u0-xFh_HrR z`ynXJW+(Q`Ncq?dWb+*%VTS+VKW*c=rjZdXYsMU$*JbrW%RcpjF8Vf|JDnynvbExJ zR;_E;i5#?U%QPOkhVm37K9$|gYRg(_pwB8ggdla^5^~RG(_TSZ*(&ok6}X}%);wK8 z8WCMsM{<^t<6d1d*Ujih%tWP}?>t)^9Ik3%-QyqsOUbq6Md<-K{ z!e~yttlCi})mA?TTrPcBVJ1ut6Iw+*$Mq(y-rdfl(x<(?;n~N;5i%iym618h{mb9%9pl`Y;4&l z68jEdCw!aGvOyK_4j z2oqxxP;YG^UZxDb)|pyl(lWrO>vG;rhr(^DRdiQ&73q?aNZ#4wYWq*FCgP^U*fUdo90Z-c0CUJ4g5fwF3 z+_B1VkVCc7w}%gR2qCy3fM}wD$T9MQ;rzhx!SO>M!FvQbes+U}D*vRdk){yFx(0a< zW#8Gqo~z@J3S;DyO+cT>Bs}kthe!nxiU{*f;|j{V(_TYQQU3U7wK^2e+Z6AQx$=Oq z^3T68i;)dMDbBY|_A19b&}hu#3!k28VB{T_6zK6wa>_oISn1nKG^l7s{CPp@u)Phk{2vE4NnIA0 z6XleXIrW0FaLk~+u5^kb=Ur>rv2M;tLN3IlkGBp3Qw_Sp33yO198~YkLd+%!Cli_CfcJ=nPNV`s4qUotdSv$N z%E`8g2NyHl_xKwdj7y})Xd~74vS}9!!pQ8$yf9pS;){G+=-bwqNe5!amGGJ_m9Br% zyO{U}jS&`ApgtI0+ixRGmsjmC53R_N+`-dk9NRX1_t;Uz%3u|o`}=Nz68uhOlh zVuktn7gy-wHkPj%X}Nz8k>l58;B{ugt^Rb%66N7-c(>+mxj4sO;VlD<c^(y5R zAEr-utu;qvvne_Xi}lNgX3ezf%n*v!1tC3r`_Ak&^3`(F7BGfM45<)k@Wk?Zg>or> zND%w27@Y#zF=SR?eH6c1btb zdV#AT34wblRov8>_{w@ir*VY~Tv23mJXze|cRf_fX+f2W)=gs!v+95JX&5F;i&>fX zuy>QWxqXe#VQy5AG%GOkUwU^uKaeC;Wg`C+uKPGWY4@zA81@optEoFpO%AWkqv2!K zFrHCR;Kb&R%EvdZ01(rO3ceM{#G3f?*EptOD40eQE;;@yCw}B=*74&;^y%0Jkv`GD z)^!CkU_NJX`*m?-j~&^?H38`(0#N{De}d%p zXGCtLK4$|ZMf7tzmD>)*)*IZR2>{d?Q_NDvMRR@$fh3JnxFDGTrMpz{*}Bbex;`A=;W`BAJP9qIgT7i2HItT_xGklszL+o*gl zyVD&{7nFn+4)p$Qa7Rak^GE-9seKGY^e1f$!pGH`t-xqnOw)nH+Uelbp4sZyAt+#? ztVX)7rEa>D8^;TTRxlCXX@>-<&79iCFdDG*1e$VX5W)Z51}<~PFlk)6F=r%QE_`5% zq5|_J?0!@Fy~ARzh?(icAe_{O3be&y=NE6JrT@6q_E z8yJ4nv^XPkk+$qn&yU#SpANjLmc>-8YDl;0cqJN=YMz$)$-rtzUOb zTgMQV=19zbtOF&B7$p2sW7Of+q34Z@;YJ6gDk3YB-XOWK4NMYPdzxuPc~kswZ4)(@ z-n*>}Jxw#3PCfCDzdc~)*4|3YJF1eiO3Rusq=X+W8Hsfxv1TOmdzg@td;K)~&YE%2 z6&Lnw0LdzHI+eF>OR>RII~ZjnRC`)|QSMMyz=IqH`r0rJ3ml#@%TWjHs+UFq z)i3bYAhxh!n>m|bHwHyTdRAv)(+;RY@=1p>&1k%Jdt~3CL)j#5+Eq+4{_87^o@`8& zxj+)@SH^g~XHSo8;ur?0Y6E`uvBFgz*uf3GbYB?@Pqf}uBr!Y_TY2eHn|iRJrWIZf zHh(x$AB5)e`1^0P3NF*RO`F5Vc$~T#wtuSkY*`aR7dL_J(V+3hyeC%92cpa z3*XLg?!Z0*wDByHa3)%>MS<$-JuuF1XaEz!Z|vi(%cPRa9CWn2-m_LEl44eXE=-5r zf0wk(CykZ^a41%}r*jf+#BN4Ypn2R^Yw1N)AW7HM-0ENoS5 zVc5OXVN!CU)Rj%-b}*%{f-OJtdC%2C8JP@RO%(me(U&;RxhBr`wux9^jCrtT!;VG< zQsAXMsEPu)wB{4HwyioVVj9k7VPtDHnwCm|zS&cU7^ZP%v4JiOGg2zk{f(11(%ggE zn$ES1b7`3-Ls!GOLl4<4*Cgch@OnW6`QLOOxh_HCO1OfR-p$Hl{Bc9Wr=C>^0b*u( zP*Kmc#vx|2SG!+%?hKG`wsRG9OfDc^WqTKpn=s+E+A1FOw61FxT>{bHqvDdTV0V2b z$w)=F^n-+ZSZ$6=BzuLjQIxxqPWEhSwDq_{l7ft_jgQGn_geF` z{9VdK#VFeZC;~pY`VwG(%kjR8JT9IX>Pqd#2F{neTFVJXw&#Lw(Jj*EI$_l zx-c5q->|4^A)2P$%B6%G4YqQ(PwiEhBtBA{xde10J(p{3EH_Q849H)iwoMW}mAjSs z3dV=GP`N|)8q{fT!3Ux_-K=VJHt|bd!@P?7n~!3(vSWOl%-18U)77G*WF_@Yzk`OPKo~^CbL3pWFA5zi^k-bP-kD7(J z=~~b07$!tzQJUP-*x^d-0I6c6t>c;ZcbYy_Q>NAKXD~>gZQQN+1{h>WEb!}CbDVDv zO+7m{WSB2pL1-e!R;8mx#rLqPoz6rRl@^oS$|jNoF5jsIlreFPQTa|0CA{F1*BFg8 z@N=?Udb(5zWXa<_yA?o{HmqX#ur3VpnxLF0mto#19knZZiYDE2iX1&It;6bkyW(&r zn^jV(f~)8Ey!p1TV^c0GFw7O2m9*6KrbZzO8=Q35bXw_M9NBNPeaj|K1LNBK@xL0Z zCq64-l1sHq%&EfV{c)6>B+EQK#w2DC%&i>Y#eLM(gq%WUkR+*n&w9|&!)?Hb49s3+ z6%AbYQ?s~KCC-$gnogl;8|9|a3pNb`nV=pTEtLmRnaifW2J+wN9J}I&Qhd#8*>B0| z>Ss||JGG5BtDRi)E%feGDpA$GuG+^(u>}~FK!1`^PE=TWWpl~R`64?cs-o2DN}Xy| zH&GN(x&v2%IQ39qrCjOAA$6)~C)XIRE31a{k17~3QAo-h6Ms`@xN7c|6BQICRV{EU zyQUs#no9vWGzy3`GqR^M(#_V^O9b--DvY!|Y4kQ$>aUtOZL)&`F5Os^29@BWB^xs_ zZ_@>taNt^+FHXL!xe!DJn4Ck-Ku}kwj!Z@lSIr7;vI~nMlY-1xu@G6j#=du0 zL2srJ@FV4)su08zH^1p>xT5k5)AuE+j0bwp2BuSuwSbU5|JEfT)}#(A7_9?w#4c?X z#=sq|du==^Naw<&+jn39RY0o0yTD}g+ae>g)}VW?Z-yPa)2_Ar0E}kQW#IV@G54%K zzg9MphcK{zq#f@1RfAor>Q>NOCd5mekyV3hMFiR!#zsfET*(=um$k{Y#Y>5RR1Rv9 z!=hyxsfqeINY1843ZquF@KN7>6Gc3M$&LXw8EgzRo>#nL+Y!ekn#sZyhxYXN@_GT# z=xW6b5=L;cl3TJVe7!3pqb*2rx&?$;EFW4A*Lg1#ZGQK79jtfOxh$KF22T`o`XtDH-;1_45evPU)V9pT-zmR zF$0|;na3Vfyqz2Z_i*j)kxFKiq;)2L=#y1VRXp#9YQzsOd!STKKN!F4P~|Lh$Ki4> zk+&zKW8oIF;Ba=hHP@`H-;;laBn~iC{$+5#qRll}m;xC|yOcD+gJRWO*JuI{{y<(k z&Fc!~^G8iBjPv3cg{x#09=R}YC!3lf%llm;jnGKPOg_?6AlkD^)%D0~|4E<8uL8hc zQB~{t!lYthu%S;fQn#H&bz&9 zXa<81FBi@J3**1GJ$oo&Pq|Z@XfOpSI;Mno@!Zr5T&o~eH{Vs|vkg5#VuRuOpb3_y zD%_H-tNBXcld$zr;4h&BkI%pKwC+`E4?kXmLGD+RkA2E5YP_vZIr#AHkF?&^LD0L4 z)#_6Pi6*Y4RIcW_)2sZ;84NR>K}Eu+S8!)w;tVPkC0VHJ8X ze5+Y2j2voJToEN!8qDzX%{7~=^D~f}VN;*|8XI`cy5m3EoGXBMqdk~G`rc}J;K=Lf zrY6|^DNN+-SK}$OdodMkll!Y!4v*A{zu`?2&+?^hTukOFtyfO`LA5rXQ3M8Nkg`rZ ze~Oc?rQ3ZT)$C2Vq5S?FMzlRGrA zplJ)-yE2p0(gN}GH#klfjhhuOS9)3QS5lbPm8LE1M%!AmHa(UdB>_M1T_J5%EVJpB z#Ggpg(<|w)!EG(}Y%U#thmo||YYIN7gvVFVEP0wqR?OgIJ0p?0ApBw)feMN|!h{LB zJI&ft&-pl2Dr9-O^jS7i%^kX=WypZw_U(WqovawW*UujWc;@)tPxtG7{&5Ahp&1A_ zJ`hzpq$-gEtmG}18JwzA_VhtP<|;zGNoIQ5*Ry>~tI!rtb}G2U^*kI*Vw$OOIR zOj#4{kd%97iwSW4(8Yz3_EK4-itP;Vb&V3rAiYpW*X<7bTDvVj@x(DDhd*et;C$iZ z1YddPDBS!;scNKx2O0{fs8&SzS4;bwxTRTvlbc96RYf_0AYXY>Az2`>(|b4rtCMb< zG4aUGct*z4>7GvAVgl#)>UgpH=Kk?ShxI22o-u}_w4YGmR)fhexFr6_7YK1owonuZ zAG8P7wxwne_Q#W9+p^-MZBjf5Q1wM`A>46f@ch=v2P={lAR zB)L7z>q^qZe04(?=>M^=6hYxJ{YP~ZCBeuZk$Te5bVkH-#mY|v{6ZVZv2z#qZJzXl z`*zKVU(ceN5DB;+iE{@?-KA5xgfy1&O@HUq4 zFGYOJlYa7_tx9CA)he?{!XH8fWaC~xgO5F~(DdXYxVzvoG)4eK{2^tb=$oE7n0!E>{F3Q!RD-!ZWAk(+nj4Hb;4ZQfM&Ll{bSL{I^ zh)J1#Hg9v=HYTm?9`6re0ad1m$yGSn+$F^B4Ues_zyTD$)v@PnC|6jdtRd{>)`k~J`Nj7 zD;M@qZ1e5fQrG#$_}kfBd)qMlI`8-x_<-SOHE3@?4{(o9TOQTe3s z8&1Mad{=XEtDI=)#$UVa$Ff)2aiZaE95Q%uuJMBunS0hKt0xu(&*_)x#@i`*xV5Rd zE9JzBM_D$J5imsW>Imvl%b*XJGz{d{tGXH|va~)Z&zEJ+xVh=j`7I-v(>c2JXDK8` zdpcLN5y6Xa;7}9kiIs*9C(4?Q9BQJRP05(oi7ss7^rT<4N%ktw(LS1#R)6okYX^v@TE3i!Oyn^ z0Yo!28BHOE0D1bTOlumq>_o~6JU86L!JUTCxA;ktC>4Ib6ga;V`0=ieQ#PK{0vQlD zLfKT$Z0bQB`5d)r=@6nO*Dj@D;A+sh+^O#P`AwZ$(xQy2^3mby%(cn3LC>#>J!NUW zp3av;7w4R@6BP$^6W64GJckwa;PXahNvAqp%tTj))&#lyfr956j~C_XZJla5D6HT- z*Pj7^1E=Nqk!u! zA@Z`VQ*BTsIP-Ky>~S)jqjF7e$p$CiXr$#ca`#LUV?PPI;+WMhom?wpOHDf1>SC^_ z;YuiFIdb>2RSlPVx1+hOz*tX5mr)*@Yb{D2p#JYy)Jd4jWtAU0?A zLlFoc*4X~Yev^8YE0cjBnGv%%pVy@!ZnstthN!@aT};n8CRG*i;I@7R0O12byF}^U z|9WT@Jsq01aTNgdBg$i94Q>X1?p)q9xg`{Hhva+{%{pK4H1^jF_jMUQ@|oUkjb?yc zqzb>L2{7G88Y0l%k=f-7}jy&NVG!rL0^8cG$R9Y78k$^&wc>U{2J^v}szmKarTg z*xgk|rsws%L)CQUadkY>8NWJVheq*S`KFVWs2R|+qr-)2O?RUh{xN5U63Y%lPZo%e zdS8{5#8M+Ly-G+`0*1Q3fY~HfxG40#(esU>>xUmQ!lwvZs!xG<#}np#5*H5(?p~TYo7#FyjuY>R=&V)aMH#;Gvdz)U?>AN<7#c`P) zWX7n_#Z5c7Uw|u%ILsGRRRHmIV_=U6uN!KqKAzzw4N8x^^4XuiU}LT8X+WZ@^1ZwX z|5V3$UkC65nJTr}J4U=MsFAhtgd9F-IFb2vl%$4~px&GTr_;%jjon4m+@5F}+2hAHnQIp(nxsF8diM1D+sqhGlaJ~U z+}Y4{T8A+wHI(M&Y-_FwPdsQd7!_ccE9Vz4fhnK2H1@-1i;B|iAG*-;^|0Qb4&VL= z<3bm=s?>a4oG+UqJ^l$bp6%TrVt3j&Wk|Jh=!$}4h)=Al7W7b28Dko9#cG2>ujQIW zJm*}C8Kc3%l~~E8w*tzIZH?d3GhmP-*hOp&*>G zeFJV1kZ&0oRq8&najTA7?Lf-xVxlRAFDthWZ6y7k>9HxC70&-{mhS-iKx4wyn!#MT zux}H=MU`7rxMk)l%_e$w*@5p|oHI=wlX6bi)yYNm!X5#uZYV|ZGryE3_m7tr7J{!C zNCOm{)YvLmS*qx{il1u?u?qQp3yz=p&c?#dAPr2-d$+e8O2dlY*T&Cmdz?mgFD!}@ zsv&fYeA}wN)U@uodT_!Kl0O-wNpZ5}S}z0Fgo!$)k9BXm$lcE_6?L8^GHFTdbyaVr z>|!@IYq@QVX0vqlG@z(*Ablkj-1NLa&mNM%rCNuLUC+X(DltJKQAgwGBPW?eQ~~>LkDq@wXs)w97av9YbYZX zotq60fBy{z!q$#U0EieOaAo*G86%{dZ69w^)^cDBAKnNJo5VLJAuBgrj~KZA^F6|( z;bB$B1so8BJQTFi0G!;mK`B=&6~+L+i=(`C(k2otuPt;a{rn!ok>1OyGbBRK$)xCK zrY_3CLm$)dqC2CS;d@tQQajZSWN6oE~ZcK+5#kaQe{Win}IPoxZlPY$|To~bf3?RaXzN0#}{A!gq8<%!02GH-y(+i=h9!&dP*3a-a(YE%MKrx!SmV z%9d`uz)6D@}vi#z@ z3B-%FMm-bOl;~K`MtIH-DUutRs@`LM3GnXvgQZ2)s*VftPiLtgej=00&9XX5?pVzk zAh=*KY$S3Ur?WEg^POgsacyd^6C~#8J8ZyiKjG zY2sCCJhg7yB6XT#f4V+%auGwFcJFf6XjvZQms}lYxhF63D4*%bZk#Chl)1u3FYe~r zHY9@us;#l9Wb?DDK#qL=Z+A7J==o8=RRd?A>ar5|?!ABH0zBvrDiduJFs3L_`*rT? z%2awZ=5h)GOzI%ZW<2M%jG1cO4b41(9kh-8(W-gS{Ei?lX}vag`f;MNWfAm0G7 z`L;N)%Yx&z_QPi}?Al-bZ@>QdlmB$Q;y$ln|My?~|65}J@IODy$fB&Ae{+Q31k0hM zb{jVH8BvUXD~G?I=m_wMuQ5DB!0AON$#mbou(2rzjZskQy0wi1{H{Tfy2SbA4D8(v zL_WXVNJ$IZuECduczutRgvdS;th68~vU>ig)yD1GTj&_+cV^)|aSk+Qe(wJv+xz>m z9v@#G7voQ-o78aSdU%#QC8I31o97#M%^5Ykp5Vzr){s=x{- zy#3o!?2GPdRup>w-|)Tx;xBOlgzGDd3XIQ;Mee7jztnBM5;xQdb5Dkle(~64C;);? zJ*`CH%C~&A>P92KjU1Bb+yE?{h7;dw1h#CtMtqI6#$+LXAT0w+%(H52TuZr^?_Y(2D29)|rzzbcN!NC|`=vx9eTw1kC0mV?J1pq= z7oNAUajvQhd;|IO%JbH<|OVW17S*{+qADb?Eocd^djg>S!S6vu?vAy!G41LKOKB%iG; z3c;rWH*>>L;6zpJ-IdX_f7x?mCjJ`M2$?BG6RT$%SF6FIOrQF#^uAhxu?4!wc@2J?+{ zniZ8(piToeZ3NW%%eQRWwu%J*&59~1m zzwdjEgPx}h!m~v&_8jM&pci8D%zJM;m;M+ zdjtO}yzv~KE;d8KQ155KE?JKMiy%!ewF$ntrC1@&4Ovu;AAY52w z0FxV+?=UNNLj)(j${8rH-l#~67ye0!F0;+yhff^a3j4ADE(^W?r~>{uR)etQsXgG4 zi#e(wKk(!KDBZLZTWpRMp&~q~?r;Gx)f{Q|58oRDj1X`Cn{gqsWj$Ke%`>y%>%zcq z6&a1uXSFDIgi)v~Z>}D1c%Or1RS;x(VLW`$*VYAW%Jj|avdk)j@i%~`gY&E10Vb$F zObWuyZGv>9@a#f^mAu~TY5Ax7^b9JXhb>e2=?eieu zUSE~lDS*-pZdD-n9LH@M<(85CaTKG&d*~R=Jt3$MALft_h)|G4weQFvZ9CkM#k{}x zS?2`gAh?|{<0>EBscC1i) z6hhqx6=h&Iv8oIlHd-5mRZtW;C8y#qZ>Jcg`weHq?l)yzqr(Q$-Roy(kjzGAQ;A3M zElyu~&F5SQ0mBGAx0MxiesF8gCW*#h>_Hx2t+yDu7~6#cJsIlVCjd38ymzEiZkRzu zCcxQW`^gyB~S}R!0+R~k!5LZ2nyT-F>+ML$T>$i-rWkeFMp9jzn!iS>V_Zpi$RkjF$;aA2Pa6;QMAhPc#1#ae@`@R248X)WpcRsu62n=Zc&)+fx z05|LA$X@TPOs`vPn&H0{?%sw$r#^z*S$7P|i0nat#cJ1iUlr~?CCx||%QCX`{QL0nt{DU!48X+7D#LV-msF+a4UhPB z&LU*E6bv2$CP)aehpB2m!*=gidJjq7k{vHCJ>D`mDyE<60L6BM%dIR!}*ASJYFmWA9Oy7QB zlUS&OD$CEPP`(*JZ7sZiw5(Sc-eQ>i_{!(qQRzfuw3q0{SN;VYZ%YU|!ka2l9ESpv z*YE!|{{-M+-x=7Iz}k4I6gb-^Ak6FE`7A>(9-ox-2z*_%Z#brv61jceK~68GxZShI zTO2q5F9zWBh7PFl+@d$i2~LT)|VM zAAboLy4rjFN8fTyGO^fu&I*C^yG&W+8=1bRfj<3|2jHzmBpBgxirbeWg?v3Nw+7E{ z`HTSGxi5f3MM)1FIbo#sXUloKH&^`PDk5qmnaY{pT|V-39;?*FN~$N2S5rdd|B>KI z>nYARw`B_rv3$~)6dLFMcHrfrAurt@Lk0LP5?+f))WkQW55Y~Xf=peyTTa|N|91-5 zOg26&?~{&GbpP_t7}z~N3gi3OHUyQj(v0n!=4WXJt~kN#(t^&thAy&Lz^|=uU@X1h zbBhS%WMrAW|JoCfyLYUuRo%H&h_G_+^%Nr{=b3i0_Yk*n3SW7O(=_kWHnMq9dd9xD zch=Vd-7*{0+ma*->W_8>9Pe4US7d~uet%Se3*V!+fuR1t6?m`rEkQW(ltVbM;&13o z-@_!TmJ@X|1*DaVYe|l&NdC?W&%PkSxNlqDb(qnXS!SG zn^6(U>Kfv}F;pGGGwO}aY2Z!=$pZ)m(A6bgl`r2@MvfLCDAwi35l!2G4$r*52atR9 zeb0kn^)zJk3cPV8g<_K0 zyWfSb=bi7v4wyKwd7D4J6Ilw~`W&FbGhpm#t$;MP(02uQ}XMa>M^b{SbL%GYf^- zuH5scOk(pG8esx(_ul{fsvyvR)GWR-vA!F_frZ_c#om9E+wcE&6vF9>FmK16&6Ncn ziJQ`gUK`j8@Dudh%sWpRym-fez3z2EIOAOx&hIcM?lZA_qb2c7^Hy7knAb%@5T<`0 ze$8M(e2o=)uJ=~m0}=FY$YJM4UkU()5FC#bq{$F?Z*Lg@vMJ=wzm@Q$M}{m8{7Yh0 zyun zzQVxjAUZYYUYhAWh_{hzK40`0$b_Ar1_l}KOE1xwzR&+&mTx`e3e#nzX@LKRM+qai zkE$N>-yzewG1GT1EDDjrf7l2t7%1C4{P7HAcwyzC-uGqB5W*cRDhdPtEPaifN4uYf z@4VLN4d8~2soO}ssp4-Ue!)Uoey*z?%#dz^OLa08dQL1+;@czSfUaPJCy79a}_6 zOcCapXN)IGH}6IBOet}s{}1<~J0Bi2V3S3EImdl|6^T0&^gsXeuNZQPmpR`eXFvWZ6GhYE4L8&0T z^Sir?#U~GA1^_+(43MMW4pH|n9wCju`2`b9m^ur(ySn#9u8f3MNQ>gpu5Q}h2CPJ3 z(!D=>t+q2On|| zC^^%>3(BGF^c>=YvYnpUF##&c%&D!4N%_>-yJ^d(YW8OLOziHnReJ+anJs{n6Hx9s z3y_jUxqGSrP#;dGr%C`Q(K)jh7AaZjy^2XW>8ia+B&U07uVQ`J`*QZ?)LyPD<&>06=*j8yDQ8l^PhCC6CO`ejwPS)y%m6{y)jo_(GB5PW28&&DZ2gY|pMfP=UDoF#VbOV^`?& zmCsFJwx>-0(Dg~kz!ny1|>a1_mFUZNyA)_y#UaY>jwdEtl0Sh6Z6SC%1r z)spvSsL2x)PRa74Rg0ed9!7m=?t^s-KY3(TA+IXq$K@@^@)Wro{+c4k2UldcjV~Bj z2hK)AAQ)$5Si1f!hQ4lbjww^*H#9{)bH3F`$X=&|%REqDfOzqHVfl0N&F30x4Ytyt zsIlLQqQY%_4=XhB>{G)*VdkbNZo~tRe+EdATGsai)&TP-RoJe{UqLI%ZFpiJbANoq z=|(^^FHyoE3C3oh4@x}wEk!276LIXpmDAb+a8j_=fpQ7Xw__wDnIC`D*!zYX)HlV6 z?U&HKVDu=FwXuI77s=zX6|wRf6ULO0PCQk=E`W{CV8s1l_c7pz=eUu6P;L+IC89tU zdCEN&ndeVy9V`LaOOguU>hZ5~q&Rk#ZcvGrE~%kO2$#dZ{O=x$H1+mxl*}%1gqPai zlVun#NfJ=wzrEh{r$};Z%T8k6rI!OQ3S%O@N*n_~Em4=wP%>_TBk5!Ia~NPpjwj z-~5JiC2;8^ZTjnRN?-vi{E+LOV>4H9O|#-)F`_yvw~$tu^o_vWV(prR{h9IWM! zzr@)W$wnrTeV1#t1qVAoL`*Tx?f$T8dd_0``NF8)r0YT5P^FGDc6 zovAUF92!x}HRnCmc(V;X=-nl;uss9zQ42G!NRxV-VwwIjFnvWFBGU+vqSYUV4SZQDSj3 zCUUFh@Jp0SVBD2Q()=hi_ikVgK8j^)nk1%wCjtKAD|3My{(>%-#C0bgWd2!MUl22` zK7Zv?Rpe#BFR#1`45S1L+f#n}J#1j|(uv4!JYY9gNYe?hO{#~Fs2QWV)!LK7k0Nmq{l z@&x|g3Q5+7sJq9|(J^yVW)6Ts!eE@5{Qmq-dFe%3qhbD9Z2uml-t%(;WB`vn(s+6vwk9Sf6IDZPMAZbbGe|7$N{v$H}^r8h3 z1%}Qtc&vig@136ufBbtbjyvc|L1Z4mXtTevbdMab4P9FJc+;@d9DlyYHq`O&$@`_G zP6;$Ua?~|rx%1!ZwNlQN6q**gfAxEj-L=;`));Y|S>Q8|R0>Fc{=4yyxUU~h>O^Dw zm4*^%94ikVHl*KpAhaL#HHv(_;b@x5a}W+T{%;i3qT|GZfHx8o)Zk{2v_%o#_=}QY zXrHG5yT9Pj;Po%=8Yt8jk>V~L$6??6l=tQd`#kx zV3Wf@k^Ed9iAHl^Y5O5l9VQ?>5lm%4B+t+FV?6bfh0IGGWgI{ZoEIOECxwBrSJn6m zM-D&1P;&S?onX;KDf0#Uuy*%*E{>7h*l(|SL)`usNf+Trmlt4c#oYx!k$@auMof?P(kIBvAzEq#wy$Bf>S1szSVm* zh4Fjg30>+8^5SEiH)Dd3*(s0$%r6%|{Yl7utz&U#M`FXq-;wFfMxNcau5@W( z{@mDST;KRwV{M!#0T?^wmIjyJe%OGfD0GaC2iJ(TInt%J=cutWlE4rLBtMtP@0M0r zvi@LFTPeoxy&n9Gge*IcU3_qTaqK1PxB_hG2NZ=~3i&L@iRAZJ5BS$Zytj3 zIV!nN7|Cyp1;}OV3nVm_jej8_S}Z*vob-!yCtVUzSK6yy{2mbO+oglS#o&vl2D^>B zfV=DHP)#EF$Dd*B@5(gb&J6VK08nd{z|0386A%C++Uqk(C;kLOeVluUyAa0Sy1XeGDy?<*=HVe$Es09PtN|s63RGH@ReEi;w?G)L=equ=Lo&pFxax zhTg@8f3KJCk#U~K{t|^k@WI$}w7EpF$gipLuReKj@t4%4<`Dbne?=F+96}k2O8;f` zjrxO2p9$jJC440FpXRF=|BY2zCu6nWaTg!{^aM?5X?Nr#MuNLknxD(eBcFKQr7w}n zUG z+9O$e^ij)wAID=O(MMOl$FVu>QRWkk8;;?FpT|ccO{-}C9D_fAkHBxl2D`v-;;q5| z-yiwcuRg>7-@iBChll;&>_cC9_2S?CQW$(de*1q%kpKRF{Ga~k582Ci{`UW~Aw4qt zXXyX$?dboTKgfT+!}9`4=i^)bom$j&J;$VP;l9rqh#)>#BlyWyGqf$E6`-oXsjNFu5Y;?qhPIyBTWi_6 zlri^Q=)Y!lM%e2#%-yh*5*H&Mn=Ob4B^5=&DDNEw7@tcVc$CW4+Fi%Cpe4kea&&Gc zD~W`h7a1)p#h>qb8e*8M&j*J=(0Q?DO(`N!mE^N1^mLRX@K!aIpB5+kk5N@hwsv&9 z{w%DrcRL!T09;Gu0f!QZEV9p!0;6&oRB2Tb2n1vq1fO935V;!1Htp2dmT%Ug3p4Nq z&1CH@DdWBcC`p>E)?e@U!}>}0sX-v+t(HXtxv0vL1piC#ZFRkxqw~4?Udu(*f|Ty= z1}Fs>cl^w=X$7E&T+E=x+$>1$+8Qm!zw7y}Zs-keJ)FwR9B0HJpmFonJF2)AMLRXe zsI4%@No=IA@gO_HAtODTzK)}-zD9KBGQ`j=acRe{)q+~-j;c@q*XKKq-#>KMd^F2? zwWoSkQV5;!?TF*h4)g1>}ZJ@?SH`iS~>1Fc* zVWrg9158{CbsBBj8qwaVzAG;J=;Y}RMBJTHmVx!d;zLE2wYhBv|Isu^x2nAOEDVv? z;Te;rMPP~~&8RGzFI@CU{_RtbWNTsU%6Fko;c(t(GBrJQ?U@VN$ELu?ol-vI=v1c4 zwGUmi?4Dzd(V59ZmzVE$sx-SA!ue;rr5V_3@uN*Xv)9o<#@6^kqSJKqa=L8oZw^W4 zdzQ@AOwXF{xgK3eH$S?m;o1~~=%0|~f&4UJlES-e9m^QVns^%C&BenHlR50W*O?IPs`0yPv^>!J5j`kyPioGD1co456xP&AaV7%>#b*zLkac6g(*oS zuttfy|}N!<23x`L+o_xJt5 z9#^ovP+lPUOzWsqDyq_C*YQiqx_g5o<5e*BhtRJMHWXd=Z2jU^%}NopTmXsP1~$c^ zT-yA)V>?c)h)^U&j|CC+m1hjytn`CeW+^hJR3Km3+VvcZF961A`J=gQ2ZQGvuQiVx zy*!se=Xd>Q9$M*Fr&+UY@i5lHI%DJB{^0tB~~Prbp)$|w^wrev{P710!9zb1~o^feX{3E~g%^;lfb zzunO}dilWDFi922MbE^3R@0?CkjFMZ?sjcv*Cx;mc1uk>cQJ)S2-9!1q9Ij%=cLxv zKvo2}Rr!+63-#WXuT4m-*{y=a>EI?!g-Vyd3;oR$7vHUdX^vMjez4?bWiIadXI+;7 zWVz><)gqq<0AK4gJuHV{1qNB%yj%eBtpH7_+}+6Uh6f>v#H-kBkwZvxDN|m zm^!$lO~;y26_U_Rb3GhVCL?d;IBZ~96+zI1JIxo8{T;mQSMy0{brUTzI@6&qt6=!d zW*pz0P}n%mE46auy``q4$}3MZpcks(Zf6rtGEoVp#kBJ*vT;+tArrOe;grJhV)M`< z%k8f3F!jDGAegN3w*ZfuL$mqxHtc?M&DTY)-fuM~gmn*7W${Vqz#=o?XnT?SR+hxW zxnhCb=-Mch51MVBcve#*5sr^*vV3COzJF%>iIPn7wicsoOM?;q$kBYQP${()pLfhrVq*iX}EPay~_L)Q&I_piU*B5MHP3^=cPhuOr==7y_B=$TNjsIwOLzM=hi|Qhnxv{iJtXT}!Q5?_rLuD3JKrD) z4c`uQSz!@;wP%q4S=>P<{lv*afwZ+0F*>7A(qwqF7dYmw%@@V^cBl=Ob6bIa%M0Zv z5v&$UT-~8(L}JUMvs}E~>eys%&86RHc__v5(~?Xk*8>=k4jX=l3&G=&@5FAaus_x#Tb8)_P#elGcPwo1D5QHqRTWmNoOWEKW)eUZ!TiJcTtQ54 zRd1+(sPD8RsNDBMFE%SNyDlaWrc=t5grPf=t&@&}@kA`Is#3fg24-yoMsdKAOzi4u z%c4YBoGO7I*@kH(SHmUm9E{@SEf&6t5bF-XBG^r+BBxK)?_KttB- zQISbHruSAphevL>wd$GfkCNVKF;@@h>o7pO6r_`;{>dxb7_4@i;-NKK+;+F+A$3a? zNi`EaDy+Jr1(Gfb%P{-0M!n|_){d9tWhk;`eN^NwNaFpd;|2Ba96BrUA|)B?{Vh)? zY@A39pEh9dhJ_|6PzlNhF3SID-=etkCU&ve8--0$oBI&EESk=Dp=n++h?msN z^RBPq7OUyPsfXs-)C-_bz$I*J99$x($P~KVe0&pGS>Gz0?l){gI?;N1iCnW5b(DtlE)F-YDeDC8_ykt z;$=Xgq^Tv(yapDBB*d+`i`8iv21ZJ`ANd}Z=juLoE7@wflrSG;^CDpq_3%gHn@2lZ zdN9QUQOPTSSwFB*q8wJ`$&-L8$iOf)yYxxuY;6uHBplxQvn~t}h#gC*fPQ_4l*Lba z7N6V>G{D5v!2>k>`6D}a?TPPER}2H405fXB*QbY%!YU7=t)su*F(a3%99q*^o{zT% z`&r$OEVkA0!=a)8!xWG{s6(qvoCHX^GthT6tEH zXUm-sO(_-FW6W;f#t~|U#iry;Eea{=kKft`%8^tGLCnQYZ1&n}WXUR9@3dSq^aAQV znIY%`KhoRe3&MIg(0QWVu?Xa{I@lGrANe-1-uIkRR_0~syS2U+6P(jXm%!p7{97iF zX%W`eikx}kN7^X2*eP3=1$?WK60j^u$Z`K`2*OJ(sAaOkpmK6=vr4Dy4L14{Y0N>s z_KXtGA#;~rAb71cbc-=k7rkLPcbNptsQ|eEjn64vI)#zI*@9$x3qmn>zT?joNkSSd z#b)k$lu*`TDiLZL#s9&BrUlj2jI31R;SGVHVV6kr9qs;JS91Na2FzK@+@p{P`~MSYH!`)v`R}) z1ln{4v3d5T2S=S}f(N%myef-db*nOoW#9=A8<4?79OL2P85%2ZoVW;Hf%wVMx1h<% zfGa;vrN45i#6c%O;qe!k*lYpu%s|KMCsuyEyMqwr=3^+EYQm*6%*)V0VbTaLs01cv zrNzk2!?V40{(&$j?T^O=z`a3T}o0ls6 z5L#y9*rJtqgHPK;)4e>)Jb~&<_=1vt7D2AoO!`)qqeO1L8yK~o zOARaw-vu`;kY#bLvnWgo_Cg5Vh)zuoXH?Q%|vQh+e(WVHP(~ik<=7`1Wp~ z#eGa3+qLo{V)6Xg)1iz}3%`TTYHM-oGDqeS`us~r!$31EO{=nC*!5>EA0csPNKuve zR#;6*iJmrC>WLN-FFlGwvnsRXcL5tB3m`#xG9M8>&8a00Ac?g5%k_|MkiYcKT`rEc+6LK+^a3}!h zzr6*m&6p@~wbf{op9N))KkGUg>|!QbnaM+duvf#{S7DVvi7dx7Vcv-SBH8qu(ODIT zK6>ie7zK^74!f#IUpWYM-b6sV-@w0J*K+xDmcBkkf=*F_M%!1KlB5Wb#sE>{n+3DLAJy{K@wh(T0e|Ob4*bBIX`yd5?UfSS4iwY)x;?T zo!{>mrfpz(z#trGyTVea=iy5=Y!lGuWjps${cV($Z?(hxa=cm||-PIe!!& zr5O5-!BMhJ6$cLEDU5rZGCp#r?g$*FXVZWHo%S z7RlessmawC`^L`Vfp1X~H##e%p=-S1@z)$={M0w2SdMxlNEHaQ5}=8Xa+PnXk?02; zh}Y_kJ7BR>>+a}zl|ZRvzvbE11QhY?2R`(;+Jm2q3b@$q_Z=KbL=6eeONmkQ-xSjt zR$Q#P8sl#tYg{_2u2(MuAPzr8$C}T)vg4Xn2z**v0}~3=9q?x`RNHWNO8jLhM>@?8 zU4Tq=t>1z8l^@=u@(PkZv`2x;J_(3Q)VKZ8O_D04Jt~g7?-k;p6E63M2qL_EtsK53b9IlG);mc3DAMriWr=Xe6qr_XrY^qOJ@h-i zhQy`;MRLR4)oOupvln|Mb-w575<(Z1_@1Xz>Xqhh3u4ch?=RdQ+nf>wJe*K49-8Dy z^Er&fre2W5yjb21%q<%$iiv=}x@$fOMmdy{hmY-vv~OR?G&e7Z>rLOIiy5=l^606o z3`q0DBk|R4(;`uLR*+Gl&?_wA*rl1V?rMb1KaI_ZV^Ob>%9VAqwZhd}&11`;rqpX1 za!%xUrWUfVyk_^Q;+B3CvDP zy?g4ylS`w?=(XatTGOMND^p{KUfaVI0>@+#`XUv_W-q!FzwxaXVg-a4<&!Wo~6F{E5s}JZ|`vZ<7?FQ8}i*`|w?sfTkqp^TWHo zX2Mt|CAVO1XI&JhP%w7fNZk9-pyizLmdc>e4snD`vF7~qj)y{wPdCF(XGl(o+-ew; z^Z4G5E|Q2DV~(2eoGOn~ATN&eyDqItu&JR4!ERv}H|Yo zQWS+V%+seDB}sT~FVZ0Xnz6r*8Z=<=SA(5tl~e{3m9HQn!$08a=~l~)@lwXU+YPcX z2Y623#j+wyjgkK_%PWZOKkwOE3?i&~A4YvL3&C`^gXME^XD#&ftVE*scYR-%Gst2G zyJp6qV5(UXj}>7u8dbRAQy=CGDwQ~JY{2oi*}bMisS=R*!bnep)1aW06W6dDUmrQO z5j2&RkT`U$JdjlBlcT21XULdv8tQ7gS%Nti+Kyq30{+smi)JN-8awqhnikpmZm;Pr zWGN1BcUJhK5VwY(+ce~Qi`?Bbn=9g4^l;`)h@t>@=uGS3dlF((+685JEviz)Xs+$z zHP4!0_M3(QiySgzxuZ|1d`emBJEV{Z=KG#IxITmt7G_iR*F@c9!Dk_eE+n$zH{_aq2Y ze|~0TLcOEaEQdtiI^WZv!rb*-N)@H`%~-lt&CYjfA>My@L1LV_>-BzvzAY~H8z={$ zeD65U4`vjO!C?p_pN#Z0TSiEz@a$cy#HtvnzX4?@SqcrspF z4WHYwqI$9GlsyU$G4NJFG>3{J#UUv9E>k{f924?HCU+SDltcks}k`)5x!? z;|DOYF_j&(_O`I-_B@Sfq`fULgc#fs%a3#oY~((c*u!NQ$t zIwsAkOi3j_>4t7%hyJ%68%~K%t}c9l=8NR~A*>@@!~Nf)m zPz_TH6nq`duU@M|h;5(M%%!kF%hF`@LIXZ)9e}=x#m)D zz*KA)Fg??^RStkGSK0NfRH4#|o`o>cO4-RRh~I$VQVjj!;*pDD2nwf;|xuvUT7R2Um)Lr$vCAm1b8tA5q$!YX{rdraZy<`BerY*guAek4pMAHTjA@ya()j$7;pC_tdFD~LvvWY*={ z;eKSBUTm7PQC63vX+EFB%_opgl2F7g)Wj(10|$fOU4rT2V1q4 ztAG8n=HL|r)k4|UnZ2&(X~W$^k1A629gPIjSq`NI?9xjp$mNip1&NbD<5?V= zQ75~Brs=7K20iQRV*^I-QH3<93B}OP$uJ5Nm6PYus;8m4iH^#7K&7Sy0%j(KXdn6x zZuku>SvhU)pn&DyQVYalSe+69VbhASvAv_2UQjBst;n}Wiv>Hzu;vUyVDbbv->VW>Qlk`SzE88yU0@8TUU@IK=bf#I#5dTYJ7!l0{7u-kpuzfJ4w69a+5 z2cX;l!qBGSF~s|R7nY$=-gVYG9^zOOky7Gjq|g)#Pm5~x4b zm%9PeY#7?sgTeB^Fn{D{26tje>1gA~M%E(>$DufCGOU+W5xm~=D78Q)Y@__78E9B4 z-SVF_{ptl(sJXGCh~-QJ-#v7V`VN}q$*GJMw_|?+n?(}FUwvsHhN+>@Xn2Ls%FuB> zSljkB4ab{%HNOVm6cld)h?oVA%8&J6b5me_lT4nr9Avd;Q2=#Z^xZ5l9jvH|ukYGK zyk^Ik@=?tuvzcc7-W{=i;Fa=hVw1k!gp>}Zd4YslNJ}6OE#8hzCs#wO?z{Z;iuZ%T z6@e6hmTJ_Tu6NpZYOAY}l>!DVTaX}$@9o1);&GGw(Fsi zA_}~c)Zdi|ROZTdZ1UBXhmeaszd@z_xtolY$}6J6`nwHY89TJl#1m*ljDuS#sdzo< zxU|Bws-XPL!wSr{hvlUlQ9g(~Odj!StpGAlfk;hrreBg4PXanCBD_MWh_SV8C;G6; zKG@juEQ$g=tUn$maFT>V9JZhNO<1zeU7VBwN}{D3stPe?FLVinE>KsVHGP{OK54{l znWdHCrxaew3+X2wB25}!2RaRn4dEr(fTJL%ee0OGblQ?%n`b~Ag5#!ArQ`4JZXF7FtYod!Ka&|43DrVbL3iUu$mD$w%ZLg zUQ!k}(Th(niyRn#>DdN4SaiNtrmz0;&R}yxadtd9wMF4c)C_H-;snSqZ=^UV8Q>NS zJ19X><#xB=HGDCM(^NB+sY{gS+RXxTjI|SN+g5ELusnbVbe~ z1i_@>4~VOqaohaLt93&vSMIr{rpL+4vm^zBaY`KawmRMtOpoQ0!Ke0uiLSRA=OkVL zc;%?45xOj*)X1SmW}N3<+@2^38r~j!BE#&4{@|9vEFWLs3t+047veVgdRS{iS&IUS zqonch2e)L-&|xCt*ltkoKW};^63r~Gwm!SoJad6WUq)8|R(}VO|TkV+T?|jFA$dBbTZ1{mH-b##!vBM z0m||jJ-+{CjB(M${+7inQIr6A~1v>;Q7v%n$|t0dPROaqwvji}S0vzc~iqqwh6jGuIEjh+U$*I-&D zf%(x)8cD(VaLcWJcZG_ty6df;XHc;AP>i3+OJdwd!Yvg^^>|u}Q|RoI%lnc9v2FVz5I98jsF)G`14$*o%=iT4ciJ z!qR%E@{|j(h9<&R{iYRF#(lT_%Wf)4HXM^`os#7;?xgQE5;&WUf|?LQt|wV2u8*h{vr7 z6sD% ziU94WrD?vh2a}%>1zO#Cm?{cCY;8^yWsnFg1mBJ3)cn=RaA?Vvm|tXCC2_rZ7Bwx{ zkgKj-NIG&W*kt=;vow71@~FrbmV$}~ho^;Ui{1vn1Me}D`JF9UtC=QSNI zm70>+QdYs8X5f#02*$qiU?8+G0U@R(d2;tq!=M~k(82q@Gc=p2IfPjG0W)N-^%rh% zX1pDS2%lw#J+kSJre^XSrRG{Lz1Z?QTM_K&%8}_>kE}Jl*lkgPLm_;!Ll@Jnj#I8Z#l)r1Ie?fqC9^h`CCXlGhBa$F z4>!Zn@S32Oo*j&y)vYW|w01653Xb533Cnf7AWv!KAr<6lz60tb`aM0#$`ywedl2uN>NVij#LmuA@rL&=ajqM$ZG{!HnUqZ7{8=Sc~EvH zREoN+5{Advml-#{wd-$%7Q&W2{6t4Ds{(BDAvw?KYgyVkRvn0#|A4A*?@gD0VZXiIMC8hSy7{sv@eTFPv<1|O-Fo2P+o zAX!DLRk_;Gl~k_W{MsckFiO@R+{`n&3`~zIEO-1}_;XCpi#FdcX^4ad_XQC|V&V&q5E)%B)%6tUP>IWtrWsAC{!JJ%v0u&$1K8Sp4|W|vkoa(C?&pA`MgrUHyX;mAqP!nY6)6cMHR(oH zU}}cn4BOsD4iS z2Ut?P-?3yE+MC}Ep2i0fa{#NHpAjoi2v0f|hgc}*ymcG!W6hpLR(i3UlWkbbk;UK6 zvKnq~p394go{bwd#DCUxdES{Tii^=lvkXdsE8Bsw*ei$Dlt`pzxTTh-1DJ?<79PFy zG{7;~6rgD6oFDJ{5Un}x>kiEQ6iMfdgHV%Xg#sgoo{rmO{z^A?8-hQVIQ1aunzXpK zyB)eQMU-WT^RsCjsZ~xkhorIY+Ea@(QIO&c@}2IlZ%&?AK-g}E4vCyALe%wL^O+4m zr4zf}4jV?KXJ*phM=(SveOJgN^c(ZTpd8$t37FYw3wBXWe4SR8=+3D_MHYb zEi2?a)O=Zpo3*b)SC`UF>*61R5-$}7n?FF|kNb-!KBCiC51`{7Kvm)hzQ~QALCE8Y zcymXCJb`SQ`9oRoVz_r`9P+?EQn#0xE#nI9YRkRLms~x)wYk0PAkD1Ci=2fK3a7 z3tHOf^|H6*(b6=ZOB@9-DJiXY%53*IL?)DIBr}X`3o2 zgPZnjyj(+sjZlkQdh9=Dd2wvOEc~TYD0-pGC(c?sP#+kqSWGuxSPT^NWGzI+H@iDO zk_cK=*nKGd%>%#YW^-tK7pj{~@dY!~jP{XDbGFfH9){JT$|5OIGOaB8m5Z>85hknY zKMtN|5fu)f?}xZPA&-Pc9A&Y0WhvRUsM##ZZ1gRZF)eEBw9~*SnpY%YaR-I(drR0G zeA;Xx8(o)_q`Z)P9xTCMO4tO9%u^DdS0%+D=%V<>A;h}f9R#mH9-$SLmpJ;)aKCE- zrCgm5ubem*!WVNeRQ*|}cGw_|tVDq)VHBrRCCbwY)Q5;AOt~8Kz zy4l5q)kx1K_4i)|8Upngs`4900W7O#KnXT|OQqC{TUC%(#ldE=kY+SvJ3yf}0Wbxn z$~L^AS;j%6KRvM#6E!p#WX*EmxA(eUO``zE-%@ZJx*JT{MQ)>Op{BV8)z`|31Sux^ z4Js={y(-TqV_5)*uX=iR@PRIQD4FbaCWt1q}8LVjVIy{>stJW&_bMWYOQeoc65?g9`+#miTrp z)KDVP>BF+;91VQ3LjYQdCyzB0PM3|~CYo1s41ya6F#W{cQgW+;*h2w@ zvF}|0FHK8)da={(X=Jl`Wbq?CH&(#uX#r2xtEp+3Xa|N(sR`d-kY)JB+b5BOO)=#T zWNB*(h8>k7=mN27lap;vyWi?)jAJ*O9qWd|;w=l76p0S^9Bf7c#8QWzWh+73_cTIF z-0#3%gU6;2H+VcRhT|2ZN{#@bZ$pagsWQsNRBL^lJ67jb^*sS#v zue<;hV*eOA@8CJQw52L}CeR3)-}n}g-Ha;51t4f z+!92-9(lX)oKo=LoHs9J6Mr$=59zLX}0#7n}?+=$!V1((f@~|w+U_=Pt!aB&=mnvt}cAgS)iP=g%8R} zxw>~m0F<3w6T21wxoc-HZBp)-3ZU$3o0PN8DJiS3Qk80BZVNJ%6MD0b=mIaRQPt&VsM>^9F=?#^MU1~KKs9(P6ZLE0_u`_i8 z*R|2|Lw+HH`mwHT67(j2^DH0*4boI)IN!ff%YZjR8_&L@8q&=pgQQf2)MQ?3E>0XI z@FL^R?kIZPs#%lRxE|@R?4>o1?FS|zaQ8KpuQ=W$ji&=rSv(#)g$C1iY|25aka?<$ zz~k=40VFnJ@#Vu6E1B;L3ismFq<{$UEI>O?|3T!%PWbpYho`Ya)(IYL3m4xHswzho zee7?YsQh3n9nKw$^)mN{#`PoD;X0##{z7C-R76LgMb{vM+K`#H&$2Vu zK^VWMs(k<3&EoiJQ(*1&7BAdP!+7c5^~=N|d5RgOUVKfeSxMTvFY}e-*Z}+2M4rqa zn~nCp`73}0PT$J748(Cw07q{%Yoj=Uh%Z-mCc}w?3HdE*hyf_ysK?)I)B?KM-N%QM z*tyoaev-LV{WvP{T^|zlH~%2jb<>>{ep}hj_RE@R>D*qrkE+u1#I8!k(ccLouYUE$}5lVS$(LiVYarQ`h==v?>6#;2Lz78n;RZ%C}y zu9aILqW3j!6d~P7PZqgD$hBu_7~~r#RzDrwlJgk41p$ibU;4Dl1d|BCZYG7T$B&+; zs6Yh$cvaj9mSpi+8v7vTtc9+(@>!rnasO_U+X|@CH1Ohq)Lc9nhWl0CLI3I z$BHlS0#pKw5=#=xBA;mzvzPj~iOSq=U^xu3@*m^C0Yj_fG@H-3RZJ3F@gCnE{rmRh zsIbWx{7#ZN)RWi^Upr-qz-eKlp0B~iQI4-0>vcw_q!*_HS|v*7F2UhDp;JW5%frWi zJ3a)<T+?%Nm8Q zN(ez&BhYsB@K1;!7UuvR9CI*9_A!+b8WPbD+Mj=X1L=;W2@3@SDfz1^fBl1x=&oya z()=hV6B!?&rcr%zVhc?izJNj&?(K$###g2;rPYqZ;_DDz0;LEmeA&P)`k^ce#jJOG z{#51*07%bMH*|3?ah^;f41@sN1SLbZE_9(G%OqE?UQLa|Hwf3se5!UBSVk!*w-hj< z48D1uD>V@~Gz$uACM|tg>s-!Ul*L_y`q>QfqreF=DO$tf$2SN?B05!^2G}}J$zp%% z(|>W6IDE~6CV+5!>?6D&bL$G*pMNWe>QaO31m^Y_ERY3K-hA>t)JXzI5o;3A8pr2y zq_coYJzC;L=EuxOhT{RvSI&%8&YK3hQC8L35TtsIgtnkJt7`3a7P_v^?2JMAIHq@B zsZ$8y?oW^iXBo-jlN=n>m=u^-ls2X_OesGK@(-;R)J@)mE*S;@tA_uijJ~;)?5y5;?q>?!uU#r5){MCFuj?hxn32vz} zLCQ_=l(2nQVyAH&nXgjEaxF+lVjZP^L;mL3NeG57EhnF-Jp0VnWCiNFG=DYq-OwRj zht_KG6**rWL2tIW-3TzCG>K`2r#3X!vzhs~MeE1d8}_75@|7w)kC!yaV^WLIUmtm4 zMDmKzBv7=U_4h^n)^F_Mt8ro>=E&9v64P2D2RMIXDhLlA_o;;o8*I@0Mg46dE^) zt0%9<0fDgC z_t5IylUJbu;(#IuWTvVZ8`8%?ek}MjvhmRXtFj>4+8SZfYeowX!@(!g=kL83KAvLr zstSOs6T%nKDN%3BJnI_F(|?p$M3#!9>}{wQ0T)Zj(+$qGknV+{DokLb_od~t0S9qM z5*i!>pXKY5v1^%-=iB_=%qd7HrNZb*(w**Q9O;~}0r{y-JiVbTzV*!>GlO5{P9{kT zUdNM?#^E|cEc_S-h9+4d^y>H7cbLFS6|4P=@icV_+!184^3|6tLb4-YZM29{;0=gE z^Tj-LXc4U{cu=_*Cq8EN?y6kH^<|C}@5+3Wo!A7r{WghhOs;#;PJp+mCOdp{Ws}3( zMIX5${p_6~bBs=)`Ll*Uyo(&u;Kc3`=;VihQbj@Iyj~sa9w#8u8_Qnm7S`}^GEdr@ zDzh8gfTG0WdzULb=hHa6s-Sc#NY~KYV$2Kc|xyAK?4-|cx%%`bMH@MJd zU8mY)pqFgnf4k@*NaefFB*vs!t3UIe{v+R?2bSsi#VhyBVQ`~1G_ExftGWT>VV2H) zR948H0afqp2HQTVHMvoi%nX6Sq{X>GKV$wkQNMe05;U7KGD`BUR&v3jKOf~$utERB3H%A zG{0%weU(DG5mbejUgT$sle{F0*628iJCotQpf$u&JYfGLHiR{b8|J$Xls9Ce<5#7d zy)~n{u{aCo^WlJ2I3{uEd*;^6G1g^O5}Ct!YEvRfBEp^RL9t!?9;%^L?;j*Sy%=@c zO_r`Uh1)iU{9g^?AOJPuP)TDa;W!TU@_mse^skd_m@oAZ*0nVRy_$s44OQcc(O%jk zNxpmP(>#IljI;NyM^(1tiT~#Pju3PkzkVS^_L^KfQBK?jMym)TX|N1S>AsbG>h)!QdN30UhGtM$5BPrv)baksNMS2rGrUz#x>C!s?@{{Z~8VF2rI{sQoPs(o@=Zj<}FRCjFO(* znYa{yIZ874ALCAZt=WBJQslxsv=I~gQshOldV6%`(Vw@9s+gV0B97 z+|Pih4(!h8os&Oei4eJF^XwlY2NUUaMlbqRq~!l(gN0-^`1;hQwvG&${ppGC>L!qk zx?(g~1b3=Rbz|jqG9baOJdBOVn`gaG{~a{OM)bphRGE(9GKsaYN1)fVWss@K^o?Bs z=&wa&bwfre^4!Jjd})d4m!cFM$_m#Wn8ir3OzHZ38cSu{;hLhN$bt-6Ty?@l1_k`tk(@egTuAiF7UC^Yf@1Ynd zmMn%@IGZ^7p$~wfO3NwsNMVrby~SjBW>Z9GVhgzbi)lb}0gaHa-{?Y%Bh8cip&t1x z8wiLlmY3g|_#X5I3|?~?V(hgRS3d~I-2mwXPyyDh%_e6BUe*(-s~4ki2;8IAV*3k~ zg_>HD0FAs?p^3k0_(E*luWdyT)3wI!Y5G`_TPqNK z?isc7G)x9%b*18-_7n?QNDGQ=T@!a_J$a26SDu{(o{d$7UwyDijv`Ajvh5bsU%!uC zJb%_o)vMA%f-vYmLU|xRo%Qgk!;MoD@*hD9zs;Yjwbp8#;rzfdzK(aNh9O&3?V%ke}_m6RY#-*F|pd3;1 zFE972VkPVG=n{AHJT=Yj)CsP%c$B`!vsJA)IfC+9c$GwkdXL;X@KKZ?tIY9$ZgTi= zP?ou^SsXZ~c|K@JtXtTqC7FQ_?d0bVaAp{glC=1Kk{AunG$DaJRHcQXL+&O0VLu5A zUv{&7X z>!j(^va+4Fp~nxyX{bv#&wQChA@CEOUFci9z|#-9`|@8zSiL)ss!G>4zK*N;ZcDlu z?oJ~EL2%#f9O=KI9zouW^lCnDQKyLh%leBS`r{*`A=GSIkQ)#fyn~Yv6Fb@P%%^05 zlclxdyQqyDnkWJLnU-Fp{umXw{2aEC3jrzn9))qZ8u&u)(kURh4I37?EkgGzV>mqwF&g}B? zFz#IX5aEP%iR{cl?$LE=;q8&Z-%Ntu)l&dWr0|IFGH?(AtM9%|ARUWWGm~zJ1b!X{ zx*)6ym6L$1R|dCa=3wmN`2w|KbW5pQ-czOf`-l1FJQR}8&Xf4cVDHi)c@h50_m^9K z8-^}c8GT3J9;Qzv{qLaOiViV+$=_J{7*Nv9lR$SPyP+V|I@|H{r!gz8`*8-Hgz9>} zG`)2v3=e%0fmGv-pZ+d=yvZZp@sWY(I>j9h3Jn}ph*9duwNYE+_GYe5uej}%KLY;2 zNYugF=$jvsIO`z*w*SY-za^1n0YJEPq4(|DNzje%e?Imx{+I82Sd~GrwZ89O`)$$3 zzJBMld7|SYxmoed=pX^%p~OSS3QRBMoBUp~UrBx<^Xm0))n`3u#2vpIw)M|irD^Px zMK8ksm$Qi85D0`aDWU#io|;1-bY$_U!8bN|4lip&XB<2I_%I6a?c_~WW&2O>Q5s1< z=qEmdJz$QL)1aL%p29e{^zz}I(HqOxIVRk{wPHX7O z_yJ`6#dkxUlo}ASZr~yx?+9vr8tb;m$ly7>!b$?QH&lcoB=S}%^a|kVboTZ-^R8e-}ZV9S-gJg zBh~~0j3mB}3|Z==hOC(0fnT@{Wz7)?@PY6fU--^56VE-)e0qZov!JZjD?OUM zQL%Q1{VV`8sq56|-uwd0L1aUFcc1tXep>92+MP~nugk1u(vNBfVZH#Z`^Iw^?dry|US4l6V?V1K}QcN`4LmG>f0EhKLFhWVg;anc zzm)3GysK$_jZm`w=Ho0S`%xR5pIrC z8##Jh({1-h{$Hu&pj zAnYr9a8*@aVChyZ=-pr0n?nM}B#~VB!S-I>lIxwwX|IdV(r^gaAt{UFo>`Tpuj8J? z@ilKiy`GI{Nl3S3i6lwqvj#iLd>7c~7SHTP80&qzs@9U1AXoA5BV;jxAj$qftUP`6 zy9hFSEeSejPaB_Jz(wM#f}nD{K2|$?W9t?QB<7ff|24g)@bcn?&a(%ubsQSBLL#91 z?W#KQ+roxmwHN2pfw%_(L<<~+iKJUzjQ;S>v}{b~n2B!;_g7U#`V`os5>T(_Ah=Rx zAoQoPUhW205yO6=QHn|TfO ztcp0wbv(bOQ~%}v`zK{#U-;EW$8@96mYbr;BGtO-h_5dk)YYqUZ5$8NLJR)Fg5<}I zlJ+mo`~ujVSo!wW+t}j9Vf0{8)rut zg36ouj4^T1mNq~AlRF%crL5B3+fYvlskXnlCP@;F9 z9Yi__9cIWJPpfLZ^M;mQqygczrQe+-4pE%xyw)Unb&Wttw)d^mX{^ zUd0Z8;P!ZG$St1daeez}0vOmiOT3=8)(w!#Wq|4BmLv%+rM{C!WO1?+8NwP_x`01K z=H<%kxy?yUp7oB}H2zgfuJzByCoYO0z~3zDTE==eV1A5!q^cnJVFsCpu)^M5Rh1NW z6yW_d5PtE_*GpOA)0-;K*reP9Yp^*Ea@qr<3wRyWWeu8vhynT3k?qK zjC55o4UOBH+N31DcmuU8iwI6hUthxSRa_liYH+v?fO!4nBm@BhJ=z1Xrl4^{kOG;< zM<(7MV&t6v;F;G zNn@Uk-FE&cm?x&OvE%nIF^vJR=3pMD&HzvNpI&|q+PIriB_9N?(?bX6)%(g7&7*w26;q?);V0>MpT zb=CvjaGzumj!CMl z@i>C$iCg;kCzKaUA$G46pJW5eDXhXJR2fX;E6-0|qNDSY@^uDqwFJD;56X&I8o6)B zK|xZwk-l&~4KP|$LD0OXeD|A`*B@M4CrLq4Mp@ie*@`C{kXZOa;oBhFR0 zP6TdcBszg4amn1xUNz6Ck;q-;0=dm@Md5&|d-hB^}$Ag3-6n+qu#V2P+HpPpq zF1;A1L1Cq2%aFqf6Ta9zeD4Q?614i&lf*>{N|v^==$a~t&vJf5h0U$ZIZOt$f`r(H zDig?7fUO+H`2s`wOSQH)4iHhnLmw5TCX7wC-~Xo7Qo3i>F3_4ot;<}#(4x?)KtJqV zz@=dO^HZ0UMO;UXs=OgG)_FQ0IlO8AZGjx%?|JkncB!K{S;r6Ql(T9%tnN~ZTIS67xR@Lqxgf4N# z&XN6)Jxq1E@;denUXh65K3~TkstN~RlElqPfFA@FO2KvUu=}#GvYUFY?*e#GEVF&8 z>-^U5H%xRldD4(*>)Rs8m`?J6xytwe_Hh{|m8ItF29J!WGKLU~1JI!Ctp*NWPNf~mhUb6Mh>%c^L zHk+Tvkds8B&|2HN@F}ii39?KY#itLh&S&w!1l}OmHIZ*{h!uxVH&=%K*Y86MK@eW8 z1x7=yQ_}K$z)io1qh+OECFMcpBgBOJsdorGzkaj5O6{svQ5C4nRNk}&O672qXgZx%-;9yCOEP~8wodIc<#N%o>x z?|cYjGhaF>k^N}m-(ve@VNY8g9cKf=%X*D`e@}_s4n`+Vnd#4b_jnXw4M7ly zqHicE4?|u(ilB;TU&tM$xFJ{j5>42jZ`5sa_52*T!qaFe6+Yn1* zy}T|+=4c)q$1zx|1lLGl(8d3;$xed;J9VggRwtfj2LZJ*eyK^Sf>ZZOc6<5M?i|K$ z-+6MX3)-K>2tk)$zKCP}F63bI@L(SFNCvHnEFmkhOcjrli26_OT!32BLiqmR%UWDs z*$d66%(~{%2K3r6JRXmS`*e%w1lU87K!6RHi{83O413QYEc#ZAwQkP_)s`v?j9K)B zy>~hZOq5T~25HIX1^4jjI5nw89Wh$Iqso^~6I)e^?G0ri>t{Aac0TwhGdwD2s+2o{ z4h}Y%yN747NfHneHBscCN15{!%8D7LfeQ&&r{Gef+8P7qz!~b^{0Qax^VH!vRA^~D zH%aX!sRSki*!)oDxXv_n`a$;;ep*K;s%CcIbi$u+-W~>oxqa66S6Ocy8#pui*DpZ) zs)EYb4o8tW4u1?CN0*v{)R0+|La^uafMQCa`G+KkZKzR*Hi9Nd#RG?M$I00&vB}Xu z5Y}Z`C3P!^L!C`8V$G#a($NN=TkTjXay|z+3PQ#?FaeDuK?4TS438cz_t&E+mmv>H% zlh|e~H~GIG@L~h5n~rwAU3?!06Zl=YraUq_!tPk%Ks*ds08v1$zY=%PB2s!1l^-fR zXX3AC`5DW7_!a_BOAi4~;9uN437z322}AEyf^9IXa$&**w4RUPrVRRh&eac=&_ClVt56 z41iUaG!BT^;fc}q8x%*i#q4OQGMdJyu)%|JZOt9CV~hAa(rcq0xhnjYcc*SJ0|B#A6C;}ajZ3Xhb|Wn`OBu{eUPc$LgYTPi20{aAQ1o`nWJ9LPm$ z^sf)4x{azE_n2b7h>XNhL^mY4`HOcwqzIW=ZCSzH z@O>CSr{+h)iT5RTcOK}3iLueDh&zuT3Cv00_N1FLjCc*r*l~g~5%*f_s!9=P@k0_K z6k%G^fUdh=J!*1%mEE4Y82~v#68P}(Z)^UQR(&V74XRNazFcQrcf6Ov8@L8H{_3Gb zV)gz}dv#?i8_xZrx3>?;N7iZ~2?J&~Bo;BF;i>0b+2lrnu{hw!@#A&zV4mzR5g3nD zH#sEVC;vQh2izf)5EKy5J7iCFrL%h+B@>?}EgMP#m3g@-DAr8xOv9a!28Z0|z3}HU zS?_G`G z2M~aC&U<_hEzPb$jz*A5{Lhan?Ty=G|HYB5Lo7B_d#j zTHXIvdOkCN=w>$I1y=TQlW$a|I#{B8t6AEehK|`I5wqIj(dx<&zWO)+z-^toCesfD zMQW)$ZY*T!VZJ)?dRn;W>I5Z%wHZ9i?Vaib9z3}SP=>4(gHJRLMLYmwMBZQl8+5{p zP)!75=;+TQ%hfr~_kHq5;GmW@&&6gqtj_9Ud8oQx#QVm7Dlv-7-<@#Se}%@rBdy3Gqs@CTFB~1PAM(u4J_G&vP0Ve0C7IdzyL##rc&10J^ z>IX9?pQOB5>jy5aFx27e){ zR4QN&Y21RiT@?{0+=0#w6I3B7e)*e!lvXT#D>-#2hSom+5TFQ7Fya0Zi_pI*+Wb1< z>Pt^jL#U0%2ccahT#RI(Kgw%`+lOPbx+!wr)GcPlZESJ)K68Y7eTd&^cbMJ+17TCx|s48N#Pi zxy3X_h+d(!l_JBz*4(BaE$q`AS$my2!>9L|;HgTMRW{bUZv%?;_Og9DUn!K*5Ac3l z)MO?}&O)o*syH=onqE`+(na7<(wZQNU!S@ihkmd;aS%?R#OAR5}=+ z+B~8oS#LvCB}T{1;_%9sV)s0bV;4m%S{eQXD3@doPfdc#kK^rRf${SVh1?ptvF?qY z=I6B_JWU$NS50D-eU=zSm&1kYKl}u6uDEdNBh@B{zY2#lljI4ak{XZz!G`#4n{WSJ zZ9a_=#KxAGAQ;+98w!VykI=G+ST6lvKiH^gR}NE~AgiQ%MX5vuL}m*2%3{CwGmt8Q zKsw{zdd0KxT_16=DmM(@MxV%BrMCoNJnpqt$Wc6gS$Q8B?qlVbGm1TksLumK#J-TI zhS(jb3cGM&tHQI{G%PP=fd1x{#H0NhG*V zI2@?LFMgnSUS*CDApTG1xd$t?-D$q0&NLBl8I2<~qTCXMS|qo)7m)5H%X^(hFmMMB zUZmueoQn|(ntc1k41PnoKF`8lqvDrK0C_~9BX6N=p04r)-k&Ffzu`Hc)42-> z?34W?qQ8&*gM?DuBYi{T_$OK71M`=rqii3ggf)nx(u#dUMoY*w8a)vq{@!=S2alymCD!)eVXll7-zW+Fr$|^-ISX(1f>P(w|wn= z(D6Kn(wZbY878SOh*(QjwtYc)lDSd4x-RnK^DIDIO59j{2YT)5+bM!9zLaYHAAYV$ zRK0T%k(^oh4a(a3-fR}cuJ^Rnl9;$>P4=&;3S=Rz9>xdBcogf`FNZpdY|ngDZAl8r z<)Ero4(6$C1&AO?Mfzv&Qk^1#wybHDp}U&~Iw`eeNnNqLaE+p>s{_K}m5{j$33TJ%GrGY>|y{InQZ6qWjk-zKYyQEhR#FK$Y_wpI%1-{NMz30tU>3?)_YGY3q~YJVX@F5vKv-PNtHFJ0HN zMx${8aY2=VPa0L0L@VdR&@)&|Ur5hWU0Ab@uH11kP|D$vHyh1@-V%f?E4s45QG%4O z7Ap;t^KonwC_-@Sib&|UL_BP(n#SskV|OpZ;5hRwmqbguF{N(Sb&4ssG@%a0QE|5C zJEPPLPvT%0w?TO+##oZqz^B>0q<>UUJo6RE8-Ud_o5j?-5aUB1t9=Zte2>7I^EVA% zth2+w^3h+sA0|$|6=7Ah&_=6OXHm1D;O(YK|Lnr2)b9Atzz z(GSp_@!Tz%Nic?9lmt;|Nf3^4Osy}R<_mN2NxqTpx>_gx>MYZRd!@t|8XTaC&EXCz zL6D}Wg;A~|QASPOds#EGDX&S4lvLy}3GAgB#3u~MPZT!ut@$4C#pyhDP2_oOH-xHK!&-lN8km8rlf1C>`2~zz zK7AR})LIO2N6;3}2HcCp87BMIZqRNuAzBFeA;9acI|rfDro`*h#D0C|0`cZ6!>N{} zwutW7#ILlP-7NNZ0Go@3@_Go=g;phXG5D;(Q}szu+@1v;zbq0+V+GsLn4}LwfGJ4F zb%rMaUDTCTwaErH-C8rP&>bj$052O&#y+L+l0slIm+zAB>mOrO7KzSuKy@xNpIi=m z(i*a)@{kIi#X7C<-OI@8Cx{?J(A-UW4YofFt+Ff$_gA(8`lnOh>V`LfuJ%dM48u%xQQ$Ztzh=fl`VD?f($-Cs(OIdTa)V0)GfAo*QC+vkc0 zlb}cQmDpe6^7A&X4um`Q-L+jP>EYZKRuEog-{*7jm-F>wB#E(ZggiU5O~)y(OT1yV zH&^q~V)oXwS;s}Jsg2rv1#5#;rprf^&cQ%PQ zZec@25n~uecL9Og`IHd48VsNK~lSktGEYl-jfD%O#PtBEo!q0UT{1JYtCJ z>Ke_lTWL?rpR}0P`OHBnjx#%UxuuXg(HUo7DJzF@n`fqT9jJO|7_p%k>JV(`+Vw90*Bz(DqNCEcsLGs ze3Y}g0ZHy91(DP?WP##QdheZW;J}EbX-JH+Hx4Zp4diZqAcRDr#KL)?Z-wXvPaI}h zfb01k2(l`$hFS-iUy|$ILFoM$>Zs03(qG5zN0RWHKEPYx)1#;B*Wc%R3gVbXl$;xy z$c*2`V;|*l2S=>QOF`PuBvpk(i0nmSI)#xV_hx_Iqm^dcwZgiBu4|-0Si}5~8^()G z>ic<;db3_*rD)`f`7Qi7pcH77VU`^`+(KG_)ZYgv@}9jxCV^|3&tskIeET;NhgMs8 zu%@fOK2I)^z(9)zVe^OoPDACb%OXc${MGZQbQYN?S(%1G(pFpdbVE=$YN^F-zcGsa z2yN6H)LlHw?B{2(L**-*1!mZ*4`cmrT5uVhg^y6{xT|xBoE(&;m|L0*0ap@x)Hhx zUtHJ}@uG>GxFmv7zcFd4`cSE)ZgMaU9m^`*2?M8ar(OGJ?V-wIS{;%L-Gt#mO3^_! zO|r~2sVbjuQp^egOr*>jy~ptN8q_k z?S**0`=%^Cz`K_|&2{$6ETxjyREeXT#R2|4j2*9c=P>k!GrcO-&GrUC)wc#z^z8^b1Lg{Q>SEa5kgE9(n5cw1S1-o- zc~Sh6)a`_xbz`m5Zi$V0Y4@@c6edobpQOhR)(gzZlxxH;y|3K34OaU`RtG zM^7KEc-TX!6NfvocOH+D0NvWR_HVFmIX`n5ZOGCJ&|>8za9^L=R^QeUW;e~}K&yhh z=Jts0SwIzi`hn@XJ7)u)Totd+3U%+D-C#yDo3H}UZ!{^1lWNA7+$*1C-Ef$OyO2^O z#x!oVc#imEe$W&`66}j3$3hq8ZMJi;PhS0w#tHNxjDd;rs%^l#+gP^d<8Z_|XTSyKlFVs+)`TaF&>0T!Z%A(4CeHrPph!PYp zA*jIYeej&l@GR);8+=0(XqC?&obpJK{>zVnX(hwZ0byw(*CeuN^uO6q+2I_8LIRRT zFidU%8DEX?7&{*OU(X^__X3RKD_1snDc@qU0*o?^(fp+8&W~f`mq+A}iBIDLN`&N( zkWy1*bxvrpyRL~|zXU}%fp|<37X3)$E0*W#?ACmexbI_VRa90ei2XEA>@U9ja?3Bg z_~_^;f+HlaZiIl zB*C=?gcCVoF?Q}U!?Wbbu=@V9<0Ld~G9Td@LYS<+p>p_>PF359vL-ahK=-sW8l(yDC_0fLtR5b2`H6G?u z;qS@{yPXDY7A*bxzpUx{?u#>TK(Dyi=fjKdxb1J(RlqH47)RJftKNyN{Jcafd%3n1 z7dG#7GCO}@q^)(cd>aI+Sa_t7m0`fMOA5XRbRW4$XMTi9O-?uUYmlHJN^?)9u|aM} zFwjrtfv+Re0lgvik3C&z5nitWPO&@(<)>B7oFwNlwBaw0%IfWLI6W$eEJ_5c!rx93 zL*stMQ_zz=}f9b1m{JQ)X>Ui6L!(zdzq z(biG8?20w0D$k*2={mlh1c&kC7Hfsy{5{%Ww@w|Zj`sr>Ieus7H(zCOr$-j2JrylQ z$Z$S>Z$o${8K}JV+N;cUVR$WX9=>b|MY^HY{OUa>F|W16&VHd@xr}wD%{@Pl4D$MU zKK&cvtM&fu=|)rJcm`Fty(~2`sdx}LVGb%gNo-99cX>0Q>-0lGRZEiy5qJn_Z?nN_ zZ4#O$VJ-sHLVl&8Hi_!Gz`#h-tmQzk{NF!17^EvahL`e^Ytp^#R4;`|YOhOw{e#;B z9qrBr2qiI|^Xz1ZRGU0s94OXwJj}+Si}ZhgtyPc91m9YQ*e5Ie>$ttjAtc_1phz~v z{6VOR;Fi}GYVT})N#Pg>GGe`|t#r=3Nr2(-KNIO*Iv7{ni3n5!c%jz}{8~#O7E-sg ze|n{ zTg|2{KV)~uw$LQ;VcNd!qh56D?>obRaSe<=UyEc~I$`-?Q24TjkQ-vr^~xf7UuN>- zTI=B9NxK#!qj!!MIhF75pM1%ZivD|xR_TtQ>?Ie2%P(9}Wq-+D~Ocel1HkF(U0Hkd7Y;6?*kWwy9QnGJb zl$=!URV=wPx7+%#_vP&EuBv=vi6lOr=lTEs;QKxgN)>AHl2VX#lKwzpx`V2c$Fqgg zxS6aNG%7e0v{SUXEGrnkrEf!ge-F!g*tSETRuq# zlvKoE1z+8~A#w$2^2{a~kUEW;D2}jK#-r|Qjiy-mai!E4`iY8MXoqQNNah)%GjST5 zjwWE}qmwW+#d*A?l_5x7S0NpydeL}+Uw$Ws$H}gJ8#yXcP;zBSmIos1txFE4YdT)I6=a-m%Q&&M6{?KkP$sCzX-{s+_MRYiOp*t(XLMdb>t zsJN}W!>`}j_qJiJmI30fEXph*w2v$SI!uAUc0-Z{dg7xKe?LSR`q5EnNd??-Oe)v? zUZuoR!ufvEKp=hR+6RHgGqBvSwUfv*0hbyrDWX)OuBoXL>W<7NBQr_z#RopZHeSHY zCQ|Xfhaod_LD2eINDZC(9|pdcP(&sH6~M2(=qF%odg;7*SrJH51{3k~W5XRbDY>y@jvK5C zu#8{oT4m1gReb4}xMAYk9jeSiF<6Z>ZhLq%Fi|cOCGx)Y`8;kTIHm@>u|K8oi?F6= zy0J5H)VKBRV^_xvL}H*X)N8}9?m}R}Nrlfhj*go|0h+X^S{kNfylRU?%=j41Nv@cf}fVVcUL|1P@4pk=Np!;TI zt2hbZ^$=>qYDE%x||G zH}(>@@WhxXU7cZM=*L zS6e$>2W$RV5@k`!9oZZ&6@+(&1L)+g>9n$eR!$APoJRZ^M|$N=(Cpmkl~*c6ZmW;s_dm{}}Ju+U=lek@C(>%L<7?=df5fhR1&XI3Y`F7C6 z6Q%lZZ?J3q#DZ8NxbZ~20wf0754>!d>2B}%tAS3Hcu8Q!<9k_R>nO0ZC5)UW>+zAw zAQcg&X58xrHdR7co}bIbXoa8tJ(LJuWRZy{b zl3y#Z@(}pMiR0Lm@-XwV6=)>y4MVhm1Fn9o5m(uV$52-dw-r?@<4pjhuoD{4kYeCQ zVWN*Po>aKsxm*-er_fdE4WIf#AOvQ^*Rl-4(HrAQ^z~N*id;*EHDTN+TM{mv!CHbRYZ~45DUK@NA869FgXzeekkURA zSlZrzHnoTwji}p-l5fU)m?gpOo9Blsl@g9f^Y}*OlYD8ygRihN{}y?=gGi~tmOUO^ zU*KgfchYU!lw5zQiTPSMRVHd)c0ThgHnCw4K|`ttcs$a$ip+@^9rW5K(AluHLz@HC z&)jx^egTcprPCb`=BBPu!o6YV!uT5%2j!UH{A-iXBhctQ--n4@aJx`%lP#^jK0h8> z!feC3+%}7fC{M>;Z~)V6VrXJp{iXSd$gGNU?4wxY}qCv9C;y3l7K1}XbwN@t!FP<~BFOS#8?+kqi#nP3*VGxg-BdHG~ zoe&v)>BBQ0Qk5S0SHAj{01F3{!*5%@V~-~f5A9mlo8pjSQDA{%KKC3u%>uwr?|S5I zM&(oSZV*n6jL2FZZiN&eFP9aP<(1?He5KpD%&Jj`x@ocMgJUx>!-016EDkh5oM8zk zsLl)296`JKhE+**k6Z&~0k?eWBYasR9QD!AW)Q&Q$o-)yis^FR*UEgkl-v%T<)CE= zgjgRmo~^S;StyAvxcYasSA9*ukt|mq>RE*)%Z2TMuez&ob=({jB@i%f5>JYgho;`{ z`dOvm!1@`Oe^UmvmUaHnq;5Xhhs3YK7$;J8`nq-*`V@G$$&)^yU4+YKVpm4&=9>{oBnZ7&%^dcaXw`nrLc z&3Jm^`!EPBlGX}O23nER9c@Nr+xB!Ef9q)^zo-C1O}$hl1#cb1j_J6qGhY)~X9;Fa z2KoYZipKZQ>F&9|^VQ`;wJ6~F>K;Ps#`A$q*AG2hEOUUIXY7Q`b4h1sQ?9={?PwGW zFgtOxHbAc(d*t~Yn`3Hb9{psb&Qt_S<|Tf*pM*u6UX4Q!l?j#GSTBqDt_ko{2c5?b zI$Bob6s`!tsue@1f8#?}U)%Q*nUM)qdWxeK6~j32wc^E|Gk!o12rA{>DCkaaIEroL z&-Wb_)2WIga5zHVGzoR1w_cP9>ip3_1)$cWm^2TADi_ z?ZZ2A8U!9faN^GZ);{$p;o(4smOojYe2VMnydvI~Sh2!D@jz-LKX7B!B!mSVtw;h! z5|5)^7;HM&Yb#sk#aV>s*9I%KqkUh+aNKD`wm;zu-Z$QmMUiRAU$>Bp8m*AOPf`XcO3WxHQLc zBnv%Yxj?9P=C$8)0*KZ8_^H^+7mVI=wxH)_vBbq!gV<7vbN7f(e+Uwb)dShZK$0*EROucfvGlz9pwD;NSkg&TK#M4b9f?npt5bR%m73G z{;A39|Er{>NIx&`q@`A|J8UyY8 zFy2w=?Nb=w1W4*!R#6Hn`6LeDJ#3tK)FKaW+${iN?|G=|^mZIJhLFe0oIrrfN<~b0 z08jR;8*HPy58p#(Tw5y(+b>ntXpfqN;o4TYGCf|gCooBe{{q)lO@6c&bWk?-AzJVH zWTx}u**H3Pt2Qzj1m&dLB1;rY_i5qioImOwOh2$Ti9&KeuF^Iv_W7ELr*BJ2PJ@S%KNy-w ztzQLLayN3ca;X-j6~1u(l}D_FW|kwlqQqs%dI*bea{EOTsKB<~IrLPGDoT9D*UcFz zv+D!QAQz>Ap>Fpr9wz3bhy3B#vk}B~biTq#MHWAQ(j?_Vrrp;8jh0y?Bp1@tP=(t=L!j1x{QP^`jmnYLdWUT2HUWJEO#8zglQL89^QbPx`1NLRgw% zFb}24$okbq+aZe9|eGM`^WmAwr|oNvLNycl318{?IRR})d#JeC^QUD&@c0I zA|R>x-1$>jQ?S4+W}#38>vNfb?V6dde!;4%XRx@i|?yC#0nB<>x0 zl*EGZwAEpxCsWTnWGz;UoFuW2`X);fDhYG4;iEs<8F_BBBvQ8p@%=Zx1%p>j>h&{t zR|(zbD+=@*OxxWJ)GA*;3C5!%tVOzY;-h&Cq!&0Y_igM`3Jp-m#8G;YfHutJWsHPZ zxz>eBFA5yI^{(Npl(zd+zV#9Tg2BX2xeIup1E{mh&b>rqhsOki5txTW93 zshOT*3}1icsczWav0>s8T)|Fu5{1(aQn!rfVO1$h%xbI^Y9L*y?{5VyzbX?`LT%8k zLN(L7kdq23@;KC^-oSUvVhNG1yK0$dFTVC`HY#U^c4}ROXFWH)qw+YR7tbG#Cl>2j zc{Om4I+#gYf5UU+yN4c0Vs@?NpmP<0BT^PGT{?ER2NqAeAqljF592gLE#P_*!l#Rr z3%;2ZYH4XY9>8>+E0&hq@z6K1)~Fs^cgs-!#v7YU@Q6bzJI}1OR8m#Jbl3UQ=ATygo#qUmiPbq7TZ1-q?0lz`i!ZBX2=Vde zXJUSN5Cl<>s0ii4mY>LP4advu3$EophenLRTkG@5khT`3c?RJbb{mEjj$k49R-kg@$ql`(MsI~^R;~pdOMUW^QsyCABW}Cb5#%uv=iCroRZuf zc0E&*6p5{m#{0}9hQuz+iv@M#U>H`5P#XeMm~0!}kiT+PAKE=HTM;s=11&AmfUo4^ zUyG}KYQur3F{R|eqrZd)A zgO1?AiKmhz2|AN`!z6`e@7vf*h+?j567@HJmBZTsrYS{Xbq5mOwoNM8%3psf{4YNT;IIGTzy9MF z%+HXrMJ5y zi^{Eb6fU`RXJerEyo9jfSIYuJ6$LE$c;DjkTaZ88-}|Frmx0TV%j@yE0dR2G$S2cm%tgf}Y(YblTF*^D5?Oc=^ zt+30-PH1b8aRm-&)DQmk(^~b)Nqb~wTz|`BDf)s;mSr*@YioUTXvxVYz5N|x!$7S{ zbJHGb*T4QbynjvSz`k108sA|x-O^vJXEeF-6?;|WB`)V(eRt1{po9T|)6$F6QT5(% z<@#{x;)s~mXIvFe@Q~(no60*Q6|@~I2H1Na^%3_yh1I2>?2(fLgm6r4E%>ui%bY$n zad*8qsj;|r3r`Y#{lX&Cs><7u`SziT*Z^TU=_Zg$J|cYUku{He+($8Ao4IClKRnS2 zMm7IJD<)ME!=D6*TfMH{m>yN-#~yj5)l9RkbBj3g*yGOcAy7{F)F3PhDdVL2v(;vn zhQ__?uPfJ{R)Bt)PRkg=Z}&m!CBU}#pwkDuBIqh!f5}hlM=OWPZmpW*>3+&^~65`+;mbf$P- zT+HnIX#uY-Wti__vtRvWxN{PPm?x`}Hjmlq+a=nLE%BTLQNe=ztnw_H?;fs{rRmVM zGX7--Und^!`S64rBRv;K>G72KwGIlE1ABC+J7@_ZP}(=&@vO?d?pMX5o1gr~rq^Upuo2QK8)t zggtaVKk>#fR07yYa)rgoUkJ-haLISxlEvI zuZ;aqYOu1%O&%NL2?<8}%4>}@5ZV&wYp0!Y2Zkpv5!^-?8^NA=xH)ahtiV25pDQF) z{8a}vG_DHP|E)%gcq3n!WL#~P_> zH+z7%cJ?+2edlquQ2!&hDA4I0I8#r8OFnH z4DQq>n zFd4a8zADY~?;mVlWo#{1dt(!ZZD=?|D7^KQgRIv4__b=2IlGUln#5gv^G7iL(NRtj zXiq7ZUbXyqsf3(=>LeBg92oVN)b*CV4Y~Oi4JKEV`SU~F^@_|UImykI+ z?H<_KTCdS$X%yUGF-=bTA6$RY0cf&AkOhSMEbmR_w}&2)v$G$Lg0X?{W~O&MmJsmV zxDg~;8=(kx3TysvMr%H~=-W&Lu0#G`;73D7E4=myL(0KA}t}{iilsTOfADuaX zpp$J+uWk2b->6_Jy9<4_!);$h?15m^k%S)KLAj zGI5B`)B3%xhwuu%Wm6ezPE5rK`pmbHEEF=`*JM#x_+)En@|?cv6*(SrJzITnVkeTF z3pk*i>TNlxPQDtdNTSSkpOOWT)cM=jyE|_^Z1dS)6?yA^_C)stSRnURngbs?y%$6)~xaiC=Qmbn8{*tu-Yv1Bp1_ zgEA}Tp2S0y{CMx2!gSZmtlf?^VQb|FBa0HNMqzQ%L1)F^!Y2_ObLa1`_NAX zzBMPY#I8eenLfzA`;CU{hM6t$Kz|jhH5GEfuNGt~@CVp{fmgQQX#4(2g zvsXXq9XUiEQ4~1^{cq!SlfZE`w-b`r(FFnDHFYx?wZ6Vv!Wtb)s$1Nr#&f9gQFTF- zMdkj`N9)Zjz($Fiz&5M}!R@<)$XWT%-VLF(eiP9hQYrZt-#o>}E6MnbeeG!IrA(RO zrz2@ptq|qH_8(E&Xqma@xHlM8L&d_Ja6btBjXpJg=1xBz?rHGV%rjdQS{TTx*@D z(ObCqgFVN622obxaUB7Fh^n+o=)4%SR@3>8uN(hl4lu_QgR6sGHC>_C_YVJV+}n5Akllk8Ym;4)QmlYp`U{y(ZDF zZ+a#JLeFFYa{q3jDg%jXSKzxbUS zr*ERd^cIW?Z2ZjU%1cgu&?hrHCJVAWW(!Zk^u)1E>MF|L&Ii@M-w)9uYpC~}mP!x4 zx}$K7fyUntk=CH~?MS1nR9vpr9Ap0 zKrq^a^0UBAA4Vp3rea{+Gwp{bD0tM@c){@Ppql$_;S8-1tI^8Tl}KeC_f<75pbN4S zYwWl$6hRa;qqkDIa;RpRdMm62e&X{SEDh&J32E}AgEBQ(q&}0x>%GVWkNXZ<c|4~Ug-rZwVLRHSrgxmLzDUODLRv&ijX!u`b z1bP4rUDb@%|M^9d`p|ymamk$~hyGZgt<14wxUqA2oURUfZh1xQSa>mEV3z62!d@v3iJb7?~~8Dn9+j z28L}SXi0@A?EbcNx{^5>SQ;r|MJ3}0AbIrFr^8L8*824wDc|An?eA3JIEfO%Nmqs| z^Yf=Whp)=YX=1!!*& zV5`V%c$IOMKC$F`qlRy)Qx#a^*CM?U5q~mSgq-d|5Wl#;&K|Av%l&g=VWPTNADJ}` z3c$N3Dwoqa{x+N08kmMHT-?hFI$3D^3u6b+rr1C$5>sII%t5&E1}Q~19(Tucp|ayP z^5n0+yu*Te?9xgL`a@Lf7AgwdEMieerl)eBTb}t&P^;$Z?E6Zq2tBR zfSXjOl!CY&Z8#1xC1slAq*Hsb|zjG_B&lSWV><<-m& zeljo;w;9vu^>sEyPFms(?$Tf-rxMS?%$8MVp?A`@L+#>;o|xew&g}LP!?7>3uBwBj zFO24uuggCb)dUX}PjWPfz(qhezncYY^p z1r)1dkDA{1k5z<$0E;>3`!778qDldrKUDb*3#YCfB6w`g;ilM1e{>4b$E0$D;JxWV zmQ`mMjBUO09{Gp}LyElbB_@7X#F6Rv*aRdm%s=x0UA^8~m-5Z`gPqoI*vpk^OU!S7 zlkA#BJ{f2ef!_EtXnFOuVN=A(TWn@6G@7*ip7_oHSKV`;247Zag3kOQ)NNQHX_VPX zJoM6K2Kwwq^g#g^QkwGoj|c{@39=j}F8&e4(VsV}mB~12_)Bw2ee<0NP1zsF93Z}R zd?0aReWzLX^c#6Q(5*QxN_Ps$=SH7Jn|?uxpZ5oho?~SMX}^iczgI= zRbuF2x3S4*=ZWArg$dt(M~XQG;Hb4rx*eVDd(Mb zK+Cuvq!l5l+m}mN@FGxAN`i>18fpF~ox;2Q-M-4P7sQOA{<&GQkzL=l3c2G81!UR8 zmU1Jq`#(yI6a4edhxP zZ8I5-4C^K$Wh^ZD@e3OvJ-36a=t@*J1_pZmCjKv-nO+UDOdb&cC-p6_{JrHq?IVL?u!(?_FL zq#|=H!PH~xXGZ${rVNRvfRx#d5S6aA2YU$Z+Ed9DrBMG;rtEfeB<$u34X5Z`&Z}H#P@e}_Mh$B#CIO#7Q60fMFSe7mpP$O7Bi zZ4yvX5WH(Tw^UIve}N;9BaGnl{_Am%V5SyCzm^rEZHn@vD8b@-et#o08(;F}D+f_k zmIyTIFUiEJDYwnpH=UZrP!Fu)M6{$R1!&_n$p5Wl05FUQ#!W6>tAOXPlftQo5Cr-D z@VLoSndH7TBhp^a9Jf7-f`oKE>AniptisgxJrdH_>K-+pbcdZpg}_b%Kg%S$3A*|z zl9ll4&*H%acJkQQH8Qhrpltd2u2&TViobTO+EXR$z=lGBo#-H2W|HoDmK4S3Ba=kX zOT(({ekCK@dzi^_7e}Bd7A~EbB*!+kAj*jB@`*LW>S_;4PzqUVy3N_{X8DCp;WnAt zypcEYrCaWfilg~`v*Dln__c#UKpL-dyUXz(otRw8+X-~4k^}bi=?a_FmPaUA6q8O` z(2KR``3MRqeq?1?mdJ(pd&Vt2_U&D`dN*?#8CI~U(S>QdProB5LXNM*{N8~>r zBht-Qm=?u{>x_f`XfM#aE4Of)U+bfl+<}RrG!_`v=ORfb&wK#v_V6jimjEwSI9$W_ z*1E7tJ&s5L7r1Sh#EFt>oFo=Squn`IX0~J31X*Ud!a4t3<}xu9yc-)nv zD9f#FR%8}gZ5#}n*-yq##ub^H{LO8F*c~_~H(q0Oh|aMs$E_yUi4TMfWD`Qg75#KA zI`GJn>!Y0T@6$^0X#mVpbB2mC1&6>5bzKt*kKFTj6<`Pet^ZmTK~aWcXvD(bw@d^8I6= zLjX({36?Ch`(`mS=pNfB$xpn5bpU6ppKkkr&@PJv-f~XD^fmnteH|dYfI*)~(6Iya z?n!iPLFY1SDOtubTFA`@qd-+SQAs&lo|s3s_qKe%l3bRxq0O3NFU9`GFhn_{XOoMC zMCINdbO8GJFOp^D$&NQ3M)+i4NfJk9`PpY4f(4tz^N|Wjk$%IyR9+ZzYH4N;OTH^ga8ka-|g|9{i5abmKv^ zN0t?FdVMYvt6VSkFLoL`w*46=-O6}uqc^Y}9DT4}#L0?K-^@=O47$UXO_ZT)FQl4e zWv=G#Z>CxU0klG|P`XxgB6Ut+HJ^r1z{i6C9_>_1x+UOX>8_0ViG}wMJsZ;rHd(E3vnn?=UmLDpZ#^5px(KayVod}RQ8K!m^6e0O9M?DCF9U|@>HXtH2V9&bm6!~aYl zcNvk&dE>z1C(mM&)=1Gv%m`g?N+#(@qjz^g4a0k|3@PI6Tt6)sl=zoN9-)IInDCzL zX^xfv%wIh$r_~$A(#;M7b?;kpt4;r|3d!9fUlen_SF>hY#Do!9kr&x?) zvkd;KiQjAadQg3EY-MX^SumiVO6kbsfuq)YepaYIMc4(A$l@QKIlx^h;*a5psfqE; zQLEEx6Mz04W}`yhni7n3Mc2T_iwK?wZSivgQNBq-q?$O5Og+%()%`vU=c@|SYo=vf zr|^vzcG5Sc$*!*!6`3eBc1&_P7}y!R`!5xa5@>ay9q62<5)N6WCpYH)Ze+o zP#-v3D}um(&fsl`_w)GjD1aAI7G!`$)l}=)r`;C=6<`P_eEi?$ljt4s{K({GXXI`8 zHPb&g&vAgIGV8adgyd@9?Tt>6W0UPonfl>VqUVGV%WqwIiEzYrh=`?B?4EjD z9+gU5-X@(_A=j|~?T^rVAcRoB%_)echrv@ynHVk~+DV;21>OHKhubp>k45T(V;j^= zji{~P;%38hw?O7p&3G6p<0`Mr2A3c7e2rop4`LuMi@ExWBQsn$ zN8!1`yN4!b=ww!gC@GW0>4QC0)f;gfQZm0-O0J9C%$0Ab0(t2v@dP^2J&VO1?Xr}y z(_?(Z4L_0W`4<04`1RfCp3lp!B|nbUbddw0M-trj$V-$qe8V^S^Y)JgBrRm+WUUdr z4r|fK9{u0~RM1LXBDM7!P|kCSk0eJ#WVSr0)#zf% zeMt(9UXzz)B=yGDQ6l9NB1m1B{8E`?&7MgIXAWjNngV%I{$~w)wKZ&gYXekDdYUMg%WT_IGiM&aS)l5{djhgN zqKNnRn}m)O!mDhtU<_(+S4gkRr|wQ1LX)q{AH2Lyjx z$Quo)>hwXR@?y%Zef3M8ukClpdm{+{IL~LCr7t*s*}n9~1T_zZ4itM&0FF87ey2@u zZwgO>D#anT$m~3~B#~$9$JN54$W7a`7KVF3Muzg@SXg$csF)F?7vw%i!8tADT-RI(l%;{#!-JMX?XUKY15N4PTXt z5=fWyz+bNjPxe&DL}_G-%k6eZg|=O{8(4Ry9fVk)6?&(MFO1ZFfMnT*)=f^ zf*^kV$1Bp_~o4T&A zd8(sjo<#^ol^&T45Xv;*<;l%oObqPRp@UiEhhN`NI0lpIUrP^$jt*1iy%NA09{nOV zdM~Ruf$K#@5%OX1w0Q9-Y=w!NT_3oVtV}zXIpS&o^O6@Pt@dGSXc9*AzKMRu=|)wO z!SsofP4<0|>=bKOIqz^i_-Ti&PCfqbKgY3iTtaymcy6#7A8SJD+$~XIKwl%5&jMS= z@WA^(AhOpRO_3$-?XX7OxDo{Y#9zKNf!m~z8{!o!&6P{=98@HD<|jdsHmb=bM6R`u z36f%3!`~N!0vg&zjhcy~c0u zl3Z>jU6BpjjoQ&`A8KVIvMpO1v<5sIbs;fY_B$anXBltnn>$mB!;)*Q`1imBgx z&YJ9_&_?vc^uZS7FMd8_7ID>B-a}w{?*k0w3l_C=u5@4tYi$Q0mnAXf)xRT|Xz8y` z?WAp|MMY3%4+7h|nsMDDODyQVhKsjJ$W{)ewsOa%ywlyivq;K)Y`JiQh-MTp)|p&mU&8haiA zz4DfqP-q7tF`5sMCw-EWW#N2N%tU|iFycytKnE)^)I4(#A#$!3A#=jyO8!v8u=B^d z(baI3MwWhAp!pmO?1Uu!=tSdm#AvV28~Sc+A_B3XWI}C5nmx3g;45z8wFm!?B@COo zliYm@RLBEVOtBYA>7T83Z7`K`x{0hJFCS_ojoFW4lu^L|84rvEmj+%Hul~p5Mt2F) zfH&?-@4xvY$tG2vW76|r)tWf<0fL=|W}?X9x|*oS?dIybOr)Mgnj|x8k)HI>x@|KC_OkR9$tK&KK z+;%WRAQ>Zs2K}Ek-hBD~NGEin@mfV#l+TP1YO-6MjxE{zk8eDL5`|jrjm-iWkt%hQ zo9&`X<;tm+8mT(Weh8hAw>`sxKAd{6r|PttE@WK)@r%F#Btb3G<`m@n`RP|St+xjO zjBT>8)8yt`eNND`9Q){HqFeylwUfwS9WHSVZ-FcH%nPuftwkLN^kQpa9O~C&Yw6Q^ z^MmOVUt6=Lc-m&M)n4RG32`DnZVdBZltQxCvuo{vZ%Im_u_6_Uq}+!j<}vL(?n-CsCaOTqc=FT@ zpdX3N8yu}==UD{LHukK0p@Z9Rl^{4B*c#?knRs0i=ZjFL06zKJ&<&^7YH}0R=1-p9 zBBnT|(RXghn3MP!h7hlP40k=+H@Yhe5c(@j!>XC*M;QLRLw(#QW%hAL5+)8EAJ#RD zx-BP>?cecC+e-}*+`h`FMS*Dy_pMC4U%m33DS{bsyeVce{~SW-tm{+Rn%zG2H9k4Q zeDebyR(@2T*d*_V`m0zooL0R4i#!HZS0FA$>$hPI`CU2RT8lkgtsOrOG04{c-f_iB z$beWrGi_f*>i=ATbz{6i*Cshpy7Cg8VcQ{~$%*e8@uqYWkrCnADZG(x{lqlvy7B8f z!p&8W%(C?TfyZ-1t>h(kDJ3@~_O*$bS)BO^Gu-AOEpsoImi3UHjw2d%J~_%Hb$ zWO9t;uANqSf^Ci7>6)3~tGPm;Qwt>|6TNrSwHk-6V}rzPI&SHy_X_8f{DFx|c{0}v z(;p2Q$2JzN6otSdvjV>;E?nDE4cFryw|-MeHuivH45nnR?qekRxxyN$$3feqrVpZ} z`IN81;FfL*uEspx@(9Lw>w2x-5xEC15eC77Cia`VY{4E!{aG|nN&IV z>pSpP5&4@vfFUZqEgpZ-43A=%+RSr{d$d47>LOd+6_<8RxJ zR?h7^Hc5xpOa=FSdrlE&Lw|L5WU;QV62j!E%?naaV=vrn3{)FDGqZy0GrIUXH2GVZ z9UoOnIs$#yyGJI1vIG)a6aUAr@YRk4oqpFQ>T5?TrKBE*Q~~q(JJ(fg@Rm?(^0RA4 zTb>EVgPh~b$*{<0E>yVLIR0n($)Qf*Si@~j;YP!n6Q>V6o-gujrtL3>tNY(UP;LZK z`g#(~$sBjyQ-$sC!1&2iALUjD2vWf4gXXvqbdF2D3C+4kE{q>~YWxpQno}I>j2jVe zi~xkr9gkp5p~B8^P?{Cil0p5uMqh7togxl`K3K_ouqc*LDb=A@Pa~De>q zJ(UaH_bPI(DkPupslfHM)X>7SlOwHCX2%nttIE9qrS9hTLx2x$;`)wLbKaUKm5lRc z>E6KBM8huV<7UbSRasbFS3ol5KZ!Iu@#q*s1tVQZmzc?8fKfMtwq9$;;lKVOv+8TA zId!cw-Z`ZQQ@Oa4*@Z4(y00glP8smuf68a7mH9#qt;`PNEiawfh)3&Oe*CZyaK+S$ zQhO^GV9~(7dhMXEG5cEHO=Rp3WL&Q`7m_f3=P_;a*e1n?9a3<6UZQMlPSHcJIvam= zcXAIQ;eAdo8m*PZi-#J6)Zk@=wfGT)1%MFaKB?!M#lo)1_Tqs?X3ShqbuLKt^_iS0 zDMWHFF`sFim`vMD?Rp4f;8}%kGH(^wrMpnCQUNue*;nhwK6GwKZu2uvl_pIkzj|C1 zC)YY47vleV>)AS&ta-(W%^Sj649hE{qIcQY!fW3vXCkT_H9~5BEpB}!Hi>cJOXB`QkC+7Iev<)c7pvc%NW8bxzj*J$O2Ny z0rJB)Do>y9BV&TssMNn+KiKghG|lj(Wcs?!kv9sfLzS$*i9CXUyw4R1#%}nY!qtp~ z#FaVv50S3bPx^pBP!UTZp#I(=|Ffz5W^bHiQgEcf+EfgW)<>{i{~Vxoq`qtL1x&W1~1xVQj<;)g9N;Z{w0YJ)9&#hZUfRvTlCy|und5kDI zJuh3;mYnX_u_W8~W87)Gr=zwtwmZ(Y_;dgs92|V#ch33!@aOvwN({Y^S3N;Y$aE8{ zuOjdCnum{er}{+cpo?S(F%wrnk%9Sm{9?NtY!$e^R)1{9(_lG+xjh0S#mTgk{Iq3T zP;SK}SnaS3s*W|kjBx2_y^yb+68Ny$d$-as_~dkJLeO|9k>bTyIwpiqTOpCB)xnSd zb4Tmju@zt(a*R^~$@HJZL7+aN*hsInJ|lOC8-&P?KWah@8}kgnY26DS*id>@k_Hg>Q8ypYSIJ z-3WiNa6;h76#7cjbzq)P;rMySX0m^yUS9DGtQ3mj{b_*ddq3m!>c`0?TQiH=3Bd~S zy*IWT6#`Y8i}0K=%AXU@ZygqafD!XM>n5lT-HBcK z{NJS_iFn^_13qZ;438tD`E`#BZ!ZXw?PU(+sL>3|r+RO;6#;@^y#|X8^7=nZv1@HJ z?86Z}#AOJLhvl4aj11qdteawZD#5t6RlRGFH~;{;stlb`NH*74H?i(aLXmfLCD(eD z!%TQAwo(|hPp~{^Mwq)TTL0}N@CpQ2DL#P2WT;m;d3B+$;Zp^&L!^T#X-nGp5wTHU*=Fep&>+BS+%CD%T*g~(h# zZZmkLrH~(B75gw`UG=BNm>EY`7VVuKK9g|HEyA!(3R^`E=?8gVJjFmWo~nLmbdcOeOQRx>nck} zN`+SsgSAO$xn-oz&YGV^r>m4QtM%6>epKZK3PI^;{=XiCb#qn zgx7{*C=t$i&QFK0Iht;2qE`RtCl2yHnjPyiwaHts$XzaQdc9hUj1Mol-C23KMK$z} zsldmgCUn{9-qX3dSnD~Bs!HFQwd7hOtOLyo8NM+ITMz6w7y+Pka)easHVBT z&tSHYj^%1S?*+Ho4kh8Jb=d}ZN8-f`YYRM%BN-?-_4anj;1qP-o1Pd&mA+d&DLfLd zZ0IeOk1)mA`24B^=!M^GCLGh?S{J~$4%1}JNp_i5s87qWN=4Z!QNZTn0nB#&t z64EjFWt*<9W`{QbJTX;S)cQ#=edSlG?kZ7B5o7d@sV11B0g7xuq;(~f5#NLwU#zN3 z#fD0?2v26vzz4}(B^zznGFnFH-g|mkI@&~tX}^rBKaO)apZmM<93X!y1yZ|GHNuPuO(> zx-ffk+V2@n1p&=Z532FSwepd5qfenmV%UnxgrtLQ6GFm0viQbb6QJm+ft>p3NqP8Q3HQ;ZrfHN}P zh)IGiD(HdnsB&l(suqs=1_tBtQGh*0zvn}km+hTMYRZjSQF;^P0aE0mi`nFyxl#rQ zY_I|0Bg00pRIX_2>UbDhxuq!-6V+bLK$wDd)boDT4!wg&oUvr{74 zen>>2HDl!Dddq+XS~C+FreYQ({ns|aXb!+rF#bz}A7~-~BH!?^Nv{}qp9z2~K0Vzk zh`l#GRbnSQ1(=`)K*427Wl1>S@=Ww<_oI(cyj`Q++~1v10NoRV_v(PADH{2%gca3; z&0^6p(IRUM1m?%Mr=hZiUMPiLYDzClv$&g5EnDeO*tC)#76UqN123;6%>N1Je z*rnE*9ZJzzE+XRE+-;K!HX92ejuTV8TLm-2L6^Kv4CKZ7yNJx&@x3M z7z@xq3kes->FokjYO6e9Ufu$vh6kxnIMi|syjqA?JQ4m|R?(p%9QeWgDdD`Q6^(ut z&d?4T%0$g25S$Q-!i4y?yJX-2E?ie{Upm9&t{aes5bbPQiQtQN2rJ9sd#1{o$b|(k z(gh*|M?d%LM%bc)pB-aKo`;V9Q?78(D}D5T`Ud~F%!Do20e>7HevzmWRJ zScp1})xDy>SwtJngixG_kKu`4UqMnA+8u+JXaqNB$B%Y$1{o|Ki%!qJOWV3KSH=VK zz%nA9CcoDVent?BZk8ku+UUYri9=HOHP_UZY|JYb5+e0(Oe54ebEX-HZkniwyk(CN zM5MP-7GGa+jIiC$i6U*$f$)uAl{6x@l1&IKoHOs6kOop$835bx7R&}ctzC%>6dDKK zfyt1}UGxq0s;3zPUOxwqj^da()pyq+wCo{JH8d$a^+BIw!G(eCSPgWB$hC*w zN2fj0&>*YOf0|**G-|Hgwn3oixN8s3e<+YiW@!}==~UI==<3AiVCyhfX`=ZZN0p;w zck)|(=2KVYVGR5M(~C7SEu(im2m-~}Cgiy8q*MISElq2g*jhFv#i2xW$gQ5NSIb=Ax@y6Qw=#w_%1t+c$5Boc@*B+NCm)oLVur!jEE+_(h6L; zqmUd-5EzoXct_WXBwi`^F8r7mra{-KOLtU~FbT(3ENJRyjDZ#x#9ww_V-{IJJ{p&6 z(Z#6@>sCxW@KbKu#(ik%7A(XR#K*se`?RB7_D!Xl9hbx;aiK=n9jpi`S2k3l`*A@h8`ld#Q1MtzZ#Psq$+4zJ z9l7V^TY#THVcR;KEVfiGa4x>&D6kkixKgi{BRFl0;wp*#eQfC9$K#k&&)v5nh#+M_ z>RraB*DScSI7{OvM2QoFNcwdLfYFAb-S8_@V}LIRMU_7}y<=%6DX{&Q%OvBLe(@eo zRFN5nAH%jS$U6~9THVAW=Mp0AA0F5$ zV@hnPZO|1p(VdGICz$DSYpJ7G3IchgeoCM#CY4Mav5yJ3wQ|Qms%28-nP}(3_ojW7 z*0crw^_5y05B>s>pfRxmD!_#mPeCE8ECYsTjYTvb@ zd)>uq=&NawW2yh>+NIf(4Xf(jFT<#kQ%7ZyiwHtBt^y>uzAQ zWa76?oO++*B;m3@rMEj-p3Qfke;D-5G8y5bl_^#km-MB@ra}`54$^e;`{fJmazI88 zZFXORHv45+2-nd$hXgT^^KE{dMPVv~Y5L`DgOc%LvDBJkdPbpyaHwMt*flQ;hBrtl z7{IVK?EOTa%(jnEB01c0)i&2VVx z3pXp!s4;oXRsa<(m6_1s#-bCD<9u{_#h_P|;zT;Z2J4OTSai|sPSgjBQkqbppnYhk zAjKo7kqae4tSGdH%n zlfk9lXfKCwhsfUn1yWx$LlUECfTPe5!NH^mrxrKs$T(3cl(tj=M9iTS7pxIWHbfV_ zGp7@_pBKjKCOcQE7=Sl{Vc4g1=aGKpmGta=vhIvtdNiOwP_-w+Vr6)X)P2{4P6f zC&ZvLy|!ovpkOOBD!?Syw`vfvd%o>ifl-;kBgyHOisRbs5AV4qDMec#xANmesXi+Z zTZVFF3uI=9;KjaH=*_iNlw^B<1ywuQG-JVHbO$6(+6u^5Y7jJCfL4s@OXmd5B8BeK z=AW-Q5TsEY!llz}zKQ8hvC_Wl2c$R_7-c3G#A5wYlKPFWqLqp9d@V96vXgDcQuKIr*N1&-)K^YDrJ&uOsJ#jIJ@YW2>~r#U0XDv z?v!ey0;w%l?V?#SB8%0j(G;n`09Zv}QcBG<6d`}Rh72t8Cq#jm_duy&8RbG9?Y|;gKQ<<~b5cdwcRAvwPghKWU`C4d9L>sLo*U55RieyxM zC|dt`xRXul^%Vd*ez=_AWhfLjkU68WZ92IvB*DNWjN(S4qW*Op?Y+5aA`rsEfs`bK z*Xzi|CP4EV6rMemo1oY9g`}>Yl7*bRUT+%`W6h4mArrw@KFma&;OCBonW2I1B*GG{ z*yN4@U#lCq)}3@RY6Mr>3ZGGQB@gOIs$~9mpc1%17>*2wU{* zO2;M=adnh|Xc&I$3!fNVYr+gjZ`{$2n(k{lefBYlL>IlBGwpyg!vvbM-S50_nW)}y z4@5!TR&xLEPvaz?5_)gfa`hd@R3%XyjVlZ?zY5X1x#SlWN*o7>T?{v}#l^*T6E3Oo zbV4FD-8JEx-kQp#_|cqSw5@rIO!05GJQ+%my`RLcyG19I`y_wqR4Q!9QY?I{FjF^6 z*Zm$*NT&cq8NS-Ad@RuiZ##gIM?`@l4wftYjdi!6>BYl>I3z+!xO}1GwC(H=&tmn! zaCP>FcW_*9|AN0z0Er#dc0ggzHC2KjBPsl_6bJ3O=)2aZpiO0Q_?m%D`$&A!3wCTV z)t`a_@0D7Rad5?8QG;F2a{TCM(B`WC(z0U06aB(KHSpegSrkjNSZ7`7t`($kFRvDO zv{YJ!gR^NUT6HaJ=9%DP3FMu8O#)T;y}RPZ>-tMkOW32G1<~5lp#imlbZo~rcQ;8 zt3Cq{WzgxCTRwp!36khaCO548a+zcZDUG#s9cG!OrtW{3VhU0)0P6Xx%;$FLx~&l0 z2{F!1uDS-K=ci_}DLQyq%JeM(5QLCjLfVf5`f#V{!zUP(m|Ss?bew;)&b7B(yjU1E zEB`e$tngzobZw)1_elZC|2Jhw5v5_Q+H(fYd3P%gEJ*+mCREjaU<+Z zN5c)q6r=iaKD=S6@hWm~TOGD-q4Lql2v5Mh_Z$^ga!!_+{jtD`(d%w|6{ZQ)J{&cN zm`V-e-YI5J>&5zxs+j7LkIu1h)K$~iTBU^*?;s*S+E(a#rTDwN>us* zudVU0fS-!P0#@1TQUgc90g!|Rsmt3M9#5CkxGIQeB)X0*xz&QO*d&UV#$g`s{bU@F zDtTtdgdhOch`vQ6XHJ|OXd7pvjWVjJ$;#g|_-!>>3$g7xq*ytgRPl;Y=6+pod(;_L z;75-%F-vnNy9+0y{pl2opDzoqZRmPgfpIkO0gECb#yI2}zqTPgJ1{%OlD(_-DKVb9 zJuBpA#YnnWt>5r)T^*cy2dWR9v>>S1^G;zTXV2RTfPrjalzh1mE{ycLUa9n{1CpSK zSEvh3Hg~-ib@j%FDJj7zhITA=b)}{$xI$%!P@e^%$C>#ip#LPF5OMWjbq0)r-r1Cc zlj6H^KoFN|M9#Wb2G?!%$T&3PD}k|-xeWz%RDc|5^Sq-gEOh=BZJKV;epgPhIbd2} z+;j{$Y8qmMy>x~p z87^_M*xph&@#uz06gA5Tjb;RehS?Q&&7RyeDUqHS2Q*cE_ejTYH~~=42`Q8JaGQK> zeF3U8Y9~Oc#_fm%U1|9U6E?J=H_KxDnp3)zoVlYCI2DnJQudcEg~hdNoZGTY%i-T=>K~7C;^}bnLI)xkA4VclY{e)@QSz=+rmr;-z!U~1&c$)`hFd_D zt2GEA)8V2;#o%B1?xpcKFZWIjY-n2PUy5rAg~OFsWquS4xp2cg)KTC73sL8=tf1wt zZ0My0khF&XZak@J^^O{)kyu%bY!biv3gb0+_j8U@og?Bc|Kv?h{dCZ`l{A!upaRMT{&Cs~{l#=_pB zqea51zE~dX&Z5B!y!gG2?HrEJlo65}bb2y0a%8o4L#uybvMA&qPKz-`(TayhdpGND z8N#^1j{xZGyW3qxm<(6+z|UB$QZ#k%^)&;hI0$`}i41NkI;hP4ccnOb#e>sAaJ%X& z0)y8-{D7r3qy&)c=1!ygnO?TzHgHZ zS}D9|8Tu+@P`Eo^8{xteNv!mXF}&CJ@%x6BWt&Lw7O5*lPlikcr+D^!Gs0fK=5#+W zoO1%BBJCE$lgLbdL!(B?`PD864%dA<^p;gfi-UIvzIVk)$ieG17$%g1w-h04m~iAh z6K2%#KV^pJH%&5XsknL~XTl(lOfJKyGY85d{hOM~LQt-Lm}j|Ch@pReI()sZrlXB( zH8Ku|i$#iDniBgN2OiH%HwzJo{?s#R#lTEeAY|km7q=p*ms_e3EZwz;sB564yyzi` zbj*X%9{LM|j9~3nK`4f%XV0J}+D4*1GOI7SQ|}W{etVV;7J^>b3;ZpPTgEYwyU=n% zQn=Cn3?kUlGLL6RAp$aB1+1P~Z7MUIzLMIO+m^Z(yT_S1GVgt?7u z1`2!Co^d&9RvaXvWrsg}t#REi)jxh85bk8}!dY1q->#QD9{v2frx19)po*v1E>g~L zH)?Lt0Q|SNQ}?J?KmU$T#nzn~cZ#FVw-gQGf3&5tX0WNyvz)&C;|xL1I3yN`v0CrF zM$vJoak1CX+ktZuOG!Cr#c$i;9Sf&HvKW;GmJRXg;HNF6*nJWQ-)v+F3z5fl@3LPe zqy#Ub#dQ;ubCAkWeaT$;Yp8Db$DryppBn8gpAhNXr!9kJNj?dcJPaO-f9h*6AQvzvL=xRa z({b`lu6|4;KX*11FfsywPnNu)j3DtTI5)TE7U-&9TIpmZL1MEBk-hF#OeCBY!&PN* zJDbLIB!jiR_3k^U8A8wUsh16*Qa8V7`xX*mLYb)LfB}|9YsYA;cn`o~l%n+}DQHuF z&G8vrH*ECE9bL03ZC_1G5*HHV_~4FfWichUxT^BOg|u}zg}P3A$yLGm^Owd*N|@YI zEN`uC;t-CEaP-6|W=G_Vf@*;E&UG{sNAt`4XgrHY#w9?YFul=UH%nWl3%DtZXA^N9 zVbb+h{k4u2-BM8tu)OJl-h#{>v~ z`gfpCF$5bCFgU|hwt4Z35z$KQK(ic||(2PELam^-jhKPqU zTxy`B@!Y{pvseR^_RIw@#Q1J^>bkSN_VDd87`y^w7$d^Pw#q2@^z2*L%6!xhoyLrQ zf%d1Q=#5r^-T}jxAUT|uqvu;*Pdd6{;B)l_K3-aosd0X=EP?vFUBDW%JVc7~pHbo) z_Y?vKBuL4xYMLUC;%GLLyXgQNdeg))H!H_;AD<2uMq>3#31Rr24F!GQ4gh#bil?!< zp^C{y{nHgQXNw7;R7fX-7AZzv|CM4@ynd0x)uS6o>gaWwO!aAmzG*PJ*`I*`nwe}A zc)YT>*|q_dV>$P@0IA@L60yZ3u88rfA%?H{#Q8657^La604X}*480cx z$K|&Th!Uj~+UqO9`zGKF0$N?0O(fZ1EfTe*@QMZ1n~~Vvwu+NH-jhU9;ia+^*URWU zfS($H!ep9bnd*YfUhuT}j)D+TT?wTa6{~-iVm6I5 zg|wX1E_)!5$us%wf=EDWqI-jN#{neIa`3*500EE|0Bo=L3SH2GE5>Bo3&joS6dD*~ zVQ9`#>e=^+D-BPB#djcrqq*3klaR2*?z^!NCB(eLqWFLKP1hopBj4MYiZE)5wvS8r zV!K)?X1N__+At&IucG8e7RW>{PI58ky^oI1^63yiBBml;&K;i)LhN2~wv_KKcc z^Qn^_R{8j6VodE$bk8i+OKYB1EZ~ejB*F=h9l5?Ov!XjhrShv_%RGSwM*EDDRfA(b zF9_sY9;a7rtrrDQFQQ!nS&T>O>NkGEgLzZ!Iov z=-vBN14cRpih?Av0K?V`LU+}cQX!Jj0Yk-q3iqj}X{g(|~VuzqyVpWG0^FuiQ6OSU1qh#3;@(fp?>eMTJU1y1wI~*9#1WMBPgX z5$zXG2voeNyu9f*)=aC5V8_8|N5DXYu5=WZz*+{hsMTjdxr?6fAWRWq`1p5)I2EfM z-SI7pTdUXaAVN$_5M1vp|3O+TT9d10?_C9gXd9+YnK2H7+!*wzi*~M4tkgn-PWROe z5$D6o?M7Q6nT}O7jbqq^9C^zLdJ$#@KpZF`hFwSbwOKT#9jk8kkMaT&km=>Is4)_E zord$N*RUWrSV&pN(;P$rqz936PU*g>gIdtrL3l}w!~vD>z?c%ztkRCkNU?U$z_mhZ zrVi_F@g-4A^2*S_20}X(zrLLnqgrTOAaB$_Mq=v9R9d+1 zD;L@p z5oH>}tLB`qH%l9^cx0_71CfxvvgjaW3}Ov3elFj<#HS7d;LgD?8&e7Weo^z+EJx)> z0OLptkvJN7eaq78Zh=7rZ^CTJ?dM@B^5 z)EB`xVX*b`_h&DH3TBW;?rSi`#}!tNb~O0PGBVi$JW=ZhDbupyU}wepq6~MJ&;w|`q|-Eqx37TWt@EP^HDGmWd z-JhYo;I)c1ZNxfoCfra}aYW9q*(5kX zT)BmCIR{Bib`Sw~LT}v4S=5nRfs=$=mPbc9E`NE=RDz3!?%OhtCuBNw(zLP}T^0Yb z<$6Ojx?a0#cWuIF0FE62!vVo>J1A_B+LbL8(~LsietUyD(_Kc2o*fHXg*Vsg(+k*i zQ}BYIYe_j3%w{f|8U^LtQm042ykmGeyk&4u(NgA{1k8zV*T{qa#Nj2h)V|mKoDPKp zr3Tc!$7Y%z>HGrS!m> zQmi@?<8L&LQ&L~OK%{hYdc%l_LNGvY)!PkADI#M71tya8J{%R_ysvTutX1pfP%?PW z08HCN=%#X5h$3Sg8%Y&!RXj#-d(eeemd)LP5K+PfSUnr3>v}O*`tKhwSF24jPS4*m z6+|FWSY(gIT8d?aK48{u90w58<|oxS>T21M{{G};Kajz5b;^R>pZ|^P!N)i`de_zr zS_gyk9VjGY#R(yFZeY`(2HeI1<6vuc#XhQh^iw>%rSLp!Qt=Vid3A8RROaBwE34gw zFfCf^Cn!;jwT=lgs1_>YPJ0Dh4|X7Dihoz;Ggob%(dKLpqZpE#`8CKB$nage`%PVe zc_QjVqXQAA}WurDLji*e8Qi z;%UGamWSdjf5oF13;<4CrRz4Cb2;{}yWd!j3x~=)9ub1}JfJfy+PCA{R4P|Up@*YL zIyUVilGwN6_HgI79fOpko1TK;fWY=S#lKGpGZRNw0bVXH0T9PCH#&e^VjTmArKAGQ zidf&@oe4w1ReYvXh>~L8UBAW)!oZ@B#6n}?*-_dA{Qk9uZxuuO_M(eK23wXflH#DN z0A%p2X2`F!%#xWiT>G`17Q!XOVQ{P@5qTd|Po$&Mo?>+;mfyBaM3^b3pcCoXTz8RL z75ZL{4?5^w7{@0)x22un!k7{KaNwhJ$%btPWGOlA!x&nsU2M+O7g7*i9u?t}*UDUE zgoUPS0;I9qrH(@A_bh@#O#{(C8fRIdt!aS|#QY8z@wsJodd)1rm?w(~asXQF83%2G zBHUkmMquj=n<&n8Kh|UPvPOXpDMZ4#pUa{$x#hr`r4HY07-mF7L6ILv!@nXUCml7H z9Xg5YNM*;-@>`0dro#wJ#Nn8F-f_JD{WMN~zg>`Xl}gLqF(8N<^bx)AGZrFKM0D~q zg23mR_`J;yT(>n%qYWw}OWZ&~IXh?p`hH@rvJkJ-rtg~u$wB-;&RVL0>Y4qCn&t=| zpPt#W=1e|V&tg+DCnei|pP_A4Jr_3V?TJwu(y9e~eaTZvtQ@lrqz6D)2j^PZOhguw z`MP=4*JidnR40=V|7NavJjvo+pmno`#ADO9TT>tl-Z7zky;_K*_%T+`rbHs_PMo5z z+g5u@yK+~<3BVrMps9}FaIugBwLC30oU7fbOK>yG6&KQCw0PU5HK?B-r5A?%<1$@e zE0YIz{O%Gk4oVKm0{6zIRdNESv*{$8Teky*{(0SCb5r68w%BOFlFSm3U|A495D!gV zcQhRB+m22UB}LR-;uR!O61}Y!5ha4?J)#AxMQ4`~y@%)}2@=sFqC{KKMT_Wl)t9g= z7Q1Yj-}-&uIp24lKjxfyuKT*5xyy6T%$d2ST2Y9{Q&FN?{5>eY7589zt5oIg{4K6guTDeF}#S$!4S#gMKY-DJ{-8a?v$g^t+M zPqzIYZdiS4lpOFYGVsz5P1oa^D8Zg*JFjP)sLiH#Xfh~EtL>a?xu~nOT)FLp#O=7k zck}GTI0b@VeUz9@icsNkWEB!9p9tr!F^TZG+A=eoOOaky`9>-`&e2=R3k)HDQN;h0 z;Dod^?wMHPs4?d8t7p*WQmiO{*m5}t9r*zQ+;;9g0blClZv>=fnliE|C~LA%@1;N{tzJ91 z$>*5`>cn`dQ2o_6Qo{CJ9qXeB#PPDc%`S=0C;7H3rCKMS9tI3Px*3-v_STUO&DHGu z!{Tu!eT{?6HErI67H^QhZ=K6s*o5r(bN-*{no}E`2^}pw@gx4vbe{TFJKq0SfkqcqG8Tzk%(JIS7+&h9&`j!E9%VIyX5UE7VkYzfr2 zC-epjtX29}o(auJYRky_XqmlJ$fISM;eHb>n5G_9zZ$#dK6lL-_ z{Mjg)>?`@%9APFzA1=@M@b$@jbCB~vP3_V5E@o@GDxQ1Ja~+E|f+?CgW4ofMCgi(^ z`!e|HE{oNtZ&CMB%YT#@dx%$_(V#;3r9Ha{A~!yu^96OOp4&%jtt$}vLO#)I{Np(| zmcS6d@+f!cQpsEEmo-KD>ksw$Er0xKNflg^|HnJ#N@?7(DR<3X;5L7=$+Wasw|Z}x zqW$F65W~$ED?K8TmY#T4@$8z`21kKHL|I1jjU_4bduF@%ePr5mS_m@WZWh|+feo7a z3OjAJflT7u1UQ3h4m6=%Y~}TDyUh1*=Far2*s5zC|57JL1}#H){wXO_EKM5AYV^pV zc{9!!pU&jDNs?TLwp?apA^g!4P@m25qKwd82XEHLQ$(S&zwSQic<#3mVP~^RnY;1T zr{JtD{_7WsS))z4tX!;KyX_6TGp3*X!fF3TU%xd&($x9)+N`=tgiha!dKX*=Gewd9 z^O%w=kI#fSmSxDl{~Y}`qb#7@2L0{c@RU6^gz=VPj$W)Ais zsn6F&B{%4;eAIK^ceMR6x_KP|9ca$tO*Z?M{PNL12BlA#t*#U>m@Tw8o5()nFW^o5 z=)|?Qasz^01)ST~cyEjoL?ug*_dZVW@t2i69j5n*Ha$ao!CD-_OkZedca%9ip4at# z+!Su2N93298x~CObAR8pim24gzm2oz?AVUZF&NTLNYbqc>o!@Y=CrC*u%23JrkBl_ zbSdau;H7#@^)iF8aPpHGm-LH@_z&KJOF` zRt!|U`7qP*24mTR*yZuJfz0Y=0!lSH9=|7aPtGd*qNX#gOEcc;p0rR@`(I|uO?5o& z7n#&!oUN?5BbMhy6BN_2=fu*;h#U45zP^QH^5>fA-tDlPlL<-*P?xNh-$VRT#Wlo? z3=!lx%bw7=|Kzn3p9@axx8=?HQ3C1Jhu?2k<{wgj8or)#qv~e3?91^C&bv=R+F(6e z_xmX#*r5#jpYq{rycn&@q=r{pUk51UlItJPTz=XmnPN= zcKp^eE0>4J0zTCE4(sK{<>mE&>QWht^W>tuH3W>Sx@Q&@U{3vl43prVSJ#R>ey~?Z=bri6+>etYt*SVgzWlpJB7H;9L?`A>o|JL?(>}0 zE6|w~N$rl7{lnuA{W&Ga@=v#`q?knFWdrouC_iqSEW}Cp|MqELxFnHId8cSKn&5GH z1c~8Y)C|;nlUYh(%rf|(;|pD%litF8O|HQTvqt?l`(nK}+1ACqUYwc3Od?mV@rd2K z?LE-kxM5LFiFwSvNlB&gCp0Y2_6Mz;Y^kte%WYAj7&mpFQa{Zfpi#4s& zW!UjtW^M<2G4@JJvOg_()puh^!^gsCQ>$_}O}4|k8qql#+?Olzm2Rex>QrjYCEz^W zun8%8`aTLk%j8&2*t2#jXUtQllkIdRref3bAY%lRD<_=K6Max&n{V~k+%|%H?E}_b zBG!HWP5G}FX@<-nrB-uBX$J{Z+942yE&gWqU*6%0()Uv`a=#YZhmv0??nL}3J9Dyq z{+O+PxM+xx-IeN{@-{EbQCjz!_*g=%=Z)qL-{?fDazQ^2(Xb{4zZwl^dOo9fjn@kX zgGKd|dkd>n{BKNU>QuCF$y>;Z#u{_9tLj7ztl5BK7%Y@3a{5c7xVcLIiWa)N&W*c{ zr`Q(CW&0&*-k0m8-n$bZ9A&YU|9Q8@X+q@nr0+pzOrC~#D4R&sRQ-1!+{kIjpxRoj zV-_u?aMg_X#Bb{#&D$0hxja8FKmUfVamvuscpwt5i*UxU^0us9*NzLRG?{;Td4Ba8 zp2!<0#MppJjhWwpHK2L#H<1i1?mXKb3Tznn^`gn}MGC#|SN;CvFVbH^kWGmu1v^q;9gy@@8f+g{J#17Tf_@KfKw(;1DPsoirCV zX=172b1zdRgpSWubSXcP>lsT@X^GZSm}5Zn3awX#VeC0rrP;wv&S1j}SJT}uAAs5@ zDD0#d>*e013Gm%vdTG!|*D8T8XUXrKnfMDxw5V{u!ff4|cmmfP$#ivq6h1U`OOuFb@kMquD9OQ zp*uKI;Vxc`e7rRA`S>7%%3z+D9+=_CdG``=pCf{GyVJ|eFq+T8K=Kml>?QC~A$1@A z41%LpNd2{a>)gN>1%=V_N)0*SpR;&@>0#Fp76(oML}Dt|vz7$;LIt_cw_<~Zw~u~2U0v$i=#Gd$FvVpD7>2oJYt4@wKm&?2 z;Z1&fQ>3?2eNYl=dbV|rK`C-%>D3BS_fn{?w!ypar|&ug7^jzNu!d)k+56B#V>-a@ z+eO#wx&nMFeFekOWC68@Ly}^UP`3P1Z=H zdb!um>kiv3w-lnxIWXchTRRh+=ZHuq0b*djOG5Mtc;TY?Z0sgP_RK+5i9Q~BC6wVD zUIIQ|!OBsIhgSfx_^4JCQeO-E8L<$IeYtf<9=EUyHzL*|mLVRpH&H|dIOPQZE*mVX zD84s78mWB#!>U#JH~i?ZenBqSbnmN~Vk&hH)s@34o?vSY6}N7bOedDhyLGUAOuhum z)f}pfhLX^YKyVXaJJz}ov@kO2$C4RK!G`lx<%#H^A|ijkE&f^w_}?a+6I%nd$7Xgu zwxZx}spn%mZDUr;do2MhJ+(7P@)49{hqN2}0xu54qZ^h2vOu(&W7!mkRkV|s{j{YG zs?bTe8was{es0%)uOa+&6OvAl0AC5?WJNxEGdnU0rSfZvY%>d%#fLKYxR|gNo3!pt z0Za2&m!wOI_3`9P*Anqn?6bEh4*&d@b{@*nVisJ>jBHQwT5AhExqXmCSj;Z2BeQm3 zoK#WBfTe7pYEzu*!&c?iBm%?=P#Kjx$^uS);ukIk@YWNeM{lQ`M_8v*3VMRE>u4Jz zK}vw#x^o}|KfdtQ4huh!1RzlE@L%hoE#$;J@Uj(8SaVB3dMe_yfv_bYbs0)*0jN^X z0H1ycj6gVCw+qq1(-j?^^UXk5QjqQ8#Z~YCfE-=4ivHnjtE% zA}kJn!HM5Wk3LwD-nM9v(xTq?-jB< za$*WAp9|nafo+ZmpWo{*1l+DpW3YfU^c2sVcQ3kat-3> zA!H^w^QU)uI@-h`?5wFBL+6z8&E)fNoeOiE`RV^R*C;2O!vw*n{1asSML)JRAFW`M z75|UvzcXYee3~2CE1ZK>_;@AoV8|;LL^vz;9pgJG&LS4MY;@Nj0gnj;z7l8biz$3~vhc~n6G-5=M0stHb@J%7z@q{8$cZ4b*LmUT!qUezZNq!sQ8el6$ zMAGz_FDLcwtb^t>7V>VR}f^FkXLfAbSs3 z!(l!QMs6*suu!d0yiiAM@*XX6qvVGUnic`CQ1uW&FrR9naqA8&G0fy|@sh*>x>)D8yowa;GYj6^hkGXfYj7G8hGjouRSMkrieYUtEWj^ zD;9HeepF$)D3lQTcBzZUmV+u`VgG)+YwqSl?g2QI;;_02BLU{C;XiJEt0anWy}mX! z0(j}Jz}yLdDA<;?XCrhx)Cqp?5L667lPf+7Imhv{;-a$4$rn%u?DYLlHREfc zC~^SEd!N^>sSC8!5e6pvS1~k`T$i<~EO6wjTYF@HtPnW{;aBR&WlvtIO6+Vl)@d7{ J>(NQG{SR)pN)`YB literal 0 HcmV?d00001 From 691a7eeea7fe13f86e6ac98bc95982a5e0105327 Mon Sep 17 00:00:00 2001 From: tildearrow Date: Sun, 9 Oct 2022 12:46:21 -0500 Subject: [PATCH 04/26] unblip-ize the T6W28 code --- src/engine/platform/sound/t6w28/T6W28_Apu.cpp | 46 ++++++++++--------- src/engine/platform/sound/t6w28/T6W28_Apu.h | 19 +++++--- src/engine/platform/sound/t6w28/T6W28_Oscs.h | 1 + 3 files changed, 39 insertions(+), 27 deletions(-) diff --git a/src/engine/platform/sound/t6w28/T6W28_Apu.cpp b/src/engine/platform/sound/t6w28/T6W28_Apu.cpp index 110bb5ae..7085fcc0 100644 --- a/src/engine/platform/sound/t6w28/T6W28_Apu.cpp +++ b/src/engine/platform/sound/t6w28/T6W28_Apu.cpp @@ -1,6 +1,8 @@ // T6W28_Snd_Emu #include "T6W28_Apu.h" +#include +#include #undef require #define require( expr ) assert( expr ) @@ -21,6 +23,10 @@ Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA */ namespace MDFN_IEN_NGP { +void fakeBufOffset(int time, int delta, Fake_Buffer* buf) { + buf->curValue+=delta; +} + T6W28_Osc::T6W28_Osc() { outputs [0] = NULL; // always stays NULL @@ -41,7 +47,7 @@ void T6W28_Osc::reset() // T6W28_Square -blip_inline void T6W28_Square::reset() +void T6W28_Square::reset() { period = 0; phase = 0; @@ -55,13 +61,13 @@ void T6W28_Square::run( sms_time_t time, sms_time_t end_time ) // ignore 16kHz and higher if ( last_amp_left ) { - synth->offset( time, -last_amp_left, outputs[2] ); + fakeBufOffset( time, -last_amp_left, outputs[2] ); last_amp_left = 0; } if ( last_amp_right ) { - synth->offset( time, -last_amp_right, outputs[1] ); + fakeBufOffset( time, -last_amp_right, outputs[1] ); last_amp_right = 0; } @@ -90,21 +96,21 @@ void T6W28_Square::run( sms_time_t time, sms_time_t end_time ) if ( delta_left ) { last_amp_left = amp_left; - synth->offset( time, delta_left, outputs[2] ); + fakeBufOffset( time, delta_left, outputs[2] ); } if ( delta_right ) { last_amp_right = amp_right; - synth->offset( time, delta_right, outputs[1] ); + fakeBufOffset( time, delta_right, outputs[1] ); } } time += delay; if ( time < end_time ) { - Blip_Buffer* const output_left = this->outputs[2]; - Blip_Buffer* const output_right = this->outputs[1]; + Fake_Buffer* const output_left = this->outputs[2]; + Fake_Buffer* const output_right = this->outputs[1]; int delta_left = amp_left * 2; int delta_right = amp_right * 2; @@ -113,8 +119,8 @@ void T6W28_Square::run( sms_time_t time, sms_time_t end_time ) delta_left = -delta_left; delta_right = -delta_right; - synth->offset_inline( time, delta_left, output_left ); - synth->offset_inline( time, delta_right, output_right ); + fakeBufOffset( time, delta_left, output_left ); + fakeBufOffset( time, delta_right, output_right ); time += period; phase ^= 1; } @@ -131,7 +137,7 @@ void T6W28_Square::run( sms_time_t time, sms_time_t end_time ) static const int noise_periods [3] = { 0x100, 0x200, 0x400 }; -blip_inline void T6W28_Noise::reset() +void T6W28_Noise::reset() { period = &noise_periods [0]; shifter = 0x4000; @@ -158,13 +164,13 @@ void T6W28_Noise::run( sms_time_t time, sms_time_t end_time ) if ( delta_left ) { last_amp_left = amp_left; - synth.offset( time, delta_left, outputs[2] ); + fakeBufOffset( time, delta_left, outputs[2] ); } if ( delta_right ) { last_amp_right = amp_right; - synth.offset( time, delta_right, outputs[1] ); + fakeBufOffset( time, delta_right, outputs[1] ); } } @@ -175,8 +181,8 @@ void T6W28_Noise::run( sms_time_t time, sms_time_t end_time ) if ( time < end_time ) { - Blip_Buffer* const output_left = this->outputs[2]; - Blip_Buffer* const output_right = this->outputs[1]; + Fake_Buffer* const output_left = this->outputs[2]; + Fake_Buffer* const output_right = this->outputs[1]; unsigned l_shifter = this->shifter; int delta_left = amp_left * 2; @@ -193,10 +199,10 @@ void T6W28_Noise::run( sms_time_t time, sms_time_t end_time ) if ( changed ) { delta_left = -delta_left; - synth.offset_inline( time, delta_left, output_left ); + fakeBufOffset( time, delta_left, output_left ); delta_right = -delta_right; - synth.offset_inline( time, delta_right, output_right ); + fakeBufOffset( time, delta_right, output_right ); } time += l_period; } @@ -215,12 +221,10 @@ T6W28_Apu::T6W28_Apu() { for ( int i = 0; i < 3; i++ ) { - squares [i].synth = &square_synth; oscs [i] = &squares [i]; } oscs [3] = &noise; - volume( 1.0 ); reset(); } @@ -229,9 +233,9 @@ T6W28_Apu::~T6W28_Apu() } -void T6W28_Apu::osc_output( int index, Blip_Buffer* center, Blip_Buffer* left, Blip_Buffer* right ) +void T6W28_Apu::osc_output( int index, Fake_Buffer* center, Fake_Buffer* left, Fake_Buffer* right ) { - require( (unsigned) index < osc_count ); + require( (unsigned int) index < osc_count ); require( (center && left && right) || (!center && !left && !right) ); T6W28_Osc& osc = *oscs [index]; osc.outputs [1] = right; @@ -239,7 +243,7 @@ void T6W28_Apu::osc_output( int index, Blip_Buffer* center, Blip_Buffer* left, B osc.outputs [3] = center; } -void T6W28_Apu::output( Blip_Buffer* center, Blip_Buffer* left, Blip_Buffer* right ) +void T6W28_Apu::output( Fake_Buffer* center, Fake_Buffer* left, Fake_Buffer* right ) { for ( int i = 0; i < osc_count; i++ ) osc_output( i, center, left, right ); diff --git a/src/engine/platform/sound/t6w28/T6W28_Apu.h b/src/engine/platform/sound/t6w28/T6W28_Apu.h index e783fa81..2fb80224 100644 --- a/src/engine/platform/sound/t6w28/T6W28_Apu.h +++ b/src/engine/platform/sound/t6w28/T6W28_Apu.h @@ -8,6 +8,13 @@ namespace MDFN_IEN_NGP typedef long sms_time_t; // clock cycle count +struct Fake_Buffer { + int curValue; + Fake_Buffer(): + curValue(0) {} +}; + + } #include "T6W28_Oscs.h" @@ -38,15 +45,15 @@ public: // Assign all oscillator outputs to specified buffer(s). If buffer // is NULL, silences all oscillators. - void output( Blip_Buffer* mono ); - void output( Blip_Buffer* center, Blip_Buffer* left, Blip_Buffer* right ); + void output( Fake_Buffer* mono ); + void output( Fake_Buffer* center, Fake_Buffer* left, Fake_Buffer* right ); // Assign single oscillator output to buffer(s). Valid indicies are 0 to 3, // which refer to Square 1, Square 2, Square 3, and Noise. If buffer is NULL, // silences oscillator. enum { osc_count = 4 }; - void osc_output( int index, Blip_Buffer* mono ); - void osc_output( int index, Blip_Buffer* center, Blip_Buffer* left, Blip_Buffer* right ); + void osc_output( int index, Fake_Buffer* mono ); + void osc_output( int index, Fake_Buffer* center, Fake_Buffer* left, Fake_Buffer* right ); // Reset oscillators and internal state void reset(); @@ -79,9 +86,9 @@ private: void run_until( sms_time_t ); }; -inline void T6W28_Apu::output( Blip_Buffer* b ) { output( b, b, b ); } +inline void T6W28_Apu::output( Fake_Buffer* b ) { output( b, b, b ); } -inline void T6W28_Apu::osc_output( int i, Blip_Buffer* b ) { osc_output( i, b, b, b ); } +inline void T6W28_Apu::osc_output( int i, Fake_Buffer* b ) { osc_output( i, b, b, b ); } } diff --git a/src/engine/platform/sound/t6w28/T6W28_Oscs.h b/src/engine/platform/sound/t6w28/T6W28_Oscs.h index 876b3ed1..d31f09da 100644 --- a/src/engine/platform/sound/t6w28/T6W28_Oscs.h +++ b/src/engine/platform/sound/t6w28/T6W28_Oscs.h @@ -11,6 +11,7 @@ namespace MDFN_IEN_NGP struct T6W28_Osc { + Fake_Buffer* outputs[4]; int output_select; int delay; From 744f897e4fbcdbe92764e0a0f5b73bb22e8bbd2c Mon Sep 17 00:00:00 2001 From: tildearrow Date: Sun, 9 Oct 2022 15:18:41 -0500 Subject: [PATCH 05/26] Virtual Boy: clean-up --- src/engine/platform/vb.cpp | 167 ++----------------------------------- src/engine/platform/vb.h | 14 ++-- 2 files changed, 12 insertions(+), 169 deletions(-) diff --git a/src/engine/platform/vb.cpp b/src/engine/platform/vb.cpp index e3d5a6a0..d42a5c2b 100644 --- a/src/engine/platform/vb.cpp +++ b/src/engine/platform/vb.cpp @@ -93,46 +93,11 @@ const char** DivPlatformVB::getRegisterSheet() { void DivPlatformVB::acquire(short* bufL, short* bufR, size_t start, size_t len) { for (size_t h=start; hrate) { - DivSample* s=parent->getSample(chan[i].dacSample); - if (s->samples<=0) { - chan[i].dacSample=-1; - continue; - } - chWrite(i,0x07,0); - signed char dacData=((signed char)((unsigned char)s->data8[chan[i].dacPos]^0x80))>>3; - chan[i].dacOut=CLAMP(dacData,-16,15); - if (!isMuted[i]) { - chWrite(i,0x04,parent->song.disableSampleMacro?0xdf:(0xc0|chan[i].outVol)); - chWrite(i,0x06,chan[i].dacOut&0x1f); - } else { - chWrite(i,0x04,0xc0); - chWrite(i,0x06,0x10); - } - chan[i].dacPos++; - if (s->isLoopable() && chan[i].dacPos>=(unsigned int)s->loopEnd) { - chan[i].dacPos=s->loopStart; - } else if (chan[i].dacPos>=s->samples) { - chan[i].dacSample=-1; - } - chan[i].dacPeriod-=rate; - } - } - } - */ - - // VB part cycles=0; while (!writes.empty()) { QueuedWrite w=writes.front(); vb->Write(cycles,w.addr,w.val); - regPool[w.addr]=w.val; - //cycles+=2; + regPool[w.addr>>2]=w.val; writes.pop(); } vb->EndFrame(16); @@ -158,10 +123,6 @@ void DivPlatformVB::acquire(short* bufL, short* bufR, size_t start, size_t len) void DivPlatformVB::updateWave(int ch) { if (ch>=5) return; - if (chan[ch].pcm) { - chan[ch].deferredWaveUpdate=true; - return; - } for (int i=0; i<32; i++) { rWrite((ch<<7)+(i<<2),chan[ch].ws.output[i]); //chWrite(ch,0x06,chan[ch].ws.output[(i+chan[ch].antiClickWavePos)&31]); @@ -175,11 +136,6 @@ void DivPlatformVB::updateWave(int ch) { } } -// TODO: in octave 6 the noise table changes to a tonal one -static unsigned char noiseFreq[12]={ - 4,13,15,18,21,23,25,27,29,31,0,2 -}; - void DivPlatformVB::tick(bool sysTick) { for (int i=0; i<6; i++) { // anti-click @@ -192,29 +148,15 @@ void DivPlatformVB::tick(bool sysTick) { chan[i].std.next(); if (chan[i].std.vol.had) { chan[i].outVol=VOL_SCALE_LINEAR(chan[i].vol&15,MIN(15,chan[i].std.vol.val),15); - if (chan[i].furnaceDac && chan[i].pcm) { - // ignore for now - } else { - chWrite(i,0x04,chan[i].outVol<<4); - } - } - if (chan[i].std.duty.had && i>=4) { - chan[i].noise=chan[i].std.duty.val; - chan[i].freqChanged=true; - int noiseSeek=chan[i].note; - if (noiseSeek<0) noiseSeek=0; - chWrite(i,0x07,chan[i].noise?(0x80|(parent->song.properNoiseLayout?(noiseSeek&31):noiseFreq[noiseSeek%12])):0); + chWrite(i,0x04,chan[i].outVol<<4); } if (chan[i].std.arp.had) { if (!chan[i].inPorta) { - int noiseSeek=parent->calcArp(chan[i].note,chan[i].std.arp.val); - chan[i].baseFreq=NOTE_PERIODIC(noiseSeek); - if (noiseSeek<0) noiseSeek=0; - chWrite(i,0x07,chan[i].noise?(0x80|(parent->song.properNoiseLayout?(noiseSeek&31):noiseFreq[noiseSeek%12])):0); + chan[i].baseFreq=NOTE_PERIODIC(parent->calcArp(chan[i].note,chan[i].std.arp.val)); } chan[i].freqChanged=true; } - if (chan[i].std.wave.had && !chan[i].pcm) { + if (chan[i].std.wave.had) { if (chan[i].wave!=chan[i].std.wave.val || chan[i].ws.activeChanged()) { chan[i].wave=chan[i].std.wave.val; chan[i].ws.changeWave1(chan[i].wave); @@ -242,15 +184,6 @@ void DivPlatformVB::tick(bool sysTick) { chan[i].freqChanged=true; } if (chan[i].std.phaseReset.had && chan[i].std.phaseReset.val==1) { - if (chan[i].furnaceDac && chan[i].pcm) { - if (chan[i].active && chan[i].dacSample>=0 && chan[i].dacSamplesong.sampleLen) { - chan[i].dacPos=0; - chan[i].dacPeriod=0; - //chWrite(i,0x04,parent->song.disableSampleMacro?0xdf:(0xc0|chan[i].vol)); - addWrite(0xffff0000+(i<<8),chan[i].dacSample); - chan[i].keyOn=true; - } - } chan[i].antiClickWavePos=0; chan[i].antiClickPeriodCount=0; } @@ -260,21 +193,7 @@ void DivPlatformVB::tick(bool sysTick) { } } if (chan[i].freqChanged || chan[i].keyOn || chan[i].keyOff) { - //DivInstrument* ins=parent->getIns(chan[i].ins,DIV_INS_PCE); chan[i].freq=parent->calcFreq(chan[i].baseFreq,chan[i].pitch,true,0,chan[i].pitch2,chipClock,CHIP_DIVIDER); - if (chan[i].furnaceDac && chan[i].pcm) { - double off=1.0; - if (chan[i].dacSample>=0 && chan[i].dacSamplesong.sampleLen) { - DivSample* s=parent->getSample(chan[i].dacSample); - if (s->centerRate<1) { - off=1.0; - } else { - off=8363.0/(double)s->centerRate; - } - } - chan[i].dacRate=((double)chipClock/2)/MAX(1,off*chan[i].freq); - if (dumpWrites) addWrite(0xffff0001+(i<<8),chan[i].dacRate); - } if (chan[i].freq<1) chan[i].freq=1; if (chan[i].freq>2047) chan[i].freq=2047; chan[i].freq=2048-chan[i].freq; @@ -296,64 +215,6 @@ int DivPlatformVB::dispatch(DivCommand c) { switch (c.cmd) { case DIV_CMD_NOTE_ON: { DivInstrument* ins=parent->getIns(chan[c.chan].ins,DIV_INS_PCE); - chan[c.chan].macroVolMul=ins->type==DIV_INS_AMIGA?64:31; - if (ins->type==DIV_INS_AMIGA || ins->amiga.useSample) { - chan[c.chan].pcm=true; - } else if (chan[c.chan].furnaceDac) { - chan[c.chan].pcm=false; - } - if (chan[c.chan].pcm) { - if (ins->type==DIV_INS_AMIGA || ins->amiga.useSample) { - chan[c.chan].furnaceDac=true; - if (skipRegisterWrites) break; - chan[c.chan].dacSample=ins->amiga.getSample(c.value); - if (chan[c.chan].dacSample<0 || chan[c.chan].dacSample>=parent->song.sampleLen) { - chan[c.chan].dacSample=-1; - if (dumpWrites) addWrite(0xffff0002+(c.chan<<8),0); - break; - } else { - if (dumpWrites) { - //chWrite(c.chan,0x04,parent->song.disableSampleMacro?0xdf:(0xc0|chan[c.chan].vol)); - addWrite(0xffff0000+(c.chan<<8),chan[c.chan].dacSample); - } - } - chan[c.chan].dacPos=0; - chan[c.chan].dacPeriod=0; - if (c.value!=DIV_NOTE_NULL) { - chan[c.chan].baseFreq=NOTE_PERIODIC(c.value); - chan[c.chan].freqChanged=true; - chan[c.chan].note=c.value; - } - chan[c.chan].active=true; - chan[c.chan].macroInit(ins); - if (!parent->song.brokenOutVol && !chan[c.chan].std.vol.will) { - chan[c.chan].outVol=chan[c.chan].vol; - } - //chan[c.chan].keyOn=true; - } else { - chan[c.chan].furnaceDac=false; - if (skipRegisterWrites) break; - if (c.value!=DIV_NOTE_NULL) { - chan[c.chan].note=c.value; - } - chan[c.chan].dacSample=12*sampleBank+chan[c.chan].note%12; - if (chan[c.chan].dacSample>=parent->song.sampleLen) { - chan[c.chan].dacSample=-1; - if (dumpWrites) addWrite(0xffff0002+(c.chan<<8),0); - break; - } else { - if (dumpWrites) addWrite(0xffff0000+(c.chan<<8),chan[c.chan].dacSample); - } - chan[c.chan].dacPos=0; - chan[c.chan].dacPeriod=0; - chan[c.chan].dacRate=parent->getSample(chan[c.chan].dacSample)->rate; - if (dumpWrites) { - //chWrite(c.chan,0x04,parent->song.disableSampleMacro?0xdf:(0xc0|chan[c.chan].vol)); - addWrite(0xffff0001+(c.chan<<8),chan[c.chan].dacRate); - } - } - break; - } if (c.value!=DIV_NOTE_NULL) { chan[c.chan].baseFreq=NOTE_PERIODIC(c.value); chan[c.chan].freqChanged=true; @@ -364,6 +225,7 @@ int DivPlatformVB::dispatch(DivCommand c) { chan[c.chan].macroInit(ins); if (!parent->song.brokenOutVol && !chan[c.chan].std.vol.will) { chan[c.chan].outVol=chan[c.chan].vol; + chWrite(c.chan,0x04,chan[c.chan].outVol<<4); } if (chan[c.chan].wave<0) { chan[c.chan].wave=0; @@ -376,7 +238,6 @@ int DivPlatformVB::dispatch(DivCommand c) { case DIV_CMD_NOTE_OFF: chan[c.chan].dacSample=-1; if (dumpWrites) addWrite(0xffff0002+(c.chan<<8),0); - chan[c.chan].pcm=false; chan[c.chan].active=false; chan[c.chan].keyOff=true; chan[c.chan].macroInit(NULL); @@ -396,7 +257,7 @@ int DivPlatformVB::dispatch(DivCommand c) { chan[c.chan].vol=c.value; if (!chan[c.chan].std.vol.has) { chan[c.chan].outVol=c.value; - if (chan[c.chan].active && !chan[c.chan].pcm) { + if (chan[c.chan].active) { chWrite(c.chan,0x04,chan[c.chan].outVol<<4); } } @@ -458,15 +319,6 @@ int DivPlatformVB::dispatch(DivCommand c) { chan[c.chan].noise=c.value; chWrite(c.chan,0x07,chan[c.chan].noise?(0x80|chan[c.chan].note):0); break; - case DIV_CMD_SAMPLE_MODE: - chan[c.chan].pcm=c.value; - break; - case DIV_CMD_SAMPLE_BANK: - sampleBank=c.value; - if (sampleBank>(parent->song.sample.size()/12)) { - sampleBank=parent->song.sample.size()/12; - } - break; case DIV_CMD_PANNING: { chan[c.chan].pan=(c.value&0xf0)|(c.value2>>4); chWrite(c.chan,0x01,isMuted[c.chan]?0:chan[c.chan].pan); @@ -499,10 +351,6 @@ int DivPlatformVB::dispatch(DivCommand c) { void DivPlatformVB::muteChannel(int ch, bool mute) { isMuted[ch]=mute; chWrite(ch,0x01,isMuted[ch]?0:chan[ch].pan); - if (!isMuted[ch] && (chan[ch].pcm && chan[ch].dacSample!=-1)) { - //chWrite(ch,0x04,parent->song.disableSampleMacro?0xdf:(0xc0|chan[ch].outVol)); - //chWrite(ch,0x06,chan[ch].dacOut&0x1f); - } } void DivPlatformVB::forceIns() { @@ -531,7 +379,7 @@ unsigned char* DivPlatformVB::getRegisterPool() { } int DivPlatformVB::getRegisterPoolSize() { - return 0x600; + return 0x180; } int DivPlatformVB::getRegisterPoolDepth() { @@ -556,7 +404,6 @@ void DivPlatformVB::reset() { tempR=0; cycles=0; curChan=-1; - sampleBank=0; lfoMode=0; lfoSpeed=255; // set per-channel initial values diff --git a/src/engine/platform/vb.h b/src/engine/platform/vb.h index d01b9c05..a2b9d022 100644 --- a/src/engine/platform/vb.h +++ b/src/engine/platform/vb.h @@ -33,9 +33,8 @@ class DivPlatformVB: public DivDispatch { unsigned int dacPos; int dacSample, ins; unsigned char pan; - bool active, insChanged, freqChanged, keyOn, keyOff, inPorta, noise, pcm, furnaceDac, deferredWaveUpdate; + bool active, insChanged, freqChanged, keyOn, keyOff, inPorta, noise, deferredWaveUpdate; signed char vol, outVol, wave; - int macroVolMul; DivMacroInt std; DivWaveSynth ws; void macroInit(DivInstrument* which) { @@ -64,13 +63,10 @@ class DivPlatformVB: public DivDispatch { keyOff(false), inPorta(false), noise(false), - pcm(false), - furnaceDac(false), deferredWaveUpdate(false), - vol(31), - outVol(31), - wave(-1), - macroVolMul(31) {} + vol(15), + outVol(15), + wave(-1) {} }; Channel chan[6]; DivDispatchOscBuffer* oscBuf[6]; @@ -87,7 +83,7 @@ class DivPlatformVB: public DivDispatch { int cycles, curChan, delay; int tempL; int tempR; - unsigned char sampleBank, lfoMode, lfoSpeed; + unsigned char lfoMode, lfoSpeed; VSU* vb; unsigned char regPool[0x600]; void updateWave(int ch); From 38eed6473455e1ba14083f506ec5e92476c733d4 Mon Sep 17 00:00:00 2001 From: tildearrow Date: Sun, 9 Oct 2022 15:18:52 -0500 Subject: [PATCH 06/26] update CMakeLists --- CMakeLists.txt | 2 ++ 1 file changed, 2 insertions(+) diff --git a/CMakeLists.txt b/CMakeLists.txt index 105b576d..946f210d 100644 --- a/CMakeLists.txt +++ b/CMakeLists.txt @@ -435,6 +435,8 @@ src/engine/platform/sound/ymz280b.cpp src/engine/platform/sound/vsu.cpp +src/engine/platform/sound/t6w28/T6W28_Apu.cpp + src/engine/platform/sound/rf5c68.cpp src/engine/platform/sound/oki/msm5232.cpp From 9f105d92a8e254cd5ba46d71cc8c32780c91c2b8 Mon Sep 17 00:00:00 2001 From: tildearrow Date: Sun, 9 Oct 2022 17:24:24 -0500 Subject: [PATCH 07/26] VGM export: why --- src/engine/vgmOps.cpp | 56 ++++++++++++++++++++++++++++++------------- 1 file changed, 39 insertions(+), 17 deletions(-) diff --git a/src/engine/vgmOps.cpp b/src/engine/vgmOps.cpp index 67817a5e..815436fd 100644 --- a/src/engine/vgmOps.cpp +++ b/src/engine/vgmOps.cpp @@ -807,6 +807,20 @@ void DivEngine::performVGMWrite(SafeWriter* w, DivSystem sys, DivRegWrite& write } } +#define CHIP_VOL(_id,_mult) { \ + double _vol=fabs(song.systemVol[i])*4.0*_mult; \ + if (_vol<0.0) _vol=0.0; \ + if (_vol>32767.0) _vol=32767.0; \ + chipVol.push_back((_id)|(0x80000000)|(((unsigned int)_vol)<<16)); \ +} + +#define CHIP_VOL_SECOND(_id,_mult) { \ + double _vol=fabs(song.systemVol[i])*4.0*_mult; \ + if (_vol<0.0) _vol=0.0; \ + if (_vol>32767.0) _vol=32767.0; \ + chipVol.push_back((_id)|(0x80000100)|(((unsigned int)_vol)<<16)); \ +} + SafeWriter* DivEngine::saveVGM(bool* sysToExport, bool loop, int version, bool patternHints) { if (version<0x150) { lastError="VGM version is too low"; @@ -910,6 +924,7 @@ SafeWriter* DivEngine::saveVGM(bool* sysToExport, bool loop, int version, bool p double loopFreq[DIV_MAX_CHANS]; int loopSample[DIV_MAX_CHANS]; bool sampleDir[DIV_MAX_CHANS]; + std::vector chipVol; for (int i=0; ichipClock; + CHIP_VOL(0,1.0); willExport[i]=true; switch (song.systemFlags[i].getInt("chipType",0)) { case 1: // real SN @@ -961,6 +977,7 @@ SafeWriter* DivEngine::saveVGM(bool* sysToExport, bool loop, int version, bool p } else if (!(hasSN&0x40000000)) { isSecond[i]=true; willExport[i]=true; + CHIP_VOL_SECOND(0,1.0); hasSN|=0x40000000; howManyChips++; } @@ -1353,14 +1370,6 @@ SafeWriter* DivEngine::saveVGM(bool* sysToExport, bool loop, int version, bool p } } - //bool wantsExtraHeader=false; - /*for (int i=0; iwriteI(hasSN); w->writeI(hasOPLL); @@ -1422,8 +1431,15 @@ SafeWriter* DivEngine::saveVGM(bool* sysToExport, bool loop, int version, bool p w->writeC(0); // OPN w->writeC(0); // OPNA } + if (version>=0x160) { + int calcVolume=32.0*(log(song.masterVol)/log(2.0)); + if (calcVolume<-63) calcVolume=-63; + if (calcVolume>192) calcVolume=192; + w->writeC(calcVolume&0xff); // volume + } else { + w->writeC(0); // volume + } // currently not used but is part of 1.60 - w->writeC(0); // volume w->writeC(0); // reserved w->writeC(0); // loop count // 1.51 @@ -1507,15 +1523,21 @@ SafeWriter* DivEngine::saveVGM(bool* sysToExport, bool loop, int version, bool p w->writeI(0); } - /* TODO unsigned int exHeaderOff=w->tell(); - if (wantsExtraHeader) { - w->writeI(4); + if (version>=0x170) { + logD("writing extended header..."); + w->writeI(8); + w->writeI(0); w->writeI(4); - // write clocks - w->writeC(howManyChips); - }*/ + // write chip volumes + logD("writing chip volumes (%ld)...",chipVol.size()); + w->writeC(chipVol.size()); + for (unsigned int& i: chipVol) { + logV("- %.8x",i); + w->writeI(i); + } + } unsigned int songOff=w->tell(); @@ -2015,10 +2037,10 @@ SafeWriter* DivEngine::saveVGM(bool* sysToExport, bool loop, int version, bool p } w->seek(0x34,SEEK_SET); w->writeI(songOff-0x34); - /*if (wantsExtraHeader) { + if (version>=0x170) { w->seek(0xbc,SEEK_SET); w->writeI(exHeaderOff-0xbc); - }*/ + } remainingLoops=-1; playing=false; From 7fd808fd31a14a53057e724b02f58ebdfe47e0a9 Mon Sep 17 00:00:00 2001 From: tildearrow Date: Sun, 9 Oct 2022 17:24:39 -0500 Subject: [PATCH 08/26] Virtual Boy: more clean-up --- src/engine/platform/vb.cpp | 110 +++++++++++++++---------------------- src/engine/platform/vb.h | 14 +---- 2 files changed, 47 insertions(+), 77 deletions(-) diff --git a/src/engine/platform/vb.cpp b/src/engine/platform/vb.cpp index d42a5c2b..8a1f7806 100644 --- a/src/engine/platform/vb.cpp +++ b/src/engine/platform/vb.cpp @@ -35,55 +35,55 @@ const char* regCheatSheetVB[]={ "Wave4", "200", "ModTable", "280", - "S0INT", "400", - "S0LRV", "404", - "S0FQL", "408", - "S0FQH", "40C", - "S0EV0", "410", - "S0EV1", "414", - "S0RAM", "418", + "S1INT", "400", + "S1LRV", "404", + "S1FQL", "408", + "S1FQH", "40C", + "S1EV0", "410", + "S1EV1", "414", + "S1RAM", "418", - "S1INT", "440", - "S1LRV", "444", - "S1FQL", "448", - "S1FQH", "44C", - "S1EV0", "450", - "S1EV1", "454", - "S1RAM", "458", + "S2INT", "440", + "S2LRV", "444", + "S2FQL", "448", + "S2FQH", "44C", + "S2EV0", "450", + "S2EV1", "454", + "S2RAM", "458", - "S2INT", "480", - "S2LRV", "484", - "S2FQL", "488", - "S2FQH", "48C", - "S2EV0", "480", - "S2EV1", "484", - "S2RAM", "488", + "S3INT", "480", + "S3LRV", "484", + "S3FQL", "488", + "S3FQH", "48C", + "S3EV0", "480", + "S3EV1", "484", + "S3RAM", "488", - "S3INT", "4C0", - "S3LRV", "4C4", - "S3FQL", "4C8", - "S3FQH", "4CC", - "S3EV0", "4C0", - "S3EV1", "4C4", - "S3RAM", "4C8", + "S4INT", "4C0", + "S4LRV", "4C4", + "S4FQL", "4C8", + "S4FQH", "4CC", + "S4EV0", "4C0", + "S4EV1", "4C4", + "S4RAM", "4C8", - "S4INT", "500", - "S4LRV", "504", - "S4FQL", "508", - "S4FQH", "50C", - "S4EV0", "510", - "S4EV1", "514", - "S4RAM", "518", + "S5INT", "500", + "S5LRV", "504", + "S5FQL", "508", + "S5FQH", "50C", + "S5EV0", "510", + "S5EV1", "514", + "S5RAM", "518", - "S4SWP", "51C", + "S5SWP", "51C", - "S5INT", "540", - "S5LRV", "544", - "S5FQL", "548", - "S5FQH", "54C", - "S5EV0", "550", - "S5EV1", "554", - "S5RAM", "558", + "S6INT", "540", + "S6LRV", "544", + "S6FQL", "548", + "S6FQH", "54C", + "S6EV0", "550", + "S6EV1", "554", + "S6RAM", "558", NULL }; @@ -125,26 +125,11 @@ void DivPlatformVB::updateWave(int ch) { for (int i=0; i<32; i++) { rWrite((ch<<7)+(i<<2),chan[ch].ws.output[i]); - //chWrite(ch,0x06,chan[ch].ws.output[(i+chan[ch].antiClickWavePos)&31]); - } - chan[ch].antiClickWavePos&=31; - if (chan[ch].active) { - //chWrite(ch,0x04,0x80|chan[ch].outVol); - } - if (chan[ch].deferredWaveUpdate) { - chan[ch].deferredWaveUpdate=false; } } void DivPlatformVB::tick(bool sysTick) { for (int i=0; i<6; i++) { - // anti-click - if (antiClickEnabled && sysTick && chan[i].freq>0) { - chan[i].antiClickPeriodCount+=(chipClock/MAX(parent->getCurHz(),1.0f)); - chan[i].antiClickWavePos+=chan[i].antiClickPeriodCount/chan[i].freq; - chan[i].antiClickPeriodCount%=chan[i].freq; - } - chan[i].std.next(); if (chan[i].std.vol.had) { chan[i].outVol=VOL_SCALE_LINEAR(chan[i].vol&15,MIN(15,chan[i].std.vol.val),15); @@ -184,11 +169,10 @@ void DivPlatformVB::tick(bool sysTick) { chan[i].freqChanged=true; } if (chan[i].std.phaseReset.had && chan[i].std.phaseReset.val==1) { - chan[i].antiClickWavePos=0; - chan[i].antiClickPeriodCount=0; + // ??? } if (chan[i].active) { - if (chan[i].ws.tick() || (chan[i].std.phaseReset.had && chan[i].std.phaseReset.val==1) || chan[i].deferredWaveUpdate) { + if (chan[i].ws.tick() || (chan[i].std.phaseReset.had && chan[i].std.phaseReset.val==1)) { updateWave(i); } } @@ -236,8 +220,6 @@ int DivPlatformVB::dispatch(DivCommand c) { break; } case DIV_CMD_NOTE_OFF: - chan[c.chan].dacSample=-1; - if (dumpWrites) addWrite(0xffff0002+(c.chan<<8),0); chan[c.chan].active=false; chan[c.chan].keyOff=true; chan[c.chan].macroInit(NULL); @@ -399,7 +381,6 @@ void DivPlatformVB::reset() { addWrite(0xffffffff,0); } vb->Power(); - lastPan=0xff; tempL=0; tempR=0; cycles=0; @@ -445,7 +426,6 @@ void DivPlatformVB::notifyInsDeletion(void* ins) { void DivPlatformVB::setFlags(const DivConfig& flags) { chipClock=5000000.0; - antiClickEnabled=!flags.getBool("noAntiClick",false); rate=chipClock/16; for (int i=0; i<6; i++) { oscBuf[i]->rate=rate; diff --git a/src/engine/platform/vb.h b/src/engine/platform/vb.h index a2b9d022..c779f764 100644 --- a/src/engine/platform/vb.h +++ b/src/engine/platform/vb.h @@ -28,10 +28,8 @@ class DivPlatformVB: public DivDispatch { struct Channel { - int freq, baseFreq, pitch, pitch2, note, antiClickPeriodCount, antiClickWavePos; - int dacPeriod, dacRate, dacOut; - unsigned int dacPos; - int dacSample, ins; + int freq, baseFreq, pitch, pitch2, note; + int ins; unsigned char pan; bool active, insChanged, freqChanged, keyOn, keyOff, inPorta, noise, deferredWaveUpdate; signed char vol, outVol, wave; @@ -47,13 +45,6 @@ class DivPlatformVB: public DivDispatch { pitch(0), pitch2(0), note(0), - antiClickPeriodCount(0), - antiClickWavePos(0), - dacPeriod(0), - dacRate(0), - dacOut(0), - dacPos(0), - dacSample(-1), ins(-1), pan(255), active(false), @@ -71,7 +62,6 @@ class DivPlatformVB: public DivDispatch { Channel chan[6]; DivDispatchOscBuffer* oscBuf[6]; bool isMuted[6]; - bool antiClickEnabled; struct QueuedWrite { unsigned short addr; unsigned char val; From 6a3def514afaa1fb05ca13baaaf41edb62a35807 Mon Sep 17 00:00:00 2001 From: tildearrow Date: Sun, 9 Oct 2022 17:59:44 -0500 Subject: [PATCH 09/26] GUI: invert some compat flags they are called "compatibility" flags for a reason it doesn't make too much sense to have some of these flags enabled when creating a new Furnace song. --- src/gui/compatFlags.cpp | 38 +++++++++++++++++++------------------- src/gui/gui.cpp | 9 +++++++++ src/gui/gui.h | 3 +++ 3 files changed, 31 insertions(+), 19 deletions(-) diff --git a/src/gui/compatFlags.cpp b/src/gui/compatFlags.cpp index e3ad642c..93053135 100644 --- a/src/gui/compatFlags.cpp +++ b/src/gui/compatFlags.cpp @@ -34,9 +34,9 @@ void FurnaceGUI::drawCompatFlags() { if (ImGui::IsItemHovered()) { ImGui::SetTooltip("when enabled, slides are limited to a compatible range.\nmay cause problems with slides in negative octaves."); } - ImGui::Checkbox("Proper noise layout on NES and PC Engine",&e->song.properNoiseLayout); + InvCheckbox("Compatible noise layout on NES and PC Engine",&e->song.properNoiseLayout); if (ImGui::IsItemHovered()) { - ImGui::SetTooltip("use a proper noise channel note mapping (0-15) instead of a rather unusual compatible one.\nunlocks all noise frequencies on PC Engine."); + ImGui::SetTooltip("use a rather unusual compatible noise frequency layout.\nremoves some noise frequencies on PC Engine."); } ImGui::Checkbox("Game Boy instrument duty is wave volume",&e->song.waveDutyIsVol); if (ImGui::IsItemHovered()) { @@ -55,13 +55,13 @@ void FurnaceGUI::drawCompatFlags() { if (ImGui::IsItemHovered()) { ImGui::SetTooltip("delay arpeggio by one tick on every new note."); } - ImGui::Checkbox("Reset slides after note off",&e->song.noteOffResetsSlides); + InvCheckbox("Don't reset slides after note off",&e->song.noteOffResetsSlides); if (ImGui::IsItemHovered()) { - ImGui::SetTooltip("when enabled, note off will reset the channel's slide effect."); + ImGui::SetTooltip("when enabled, note off will not reset the channel's slide effect."); } - ImGui::Checkbox("Reset portamento after reaching target",&e->song.targetResetsSlides); + InvCheckbox("Don't reset portamento after reaching target",&e->song.targetResetsSlides); if (ImGui::IsItemHovered()) { - ImGui::SetTooltip("when enabled, the slide effect is disabled after it reaches its target."); + ImGui::SetTooltip("when enabled, the slide effect will not be disabled after it reaches its target."); } ImGui::Checkbox("Ignore duplicate slide effects",&e->song.ignoreDuplicateSlides); if (ImGui::IsItemHovered()) { @@ -103,9 +103,9 @@ void FurnaceGUI::drawCompatFlags() { if (ImGui::IsItemHovered()) { ImGui::SetTooltip("if this is on, a pitch slide that crosses the octave boundary will stop for one tick and then continue from the nearest octave boundary.\nfor .dmf compatibility."); } - ImGui::Checkbox("Apply Game Boy envelope on note-less instrument change",&e->song.gbInsAffectsEnvelope); + InvCheckbox("Don't apply Game Boy envelope on note-less instrument change",&e->song.gbInsAffectsEnvelope); if (ImGui::IsItemHovered()) { - ImGui::SetTooltip("if this is on, an instrument change will also affect the envelope."); + ImGui::SetTooltip("if this is on, an instrument change will not affect the envelope."); } ImGui::Checkbox("Ignore DAC mode change outside of intended channel in ExtCh mode",&e->song.ignoreDACModeOutsideIntendedChannel); if (ImGui::IsItemHovered()) { @@ -123,17 +123,17 @@ void FurnaceGUI::drawCompatFlags() { if (ImGui::IsItemHovered()) { ImGui::SetTooltip("when enabled, duty macro will always reset phase, even if its value hasn't changed."); } - ImGui::Checkbox("Pitch macro is linear",&e->song.pitchMacroIsLinear); + InvCheckbox("Pitch macro is not linear",&e->song.pitchMacroIsLinear); if (ImGui::IsItemHovered()) { - ImGui::SetTooltip("when enabled, the pitch macro of an instrument is in linear space."); + ImGui::SetTooltip("when enabled, the pitch macro of an instrument is in frequency/period space."); } - ImGui::Checkbox("Proper volume scaling strategy",&e->song.newVolumeScaling); + InvCheckbox("Broken volume scaling strategy",&e->song.newVolumeScaling); if (ImGui::IsItemHovered()) { - ImGui::SetTooltip("when disabled:\n- log scaling: multiply\n- linear scaling: subtract\nwhen enabled:\n- log scaling: subtract\n- linear scaling: multiply"); + ImGui::SetTooltip("when enabled:\n- log scaling: multiply\n- linear scaling: subtract\nwhen disabled:\n- log scaling: subtract\n- linear scaling: multiply"); } - ImGui::Checkbox("Persist volume macro after it finishes",&e->song.volMacroLinger); + InvCheckbox("Don't persist volume macro after it finishes",&e->song.volMacroLinger); if (ImGui::IsItemHovered()) { - ImGui::SetTooltip("when disabled, a value in the volume column that happens after the volume macro is done will disregard the macro."); + ImGui::SetTooltip("when enabled, a value in the volume column that happens after the volume macro is done will disregard the macro."); } ImGui::Checkbox("Broken output volume on instrument change",&e->song.brokenOutVol); if (ImGui::IsItemHovered()) { @@ -257,19 +257,19 @@ void FurnaceGUI::drawCompatFlags() { if (ImGui::IsItemHovered()) { ImGui::SetTooltip("behavior changed in 0.6pre1"); } - ImGui::Checkbox("Allow instrument change during slides",&e->song.newInsTriggersInPorta); + InvCheckbox("Don't allow instrument change during slides",&e->song.newInsTriggersInPorta); if (ImGui::IsItemHovered()) { ImGui::SetTooltip("behavior changed in 0.6pre1"); } - ImGui::Checkbox("Reset note to base on arpeggio stop",&e->song.arp0Reset); + InvCheckbox("Don't reset note to base on arpeggio stop",&e->song.arp0Reset); if (ImGui::IsItemHovered()) { ImGui::SetTooltip("behavior changed in 0.6pre1"); } - ImGui::Checkbox("ExtCh channel status is shared among operators",&e->song.sharedExtStat); + InvCheckbox("ExtCh channel status is not shared among operators",&e->song.sharedExtStat); if (ImGui::IsItemHovered()) { ImGui::SetTooltip("behavior changed in 0.6pre1"); } - ImGui::Checkbox("New SegaPCM features (macros and better panning)",&e->song.newSegaPCM); + InvCheckbox("Disable new SegaPCM features (macros and better panning)",&e->song.newSegaPCM); if (ImGui::IsItemHovered()) { ImGui::SetTooltip("behavior changed in 0.6pre1"); } @@ -277,7 +277,7 @@ void FurnaceGUI::drawCompatFlags() { if (ImGui::IsItemHovered()) { ImGui::SetTooltip("behavior changed in 0.6pre1"); } - ImGui::Checkbox("No OPN2 DAC volume control",&e->song.noOPN2Vol); + ImGui::Checkbox("Disable OPN2 DAC volume control",&e->song.noOPN2Vol); if (ImGui::IsItemHovered()) { ImGui::SetTooltip("behavior changed in 0.6pre1"); } diff --git a/src/gui/gui.cpp b/src/gui/gui.cpp index f9e1237f..0f1b9fae 100644 --- a/src/gui/gui.cpp +++ b/src/gui/gui.cpp @@ -491,6 +491,15 @@ bool FurnaceGUI::CWVSliderInt(const char* label, const ImVec2& size, int* v, int return CWVSliderScalar(label,size,ImGuiDataType_S32,v,&v_min,&v_max,format,flags); } +bool FurnaceGUI::InvCheckbox(const char* label, bool* value) { + bool t=!(*value); + if (ImGui::Checkbox(label,&t)) { + *value=t; + return true; + } + return false; +} + const char* FurnaceGUI::getSystemName(DivSystem which) { /* if (settings.chipNames) { diff --git a/src/gui/gui.h b/src/gui/gui.h index bb23ccee..e95cffc2 100644 --- a/src/gui/gui.h +++ b/src/gui/gui.h @@ -1644,6 +1644,9 @@ class FurnaceGUI { bool CWSliderFloat(const char* label, float* v, float v_min, float v_max, const char* format="%.3f", ImGuiSliderFlags flags=0); bool CWVSliderInt(const char* label, const ImVec2& size, int* v, int v_min, int v_max, const char* format="%d", ImGuiSliderFlags flags=0); + // inverted checkbox + bool InvCheckbox(const char* label, bool* value); + void updateWindowTitle(); void autoDetectSystem(); void prepareLayout(); From 8c551afd8399e01ce4db73ae5071c3aa9fc4d5e5 Mon Sep 17 00:00:00 2001 From: tildearrow Date: Mon, 10 Oct 2022 02:17:24 -0500 Subject: [PATCH 10/26] GUI: fix InvCheckbox not working at all --- src/gui/gui.cpp | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/gui/gui.cpp b/src/gui/gui.cpp index 0f1b9fae..df32aaa0 100644 --- a/src/gui/gui.cpp +++ b/src/gui/gui.cpp @@ -494,7 +494,7 @@ bool FurnaceGUI::CWVSliderInt(const char* label, const ImVec2& size, int* v, int bool FurnaceGUI::InvCheckbox(const char* label, bool* value) { bool t=!(*value); if (ImGui::Checkbox(label,&t)) { - *value=t; + *value=!t; return true; } return false; From 4dec0e52a51fadd537ac113384c9d676468c297d Mon Sep 17 00:00:00 2001 From: tildearrow Date: Mon, 10 Oct 2022 03:00:07 -0500 Subject: [PATCH 11/26] GUI: notify wave change when using wave editor --- src/gui/waveEdit.cpp | 2 ++ 1 file changed, 2 insertions(+) diff --git a/src/gui/waveEdit.cpp b/src/gui/waveEdit.cpp index b9b8d65b..52926bb0 100644 --- a/src/gui/waveEdit.cpp +++ b/src/gui/waveEdit.cpp @@ -153,6 +153,8 @@ void FurnaceGUI::doGenerateWave() { if (finalResult[i]>1.0f) finalResult[i]=1.0f; wave->data[i]=round(finalResult[i]*wave->max); } + + e->notifyWaveChange(curWave); } #define CENTER_TEXT(text) \ From 6c88c9c798e2f06c5f69cc402422527b623f9f91 Mon Sep 17 00:00:00 2001 From: tildearrow Date: Mon, 10 Oct 2022 03:02:36 -0500 Subject: [PATCH 12/26] GUI: update wave size hints for Virtual Boy --- src/gui/waveEdit.cpp | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/src/gui/waveEdit.cpp b/src/gui/waveEdit.cpp index 52926bb0..19b13f26 100644 --- a/src/gui/waveEdit.cpp +++ b/src/gui/waveEdit.cpp @@ -256,7 +256,7 @@ void FurnaceGUI::drawWaveEdit() { ImGui::TableNextColumn(); ImGui::Text("Width"); if (ImGui::IsItemHovered()) { - ImGui::SetTooltip("use a width of:\n- any on Amiga/N163\n- 32 on Game Boy, PC Engine, SCC, Konami Bubble System, Namco WSG and WonderSwan\n- 64 on FDS\n- 128 on X1-010\nany other widths will be scaled during playback."); + ImGui::SetTooltip("use a width of:\n- any on Amiga/N163\n- 32 on Game Boy, PC Engine, SCC, Konami Bubble System, Namco WSG, Virtual Boy and WonderSwan\n- 64 on FDS\n- 128 on X1-010\nany other widths will be scaled during playback."); } ImGui::SameLine(); ImGui::SetNextItemWidth(96.0f*dpiScale); @@ -270,7 +270,7 @@ void FurnaceGUI::drawWaveEdit() { ImGui::SameLine(); ImGui::Text("Height"); if (ImGui::IsItemHovered()) { - ImGui::SetTooltip("use a height of:\n- 15 for Game Boy, WonderSwan, Namco WSG, Konami Bubble System, X1-010 Envelope shape and N163\n- 31 for PC Engine\n- 63 for FDS\n- 255 for X1-010 and SCC\nany other heights will be scaled during playback."); + ImGui::SetTooltip("use a height of:\n- 15 for Game Boy, WonderSwan, Namco WSG, Konami Bubble System, X1-010 Envelope shape and N163\n- 31 for PC Engine\n- 63 for FDS and Virtual Boy\n- 255 for X1-010 and SCC\nany other heights will be scaled during playback."); } ImGui::SameLine(); ImGui::SetNextItemWidth(96.0f*dpiScale); From 76334fa849947ceebbf3f69d93f925900b445a99 Mon Sep 17 00:00:00 2001 From: Kagamiin <102362203+Kagamiin@users.noreply.github.com> Date: Mon, 10 Oct 2022 21:20:51 +0000 Subject: [PATCH 13/26] Adding demos/double-dragon-stage1.fur --- demos/double-dragon-stage1.fur | Bin 0 -> 5998 bytes 1 file changed, 0 insertions(+), 0 deletions(-) create mode 100644 demos/double-dragon-stage1.fur diff --git a/demos/double-dragon-stage1.fur b/demos/double-dragon-stage1.fur new file mode 100644 index 0000000000000000000000000000000000000000..8b029bc3c0a4fff01130d580cca546f1d6d12eee GIT binary patch literal 5998 zcmYjTc{~(c+nyOh)}e(g89brLmO^8h?3JyMEnC(QvKz)svOR=~lE}VAw#3-ROn5@J zGO}id8q3&sW|*08`hM^CKHs0`oZo$&`@YY0o%@Q&U3297aKX!3hj`o)%)o?)qa8j# zRzq*#b*+bh*=&j_{8dOnoEfO2-WC;1_jnWwxd-yN{BZIyjzMJ2OR4-#OmuJL(BH zJ>OiX5jp67+?BDDF}SyK-;@z3Bb2Zi!ddu2`+Q7|589+_6unW3i<+5c zZQtSrreln8QO=UHUEwDWNi!hrgCL-@mc%S_{Y>5VCE##mBWfd$L%m6QcHWCM_xLdo zx_Ck}Bp+oI&f30MgzDK4+!WNgFuNgsdb74xaC1rOBJShR2z^|t_^H5^e2$38 z{F8_E>0A+OGAG*UmLS5u4TwNf18E(zEpR;oZ9 z8@`}*dIA6_{U%}FDXfRQPklOf%hqGEY38w_m;F@_d(-zH+b_AcWfd-uRCR0HOvmUU z0y6K;r(`kgUVF4cQKt?^C;Ju_s4ZKA<2O692#DkE19gDl{ZbhjD3o5+yQ!@)+GzS43RwMS z?CO11e7PBY82fBEs#E2@x*j_v8Um*iXGVvOTts(7=a4_+BQ!GS2O?Tt2A`prE#T$e z>&)a$s(!2~QCJSoiLqY0T^T)=QSRkObZeX2Om=Yb8(Uz*-=i^qPq_}Tjy za!wpCaa4(Zc6O>povG*J>vSeCSykrqONIM<^Bb0?Ug=!!=aM>10kHN zs^YU49AB~6#AL2tZMquzTG&|e@9*FD&V3%?Dl<~i-r({Lf%rH`z7bwp%yfY_Qngu| z*bTlL2se+PWm~_>ODy z8gEg`sP#T#{8N>W^WFJ|JWVZQ+?RqoPW8Q+`OfOzizgmkjf(b1d}O;PlN2<*Dv0Px zbs*Tv5c>9+jhZ=g;()|9wUKsrsRx|4UQLpje z16 z@JagKS4(?Ks|#s@g^Nw#e!mI7aDY=u%@8*+VB5M;@%^@)dER;6{YJiDnJ$bP_d0B0 z%as|u)boGYW=QB%H_pdLZ@gNK5A`T|5e(G#n%NT=cYbgcZs^_!jaIlTn7AC&QS#MG zD-y15HLlHSsk>JVzcWY!R|iguXA4uT!k1GNZmI3ezUa zz+{vcT6=B5uAKhHvi%-nCgP{w;sUN;>qNwz%PU zFYfqx1dmux%~VisN;SVYYtODQ$LsTmSJ0s~l#`E`!9~u$sra>r;qDG4H6IlV%N(tb zUS7)IBa!Foz1NDRE+lIUn}{5_ec#%repw(_uUQV}s_vUu_K<81ZX&{fDv67ES%Qo*YKLjd|FQ*%?~DYv8PqmZgqTFRp57WxEoM z?X&sue_f=GYgbwpF{-E7Dz*@GY1#hFoPv7>#3iF^H^>r3+@j?HqLPndljAO{^797aVKU{?ZEX%yF%Qn%dhzT%qRNxFihv#lh+vbkp zej!)z-yMzDPyaH-M%ma*3flj_XVb4il$7d|Hpbb}YR|?M*Zj@D_=-T=<^TuT1VH0r z!s2GRi{xAgRt>i|6nhP?qch4+dvR?z_wZ*uwHTpk^K1&g4o3gcx8c9~?wb3w@A^`} zEIllP`&yt|!*j1eT8UdN)m*+S)2XX4 z(S%JCg%2!+`8ll5{g6IoKYit@AQ?@+PtII=?_mC`hFW1(YV@k#AHS>c!HG5~mV~Lg z-H89VF;{l~ztrQ^UxTt4P}W;$-EwfxK(`w$0;kKe3Be&89CmPRrSnW8*yKwWRPS{q zFSB=3TPdz~dkN6j6-5NeF<+F@RhT>h7+U28lSiN5k!hTR8Y2|N!9luRhTL=Tn%ZD3 z!;JYjRGkp`zH@6wB}eiW*MqFSTui_F6-aYO2qFa_f!5-Fs;sXlWLXk((X*MFLdnLG zkA)%b@ZkIjBe$4^fKymDj+S=f1x}a$EGSVLymtUQHvQOpq{3Iv%}ZB2hudkjz#=|@ zv#P*>;p0cY#+kS_qid;s#{*d77#^_7tES3$z6CG3^tLa`b_;l8?TY=?m9C-byvS+u z(nSQ;ieeSBERuWOIIpGuFPLYWy-Vv%nVd~pGg8j}+{hDE_Bc&jOwk3>Yoc+sXDa~W zeusx)Y*8%KX75$W0a1$Kw%yAx&(K4-E*-ZHEF6}4>n#l?1pbHCP{QG={NHmdEUr!pC3MDQy^FisdjMBSa!YeP@@hnO>|4y+cBHkuqKB-hhKVhYF@rSAaqCPM}UxNOqv8_fCDn(p9K7jvQS+R ze}*+8iJLh%C`0RyI#;__zXJ-*X6j-&>Ur+4=mDvEV`pB^)$^Y(2VgBx#J~;t)N&jC z^rxGbKt>qyffZ7h;|X(L zndwK_rnEQWBX+)DV|7zCZWLz_>cJ1q``3kuru3`BUJAlYU?5Ll`dz@ zbs=Aq6H1O3t6N2vn`bm*ETf#Re}mfVCGn}?mz9O1Zj?=~Hl}L7!1j{jhn(GC7CnpR z0dJ4;Sn5S+e!3%?UG`1RHoqp>kaR|`L7a2xA8Ovj)5{|TUo~MFz3GEr+$T>V=gwei zJ=%qS?TsFlQY~5HG(+89v%eVwC~GvRR;W^hw%d%g#&Gp&5Vp*kd$q6ygKu-HZw;j? z^hjQm{#Ug?-MrQp*DNBZ%z4qlXH<}pF$kB*@ueFj4DF~-PGdQkOK{tQME^A#!lS~)dwnISo>=A)BJeB&wq z0w`fVBLqPN4m`h+O1#%!^qWVY(okiP%^s_e`Jj7TW<*cm6;XHj{jqIQ$+jE+ zi?8XSC+lh+hEs8g{0uioev$V*t=GR;(Qg$lri4=JYThk(ig8R?o=1+6Zc`B#DN?eM z?EMH`ud34!6ElYA+lOM{kG+8nT#pTh!rrC&`!@Nm;IV_oR5g}Z;ET)Udt{>LU$i61 zxJ8wBCmt4jIPmV1S`n*`srWH%k177)7UZX&#w6uMoZ`{YO?uuJ#mJXI@Dn8Uq+BN; zG%88!I<}O&CPDus3D=`bT#}hFa~uNK<8?_Z@KB9wMdRO>A5{~Q4>cUq6o|5r9@zTr ztYTp2EdVH(n|c2epky|^y8q_BrcVxi4WTTjJ7KKnR-nowOQv^|RvUW@fx705*Uy9? z2}!@tfw?(a!0(B*^8KcajuMLLSuOPCtF-B7CCGpy?st%ZcrsD&2_Cz6d-ydSQw>Cf zQ&;c7koA3?Wa1?A_uJjwc(j&UC!#)W7OFHa5fzWeHc$jJ=wgVu_=Bs{JMR`#B%H8y zy8#(^EElkpOdgcSx=_)5WMbp&;_ikY+?7m}E=LOfVlFhJypJ1A8P_yuZ9(4>$;6Mt z1fDPMu4A4-FJqao!~HE814~3bH;5P6Lq8CuQtEC3Sy&&A zMv^K?R;7Ybr|vvl<%$2^zwIz{Y`!OAn33i+Y(aTsmPu_jho=~fHcNM&Ream(e z?2UR(Cek3|OD^1f$=XZl*IrVL*`IP`kj!=Hzg?a?=6vW$7j%6~Zox}Htf=UBTuIt2 zvE-%^35dI%71wn;)RGG1jlY~2NVg0rasu#TY}f;)G{bqFHO_>gARsZa5O@x3f{x_{ zN-*0Cki3kI2YwEbTLObsXwX%aY~!b<0f%?;j-Prc!}KGCL`@W#gNl~(HX!ZM)Du9XB}8@{C1IoY#M4tiwS)H1!sf|+-8dvtsbRf(C@cpNs%{%`GLHTBC zS}nC#Cu_o`o{%mpuW5KK}mwnwFxW!fx z|Ae_=%-pySxY_US@s_Nm$9(_E8qSjdCP8Vo2(_>E_4=pQR$ zrgt48kK~Cuvs-%54|Yr)rI<@`)?e89LoamYmP1$TmdD05=mx} zTuFFKXU^NnASH&&@x`yI=;#V4`YH_7q^A`{{DRlU0fqZ|6WWkE#UEneurBc=eBhCFTnctF@y7Utp>$IbH=V5u zNjhgd1*DSMI5eu__>wgXxJ5)XUK`l_@OZ!&Hp~*zTRq4=d}4L`3dFP6S1IB7kwkO< zr~9pBN9i7Oko}X0-#?I-tpiGS7Pg#>yJoY-=dHHBl^%OVY6D#`eU@oY3==Q$&r0$1){ zd4F(wul!G(u3^$PXMKW&_0vvL2#reOEccu3(#J%z@Cxh+$kOx?g6`mb@2gMe3@on7 z38WXOe5gIWu;Jra_G{bYq5@W(;grEt`g-Cw@q+4;N@c1w&nf*oD^D>UhJ32E{*WWHj_B^wm@c8Dmo zjh9rIiK#?Z(91>Rs=k848+l5#k zc`|9=UCD5P+l#j(0n(!~yTlPJ36{`e6$$ALP=2990cimguz|=UwS^Js!PzDn+&j zmi|@WlQ{`<$!`me1G}LMZwM)Ws6Seu#+#HU9{ut*+4|Ax?1O|;l?2JBXi3%3XN~gM zAA<~Qu|)mzzY|cs1m!HYqz@uKR2Em5d;jNkIbU|sRO0TmLwk%XmT!)<`iAS^=KW-V z?)NN*v44cAiU8f$BoLrJ>o|SScwr661D=E~>`yVH<+JYb7cCW@4Nd|FY5(+Z{{2WR zxSnmlj<#1{4Hg4+rU5`l0xYp>!$n(S!DP4CDSGVlj0QLVB^Hl~iQXHfD`Os0zVzD9 zLXuHEKb@i=+)48zSB3O?UvaFf)YCk?d(4mTKo>?1@T$Mm7IIyB?z6jxy>vIZeWgYX z!j$}J=9jwurh(>`zU3~<#)_{l(}VSj1$!z${vwX>I160P*l3N(f(^PX9dVD~TcYSh zgF}zx2&@t|QwQ=@TwuQcCCT|o2t`Oo8u`jw$C_SI$24_5J-G+CA89QXDCN?}iOPR` zR^9lxHzLr<+P+V7DRRT6;1O7b$$zGD!v-d^`HSRDiONPtpLthB^Fr7wqA9Mvfd^VaKjPbtlwKctaCsPlIP5wCNzd=DD!^ zyhXmFXJkVtx1&(Hle0cA-ejCPh4{}Z#%U>zp~a}T!*2pdzohlKQsl9`+e}j_7JOHU zC3YU|pk1lP8W+5Y>jjuf^rrIE)BKPIC?z4VA97_?hPc6C=@4=- F_#Z}$1P}lK literal 0 HcmV?d00001 From 22dcc610fa2f0930a9aa20f82c100574b712ddbe Mon Sep 17 00:00:00 2001 From: tildearrow Date: Mon, 10 Oct 2022 18:48:36 -0500 Subject: [PATCH 14/26] Virtual Boy: how does this work? --- src/engine/platform/vb.cpp | 54 +++++++++++++++++++++++--------------- src/engine/platform/vb.h | 7 +++-- src/engine/sysDef.cpp | 8 +++++- 3 files changed, 45 insertions(+), 24 deletions(-) diff --git a/src/engine/platform/vb.cpp b/src/engine/platform/vb.cpp index 8a1f7806..2d87025c 100644 --- a/src/engine/platform/vb.cpp +++ b/src/engine/platform/vb.cpp @@ -128,12 +128,17 @@ void DivPlatformVB::updateWave(int ch) { } } +void DivPlatformVB::writeEnv(int ch) { + chWrite(ch,0x04,(chan[ch].outVol<<4)|(chan[ch].envLow&15)); + chWrite(ch,0x05,chan[ch].envHigh); +} + void DivPlatformVB::tick(bool sysTick) { for (int i=0; i<6; i++) { chan[i].std.next(); if (chan[i].std.vol.had) { chan[i].outVol=VOL_SCALE_LINEAR(chan[i].vol&15,MIN(15,chan[i].std.vol.val),15); - chWrite(i,0x04,chan[i].outVol<<4); + writeEnv(i); } if (chan[i].std.arp.had) { if (!chan[i].inPorta) { @@ -209,7 +214,7 @@ int DivPlatformVB::dispatch(DivCommand c) { chan[c.chan].macroInit(ins); if (!parent->song.brokenOutVol && !chan[c.chan].std.vol.will) { chan[c.chan].outVol=chan[c.chan].vol; - chWrite(c.chan,0x04,chan[c.chan].outVol<<4); + writeEnv(c.chan); } if (chan[c.chan].wave<0) { chan[c.chan].wave=0; @@ -240,7 +245,7 @@ int DivPlatformVB::dispatch(DivCommand c) { if (!chan[c.chan].std.vol.has) { chan[c.chan].outVol=c.value; if (chan[c.chan].active) { - chWrite(c.chan,0x04,chan[c.chan].outVol<<4); + writeEnv(c.chan); } } } @@ -260,20 +265,6 @@ int DivPlatformVB::dispatch(DivCommand c) { chan[c.chan].ws.changeWave1(chan[c.chan].wave); chan[c.chan].keyOn=true; break; - case DIV_CMD_PCE_LFO_MODE: - if (c.value==0) { - lfoMode=0; - } else { - lfoMode=c.value; - } - rWrite(0x08,lfoSpeed); - rWrite(0x09,lfoMode); - break; - case DIV_CMD_PCE_LFO_SPEED: - lfoSpeed=255-c.value; - rWrite(0x08,lfoSpeed); - rWrite(0x09,lfoMode); - break; case DIV_CMD_NOTE_PORTA: { int destFreq=NOTE_PERIODIC(c.value2); bool return2=false; @@ -298,8 +289,30 @@ int DivPlatformVB::dispatch(DivCommand c) { break; } case DIV_CMD_STD_NOISE_MODE: - chan[c.chan].noise=c.value; - chWrite(c.chan,0x07,chan[c.chan].noise?(0x80|chan[c.chan].note):0); + if (c.chan!=5) break; + chan[c.chan].envHigh&=~0x70; + chan[c.chan].envHigh|=(c.value&7)<<4; + writeEnv(c.chan); + break; + case DIV_CMD_STD_NOISE_FREQ: + chan[c.chan].envHigh&=~3; + chan[c.chan].envHigh|=(c.value>>4)&3; + chan[c.chan].envLow=c.value&15; + writeEnv(c.chan); + break; + case DIV_CMD_FDS_MOD_DEPTH: // set modulation + if (c.chan!=4) break; + modulation=c.value; + chWrite(4,0x06,modulation); + if (modulation!=0) { + chan[c.chan].envHigh|=0x10; + } else { + chan[c.chan].envHigh&=~0x10; + } + writeEnv(4); + break; + case DIV_CMD_FDS_MOD_WAVE: // set modulation wave + break; case DIV_CMD_PANNING: { chan[c.chan].pan=(c.value&0xf0)|(c.value2>>4); @@ -385,8 +398,7 @@ void DivPlatformVB::reset() { tempR=0; cycles=0; curChan=-1; - lfoMode=0; - lfoSpeed=255; + modulation=0; // set per-channel initial values for (int i=0; i<6; i++) { chWrite(i,0x01,isMuted[i]?0:chan[i].pan); diff --git a/src/engine/platform/vb.h b/src/engine/platform/vb.h index c779f764..14892bff 100644 --- a/src/engine/platform/vb.h +++ b/src/engine/platform/vb.h @@ -30,7 +30,7 @@ class DivPlatformVB: public DivDispatch { struct Channel { int freq, baseFreq, pitch, pitch2, note; int ins; - unsigned char pan; + unsigned char pan, envLow, envHigh; bool active, insChanged, freqChanged, keyOn, keyOff, inPorta, noise, deferredWaveUpdate; signed char vol, outVol, wave; DivMacroInt std; @@ -47,6 +47,8 @@ class DivPlatformVB: public DivDispatch { note(0), ins(-1), pan(255), + envLow(0), + envHigh(0), active(false), insChanged(true), freqChanged(false), @@ -73,10 +75,11 @@ class DivPlatformVB: public DivDispatch { int cycles, curChan, delay; int tempL; int tempR; - unsigned char lfoMode, lfoSpeed; + unsigned char modulation; VSU* vb; unsigned char regPool[0x600]; void updateWave(int ch); + void writeEnv(int ch); friend void putDispatchChip(void*,int); friend void putDispatchChan(void*,int,int); public: diff --git a/src/engine/sysDef.cpp b/src/engine/sysDef.cpp index 2ba7098f..8a124c3f 100644 --- a/src/engine/sysDef.cpp +++ b/src/engine/sysDef.cpp @@ -1180,7 +1180,13 @@ void DivEngine::registerSystems() { {DIV_CH_WAVE, DIV_CH_WAVE, DIV_CH_WAVE, DIV_CH_WAVE, DIV_CH_WAVE, DIV_CH_NOISE}, {DIV_INS_VBOY, DIV_INS_VBOY, DIV_INS_VBOY, DIV_INS_VBOY, DIV_INS_VBOY, DIV_INS_VBOY}, {}, - waveOnlyEffectHandlerMap + { + {0x10, {DIV_CMD_WAVE, "10xx: Set waveform"}}, + {0x11, {DIV_CMD_STD_NOISE_MODE, "11xx: Set noise length (0 to 7)"}}, + {0x12, {DIV_CMD_STD_NOISE_FREQ, "12xy: Setup envelope (x: enabled/loop (1: enable, 2: loop, 3: enable+loop); y: speed/direction (0-7: down, 8-F: up))"}}, + {0x14, {DIV_CMD_FDS_MOD_DEPTH, "14xy: Setup modulation (channel 5 only)"}}, + {0x15, {DIV_CMD_FDS_MOD_WAVE, "15xx: Set modulation waveform (channel 5 only)"}}, + } ); sysDefs[DIV_SYSTEM_VRC7]=new DivSysDef( From f679aadf6883bb88690969fbd5fc7e71ef4d26a1 Mon Sep 17 00:00:00 2001 From: tildearrow Date: Mon, 10 Oct 2022 20:56:04 -0500 Subject: [PATCH 15/26] have Amiga/SNES thing'n smpl wt toggle include DAC --- src/gui/insEdit.cpp | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/gui/insEdit.cpp b/src/gui/insEdit.cpp index 51312eb1..7da70ad0 100644 --- a/src/gui/insEdit.cpp +++ b/src/gui/insEdit.cpp @@ -4044,7 +4044,7 @@ void FurnaceGUI::drawInsEdit() { ImGui::EndCombo(); } if (ins->type==DIV_INS_AMIGA || ins->type==DIV_INS_SNES) { - P(ImGui::Checkbox("Use wavetable (Amiga/SNES only)",&ins->amiga.useWave)); + P(ImGui::Checkbox("Use wavetable (Amiga/SNES/Generic DAC only)",&ins->amiga.useWave)); if (ins->amiga.useWave) { int len=ins->amiga.waveLen+1; int origLen=len; From b23939a12498ffb999717fa25bbd2c968ee14559 Mon Sep 17 00:00:00 2001 From: tildearrow Date: Mon, 10 Oct 2022 22:10:23 -0500 Subject: [PATCH 16/26] Virtual Boy: early modulation work --- src/engine/platform/vb.cpp | 26 +++++++++++++++++++++----- src/engine/platform/vb.h | 1 + src/engine/sysDef.cpp | 7 ++++--- 3 files changed, 26 insertions(+), 8 deletions(-) diff --git a/src/engine/platform/vb.cpp b/src/engine/platform/vb.cpp index 2d87025c..5e9c140f 100644 --- a/src/engine/platform/vb.cpp +++ b/src/engine/platform/vb.cpp @@ -303,17 +303,32 @@ int DivPlatformVB::dispatch(DivCommand c) { case DIV_CMD_FDS_MOD_DEPTH: // set modulation if (c.chan!=4) break; modulation=c.value; - chWrite(4,0x06,modulation); + chWrite(4,0x07,modulation); if (modulation!=0) { - chan[c.chan].envHigh|=0x10; + chan[c.chan].envHigh|=0x70; } else { - chan[c.chan].envHigh&=~0x10; + chan[c.chan].envHigh&=~0x70; } writeEnv(4); + chWrite(c.chan,0x00,0x80); break; - case DIV_CMD_FDS_MOD_WAVE: // set modulation wave - + case DIV_CMD_FDS_MOD_WAVE: { // set modulation wave + if (c.chan!=4) break; + DivWavetable* wt=parent->getWave(c.value); + for (int i=0; i<32; i++) { + if (wt->max<1 || wt->len<1) { + modTable[i]=0; + rWrite(0x280+(i<<2),0); + } else { + int data=(wt->data[i*wt->len/32]*255/wt->max)-128; + if (data<-128) data=-128; + if (data>127) data=127; + modTable[i]=data; + rWrite(0x280+(i<<2),modTable[i]); + } + } break; + } case DIV_CMD_PANNING: { chan[c.chan].pan=(c.value&0xf0)|(c.value2>>4); chWrite(c.chan,0x01,isMuted[c.chan]?0:chan[c.chan].pan); @@ -399,6 +414,7 @@ void DivPlatformVB::reset() { cycles=0; curChan=-1; modulation=0; + memset(modTable,0,32); // set per-channel initial values for (int i=0; i<6; i++) { chWrite(i,0x01,isMuted[i]?0:chan[i].pan); diff --git a/src/engine/platform/vb.h b/src/engine/platform/vb.h index 14892bff..010d6e4a 100644 --- a/src/engine/platform/vb.h +++ b/src/engine/platform/vb.h @@ -76,6 +76,7 @@ class DivPlatformVB: public DivDispatch { int tempL; int tempR; unsigned char modulation; + signed char modTable[32]; VSU* vb; unsigned char regPool[0x600]; void updateWave(int ch); diff --git a/src/engine/sysDef.cpp b/src/engine/sysDef.cpp index 8a124c3f..779f2ca9 100644 --- a/src/engine/sysDef.cpp +++ b/src/engine/sysDef.cpp @@ -1183,9 +1183,10 @@ void DivEngine::registerSystems() { { {0x10, {DIV_CMD_WAVE, "10xx: Set waveform"}}, {0x11, {DIV_CMD_STD_NOISE_MODE, "11xx: Set noise length (0 to 7)"}}, - {0x12, {DIV_CMD_STD_NOISE_FREQ, "12xy: Setup envelope (x: enabled/loop (1: enable, 2: loop, 3: enable+loop); y: speed/direction (0-7: down, 8-F: up))"}}, - {0x14, {DIV_CMD_FDS_MOD_DEPTH, "14xy: Setup modulation (channel 5 only)"}}, - {0x15, {DIV_CMD_FDS_MOD_WAVE, "15xx: Set modulation waveform (channel 5 only)"}}, + {0x12, {DIV_CMD_STD_NOISE_FREQ, "12xy: Setup envelope (x: enabled/loop (1: enable, 3: enable+loop); y: speed/direction (0-7: down, 8-F: up))"}}, + {0x13, {DIV_CMD_GB_SWEEP_TIME, "13xy: Setup sweep (x: speed; y: shift; channel 5 only)"}}, + {0x14, {DIV_CMD_FDS_MOD_DEPTH, "14xy: Setup modulation (x: enabled/loop (1: enable, 3: enable+loop); y: speed; channel 5 only)"}}, + {0x15, {DIV_CMD_FDS_MOD_WAVE, "15xx: Set modulation waveform (x: wavetable; channel 5 only)"}}, } ); From 0407fa2d3eb169fca87443682356b7544190a015 Mon Sep 17 00:00:00 2001 From: tildearrow Date: Mon, 10 Oct 2022 22:17:31 -0500 Subject: [PATCH 17/26] GUI: add Virtual Boy mod table editor --- src/gui/insEdit.cpp | 31 +++++++++++++++++++++++++++++++ 1 file changed, 31 insertions(+) diff --git a/src/gui/insEdit.cpp b/src/gui/insEdit.cpp index 7da70ad0..60403d6b 100644 --- a/src/gui/insEdit.cpp +++ b/src/gui/insEdit.cpp @@ -4191,6 +4191,37 @@ void FurnaceGUI::drawInsEdit() { } ImGui::EndTabItem(); } + if (ins->type==DIV_INS_VBOY) if (ImGui::BeginTabItem("Virtual Boy")) { + float modTable[32]; + P(ImGui::Checkbox("Set modulation table (channel 5 only)",&ins->fds.initModTableWithFirstWave)); + + ImGui::BeginDisabled(!ins->fds.initModTableWithFirstWave); + for (int i=0; i<32; i++) { + modTable[i]=ins->fds.modTable[i]; + } + ImVec2 modTableSize=ImVec2(ImGui::GetContentRegionAvail().x,256.0f*dpiScale); + PlotCustom("ModTable",modTable,32,0,NULL,-128,127,modTableSize,sizeof(float),ImVec4(1.0f,1.0f,1.0f,1.0f),0,NULL,NULL,true); + if (ImGui::IsItemClicked(ImGuiMouseButton_Left)) { + macroDragStart=ImGui::GetItemRectMin(); + macroDragAreaSize=modTableSize; + macroDragMin=-128; + macroDragMax=127; + macroDragBitOff=0; + macroDragBitMode=false; + macroDragInitialValueSet=false; + macroDragInitialValue=false; + macroDragLen=32; + macroDragActive=true; + macroDragCTarget=(unsigned char*)ins->fds.modTable; + macroDragChar=true; + macroDragLineMode=false; + macroDragLineInitial=ImVec2(0,0); + processDrags(ImGui::GetMousePos().x,ImGui::GetMousePos().y); + } + + ImGui::EndDisabled(); + ImGui::EndTabItem(); + } if (ins->type==DIV_INS_ES5506) if (ImGui::BeginTabItem("ES5506")) { if (ImGui::BeginTable("ESParams",2,ImGuiTableFlags_SizingStretchSame)) { ImGui::TableSetupColumn("c0",ImGuiTableColumnFlags_WidthStretch,0.0); From 4ec5ee20e81135dd3c88afb867b491673f2d2784 Mon Sep 17 00:00:00 2001 From: tildearrow Date: Mon, 10 Oct 2022 22:24:07 -0500 Subject: [PATCH 18/26] Virtual Boy: instrument mod table and sweep --- src/engine/platform/vb.cpp | 21 ++++++++++++++++++++- src/engine/platform/vb.h | 1 + 2 files changed, 21 insertions(+), 1 deletion(-) diff --git a/src/engine/platform/vb.cpp b/src/engine/platform/vb.cpp index 5e9c140f..d12b8f90 100644 --- a/src/engine/platform/vb.cpp +++ b/src/engine/platform/vb.cpp @@ -212,6 +212,12 @@ int DivPlatformVB::dispatch(DivCommand c) { chan[c.chan].active=true; chan[c.chan].keyOn=true; chan[c.chan].macroInit(ins); + if (chan[c.chan].insChanged && ins->fds.initModTableWithFirstWave) { + for (int i=0; i<32; i++) { + modTable[i]=ins->fds.modTable[i]; + rWrite(0x280+(i<<2),modTable[i]); + } + } if (!parent->song.brokenOutVol && !chan[c.chan].std.vol.will) { chan[c.chan].outVol=chan[c.chan].vol; writeEnv(c.chan); @@ -303,6 +309,7 @@ int DivPlatformVB::dispatch(DivCommand c) { case DIV_CMD_FDS_MOD_DEPTH: // set modulation if (c.chan!=4) break; modulation=c.value; + modType=true; chWrite(4,0x07,modulation); if (modulation!=0) { chan[c.chan].envHigh|=0x70; @@ -310,7 +317,18 @@ int DivPlatformVB::dispatch(DivCommand c) { chan[c.chan].envHigh&=~0x70; } writeEnv(4); - chWrite(c.chan,0x00,0x80); + break; + case DIV_CMD_GB_SWEEP_TIME: // set sweep + if (c.chan!=4) break; + modulation=c.value; + modType=false; + chWrite(4,0x07,modulation); + if (modulation!=0) { + chan[c.chan].envHigh|=0x10; + } else { + chan[c.chan].envHigh&=~0x10; + } + writeEnv(4); break; case DIV_CMD_FDS_MOD_WAVE: { // set modulation wave if (c.chan!=4) break; @@ -414,6 +432,7 @@ void DivPlatformVB::reset() { cycles=0; curChan=-1; modulation=0; + modType=false; memset(modTable,0,32); // set per-channel initial values for (int i=0; i<6; i++) { diff --git a/src/engine/platform/vb.h b/src/engine/platform/vb.h index 010d6e4a..af3ef6dc 100644 --- a/src/engine/platform/vb.h +++ b/src/engine/platform/vb.h @@ -76,6 +76,7 @@ class DivPlatformVB: public DivDispatch { int tempL; int tempR; unsigned char modulation; + bool modType; signed char modTable[32]; VSU* vb; unsigned char regPool[0x600]; From e25913d45837794391956fa1bc55fb93e170963d Mon Sep 17 00:00:00 2001 From: tildearrow Date: Mon, 10 Oct 2022 22:51:43 -0500 Subject: [PATCH 19/26] Virtual Boy: fix mod/sweep effects --- src/engine/platform/vb.cpp | 4 +++- 1 file changed, 3 insertions(+), 1 deletion(-) diff --git a/src/engine/platform/vb.cpp b/src/engine/platform/vb.cpp index d12b8f90..dfb79348 100644 --- a/src/engine/platform/vb.cpp +++ b/src/engine/platform/vb.cpp @@ -312,6 +312,7 @@ int DivPlatformVB::dispatch(DivCommand c) { modType=true; chWrite(4,0x07,modulation); if (modulation!=0) { + chan[c.chan].envHigh&=~0x70; chan[c.chan].envHigh|=0x70; } else { chan[c.chan].envHigh&=~0x70; @@ -324,9 +325,10 @@ int DivPlatformVB::dispatch(DivCommand c) { modType=false; chWrite(4,0x07,modulation); if (modulation!=0) { + chan[c.chan].envHigh&=~0x70; chan[c.chan].envHigh|=0x10; } else { - chan[c.chan].envHigh&=~0x10; + chan[c.chan].envHigh&=~0x70; } writeEnv(4); break; From 385a9adab250b06140aad204b2a7e2f492c9c0c9 Mon Sep 17 00:00:00 2001 From: tildearrow Date: Tue, 11 Oct 2022 02:23:29 -0500 Subject: [PATCH 20/26] update credits --- src/gui/about.cpp | 2 +- src/main.cpp | 3 ++- 2 files changed, 3 insertions(+), 2 deletions(-) diff --git a/src/gui/about.cpp b/src/gui/about.cpp index d14cd4d1..6610af22 100644 --- a/src/gui/about.cpp +++ b/src/gui/about.cpp @@ -134,7 +134,7 @@ const char* aboutLine[]={ "MAME YMZ280B core by Aaron Giles", "SAASound by Dave Hooper and Simon Owen", "SameBoy by Lior Halphon", - "Mednafen PCE and WonderSwan audio cores", + "Mednafen PCE, WonderSwan, T6W28 and Virtual Boy audio cores", "SNES DSP core by Blargg", "puNES (NES, MMC5 and FDS) by FHorse", "NSFPlay (NES and FDS) by Brad Smith and Brezza", diff --git a/src/main.cpp b/src/main.cpp index aadc8ded..83bb31b6 100644 --- a/src/main.cpp +++ b/src/main.cpp @@ -179,7 +179,8 @@ TAParamResult pVersion(String) { printf("- VERA core by Frank van den Hoef (BSD 2-clause)\n"); printf("- SAASound by Dave Hooper and Simon Owen (BSD 3-clause)\n"); printf("- SameBoy by Lior Halphon (MIT)\n"); - printf("- Mednafen PCE and WonderSwan by Mednafen Team (GPLv2)\n"); + printf("- Mednafen PCE, WonderSwan and Virtual Boy by Mednafen Team (GPLv2)\n"); + printf("- Mednafen T6W28 by Blargg (GPLv2)\n"); printf("- SNES DSP core by Blargg (LGPLv2.1)\n"); printf("- puNES by FHorse (GPLv2)\n"); printf("- NSFPlay by Brad Smith and Brezza (unknown open-source license)\n"); From 8b879053047383cf86b942b27dfe7280ccccf1bd Mon Sep 17 00:00:00 2001 From: tildearrow Date: Tue, 11 Oct 2022 03:52:25 -0500 Subject: [PATCH 21/26] Virtual Boy: fix noise phase reset --- src/engine/platform/vb.cpp | 8 +++++--- src/engine/platform/vb.h | 2 +- src/gui/presets.cpp | 12 ++++++++++++ 3 files changed, 18 insertions(+), 4 deletions(-) diff --git a/src/engine/platform/vb.cpp b/src/engine/platform/vb.cpp index dfb79348..ddc1e568 100644 --- a/src/engine/platform/vb.cpp +++ b/src/engine/platform/vb.cpp @@ -128,9 +128,11 @@ void DivPlatformVB::updateWave(int ch) { } } -void DivPlatformVB::writeEnv(int ch) { +void DivPlatformVB::writeEnv(int ch, bool upperByteToo) { chWrite(ch,0x04,(chan[ch].outVol<<4)|(chan[ch].envLow&15)); - chWrite(ch,0x05,chan[ch].envHigh); + if (ch<5 || upperByteToo) { + chWrite(ch,0x05,chan[ch].envHigh); + } } void DivPlatformVB::tick(bool sysTick) { @@ -298,7 +300,7 @@ int DivPlatformVB::dispatch(DivCommand c) { if (c.chan!=5) break; chan[c.chan].envHigh&=~0x70; chan[c.chan].envHigh|=(c.value&7)<<4; - writeEnv(c.chan); + writeEnv(c.chan,true); break; case DIV_CMD_STD_NOISE_FREQ: chan[c.chan].envHigh&=~3; diff --git a/src/engine/platform/vb.h b/src/engine/platform/vb.h index af3ef6dc..baefc03f 100644 --- a/src/engine/platform/vb.h +++ b/src/engine/platform/vb.h @@ -81,7 +81,7 @@ class DivPlatformVB: public DivDispatch { VSU* vb; unsigned char regPool[0x600]; void updateWave(int ch); - void writeEnv(int ch); + void writeEnv(int ch, bool upperByteToo=false); friend void putDispatchChip(void*,int); friend void putDispatchChan(void*,int,int); public: diff --git a/src/gui/presets.cpp b/src/gui/presets.cpp index f78f7514..a8c49703 100644 --- a/src/gui/presets.cpp +++ b/src/gui/presets.cpp @@ -438,6 +438,12 @@ void FurnaceGUI::initSystemPresets() { 0 } )); + cat.systems.push_back(FurnaceGUISysDef( + "Virtual Boy", { + DIV_SYSTEM_VBOY, 64, 0, 0, + 0 + } + )); cat.systems.push_back(FurnaceGUISysDef( "Seta/Allumer X1-010", { DIV_SYSTEM_X1_010, 64, 0, 0, @@ -704,6 +710,12 @@ void FurnaceGUI::initSystemPresets() { 0 } )); + cat.systems.push_back(FurnaceGUISysDef( + "Virtual Boy", { + DIV_SYSTEM_VBOY, 64, 0, 0, + 0 + } + )); cat.systems.push_back(FurnaceGUISysDef( "Gamate", { DIV_SYSTEM_AY8910, 64, 0, 73, From 79f1b350ae30741cb5ff4c54fcf32b50a1ab1268 Mon Sep 17 00:00:00 2001 From: tildearrow Date: Tue, 11 Oct 2022 13:10:17 -0500 Subject: [PATCH 22/26] Virtual Boy: more mod table work --- src/engine/platform/vb.cpp | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/src/engine/platform/vb.cpp b/src/engine/platform/vb.cpp index ddc1e568..29ff6a93 100644 --- a/src/engine/platform/vb.cpp +++ b/src/engine/platform/vb.cpp @@ -310,12 +310,12 @@ int DivPlatformVB::dispatch(DivCommand c) { break; case DIV_CMD_FDS_MOD_DEPTH: // set modulation if (c.chan!=4) break; - modulation=c.value; + modulation=(c.value<<4)&15; modType=true; chWrite(4,0x07,modulation); if (modulation!=0) { chan[c.chan].envHigh&=~0x70; - chan[c.chan].envHigh|=0x70; + chan[c.chan].envHigh|=0x40|((c.value&15)<<4); } else { chan[c.chan].envHigh&=~0x70; } From f8896ed64c86950198545c28179a909573975c2e Mon Sep 17 00:00:00 2001 From: tildearrow Date: Wed, 12 Oct 2022 00:12:56 -0500 Subject: [PATCH 23/26] T 6 W 2 8 --- CMakeLists.txt | 1 + src/engine/dispatchContainer.cpp | 4 + src/engine/platform/sound/t6w28/T6W28_Apu.cpp | 2 +- src/engine/platform/t6w28.cpp | 350 ++++++++++++++++++ src/engine/platform/t6w28.h | 102 +++++ src/gui/guiConst.cpp | 2 + 6 files changed, 460 insertions(+), 1 deletion(-) create mode 100644 src/engine/platform/t6w28.cpp create mode 100644 src/engine/platform/t6w28.h diff --git a/CMakeLists.txt b/CMakeLists.txt index 946f210d..fc2d6dc7 100644 --- a/CMakeLists.txt +++ b/CMakeLists.txt @@ -508,6 +508,7 @@ src/engine/platform/x1_010.cpp src/engine/platform/lynx.cpp src/engine/platform/su.cpp src/engine/platform/swan.cpp +src/engine/platform/t6w28.cpp src/engine/platform/vb.cpp src/engine/platform/vera.cpp src/engine/platform/zxbeeper.cpp diff --git a/src/engine/dispatchContainer.cpp b/src/engine/dispatchContainer.cpp index db985a9a..19603062 100644 --- a/src/engine/dispatchContainer.cpp +++ b/src/engine/dispatchContainer.cpp @@ -32,6 +32,7 @@ #include "platform/nes.h" #include "platform/c64.h" #include "platform/arcade.h" +#include "platform/t6w28.h" #include "platform/tx81z.h" #include "platform/ym2203.h" #include "platform/ym2203ext.h" @@ -343,6 +344,9 @@ void DivDispatchContainer::init(DivSystem sys, DivEngine* eng, int chanCount, do case DIV_SYSTEM_SWAN: dispatch=new DivPlatformSwan; break; + case DIV_SYSTEM_T6W28: + dispatch=new DivPlatformT6W28; + break; case DIV_SYSTEM_VBOY: dispatch=new DivPlatformVB; break; diff --git a/src/engine/platform/sound/t6w28/T6W28_Apu.cpp b/src/engine/platform/sound/t6w28/T6W28_Apu.cpp index 7085fcc0..82767909 100644 --- a/src/engine/platform/sound/t6w28/T6W28_Apu.cpp +++ b/src/engine/platform/sound/t6w28/T6W28_Apu.cpp @@ -5,7 +5,7 @@ #include #undef require -#define require( expr ) assert( expr ) +#define require( expr ) if (! (expr) ) return; /* Copyright (C) 2003-2006 Shay Green. This module is free software; you can redistribute it and/or modify it under the terms of the GNU Lesser diff --git a/src/engine/platform/t6w28.cpp b/src/engine/platform/t6w28.cpp new file mode 100644 index 00000000..ce37601a --- /dev/null +++ b/src/engine/platform/t6w28.cpp @@ -0,0 +1,350 @@ +/** + * Furnace Tracker - multi-system chiptune tracker + * Copyright (C) 2021-2022 tildearrow and contributors + * + * This program is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 2 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License along + * with this program; if not, write to the Free Software Foundation, Inc., + * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA. + */ + +#include "t6w28.h" +#include "../engine.h" +#include "sound/t6w28/T6W28_Apu.h" +#include + +//#define rWrite(a,v) pendingWrites[a]=v; +#define rWrite(a,v) if (!skipRegisterWrites) {writes.emplace(a,v); if (dumpWrites) {addWrite(a,v);} } + +#define CHIP_DIVIDER 64 + +const char* regCheatSheetT6W28[]={ + "Data0", "0", + "Data1", "1", + NULL +}; + +const char** DivPlatformT6W28::getRegisterSheet() { + return regCheatSheetT6W28; +} + +void DivPlatformT6W28::acquire(short* bufL, short* bufR, size_t start, size_t len) { + for (size_t h=start; hwrite_data_right(cycles,w.val); + } else { + t6w->write_data_left(cycles,w.val); + } + regPool[w.addr&1]=w.val; + //cycles+=2; + writes.pop(); + } + t6w->end_frame(16); + + tempL=0; + tempR=0; + for (int i=0; i<4; i++) { + oscBuf[i]->data[oscBuf[i]->needle++]=(out[i][1].curValue+out[i][2].curValue)<<6; + tempL+=out[i][1].curValue<<7; + tempR+=out[i][2].curValue<<7; + } + + if (tempL<-32768) tempL=-32768; + if (tempL>32767) tempL=32767; + if (tempR<-32768) tempR=-32768; + if (tempR>32767) tempR=32767; + + bufL[h]=tempL; + bufR[h]=tempR; + } +} + +void DivPlatformT6W28::writeOutVol(int ch) { + rWrite(1,0x90|(ch<<5)|(isMuted[ch]?15:(15-(chan[ch].outVol&15)))); + rWrite(0,0x90|(ch<<5)|(isMuted[ch]?15:(15-(chan[ch].outVol&15)))); +} + +void DivPlatformT6W28::tick(bool sysTick) { + for (int i=0; i<4; i++) { + chan[i].std.next(); + if (chan[i].std.vol.had) { + chan[i].outVol=VOL_SCALE_LOG(chan[i].vol&15,MIN(15,chan[i].std.vol.val),15); + } + if (chan[i].std.arp.had) { + if (!chan[i].inPorta) { + int noiseSeek=parent->calcArp(chan[i].note,chan[i].std.arp.val); + chan[i].baseFreq=NOTE_PERIODIC(noiseSeek); + } + chan[i].freqChanged=true; + } + if (chan[i].std.panL.had) { + chan[i].pan&=0x0f; + chan[i].pan|=(chan[i].std.panL.val&15)<<4; + } + if (chan[i].std.panR.had) { + chan[i].pan&=0xf0; + chan[i].pan|=chan[i].std.panR.val&15; + } + if (chan[i].std.vol.had || chan[i].std.panL.had || chan[i].std.panR.had) { + writeOutVol(i); + } + if (chan[i].std.pitch.had) { + if (chan[i].std.pitch.mode) { + chan[i].pitch2+=chan[i].std.pitch.val; + CLAMP_VAR(chan[i].pitch2,-32768,32767); + } else { + chan[i].pitch2=chan[i].std.pitch.val; + } + chan[i].freqChanged=true; + } + if (chan[i].freqChanged || chan[i].keyOn || chan[i].keyOff) { + //DivInstrument* ins=parent->getIns(chan[i].ins,DIV_INS_PCE); + chan[i].freq=parent->calcFreq(chan[i].baseFreq,chan[i].pitch,true,0,chan[i].pitch2,chipClock,CHIP_DIVIDER); + if (chan[i].freq>1023) chan[i].freq=1023; + rWrite(0,0x80|i<<5|(chan[i].freq&15)); + rWrite(0,chan[i].freq>>4); + if (chan[i].keyOn) chan[i].keyOn=false; + if (chan[i].keyOff) chan[i].keyOff=false; + chan[i].freqChanged=false; + } + } +} + +int DivPlatformT6W28::dispatch(DivCommand c) { + switch (c.cmd) { + case DIV_CMD_NOTE_ON: { + DivInstrument* ins=parent->getIns(chan[c.chan].ins,DIV_INS_PCE); + if (c.value!=DIV_NOTE_NULL) { + chan[c.chan].baseFreq=NOTE_PERIODIC(c.value); + chan[c.chan].freqChanged=true; + chan[c.chan].note=c.value; + } + chan[c.chan].active=true; + chan[c.chan].keyOn=true; + chan[c.chan].macroInit(ins); + if (!parent->song.brokenOutVol && !chan[c.chan].std.vol.will) { + chan[c.chan].outVol=chan[c.chan].vol; + } + chan[c.chan].insChanged=false; + break; + } + case DIV_CMD_NOTE_OFF: + chan[c.chan].active=false; + chan[c.chan].keyOff=true; + chan[c.chan].macroInit(NULL); + break; + case DIV_CMD_NOTE_OFF_ENV: + case DIV_CMD_ENV_RELEASE: + chan[c.chan].std.release(); + break; + case DIV_CMD_INSTRUMENT: + if (chan[c.chan].ins!=c.value || c.value2==1) { + chan[c.chan].ins=c.value; + chan[c.chan].insChanged=true; + } + break; + case DIV_CMD_VOLUME: + if (chan[c.chan].vol!=c.value) { + chan[c.chan].vol=c.value; + if (!chan[c.chan].std.vol.has) { + chan[c.chan].outVol=c.value; + if (chan[c.chan].active) { + } + } + } + break; + case DIV_CMD_GET_VOLUME: + if (chan[c.chan].std.vol.has) { + return chan[c.chan].vol; + } + return chan[c.chan].outVol; + break; + case DIV_CMD_PITCH: + chan[c.chan].pitch=c.value; + chan[c.chan].freqChanged=true; + break; + case DIV_CMD_NOTE_PORTA: { + int destFreq=NOTE_PERIODIC(c.value2); + bool return2=false; + if (destFreq>chan[c.chan].baseFreq) { + chan[c.chan].baseFreq+=c.value; + if (chan[c.chan].baseFreq>=destFreq) { + chan[c.chan].baseFreq=destFreq; + return2=true; + } + } else { + chan[c.chan].baseFreq-=c.value; + if (chan[c.chan].baseFreq<=destFreq) { + chan[c.chan].baseFreq=destFreq; + return2=true; + } + } + chan[c.chan].freqChanged=true; + if (return2) { + chan[c.chan].inPorta=false; + return 2; + } + break; + } + case DIV_CMD_STD_NOISE_MODE: + chan[c.chan].noise=c.value; + break; + case DIV_CMD_PANNING: { + chan[c.chan].pan=(c.value&0xf0)|(c.value2>>4); + break; + } + case DIV_CMD_LEGATO: + chan[c.chan].baseFreq=NOTE_PERIODIC(c.value+((chan[c.chan].std.arp.will && !chan[c.chan].std.arp.mode)?(chan[c.chan].std.arp.val):(0))); + chan[c.chan].freqChanged=true; + chan[c.chan].note=c.value; + break; + case DIV_CMD_PRE_PORTA: + if (chan[c.chan].active && c.value2) { + if (parent->song.resetMacroOnPorta) chan[c.chan].macroInit(parent->getIns(chan[c.chan].ins,DIV_INS_PCE)); + } + if (!chan[c.chan].inPorta && c.value && !parent->song.brokenPortaArp && chan[c.chan].std.arp.will) chan[c.chan].baseFreq=NOTE_PERIODIC(chan[c.chan].note); + chan[c.chan].inPorta=c.value; + break; + case DIV_CMD_GET_VOLMAX: + return 31; + break; + case DIV_ALWAYS_SET_VOLUME: + return 1; + break; + default: + break; + } + return 1; +} + +void DivPlatformT6W28::muteChannel(int ch, bool mute) { + isMuted[ch]=mute; +} + +void DivPlatformT6W28::forceIns() { + for (int i=0; i<4; i++) { + chan[i].insChanged=true; + chan[i].freqChanged=true; + } +} + +void* DivPlatformT6W28::getChanState(int ch) { + return &chan[ch]; +} + +DivMacroInt* DivPlatformT6W28::getChanMacroInt(int ch) { + return &chan[ch].std; +} + +DivDispatchOscBuffer* DivPlatformT6W28::getOscBuffer(int ch) { + return oscBuf[ch]; +} + +unsigned char* DivPlatformT6W28::getRegisterPool() { + return regPool; +} + +int DivPlatformT6W28::getRegisterPoolSize() { + return 112; +} + +void DivPlatformT6W28::reset() { + while (!writes.empty()) writes.pop(); + memset(regPool,0,128); + for (int i=0; i<4; i++) { + chan[i]=DivPlatformT6W28::Channel(); + chan[i].std.setEngine(parent); + + out[i][0].curValue=0; + out[i][1].curValue=0; + out[i][2].curValue=0; + } + if (dumpWrites) { + addWrite(0xffffffff,0); + } + t6w->reset(); + lastPan=0xff; + tempL=0; + tempR=0; + cycles=0; + curChan=-1; + delay=0; +} + +bool DivPlatformT6W28::isStereo() { + return true; +} + +bool DivPlatformT6W28::keyOffAffectsArp(int ch) { + return true; +} + +void DivPlatformT6W28::notifyInsDeletion(void* ins) { + for (int i=0; i<4; i++) { + chan[i].std.notifyInsDeletion((DivInstrument*)ins); + } +} + +void DivPlatformT6W28::setFlags(const DivConfig& flags) { + chipClock=4000000.0; + rate=chipClock/16; + for (int i=0; i<4; i++) { + oscBuf[i]->rate=rate; + } + + if (t6w!=NULL) { + delete t6w; + t6w=NULL; + } + t6w=new MDFN_IEN_NGP::T6W28_Apu; + for (int i=0; i<4; i++) { + t6w->osc_output(i,&out[i][0],&out[i][1],&out[i][2]); + } +} + +void DivPlatformT6W28::poke(unsigned int addr, unsigned short val) { + rWrite(addr,val); +} + +void DivPlatformT6W28::poke(std::vector& wlist) { + for (DivRegWrite& i: wlist) rWrite(i.addr,i.val); +} + +int DivPlatformT6W28::init(DivEngine* p, int channels, int sugRate, const DivConfig& flags) { + parent=p; + dumpWrites=false; + skipRegisterWrites=false; + for (int i=0; i<4; i++) { + isMuted[i]=false; + oscBuf[i]=new DivDispatchOscBuffer; + } + t6w=NULL; + setFlags(flags); + reset(); + return 6; +} + +void DivPlatformT6W28::quit() { + for (int i=0; i<4; i++) { + delete oscBuf[i]; + } + if (t6w!=NULL) { + delete t6w; + t6w=NULL; + } +} + +DivPlatformT6W28::~DivPlatformT6W28() { +} diff --git a/src/engine/platform/t6w28.h b/src/engine/platform/t6w28.h new file mode 100644 index 00000000..ab8a8175 --- /dev/null +++ b/src/engine/platform/t6w28.h @@ -0,0 +1,102 @@ +/** + * Furnace Tracker - multi-system chiptune tracker + * Copyright (C) 2021-2022 tildearrow and contributors + * + * This program is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 2 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License along + * with this program; if not, write to the Free Software Foundation, Inc., + * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA. + */ + +#ifndef _T6W28_H +#define _T6W28_H + +#include "../dispatch.h" +#include +#include "../macroInt.h" +#include "sound/t6w28/T6W28_Apu.h" + +class DivPlatformT6W28: public DivDispatch { + struct Channel { + int freq, baseFreq, pitch, pitch2, note; + int ins; + unsigned char pan; + bool active, insChanged, freqChanged, keyOn, keyOff, inPorta, noise; + signed char vol, outVol; + DivMacroInt std; + void macroInit(DivInstrument* which) { + std.init(which); + pitch2=0; + } + Channel(): + freq(0), + baseFreq(0), + pitch(0), + pitch2(0), + note(0), + ins(-1), + pan(255), + active(false), + insChanged(true), + freqChanged(false), + keyOn(false), + keyOff(false), + inPorta(false), + noise(false), + vol(15), + outVol(15) {} + }; + Channel chan[4]; + DivDispatchOscBuffer* oscBuf[4]; + bool isMuted[4]; + bool antiClickEnabled; + struct QueuedWrite { + unsigned char addr; + unsigned char val; + QueuedWrite(unsigned char a, unsigned char v): addr(a), val(v) {} + }; + std::queue writes; + unsigned char lastPan; + + int cycles, curChan, delay; + int tempL, tempR; + MDFN_IEN_NGP::T6W28_Apu* t6w; + MDFN_IEN_NGP::Fake_Buffer out[4][3]; + unsigned char regPool[128]; + friend void putDispatchChip(void*,int); + friend void putDispatchChan(void*,int,int); + void writeOutVol(int ch); + public: + void acquire(short* bufL, short* bufR, size_t start, size_t len); + int dispatch(DivCommand c); + void* getChanState(int chan); + DivMacroInt* getChanMacroInt(int ch); + DivDispatchOscBuffer* getOscBuffer(int chan); + unsigned char* getRegisterPool(); + int getRegisterPoolSize(); + void reset(); + void forceIns(); + void tick(bool sysTick=true); + void muteChannel(int ch, bool mute); + bool isStereo(); + bool keyOffAffectsArp(int ch); + void setFlags(const DivConfig& flags); + void notifyInsDeletion(void* ins); + void poke(unsigned int addr, unsigned short val); + void poke(std::vector& wlist); + const char** getRegisterSheet(); + int init(DivEngine* parent, int channels, int sugRate, const DivConfig& flags); + void quit(); + ~DivPlatformT6W28(); +}; + +#endif diff --git a/src/gui/guiConst.cpp b/src/gui/guiConst.cpp index b365ca98..b7755d15 100644 --- a/src/gui/guiConst.cpp +++ b/src/gui/guiConst.cpp @@ -906,6 +906,7 @@ const int availableSystems[]={ DIV_SYSTEM_YM2610_FULL_EXT, DIV_SYSTEM_YM2610B, DIV_SYSTEM_YM2610B_EXT, + DIV_SYSTEM_T6W28, DIV_SYSTEM_AY8910, DIV_SYSTEM_AMIGA, DIV_SYSTEM_PCSPKR, @@ -1001,6 +1002,7 @@ const int chipsSquare[]={ DIV_SYSTEM_SAA1099, DIV_SYSTEM_VIC20, DIV_SYSTEM_MSM5232, + DIV_SYSTEM_T6W28, 0 // don't remove this last one! }; From ea0b57340223b62268bd5bb6fdb46cc1a070a07a Mon Sep 17 00:00:00 2001 From: tildearrow Date: Wed, 12 Oct 2022 00:25:20 -0500 Subject: [PATCH 24/26] T6W28: correct clock rate --- src/engine/platform/t6w28.cpp | 14 ++++++++++---- 1 file changed, 10 insertions(+), 4 deletions(-) diff --git a/src/engine/platform/t6w28.cpp b/src/engine/platform/t6w28.cpp index ce37601a..9c0c374d 100644 --- a/src/engine/platform/t6w28.cpp +++ b/src/engine/platform/t6w28.cpp @@ -25,7 +25,7 @@ //#define rWrite(a,v) pendingWrites[a]=v; #define rWrite(a,v) if (!skipRegisterWrites) {writes.emplace(a,v); if (dumpWrites) {addWrite(a,v);} } -#define CHIP_DIVIDER 64 +#define CHIP_DIVIDER 16 const char* regCheatSheetT6W28[]={ "Data0", "0", @@ -113,8 +113,14 @@ void DivPlatformT6W28::tick(bool sysTick) { //DivInstrument* ins=parent->getIns(chan[i].ins,DIV_INS_PCE); chan[i].freq=parent->calcFreq(chan[i].baseFreq,chan[i].pitch,true,0,chan[i].pitch2,chipClock,CHIP_DIVIDER); if (chan[i].freq>1023) chan[i].freq=1023; - rWrite(0,0x80|i<<5|(chan[i].freq&15)); - rWrite(0,chan[i].freq>>4); + if (i==3) { + rWrite(1,0xe7); + rWrite(1,0x80|(2<<5)|(chan[3].freq&15)); + rWrite(1,chan[3].freq>>4); + } else { + rWrite(0,0x80|i<<5|(chan[i].freq&15)); + rWrite(0,chan[i].freq>>4); + } if (chan[i].keyOn) chan[i].keyOn=false; if (chan[i].keyOff) chan[i].keyOff=false; chan[i].freqChanged=false; @@ -298,7 +304,7 @@ void DivPlatformT6W28::notifyInsDeletion(void* ins) { } void DivPlatformT6W28::setFlags(const DivConfig& flags) { - chipClock=4000000.0; + chipClock=3072000.0; rate=chipClock/16; for (int i=0; i<4; i++) { oscBuf[i]->rate=rate; From a3fdf171f3ba81ab20a1684ce15a0ae244ccc1b7 Mon Sep 17 00:00:00 2001 From: tildearrow Date: Wed, 12 Oct 2022 00:46:33 -0500 Subject: [PATCH 25/26] T6W28: separate instrument type --- src/engine/instrument.h | 1 + src/engine/platform/t6w28.cpp | 16 +++++++++------- src/engine/platform/t6w28.h | 5 +++-- src/engine/sysDef.cpp | 2 +- src/gui/dataList.cpp | 4 ++++ src/gui/gui.h | 1 + src/gui/guiConst.cpp | 2 ++ src/gui/insEdit.cpp | 4 ++-- src/gui/settings.cpp | 1 + 9 files changed, 24 insertions(+), 12 deletions(-) diff --git a/src/engine/instrument.h b/src/engine/instrument.h index a63b2975..7a03e569 100644 --- a/src/engine/instrument.h +++ b/src/engine/instrument.h @@ -71,6 +71,7 @@ enum DivInstrumentType: unsigned short { DIV_INS_YMZ280B=41, DIV_INS_RF5C68=42, DIV_INS_MSM5232=43, + DIV_INS_T6W28=44, DIV_INS_MAX, DIV_INS_NULL }; diff --git a/src/engine/platform/t6w28.cpp b/src/engine/platform/t6w28.cpp index 9c0c374d..6ff4cc12 100644 --- a/src/engine/platform/t6w28.cpp +++ b/src/engine/platform/t6w28.cpp @@ -72,8 +72,10 @@ void DivPlatformT6W28::acquire(short* bufL, short* bufR, size_t start, size_t le } void DivPlatformT6W28::writeOutVol(int ch) { - rWrite(1,0x90|(ch<<5)|(isMuted[ch]?15:(15-(chan[ch].outVol&15)))); - rWrite(0,0x90|(ch<<5)|(isMuted[ch]?15:(15-(chan[ch].outVol&15)))); + int left=15-CLAMP(chan[ch].outVol+chan[ch].panL-15,0,15); + int right=15-CLAMP(chan[ch].outVol+chan[ch].panR-15,0,15); + rWrite(0,0x90|(ch<<5)|(isMuted[ch]?15:left)); + rWrite(1,0x90|(ch<<5)|(isMuted[ch]?15:right)); } void DivPlatformT6W28::tick(bool sysTick) { @@ -90,12 +92,10 @@ void DivPlatformT6W28::tick(bool sysTick) { chan[i].freqChanged=true; } if (chan[i].std.panL.had) { - chan[i].pan&=0x0f; - chan[i].pan|=(chan[i].std.panL.val&15)<<4; + chan[i].panL=chan[i].std.panL.val&15; } if (chan[i].std.panR.had) { - chan[i].pan&=0xf0; - chan[i].pan|=chan[i].std.panR.val&15; + chan[i].panR=chan[i].std.panR.val&15; } if (chan[i].std.vol.had || chan[i].std.panL.had || chan[i].std.panR.had) { writeOutVol(i); @@ -208,7 +208,9 @@ int DivPlatformT6W28::dispatch(DivCommand c) { chan[c.chan].noise=c.value; break; case DIV_CMD_PANNING: { - chan[c.chan].pan=(c.value&0xf0)|(c.value2>>4); + chan[c.chan].panL=c.value>>4; + chan[c.chan].panR=c.value2>>4; + writeOutVol(c.chan); break; } case DIV_CMD_LEGATO: diff --git a/src/engine/platform/t6w28.h b/src/engine/platform/t6w28.h index ab8a8175..bc445991 100644 --- a/src/engine/platform/t6w28.h +++ b/src/engine/platform/t6w28.h @@ -29,7 +29,7 @@ class DivPlatformT6W28: public DivDispatch { struct Channel { int freq, baseFreq, pitch, pitch2, note; int ins; - unsigned char pan; + unsigned char panL, panR; bool active, insChanged, freqChanged, keyOn, keyOff, inPorta, noise; signed char vol, outVol; DivMacroInt std; @@ -44,7 +44,8 @@ class DivPlatformT6W28: public DivDispatch { pitch2(0), note(0), ins(-1), - pan(255), + panL(15), + panR(15), active(false), insChanged(true), freqChanged(false), diff --git a/src/engine/sysDef.cpp b/src/engine/sysDef.cpp index 779f2ca9..a0415bf6 100644 --- a/src/engine/sysDef.cpp +++ b/src/engine/sysDef.cpp @@ -1660,7 +1660,7 @@ void DivEngine::registerSystems() { {"Square 1", "Square 2", "Square 3", "Noise"}, {"S1", "S2", "S3", "NO"}, {DIV_CH_PULSE, DIV_CH_PULSE, DIV_CH_PULSE, DIV_CH_NOISE}, - {DIV_INS_STD, DIV_INS_STD, DIV_INS_STD, DIV_INS_STD}, + {DIV_INS_T6W28, DIV_INS_T6W28, DIV_INS_T6W28, DIV_INS_T6W28}, {}, { {0x20, {DIV_CMD_STD_NOISE_MODE, "20xy: Set noise mode (x: preset/variable; y: thin pulse/noise)"}} diff --git a/src/gui/dataList.cpp b/src/gui/dataList.cpp index 9a1740be..f45028ff 100644 --- a/src/gui/dataList.cpp +++ b/src/gui/dataList.cpp @@ -382,6 +382,10 @@ void FurnaceGUI::drawInsList(bool asChild) { ImGui::PushStyleColor(ImGuiCol_Text,uiColors[GUI_COLOR_INSTR_MSM5232]); name=fmt::sprintf(ICON_FA_BAR_CHART "##_INS%d",i); break; + case DIV_INS_T6W28: + ImGui::PushStyleColor(ImGuiCol_Text,uiColors[GUI_COLOR_INSTR_T6W28]); + name=fmt::sprintf(ICON_FA_BAR_CHART "##_INS%d",i); + break; default: ImGui::PushStyleColor(ImGuiCol_Text,uiColors[GUI_COLOR_INSTR_UNKNOWN]); name=fmt::sprintf(ICON_FA_QUESTION "##_INS%d",i); diff --git a/src/gui/gui.h b/src/gui/gui.h index e95cffc2..136c385f 100644 --- a/src/gui/gui.h +++ b/src/gui/gui.h @@ -171,6 +171,7 @@ enum FurnaceGUIColors { GUI_COLOR_INSTR_YMZ280B, GUI_COLOR_INSTR_RF5C68, GUI_COLOR_INSTR_MSM5232, + GUI_COLOR_INSTR_T6W28, GUI_COLOR_INSTR_UNKNOWN, GUI_COLOR_CHANNEL_BG, diff --git a/src/gui/guiConst.cpp b/src/gui/guiConst.cpp index b7755d15..ce62e7d5 100644 --- a/src/gui/guiConst.cpp +++ b/src/gui/guiConst.cpp @@ -124,6 +124,7 @@ const char* insTypes[DIV_INS_MAX+1]={ "YMZ280B", "RF5C68", "MSM5232", + "T6W28", NULL }; @@ -801,6 +802,7 @@ const FurnaceGUIColorDef guiColors[GUI_COLOR_MAX]={ D(GUI_COLOR_INSTR_YMZ280B,"",ImVec4(0.4f,0.5f,1.0f,1.0f)), D(GUI_COLOR_INSTR_RF5C68,"",ImVec4(1.0f,0.3f,0.3f,1.0f)), D(GUI_COLOR_INSTR_MSM5232,"",ImVec4(0.5f,0.9f,1.0f,1.0f)), + D(GUI_COLOR_INSTR_T6W28,"",ImVec4(1.0f,0.8f,0.1f,1.0f)), D(GUI_COLOR_INSTR_UNKNOWN,"",ImVec4(0.3f,0.3f,0.3f,1.0f)), D(GUI_COLOR_CHANNEL_BG,"",ImVec4(0.4f,0.6f,0.8f,1.0f)), diff --git a/src/gui/insEdit.cpp b/src/gui/insEdit.cpp index 60403d6b..a22e9dbe 100644 --- a/src/gui/insEdit.cpp +++ b/src/gui/insEdit.cpp @@ -4762,7 +4762,7 @@ void FurnaceGUI::drawInsEdit() { if (ins->type==DIV_INS_C64 || ins->type==DIV_INS_SAA1099) { waveBitMode=true; } - if (ins->type==DIV_INS_STD || ins->type==DIV_INS_VRC6_SAW || ins->type==DIV_INS_NES) waveMax=0; + if (ins->type==DIV_INS_STD || ins->type==DIV_INS_VRC6_SAW || ins->type==DIV_INS_NES || ins->type==DIV_INS_T6W28) waveMax=0; if (ins->type==DIV_INS_TIA || ins->type==DIV_INS_VIC || ins->type==DIV_INS_OPLL) waveMax=15; if (ins->type==DIV_INS_C64) waveMax=4; if (ins->type==DIV_INS_SAA1099) waveMax=2; @@ -4866,7 +4866,7 @@ void FurnaceGUI::drawInsEdit() { } if (ins->type==DIV_INS_X1_010 || ins->type==DIV_INS_PCE || ins->type==DIV_INS_MIKEY || ins->type==DIV_INS_SAA1099 || ins->type==DIV_INS_NAMCO || ins->type==DIV_INS_RF5C68 || - ins->type==DIV_INS_VBOY) { + ins->type==DIV_INS_VBOY || ins->type==DIV_INS_T6W28) { panMax=15; } if (ins->type==DIV_INS_SEGAPCM) { diff --git a/src/gui/settings.cpp b/src/gui/settings.cpp index f16f2588..e18c9a00 100644 --- a/src/gui/settings.cpp +++ b/src/gui/settings.cpp @@ -1680,6 +1680,7 @@ void FurnaceGUI::drawSettings() { if (ImGui::TreeNode("Instrument Types")) { UI_COLOR_CONFIG(GUI_COLOR_INSTR_FM,"FM (OPN)"); UI_COLOR_CONFIG(GUI_COLOR_INSTR_STD,"SN76489/Sega PSG"); + UI_COLOR_CONFIG(GUI_COLOR_INSTR_T6W28,"T6W28"); UI_COLOR_CONFIG(GUI_COLOR_INSTR_GB,"Game Boy"); UI_COLOR_CONFIG(GUI_COLOR_INSTR_C64,"C64"); UI_COLOR_CONFIG(GUI_COLOR_INSTR_AMIGA,"Amiga/Generic Sample"); From 5f59bb0277f2e420fb2febabea8258367cb6600f Mon Sep 17 00:00:00 2001 From: tildearrow Date: Wed, 12 Oct 2022 00:46:54 -0500 Subject: [PATCH 26/26] update format.md --- papers/format.md | 1 + 1 file changed, 1 insertion(+) diff --git a/papers/format.md b/papers/format.md index 6e4954e8..bdf4782a 100644 --- a/papers/format.md +++ b/papers/format.md @@ -505,6 +505,7 @@ size | description | - 41: YMZ280B | - 42: RF5C68 | - 43: MSM5232 + | - 44: T6W28 1 | reserved STR | instrument name --- | **FM instrument data**