From 21f5f7eb2c740de3369a00634ac570171da8f89c Mon Sep 17 00:00:00 2001 From: Wuzzy Date: Sat, 11 Mar 2017 21:00:49 +0100 Subject: [PATCH] Double the TNT blinking frequency --- mods/ITEMS/mcl_tnt/init.lua | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/mods/ITEMS/mcl_tnt/init.lua b/mods/ITEMS/mcl_tnt/init.lua index 6ee6adce..dfd9692a 100644 --- a/mods/ITEMS/mcl_tnt/init.lua +++ b/mods/ITEMS/mcl_tnt/init.lua @@ -92,8 +92,8 @@ function TNT:on_step(dtime) }) self.timer = self.timer + dtime self.blinktimer = self.blinktimer + dtime - if self.blinktimer > 0.5 then - self.blinktimer = self.blinktimer - 0.5 + if self.blinktimer > 0.25 then + self.blinktimer = self.blinktimer - 0.25 if self.blinkstatus then self.object:settexturemod("") else